| `celldefine |
| `suppress_faults |
| `enable_portfaults |
| |
| `ifdef TETRAMAX |
| `define functional |
| `endif |
| |
| `ifdef functional |
| `timescale 1ns / 1ps |
| `ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode |
| `delay_mode_distributed |
| `endif |
| `ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode |
| `delay_mode_unit |
| `endif |
| `else |
| `timescale 1ns / 1ps |
| `ifndef dont_change_delay_mode // See CDT 66389 for detail on dont_change_delay_mode |
| `delay_mode_path |
| `endif |
| `endif |
| |
| |
| module scs8hs_sdfbbp_1 ( Q , QN , D , SCD , SCE , CLK , SETB , RESETB , vpwr , vgnd ) ; |
| output Q , QN ; |
| input D , SCD , SCE , CLK , SETB , RESETB , vpwr , vgnd ; |
| |
| wire reset , set , clk , buf_Q ; |
| |
| `ifdef functional |
| not ( reset , RESETB ) ; |
| not ( set , SETB ) ; |
| U_MUX_2_1 ( mux_out , D , SCD , SCE ) ; |
| U_DFB_SETDOM_pg #0.001 ( buf_Q , set , reset , CLK , mux_out , vpwr , vgnd ) ; |
| `else |
| reg notifier ; |
| |
| wire D_delayed , SCD_delayed , SCE_delayed , CLK_delayed , SETB_delayed , RESETB_delayed ; |
| |
| not ( reset , RESETB_delayed ) ; |
| not ( set , SETB_delayed ) ; |
| U_MUX_2_1 ( mux_out , D_delayed , SCD_delayed , SCE_delayed ) ; |
| U_DFB_SETDOM_notify_pg ( buf_Q , set , reset , CLK_delayed , mux_out , notifier , vpwr , vgnd ) ; |
| |
| wire AWAKE , COND0 , COND1 , CONDB , COND_D , COND_SCD , COND_SCE ; |
| assign AWAKE = ( vpwr === 1'b1 ) ; |
| assign COND0 = ( AWAKE && ( RESETB_delayed === 1'b1 ) ) ; |
| assign COND1 = ( AWAKE && ( SETB_delayed === 1'b1 ) ) ; |
| assign CONDB = ( COND0 & COND1 ) ; |
| assign COND_D = ( ( SCE_delayed === 1'b0 ) && CONDB ) ; |
| assign COND_SCD = ( ( SCE_delayed === 1'b1 ) && CONDB ) ; |
| assign COND_SCE = ( ( D_delayed !== SCD_delayed ) && CONDB ) ; |
| specify |
| ( negedge RESETB => ( Q +: RESETB ) ) = 0:0:0 ; // delay is tfall |
| ( negedge RESETB => ( QN -: RESETB ) ) = 0:0:0 ; // delay is tris |
| |
| ( SETB => ( Q -: SETB ) ) = ( 0:0:0 , 0:0:0 ) ; // delay is tris , tfall |
| ( SETB => ( QN +: SETB ) ) = ( 0:0:0 , 0:0:0 ) ; // delay is tris , tfall |
| |
| ( posedge CLK => ( Q +: D ) ) = ( 0:0:0 , 0:0:0 ) ; // delays are tris , tfall |
| ( posedge CLK => ( QN -: D ) ) = ( 0:0:0 , 0:0:0 ) ; // delays are tris , tfall |
| |
| $recrem ( posedge SETB , posedge CLK , 0:0:0 , 0:0:0 , notifier , COND0 , COND0 , SETB_delayed , CLK_delayed ) ; |
| $recrem ( posedge RESETB , posedge CLK , 0:0:0 , 0:0:0 , notifier , COND1 , COND1 , RESETB_delayed , CLK_delayed ) ; |
| |
| $setuphold ( posedge CLK , posedge D , 0:0:0 , 0:0:0 , notifier , COND_D , COND_D , CLK_delayed , D_delayed ) ; |
| $setuphold ( posedge CLK , negedge D , 0:0:0 , 0:0:0 , notifier , COND_D , COND_D , CLK_delayed , D_delayed ) ; |
| |
| $setuphold ( posedge CLK , posedge SCD , 0:0:0 , 0:0:0 , notifier , COND_SCD , COND_SCD , CLK_delayed , SCD_delayed ) ; |
| $setuphold ( posedge CLK , negedge SCD , 0:0:0 , 0:0:0 , notifier , COND_SCD , COND_SCD , CLK_delayed , SCD_delayed ) ; |
| |
| $setuphold ( posedge CLK , posedge SCE , 0:0:0 , 0:0:0 , notifier , COND_SCE , COND_SCE , CLK_delayed , SCE_delayed ) ; |
| $setuphold ( posedge CLK , negedge SCE , 0:0:0 , 0:0:0 , notifier , COND_SCE , COND_SCE , CLK_delayed , SCE_delayed ) ; |
| |
| |
| $hold ( posedge SETB &&& AWAKE , posedge RESETB &&& AWAKE , 3.0:3.0:3.0 , notifier ) ; //arbitrary , uncharacterized value to |
| //flag possible state error |
| |
| $hold ( posedge RESETB &&& AWAKE , posedge SETB &&& AWAKE , 3.0:3.0:3.0 , notifier ) ; //arbitrary , uncharacterized value to |
| //flag possible state error |
| $width ( negedge CLK &&& CONDB , 1.0:1.0:1.0 , 0 , notifier ) ; |
| $width ( posedge CLK &&& CONDB , 1.0:1.0:1.0 , 0 , notifier ) ; |
| $width ( negedge SETB &&& AWAKE , 1.0:1.0:1.0 , 0 , notifier ) ; |
| $width ( posedge SETB &&& AWAKE , 1.0:1.0:1.0 , 0 , notifier ) ; |
| $width ( negedge RESETB &&& AWAKE , 1.0:1.0:1.0 , 0 , notifier ) ; |
| $width ( posedge RESETB &&& AWAKE , 1.0:1.0:1.0 , 0 , notifier ) ; |
| |
| endspecify |
| |
| |
| `endif |
| buf ( Q , buf_Q ) ; |
| not ( QN , buf_Q ) ; |
| |
| |
| endmodule |
| `endcelldefine |
| `disable_portfaults |
| `nosuppress_faults |