blob: 4be199198d37026bf7a28803f884d22a6efd2648 [file] [log] [blame]
// type: CLKINV
`timescale 1ns/10ps
`celldefine
module sky130_osu_sc_CLKINVX4 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine