Fixed a bug with sky130_osu_sc_12T_ms__inv_l and added sky130_osu_sc_12T_ms__dffnr_l / sky130_osu_sc_12T_ms__dffnr_1 for project use
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__addf_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__addf_1.gds
index 99bc944..d3466b0 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__addf_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__addf_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__addf_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__addf_l.gds
index 30dbeb4..a355d9c 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__addf_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__addf_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__addh_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__addh_1.gds
index 1603c99..e4c985b 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__addh_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__addh_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__addh_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__addh_l.gds
index 23b1667..d2999c0 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__addh_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__addh_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_1.gds
index 711f8d3..d27c3da 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_2.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_2.gds
index cf1a76c..4ce5300 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_2.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_2.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_4.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_4.gds
index 61f322c..0f8f1f8 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_4.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_4.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_6.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_6.gds
index b4d0c3f..3bfc353 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_6.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_6.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_8.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_8.gds
index c845d8a..7f4e0ee 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_8.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_8.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_l.gds
index 69fa701..9c61263 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__and2_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__and2_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__ant.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__ant.gds
index 89460e9..8191365 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__ant.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__ant.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__antfill.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__antfill.gds
index 11f5985..217a173 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__antfill.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__antfill.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__aoi21_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__aoi21_l.gds
index 00ef0f7..bab24ba 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__aoi21_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__aoi21_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__aoi22_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__aoi22_l.gds
index bbb6a7a..4757400 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__aoi22_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__aoi22_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_1.gds
index 67e66fc..b02758a 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_2.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_2.gds
index 0652d5e..308cc3a 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_2.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_2.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_4.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_4.gds
index 8612433..cacd185 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_4.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_4.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_6.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_6.gds
index 9f15393..bed1d52 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_6.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_6.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_8.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_8.gds
index 8e95e68..2a23744 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_8.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_8.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_l.gds
index 635ff8f..4f9f0d3 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__buf_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__buf_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__decap_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__decap_1.gds
index e814759..154c13a 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__decap_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__decap_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__decap_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__decap_l.gds
index 0d6d784..c899e81 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__decap_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__decap_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__dff_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__dff_1.gds
index 9ac8a42..dbc965e 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__dff_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__dff_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__dff_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__dff_l.gds
index 798013d..2411847 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__dff_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__dff_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__dffnr_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__dffnr_1.gds
new file mode 100644
index 0000000..84991c8
--- /dev/null
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__dffnr_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__dffnr_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__dffnr_l.gds
new file mode 100644
index 0000000..d4c644c
--- /dev/null
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__dffnr_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__dffr_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__dffr_1.gds
index 0fcf842..6590223 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__dffr_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__dffr_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__dffr_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__dffr_l.gds
index 7544172..b341089 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__dffr_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__dffr_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__dffs_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__dffs_1.gds
index 3435ab5..3eddbc5 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__dffs_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__dffs_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__dffs_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__dffs_l.gds
index fdad8b7..e0f97a6 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__dffs_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__dffs_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__dffsr_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__dffsr_1.gds
index 42ec82d..9697f9a 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__dffsr_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__dffsr_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__dffsr_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__dffsr_l.gds
index cd1f65b..595b4db 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__dffsr_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__dffsr_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_1.gds
index 55a3e7c..cc15a7f 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_16.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_16.gds
index 5502ebc..011047e 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_16.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_16.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_2.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_2.gds
index 6d89033..0fbadcf 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_2.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_2.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_32.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_32.gds
index 7fa1108..93471f3 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_32.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_32.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_4.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_4.gds
index 7b1bd84..6912f40 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_4.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_4.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_8.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_8.gds
index f44958a..5890fbb 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__fill_8.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__fill_8.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_1.gds
index c1daede..cd76739 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_10.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_10.gds
index 12f898f..fe931b5 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_10.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_10.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_2.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_2.gds
index 8aae909..a36678f 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_2.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_2.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_3.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_3.gds
index d9239a4..6b505b3 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_3.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_3.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_4.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_4.gds
index bc52032..71a4e27 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_4.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_4.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_6.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_6.gds
index fc09279..a5a4cc0 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_6.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_6.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_8.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_8.gds
index f1d5636..94435f6 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_8.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_8.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_l.gds
index 127643e..1b25581 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__inv_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__inv_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__mux2_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__mux2_1.gds
index 8339059..f48501f 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__mux2_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__mux2_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__nand2_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__nand2_1.gds
index 38b7638..c143ca7 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__nand2_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__nand2_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__nand2_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__nand2_l.gds
index d98f994..d41695e 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__nand2_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__nand2_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__nor2_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__nor2_1.gds
index b3e45c7..9ebc932 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__nor2_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__nor2_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__nor2_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__nor2_l.gds
index 453e2f4..3c36fa3 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__nor2_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__nor2_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__oai21_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__oai21_l.gds
index de1aff1..b1bf178 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__oai21_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__oai21_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__oai22_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__oai22_l.gds
index 742e7fe..4256b04 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__oai22_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__oai22_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__or2_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__or2_1.gds
index 17e91c8..6595e73 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__or2_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__or2_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__or2_2.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__or2_2.gds
index eb6b379..6107278 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__or2_2.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__or2_2.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__or2_4.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__or2_4.gds
index 9ed2795..b5a859f 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__or2_4.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__or2_4.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__or2_8.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__or2_8.gds
index eb0a0b7..589d29c 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__or2_8.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__or2_8.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__or2_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__or2_l.gds
index abbb59e..3380924 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__or2_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__or2_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__tbufi_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__tbufi_1.gds
index 360495d..d42f37c 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__tbufi_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__tbufi_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__tbufi_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__tbufi_l.gds
index 44ffba1..31063d8 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__tbufi_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__tbufi_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__tiehi.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__tiehi.gds
index 777953a..0428c5a 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__tiehi.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__tiehi.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__tielo.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__tielo.gds
index 430c906..4997620 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__tielo.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__tielo.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__tnbufi_1.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__tnbufi_1.gds
index c6dfd56..7e7db7e 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__tnbufi_1.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__tnbufi_1.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__tnbufi_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__tnbufi_l.gds
index 848aa39..43d40fe 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__tnbufi_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__tnbufi_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__xnor2_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__xnor2_l.gds
index f6a85d9..27c517f 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__xnor2_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__xnor2_l.gds
Binary files differ
diff --git a/12T_ms/gds/sky130_osu_sc_12T_ms__xor2_l.gds b/12T_ms/gds/sky130_osu_sc_12T_ms__xor2_l.gds
index f280510..3abb1c4 100644
--- a/12T_ms/gds/sky130_osu_sc_12T_ms__xor2_l.gds
+++ b/12T_ms/gds/sky130_osu_sc_12T_ms__xor2_l.gds
Binary files differ
diff --git a/12T_ms/lef/sky130_osu_sc_12T_ms.lef b/12T_ms/lef/sky130_osu_sc_12T_ms.lef
index ffa1d3f..24ad858 100644
--- a/12T_ms/lef/sky130_osu_sc_12T_ms.lef
+++ b/12T_ms/lef/sky130_osu_sc_12T_ms.lef
@@ -1433,6 +1433,202 @@
   END
 END sky130_osu_sc_12T_ms__dff_l
 
+MACRO sky130_osu_sc_12T_ms__dffnr_1
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN sky130_osu_sc_12T_ms__dffnr_1 0 0 ;
+  SIZE 9.57 BY 4.44 ;
+  SYMMETRY X Y ;
+  SITE 12T ;
+  PIN CK
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met1 ;
+        RECT 6.16 1.625 6.455 1.855 ;
+        RECT 5.31 1.655 6.455 1.825 ;
+        RECT 5.31 1.625 5.6 1.855 ;
+    END
+  END CK
+  PIN D
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met1 ;
+        RECT 2.72 1.655 3.12 1.825 ;
+        RECT 2.72 1.625 3.01 1.855 ;
+    END
+  END D
+  PIN Q
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met1 ;
+        RECT 9.13 2.735 9.42 2.965 ;
+        RECT 9.02 2.765 9.42 2.935 ;
+    END
+  END Q
+  PIN QN
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met1 ;
+        RECT 8.275 2.365 8.565 2.595 ;
+        RECT 8.16 2.395 8.565 2.565 ;
+    END
+  END QN
+  PIN RN
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met1 ;
+        RECT 0.175 2.765 0.635 2.93 ;
+        RECT 0.175 2.765 0.605 2.935 ;
+        RECT 0.175 2.735 0.465 2.965 ;
+    END
+  END RN
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 9.57 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 4.135 9.57 4.44 ;
+    END
+  END vdd
+  OBS
+    LAYER met1 ;
+      RECT 5.79 2.365 6.08 2.595 ;
+      RECT 5.79 2.395 7.335 2.565 ;
+      RECT 7.155 1.65 7.335 2.565 ;
+      RECT 8.375 1.625 8.665 1.855 ;
+      RECT 7.155 1.65 8.665 1.825 ;
+      RECT 1.085 1.255 1.355 1.515 ;
+      RECT 7.745 1.255 8.035 1.485 ;
+      RECT 7.805 0.915 7.975 1.485 ;
+      RECT 1.135 0.915 1.305 1.515 ;
+      RECT 1.135 0.915 7.975 1.085 ;
+      RECT 6.905 1.255 7.195 1.485 ;
+      RECT 5.18 1.255 5.44 1.485 ;
+      RECT 4.49 1.255 4.78 1.485 ;
+      RECT 4.49 1.285 7.195 1.455 ;
+      RECT 6.725 1.995 7.015 2.225 ;
+      RECT 5.31 1.995 5.6 2.225 ;
+      RECT 3.08 1.995 3.37 2.225 ;
+      RECT 3.08 2.025 7.015 2.195 ;
+      RECT 1.495 1.25 1.78 1.495 ;
+      RECT 4.06 1.255 4.35 1.485 ;
+      RECT 1.495 1.285 4.35 1.455 ;
+  END
+END sky130_osu_sc_12T_ms__dffnr_1
+
+MACRO sky130_osu_sc_12T_ms__dffnr_l
+  CLASS CORE ;
+  ORIGIN 0 0 ;
+  FOREIGN sky130_osu_sc_12T_ms__dffnr_l 0 0 ;
+  SIZE 9.57 BY 4.44 ;
+  SYMMETRY X Y ;
+  SITE 12T ;
+  PIN CK
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met1 ;
+        RECT 6.16 1.625 6.455 1.855 ;
+        RECT 5.31 1.655 6.455 1.825 ;
+        RECT 5.31 1.625 5.6 1.855 ;
+    END
+  END CK
+  PIN D
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met1 ;
+        RECT 2.72 1.655 3.12 1.825 ;
+        RECT 2.72 1.625 3.01 1.855 ;
+    END
+  END D
+  PIN Q
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met1 ;
+        RECT 9.13 2.735 9.42 2.965 ;
+        RECT 9.02 2.765 9.42 2.935 ;
+    END
+  END Q
+  PIN QN
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met1 ;
+        RECT 8.275 2.365 8.565 2.595 ;
+        RECT 8.16 2.395 8.565 2.565 ;
+    END
+  END QN
+  PIN RN
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met1 ;
+        RECT 0.175 2.765 0.635 2.93 ;
+        RECT 0.175 2.765 0.605 2.935 ;
+        RECT 0.175 2.735 0.465 2.965 ;
+    END
+  END RN
+  PIN gnd
+    DIRECTION INOUT ;
+    USE GROUND ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 0 9.57 0.305 ;
+    END
+  END gnd
+  PIN vdd
+    DIRECTION INOUT ;
+    USE POWER ;
+    SHAPE ABUTMENT ;
+    PORT
+      LAYER met1 ;
+        RECT 0 4.135 9.57 4.44 ;
+    END
+  END vdd
+  OBS
+    LAYER met1 ;
+      RECT 5.79 2.365 6.08 2.595 ;
+      RECT 5.79 2.395 7.335 2.565 ;
+      RECT 7.155 1.65 7.335 2.565 ;
+      RECT 8.375 1.625 8.665 1.855 ;
+      RECT 7.155 1.65 8.665 1.825 ;
+      RECT 1.085 1.255 1.355 1.515 ;
+      RECT 7.745 1.255 8.035 1.485 ;
+      RECT 7.805 0.915 7.975 1.485 ;
+      RECT 1.135 0.915 1.305 1.515 ;
+      RECT 1.135 0.915 7.975 1.085 ;
+      RECT 6.905 1.255 7.195 1.485 ;
+      RECT 5.18 1.255 5.44 1.485 ;
+      RECT 4.49 1.255 4.78 1.485 ;
+      RECT 4.49 1.285 7.195 1.455 ;
+      RECT 6.725 1.995 7.015 2.225 ;
+      RECT 5.31 1.995 5.6 2.225 ;
+      RECT 3.08 1.995 3.37 2.225 ;
+      RECT 3.08 2.025 7.015 2.195 ;
+      RECT 1.495 1.25 1.78 1.495 ;
+      RECT 4.06 1.255 4.35 1.485 ;
+      RECT 1.495 1.285 4.35 1.455 ;
+  END
+END sky130_osu_sc_12T_ms__dffnr_l
+
 MACRO sky130_osu_sc_12T_ms__dffr_1
   CLASS CORE ;
   ORIGIN 0 0 ;
@@ -2574,7 +2770,7 @@
   CLASS CORE ;
   ORIGIN 0 0 ;
   FOREIGN sky130_osu_sc_12T_ms__inv_l 0 0 ;
-  SIZE 0.99 BY 4.43 ;
+  SIZE 0.99 BY 4.44 ;
   SYMMETRY X Y ;
   SITE 12T ;
   PIN A
@@ -2611,7 +2807,7 @@
     SHAPE ABUTMENT ;
     PORT
       LAYER met1 ;
-        RECT 0 4.125 0.99 4.43 ;
+        RECT 0 4.135 0.99 4.44 ;
     END
   END vdd
 END sky130_osu_sc_12T_ms__inv_l
diff --git a/12T_ms/lib/sky130_osu_sc_12T_ms_TT_1P8_25C.ccs.db b/12T_ms/lib/sky130_osu_sc_12T_ms_TT_1P8_25C.ccs.db
index 11e6809..f342f30 100644
--- a/12T_ms/lib/sky130_osu_sc_12T_ms_TT_1P8_25C.ccs.db
+++ b/12T_ms/lib/sky130_osu_sc_12T_ms_TT_1P8_25C.ccs.db
Binary files differ
diff --git a/12T_ms/lib/sky130_osu_sc_12T_ms_TT_1P8_25C.ccs.lib b/12T_ms/lib/sky130_osu_sc_12T_ms_TT_1P8_25C.ccs.lib
index 8379d83..899bfb6 100644
--- a/12T_ms/lib/sky130_osu_sc_12T_ms_TT_1P8_25C.ccs.lib
+++ b/12T_ms/lib/sky130_osu_sc_12T_ms_TT_1P8_25C.ccs.lib
@@ -1,7 +1,7 @@
 library (sky130_osu_sc_12T_ms_TT_1P8_25C.ccs) {
-  /* Models written by Liberate 19.2.1.215 from Cadence Design Systems, Inc. on Mon Nov 16 23:05:39 CST 2020 */
+  /* Models written by Liberate 19.2.1.215 from Cadence Design Systems, Inc. on Fri Feb 12 21:21:51 CST 2021 */
   comment : "";
-  date : "$Date: Mon Nov 16 22:27:03 2020 $";
+  date : "$Date: Fri Feb 12 20:35:52 2021 $";
   revision : "1.0";
   delay_model : table_lookup;
   capacitive_load_unit (1,pf);
@@ -250,7 +250,7 @@
             index_2 ("0.00501881");
             index_3 ("0.135795, 0.153748, 0.156137, 0.160914, 0.163302, 0.165993, 0.168685, 0.171376, 0.174067, 0.176758, 0.180063, 0.18252, 0.185287, 0.18744, 0.190421, 0.193403, 0.195391, 0.197379, 0.199366, 0.201636, 0.203905, 0.206175, 0.207574, 0.208973, 0.210373, 0.211772, 0.214314, 0.216857, 0.2194, 0.222503, 0.223625, 0.225868, 0.239029, 0.24362, 0.247817, 0.252013, 0.257319, 0.259336, 0.261116, 0.263333, 0.264441, 0.268609, 0.27338, 0.275191, 0.276398, 0.280018, 0.284846, 0.289674, 0.295165, 0.299845");
             values ( \
-              "0.0496936, 0.0510258, 0.0543767, 0.0607784, 0.0638291, 0.0668896, 0.0697968, 0.0725506, 0.075151, 0.0775981, 0.0803883, 0.0822398, 0.0840961, 0.0853811, 0.0867745, 0.0879061, 0.088515, 0.0890076, 0.0893838, 0.0894599, 0.0894112, 0.0892379, 0.0888785, 0.0884228, 0.0878708, 0.0872224, 0.0857103, 0.0839381, 0.081906, 0.0790732, 0.0776677, 0.0742325, 0.0530135, 0.0465051, 0.0411122, 0.0361818, 0.0305113, 0.0284519, 0.0267973, 0.0248933, 0.023979, 0.0208561, 0.0174934, 0.0162769, 0.0155504, 0.0135494, 0.011398, 0.00952324, 0.00776186, 0.0063788" \
+              "0.0496936, 0.0510258, 0.0543767, 0.0607784, 0.0638291, 0.0668896, 0.0697968, 0.0725506, 0.075151, 0.0775981, 0.0803883, 0.0822398, 0.0840961, 0.0853811, 0.0867744, 0.087906, 0.0885149, 0.0890076, 0.089384, 0.0894599, 0.0894112, 0.0892379, 0.0888785, 0.0884228, 0.0878708, 0.0872224, 0.0857103, 0.0839381, 0.081906, 0.0790732, 0.0776677, 0.0742325, 0.0530135, 0.0465051, 0.0411122, 0.0361818, 0.0305113, 0.0284519, 0.0267973, 0.0248933, 0.023979, 0.0208561, 0.0174934, 0.0162769, 0.0155504, 0.0135494, 0.011398, 0.00952324, 0.00776186, 0.0063788" \
             );
           }
           vector (ccs_template) {
@@ -493,14 +493,14 @@
             index_2 ("0.32778");
             index_3 ("4.90484, 5.26912, 5.88706, 6.21446, 6.51731, 6.73268, 7.01985, 7.13626, 7.29149, 7.49222, 7.812, 7.91694, 8.12682, 8.35102, 8.77421, 8.93036, 9.77118, 10.279, 10.534, 10.8256, 11.0866, 11.3793, 11.7695, 12.0288, 12.2927, 12.6446, 13.3483, 14.5358, 15.9515");
             values ( \
-              "0.0347988, 0.0358241, 0.0785969, 0.0991271, 0.114616, 0.123248, 0.131732, 0.13421, 0.136724, 0.138731, 0.139248, 0.138711, 0.136537, 0.132265, 0.118007, 0.111061, 0.0700995, 0.048966, 0.0402966, 0.0319129, 0.0257334, 0.020095, 0.0143085, 0.0113808, 0.00900397, 0.00656055, 0.00339413, 0.00101143, 0.000213791" \
+              "0.0347988, 0.0358241, 0.0785969, 0.0991271, 0.114616, 0.123248, 0.131732, 0.13421, 0.136724, 0.138731, 0.139248, 0.138711, 0.136537, 0.132265, 0.118007, 0.111061, 0.0700995, 0.048966, 0.0402966, 0.031913, 0.0257334, 0.0200949, 0.0143085, 0.0113808, 0.00900398, 0.00656058, 0.00339416, 0.00101144, 0.000213794" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.32001");
-            index_3 ("0.00472028, 7.49417, 9.01222, 10.5303, 11.2315, 12.0368, 14.4159, 15.9234, 16.6916, 17.6283, 19.1464, 20.228, 21.2409, 22.759, 23.3062, 23.9776, 24.6783, 26.0797, 27.5743, 29.9054, 31.2495, 32.1767, 33.7588, 35.2024, 36.7614, 37.8106, 39.2641, 40.9242, 42.4423, 43.9603, 45.4784, 46.9964, 50.0325, 53.0686");
+            index_3 ("0.00472052, 7.49417, 9.01222, 10.5303, 11.2315, 12.0368, 14.4159, 15.9234, 16.6916, 17.6283, 19.1464, 20.228, 21.2409, 22.759, 23.3062, 23.9776, 24.6783, 26.0797, 27.5743, 29.9054, 31.2495, 32.1767, 33.7588, 35.2024, 36.7614, 37.8106, 39.2641, 40.9242, 42.4423, 43.9603, 45.4784, 46.9964, 50.0325, 53.0686");
             values ( \
               "1e-22, 0.000138517, 0.00201261, 0.0110875, 0.0188208, 0.0304708, 0.0720989, 0.0968466, 0.107617, 0.118652, 0.131509, 0.136994, 0.139716, 0.139901, 0.13882, 0.136595, 0.133, 0.121593, 0.104729, 0.0758804, 0.060635, 0.0513236, 0.0379062, 0.0282802, 0.0203855, 0.0162673, 0.0118096, 0.00816366, 0.00579858, 0.0040981, 0.00290128, 0.00204127, 0.00101218, 0.000500119" \
             );
@@ -742,7 +742,7 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.32001");
-            index_3 ("13.98, 16.0858, 19.4472, 22.2278, 24.4897, 25.9745, 27.3181, 28.1932, 29.8724, 31.516, 33.1578, 35.4975, 36.8123, 38.244, 39.288, 39.9121, 40.8506, 42.1019, 43.7811, 45.4604, 48.8188");
+            index_3 ("13.98, 16.0858, 19.4472, 22.2278, 24.4897, 25.9746, 27.3181, 28.1932, 29.8724, 31.516, 33.1578, 35.4975, 36.8123, 38.244, 39.288, 39.9121, 40.8506, 42.1019, 43.7811, 45.4604, 48.8188");
             values ( \
               "-0.0309919, -0.0322626, -0.0696045, -0.0974139, -0.11637, -0.126691, -0.134457, -0.138648, -0.144335, -0.145221, -0.131508, -0.0793716, -0.053519, -0.033097, -0.0227055, -0.0180394, -0.0126663, -0.00776224, -0.00392759, -0.0019598, -0.000477941" \
             );
@@ -825,7 +825,7 @@
             index_2 ("0.0202113");
             index_3 ("3.09473, 3.15076, 3.15675, 3.16873, 3.17928, 3.22848, 3.24002, 3.26085, 3.2981, 3.30884, 3.32185, 3.34332, 3.35096, 3.37021, 3.39984, 3.41958, 3.43312, 3.44243, 3.45081, 3.455, 3.45919, 3.46629, 3.4734, 3.4805, 3.48761, 3.48897, 3.49305, 3.49576, 3.49848, 3.5012, 3.50392, 3.50968, 3.51543, 3.52119, 3.52695, 3.5334, 3.53986, 3.54632, 3.55278, 3.56297, 3.57639, 3.58194, 3.58749, 3.59465, 3.60571, 3.61351, 3.61959, 3.62566, 3.6385, 3.64993");
             values ( \
-              "-0.0194728, -0.0206196, -0.0222113, -0.025566, -0.0287999, -0.0452605, -0.0490285, -0.0557544, -0.0674178, -0.0706923, -0.074505, -0.080519, -0.0825935, -0.0874352, -0.0944503, -0.0988074, -0.101626, -0.10349, -0.104902, -0.105547, -0.10615, -0.107079, -0.10789, -0.108582, -0.109156, -0.109167, -0.109127, -0.108986, -0.10876, -0.108449, -0.108054, -0.106599, -0.104699, -0.102356, -0.0995679, -0.0957364, -0.0914631, -0.0867481, -0.0815913, -0.0725329, -0.0595772, -0.0547145, -0.0500701, -0.0444554, -0.0362948, -0.031156, -0.0278129, -0.0247345, -0.0192011, -0.0147598" \
+              "-0.0194728, -0.0206196, -0.0222113, -0.025566, -0.0287999, -0.0452605, -0.0490282, -0.0557548, -0.0674178, -0.0706923, -0.074505, -0.080519, -0.0825935, -0.0874351, -0.09445, -0.0988074, -0.101626, -0.10349, -0.104902, -0.105547, -0.10615, -0.107079, -0.10789, -0.108582, -0.109156, -0.109167, -0.109128, -0.108986, -0.10876, -0.108449, -0.108054, -0.106599, -0.104699, -0.102356, -0.0995678, -0.0957363, -0.0914631, -0.086748, -0.0815913, -0.0725329, -0.0595772, -0.0547145, -0.0500701, -0.0444554, -0.0362948, -0.031156, -0.0278129, -0.0247345, -0.0192011, -0.0147598" \
             );
           }
           vector (ccs_template) {
@@ -1140,7 +1140,7 @@
             index_2 ("0.32778");
             index_3 ("2.42746, 2.845, 3.4048, 3.68863, 3.85417, 4.11193, 4.25923, 4.45562, 4.65027, 5.03957, 5.22277, 5.46705, 5.67888, 5.98169, 6.37094, 6.65147, 7.34298, 7.73468, 7.92654, 8.13158, 8.36216, 8.6619, 8.90683, 9.19538, 9.60232, 10.0225, 10.3438, 10.9865, 12.2156, 13.6687");
             values ( \
-              "0.0365361, 0.0380338, 0.0733581, 0.0899984, 0.0987316, 0.110514, 0.11619, 0.122564, 0.127524, 0.133783, 0.135089, 0.135344, 0.134157, 0.12973, 0.118028, 0.106104, 0.0731342, 0.0561405, 0.0488407, 0.0418299, 0.0349227, 0.0273458, 0.0222893, 0.0174278, 0.0121789, 0.00839375, 0.00629572, 0.00345556, 0.000982463, 0.00018964" \
+              "0.0365361, 0.0380338, 0.0733581, 0.0899984, 0.0987316, 0.110514, 0.11619, 0.122564, 0.127524, 0.133783, 0.135089, 0.135344, 0.134157, 0.12973, 0.118028, 0.106104, 0.0731342, 0.0561405, 0.0488407, 0.0418299, 0.0349227, 0.0273458, 0.0222893, 0.0174278, 0.0121789, 0.00839375, 0.00629572, 0.00345556, 0.000982464, 0.000189641" \
             );
           }
           vector (ccs_template) {
@@ -1230,7 +1230,7 @@
             index_2 ("0.0202113");
             index_3 ("2.65271, 2.71792, 2.74514, 2.79191, 2.86324, 2.89934, 2.91362, 2.92662, 2.94484, 2.95277, 2.96415, 2.97504, 2.99287, 3.01035, 3.04147, 3.05778, 3.08972, 3.11012, 3.13237, 3.15953, 3.17314, 3.20036, 3.22261, 3.23843, 3.27008, 3.28392, 3.30416, 3.33114, 3.38511, 3.47199, 3.57679");
             values ( \
-              "0.013668, 0.0207976, 0.0302904, 0.0475528, 0.0745508, 0.0868376, 0.0910671, 0.0944799, 0.0984975, 0.0998972, 0.10153, 0.102645, 0.103544, 0.103062, 0.0970461, 0.0904985, 0.0740461, 0.0628704, 0.0517193, 0.0394185, 0.0341903, 0.0251421, 0.0194131, 0.0160705, 0.0108278, 0.00910766, 0.0070502, 0.00498676, 0.00240739, 0.000677387, 0.000131555" \
+              "0.013668, 0.0207976, 0.0302904, 0.0475528, 0.0745508, 0.0868376, 0.0910671, 0.0944799, 0.0984975, 0.0998972, 0.10153, 0.102645, 0.103544, 0.103062, 0.0970461, 0.0904985, 0.0740461, 0.0628704, 0.0517193, 0.0394185, 0.0341903, 0.0251421, 0.0194131, 0.0160705, 0.0108278, 0.00910766, 0.00705019, 0.00498676, 0.00240739, 0.000677386, 0.000131555" \
             );
           }
           vector (ccs_template) {
@@ -1275,7 +1275,7 @@
             index_2 ("0.00501881");
             index_3 ("8.319, 8.33727, 8.4567, 8.49464, 8.51718, 8.54724, 8.56025, 8.58627, 8.63858, 8.6544, 8.70409, 8.72426, 8.7535, 8.78075, 8.79901, 8.80698, 8.81995, 8.83342, 8.8794, 8.90448, 8.92787, 8.93918, 8.95832, 8.97105, 8.98544, 9.00145, 9.02198, 9.05084, 9.09535, 9.27678");
             values ( \
-              "0.000140259, 0.000195827, 0.00127564, 0.00247307, 0.0035473, 0.00573289, 0.00713931, 0.0109377, 0.0193553, 0.0216092, 0.027642, 0.029701, 0.0322124, 0.0340065, 0.0347586, 0.0349127, 0.034585, 0.0325857, 0.0159415, 0.00915481, 0.00512131, 0.00384189, 0.00229004, 0.00158697, 0.0010331, 0.000632817, 0.000342634, 0.000166428, 0.00011227, 5.8598e-05" \
+              "0.000140259, 0.000195827, 0.00127564, 0.00247307, 0.0035473, 0.00573289, 0.00713931, 0.0109377, 0.0193553, 0.0216092, 0.027642, 0.029701, 0.0322124, 0.0340065, 0.0347586, 0.0349127, 0.034585, 0.0325857, 0.0159415, 0.00915481, 0.00512131, 0.00384189, 0.00229004, 0.00158697, 0.0010331, 0.000632817, 0.000342634, 0.000166428, 0.00011227, 5.85981e-05" \
             );
           }
           vector (ccs_template) {
@@ -1893,7 +1893,7 @@
             index_2 ("0.32778");
             index_3 ("2.42746, 2.845, 3.4048, 3.68863, 3.85417, 4.11193, 4.25923, 4.45562, 4.65027, 5.03957, 5.22277, 5.46705, 5.67888, 5.98169, 6.37094, 6.65147, 7.34298, 7.73468, 7.92654, 8.13158, 8.36216, 8.6619, 8.90683, 9.19538, 9.60232, 10.0225, 10.3438, 10.9865, 12.2156, 13.6687");
             values ( \
-              "0.0365361, 0.0380338, 0.0733581, 0.0899984, 0.0987316, 0.110514, 0.11619, 0.122564, 0.127524, 0.133783, 0.135089, 0.135344, 0.134157, 0.12973, 0.118028, 0.106104, 0.0731342, 0.0561405, 0.0488407, 0.0418299, 0.0349227, 0.0273458, 0.0222893, 0.0174278, 0.0121789, 0.00839375, 0.00629572, 0.00345556, 0.000982463, 0.00018964" \
+              "0.0365361, 0.0380338, 0.0733581, 0.0899984, 0.0987316, 0.110514, 0.11619, 0.122564, 0.127524, 0.133783, 0.135089, 0.135344, 0.134157, 0.12973, 0.118028, 0.106104, 0.0731342, 0.0561405, 0.0488407, 0.0418299, 0.0349227, 0.0273458, 0.0222893, 0.0174278, 0.0121789, 0.00839375, 0.00629572, 0.00345556, 0.000982464, 0.000189641" \
             );
           }
           vector (ccs_template) {
@@ -1983,7 +1983,7 @@
             index_2 ("0.0202113");
             index_3 ("2.65271, 2.71792, 2.74514, 2.79191, 2.86324, 2.89934, 2.91362, 2.92662, 2.94484, 2.95277, 2.96415, 2.97504, 2.99287, 3.01035, 3.04147, 3.05778, 3.08972, 3.11012, 3.13237, 3.15953, 3.17314, 3.20036, 3.22261, 3.23843, 3.27008, 3.28392, 3.30416, 3.33114, 3.38511, 3.47199, 3.57679");
             values ( \
-              "0.013668, 0.0207976, 0.0302904, 0.0475528, 0.0745508, 0.0868376, 0.0910671, 0.0944799, 0.0984975, 0.0998972, 0.10153, 0.102645, 0.103544, 0.103062, 0.0970461, 0.0904985, 0.0740461, 0.0628704, 0.0517193, 0.0394185, 0.0341903, 0.0251421, 0.0194131, 0.0160705, 0.0108278, 0.00910766, 0.0070502, 0.00498676, 0.00240739, 0.000677387, 0.000131555" \
+              "0.013668, 0.0207976, 0.0302904, 0.0475528, 0.0745508, 0.0868376, 0.0910671, 0.0944799, 0.0984975, 0.0998972, 0.10153, 0.102645, 0.103544, 0.103062, 0.0970461, 0.0904985, 0.0740461, 0.0628704, 0.0517193, 0.0394185, 0.0341903, 0.0251421, 0.0194131, 0.0160705, 0.0108278, 0.00910766, 0.00705019, 0.00498676, 0.00240739, 0.000677386, 0.000131555" \
             );
           }
           vector (ccs_template) {
@@ -2028,7 +2028,7 @@
             index_2 ("0.00501881");
             index_3 ("8.319, 8.33727, 8.4567, 8.49464, 8.51718, 8.54724, 8.56025, 8.58627, 8.63858, 8.6544, 8.70409, 8.72426, 8.7535, 8.78075, 8.79901, 8.80698, 8.81995, 8.83342, 8.8794, 8.90448, 8.92787, 8.93918, 8.95832, 8.97105, 8.98544, 9.00145, 9.02198, 9.05084, 9.09535, 9.27678");
             values ( \
-              "0.000140259, 0.000195827, 0.00127564, 0.00247307, 0.0035473, 0.00573289, 0.00713931, 0.0109377, 0.0193553, 0.0216092, 0.027642, 0.029701, 0.0322124, 0.0340065, 0.0347586, 0.0349127, 0.034585, 0.0325857, 0.0159415, 0.00915481, 0.00512131, 0.00384189, 0.00229004, 0.00158697, 0.0010331, 0.000632817, 0.000342634, 0.000166428, 0.00011227, 5.8598e-05" \
+              "0.000140259, 0.000195827, 0.00127564, 0.00247307, 0.0035473, 0.00573289, 0.00713931, 0.0109377, 0.0193553, 0.0216092, 0.027642, 0.029701, 0.0322124, 0.0340065, 0.0347586, 0.0349127, 0.034585, 0.0325857, 0.0159415, 0.00915481, 0.00512131, 0.00384189, 0.00229004, 0.00158697, 0.0010331, 0.000632817, 0.000342634, 0.000166428, 0.00011227, 5.85981e-05" \
             );
           }
           vector (ccs_template) {
@@ -2250,7 +2250,7 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.32001");
-            index_3 ("13.98, 16.0858, 19.4472, 22.2278, 24.4897, 25.9745, 27.3181, 28.1932, 29.8724, 31.516, 33.1578, 35.4975, 36.8123, 38.244, 39.288, 39.9121, 40.8506, 42.1019, 43.7811, 45.4604, 48.8188");
+            index_3 ("13.98, 16.0858, 19.4472, 22.2278, 24.4897, 25.9746, 27.3181, 28.1932, 29.8724, 31.516, 33.1578, 35.4975, 36.8123, 38.244, 39.288, 39.9121, 40.8506, 42.1019, 43.7811, 45.4604, 48.8188");
             values ( \
               "-0.0309919, -0.0322626, -0.0696045, -0.0974139, -0.11637, -0.126691, -0.134457, -0.138648, -0.144335, -0.145221, -0.131508, -0.0793716, -0.053519, -0.033097, -0.0227055, -0.0180394, -0.0126663, -0.00776224, -0.00392759, -0.0019598, -0.000477941" \
             );
@@ -2333,7 +2333,7 @@
             index_2 ("0.0202113");
             index_3 ("3.09473, 3.15076, 3.15675, 3.16873, 3.17928, 3.22848, 3.24002, 3.26085, 3.2981, 3.30884, 3.32185, 3.34332, 3.35096, 3.37021, 3.39984, 3.41958, 3.43312, 3.44243, 3.45081, 3.455, 3.45919, 3.46629, 3.4734, 3.4805, 3.48761, 3.48897, 3.49305, 3.49576, 3.49848, 3.5012, 3.50392, 3.50968, 3.51543, 3.52119, 3.52695, 3.5334, 3.53986, 3.54632, 3.55278, 3.56297, 3.57639, 3.58194, 3.58749, 3.59465, 3.60571, 3.61351, 3.61959, 3.62566, 3.6385, 3.64993");
             values ( \
-              "-0.0194728, -0.0206196, -0.0222113, -0.025566, -0.0287999, -0.0452605, -0.0490285, -0.0557544, -0.0674178, -0.0706923, -0.074505, -0.080519, -0.0825935, -0.0874352, -0.0944503, -0.0988074, -0.101626, -0.10349, -0.104902, -0.105547, -0.10615, -0.107079, -0.10789, -0.108582, -0.109156, -0.109167, -0.109127, -0.108986, -0.10876, -0.108449, -0.108054, -0.106599, -0.104699, -0.102356, -0.0995679, -0.0957364, -0.0914631, -0.0867481, -0.0815913, -0.0725329, -0.0595772, -0.0547145, -0.0500701, -0.0444554, -0.0362948, -0.031156, -0.0278129, -0.0247345, -0.0192011, -0.0147598" \
+              "-0.0194728, -0.0206196, -0.0222113, -0.025566, -0.0287999, -0.0452605, -0.0490282, -0.0557548, -0.0674178, -0.0706923, -0.074505, -0.080519, -0.0825935, -0.0874351, -0.09445, -0.0988074, -0.101626, -0.10349, -0.104902, -0.105547, -0.10615, -0.107079, -0.10789, -0.108582, -0.109156, -0.109167, -0.109128, -0.108986, -0.10876, -0.108449, -0.108054, -0.106599, -0.104699, -0.102356, -0.0995678, -0.0957363, -0.0914631, -0.086748, -0.0815913, -0.0725329, -0.0595772, -0.0547145, -0.0500701, -0.0444554, -0.0362948, -0.031156, -0.0278129, -0.0247345, -0.0192011, -0.0147598" \
             );
           }
           vector (ccs_template) {
@@ -2455,8 +2455,8 @@
           values ( \
             "0.134589, 0.196006, 0.430872, 1.3609, 5.09058, 20.1047", \
             "0.143711, 0.205527, 0.44056, 1.37129, 5.10399, 20.1167", \
-            "0.181289, 0.243342, 0.479361, 1.41034, 5.13975, 20.1546", \
-            "0.280443, 0.364829, 0.633891, 1.56841, 5.29755, 20.3112", \
+            "0.181289, 0.243342, 0.479361, 1.41034, 5.13975, 20.1538", \
+            "0.280443, 0.364829, 0.633891, 1.56844, 5.29755, 20.3131", \
             "0.375153, 0.51791, 0.954415, 2.16206, 5.93657, 20.9468", \
             "0.216332, 0.457875, 1.20166, 3.19797, 8.26839, 23.5053" \
           );
@@ -2467,8 +2467,8 @@
           values ( \
             "0.0337648, 0.0668583, 0.19817, 0.71898, 2.81533, 11.2597", \
             "0.0337065, 0.0666995, 0.198006, 0.718368, 2.81585, 11.2584", \
-            "0.0336343, 0.0666052, 0.197726, 0.718371, 2.81414, 11.2597", \
-            "0.0442233, 0.0757702, 0.200078, 0.718226, 2.81407, 11.26", \
+            "0.0336343, 0.0666052, 0.197726, 0.718371, 2.81414, 11.2595", \
+            "0.0442233, 0.0757702, 0.200078, 0.718225, 2.81407, 11.2591", \
             "0.0724852, 0.114292, 0.244498, 0.735167, 2.81327, 11.2578", \
             "0.129384, 0.194364, 0.377781, 0.908087, 2.89092, 11.2585" \
           );
@@ -2655,9 +2655,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.32001");
-            index_3 ("0, 4.69668, 6.22248, 7.74829, 9.10548, 12.6918, 14.9755, 16.5013, 17.5579, 18.5872, 20.113, 21.3157, 22.0244, 23.4417, 29.5321, 30.9024, 33.6411, 36.8732, 40.2177, 42.6179");
+            index_3 ("8.60206, 10.4075, 12.2764, 13.5074, 14.9721, 16.4979, 17.5538, 18.5835, 20.1093, 20.6328, 21.3119, 22.0206, 23.438, 24.8849, 27.27, 29.458, 31.3049, 32.6054, 33.7769, 35.3027, 36.5865, 38.2948, 39.8206, 41.3464, 44.398, 50.5012");
             values ( \
-              "2.75346e-08, 0.000101015, 0.00164994, 0.00995462, 0.0262058, 0.0878898, 0.118704, 0.1316, 0.13696, 0.139733, 0.139906, 0.136634, 0.133009, 0.121435, 0.0512321, 0.0394548, 0.0225065, 0.011143, 0.00525969, 0.00346835" \
+              "0.0429351, 0.0480877, 0.0810624, 0.100423, 0.118722, 0.131604, 0.136958, 0.139744, 0.139902, 0.13887, 0.136643, 0.133004, 0.121443, 0.105122, 0.0756193, 0.0519105, 0.0363974, 0.027943, 0.021867, 0.0157096, 0.0118637, 0.00811696, 0.00573305, 0.00406586, 0.00202406, 0.000501796" \
             );
           }
           vector (ccs_template) {
@@ -2691,9 +2691,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0813932");
-            index_3 ("1.32207, 1.43166, 1.53294, 1.62, 1.69533, 1.74788, 1.78652, 1.83046, 1.86886, 1.92699, 1.98015, 2.0888, 2.19748, 2.2619, 2.31956, 2.59505, 2.67131, 2.72387, 2.7869, 2.86974, 2.9449, 3.04512, 3.1234, 3.20947, 3.32424, 3.55377, 3.86841, 4.25545");
+            index_3 ("1.31515, 1.41989, 1.54069, 1.61901, 1.69366, 1.74794, 1.78687, 1.83065, 1.86892, 1.92704, 1.98021, 2.08887, 2.19754, 2.26196, 2.31962, 2.59494, 2.67131, 2.72404, 2.78703, 2.86983, 2.94491, 3.04501, 3.12341, 3.20959, 3.3245, 3.55431, 3.86902, 4.25619");
             values ( \
-              "0.033531, 0.0408936, 0.0672858, 0.0884308, 0.103875, 0.113014, 0.118727, 0.124203, 0.128022, 0.132295, 0.134597, 0.135184, 0.129556, 0.122267, 0.11339, 0.0624222, 0.0504144, 0.0431488, 0.0355089, 0.0272308, 0.0212448, 0.0150729, 0.0115038, 0.00851153, 0.00562934, 0.00236995, 0.000639728, 0.000126583" \
+              "0.0311076, 0.0378532, 0.069252, 0.0881964, 0.103548, 0.113013, 0.118769, 0.124216, 0.128025, 0.132293, 0.134599, 0.135182, 0.129559, 0.122267, 0.11339, 0.0624507, 0.0504225, 0.0431343, 0.0355015, 0.0272281, 0.0212487, 0.0150821, 0.0115059, 0.0085098, 0.00562539, 0.00236515, 0.000638335, 0.000126093" \
             );
           }
           vector (ccs_template) {
@@ -2709,9 +2709,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32001");
-            index_3 ("9.20517, 10.9631, 13.0373, 14.4229, 15.5171, 17.0429, 18.0996, 19.1289, 20.6547, 21.1784, 21.8575, 22.5661, 23.9834, 25.4308, 27.8153, 30.0755, 31.436, 32.9618, 34.1932, 35.0715, 36.5973, 37.4233, 39.1953, 40.7211, 42.2469, 45.2985, 51.4018");
+            index_3 ("9.1482, 10.897, 13.1598, 14.349, 15.5196, 17.0454, 18.1009, 19.1308, 20.6566, 21.1799, 21.859, 22.5678, 23.9853, 25.4319, 27.8173, 30.0773, 31.4367, 32.9625, 34.196, 35.0758, 36.6016, 37.4258, 39.1927, 40.7185, 42.2443, 45.2959, 51.3991");
             values ( \
-              "0.045454, 0.0482713, 0.0846639, 0.105573, 0.118694, 0.131587, 0.13695, 0.139733, 0.139899, 0.138867, 0.136637, 0.133004, 0.12144, 0.105115, 0.0756188, 0.0512205, 0.0395128, 0.0290342, 0.0224623, 0.0186151, 0.0133466, 0.0111171, 0.00750163, 0.00530039, 0.00375183, 0.00186506, 0.000459713" \
+              "0.0431305, 0.0471097, 0.086685, 0.10458, 0.118732, 0.131615, 0.136966, 0.139746, 0.139908, 0.138876, 0.136642, 0.133009, 0.12144, 0.105121, 0.0756138, 0.051218, 0.0395207, 0.0290387, 0.0224559, 0.0186052, 0.0133377, 0.0111158, 0.00750771, 0.00530651, 0.00375439, 0.00186581, 0.000459093" \
             );
           }
           vector (ccs_template) {
@@ -2727,9 +2727,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00501881");
-            index_3 ("2.40681, 2.44689, 2.45414, 2.46864, 2.47855, 2.51702, 2.53718, 2.57019, 2.58725, 2.60035, 2.61625, 2.6241, 2.63375, 2.65014, 2.67486, 2.69695, 2.70548, 2.71687, 2.72553, 2.73933, 2.7503, 2.76138, 2.77322, 2.78701, 2.80148");
+            index_3 ("2.40681, 2.44689, 2.45414, 2.46864, 2.47855, 2.51702, 2.53718, 2.57019, 2.58725, 2.60035, 2.61625, 2.6241, 2.63375, 2.65014, 2.67486, 2.69695, 2.70548, 2.71687, 2.72553, 2.73934, 2.7503, 2.76138, 2.77323, 2.78701, 2.80148");
             values ( \
-              "0.00365257, 0.00537112, 0.00650639, 0.00968093, 0.0126207, 0.0264795, 0.0332434, 0.0436355, 0.0483758, 0.0515295, 0.054464, 0.0552837, 0.0550459, 0.0474324, 0.0275044, 0.0149433, 0.0115144, 0.00806962, 0.00609322, 0.00392338, 0.00277228, 0.00189052, 0.00131233, 0.000824666, 0.000576088" \
+              "0.00365257, 0.00537112, 0.00650639, 0.00968093, 0.0126207, 0.0264795, 0.0332434, 0.0436355, 0.0483758, 0.0515295, 0.0544639, 0.0552839, 0.0550458, 0.0474324, 0.0275045, 0.0149432, 0.0115144, 0.00806969, 0.00609327, 0.00392331, 0.00277223, 0.00189047, 0.0013123, 0.000824643, 0.000576072" \
             );
           }
           vector (ccs_template) {
@@ -2792,7 +2792,7 @@
             index_2 ("0.0202113");
             index_3 ("8.91936, 9.06145, 9.10755, 9.15726, 9.29651, 9.44364, 9.48456, 9.54403, 9.57718, 9.61542, 9.64425, 9.67093, 9.70057, 9.77698, 9.82398, 9.86254, 9.88388, 9.91348, 9.94822, 9.99454, 10.081, 10.1809");
             values ( \
-              "0.00610194, 0.00906047, 0.0130956, 0.0190436, 0.0365746, 0.0534773, 0.0577248, 0.0633206, 0.0660482, 0.068223, 0.0682152, 0.0655994, 0.0590458, 0.0361826, 0.0238975, 0.016255, 0.0129606, 0.00931958, 0.00626334, 0.00360447, 0.00115902, 0.000327185" \
+              "0.00610194, 0.00906047, 0.0130956, 0.0190436, 0.0365746, 0.0534773, 0.0577248, 0.0633205, 0.0660481, 0.068223, 0.0682152, 0.0655994, 0.0590458, 0.0361826, 0.0238975, 0.0162551, 0.0129606, 0.00931959, 0.00626333, 0.00360446, 0.00115902, 0.000327184" \
             );
           }
           vector (ccs_template) {
@@ -2829,8 +2829,8 @@
           values ( \
             "0.00809052, 0.00813375, 0.0081876, 0.00821738, 0.00822712, 0.00822973", \
             "0.00950396, 0.00956295, 0.00965917, 0.00972661, 0.00975109, 0.00975787", \
-            "0.0101334, 0.0101584, 0.0102217, 0.0102861, 0.0103156, 0.0103242", \
-            "0.0106, 0.0105687, 0.0105261, 0.0105133, 0.0105195, 0.0105231", \
+            "0.0101334, 0.0101584, 0.0102217, 0.0102861, 0.0103156, 0.0103236", \
+            "0.0106, 0.0105687, 0.0105261, 0.0105132, 0.0105195, 0.010523", \
             "0.0109852, 0.0109359, 0.0108253, 0.0106789, 0.0106026, 0.0105813", \
             "0.0113966, 0.0113189, 0.0111438, 0.010935, 0.0107284, 0.0106277" \
           );
@@ -2841,8 +2841,8 @@
           values ( \
             "0.0101789, 0.0102128, 0.0102652, 0.0102976, 0.0103088, 0.0103119", \
             "0.0103415, 0.0102971, 0.0102338, 0.0101954, 0.0101823, 0.0101786", \
-            "0.0105333, 0.0103967, 0.0101656, 0.00999118, 0.00992087, 0.00990236", \
-            "0.0105662, 0.0108386, 0.0105086, 0.0100391, 0.0098501, 0.0097911", \
+            "0.0105333, 0.0103967, 0.0101656, 0.00999118, 0.00992087, 0.0099046", \
+            "0.0105662, 0.0108386, 0.0105086, 0.010032, 0.0098501, 0.00978649", \
             "0.00930758, 0.00939049, 0.0095362, 0.0105605, 0.0100094, 0.00980501", \
             "0.00855428, 0.00869735, 0.00902375, 0.00939737, 0.0103731, 0.0100241" \
           );
@@ -2971,7 +2971,7 @@
             index_2 ("0.00501881");
             index_3 ("0.348451, 0.389634, 0.407739, 0.422518, 0.435634, 0.459246, 0.470406, 0.481556, 0.510356, 0.520169, 0.5307, 0.53985, 0.551303, 0.552405");
             values ( \
-              "-0.00049876, -0.0432126, -0.0568495, -0.0657168, -0.0722247, -0.0805079, -0.0816173, -0.0772191, -0.0235667, -0.012905, -0.00644845, -0.00337436, -0.00144146, -0.00136821" \
+              "-0.000498761, -0.0432126, -0.0568495, -0.0657168, -0.0722247, -0.0805079, -0.0816173, -0.0772191, -0.0235667, -0.012905, -0.00644845, -0.00337436, -0.00144146, -0.00136821" \
             );
           }
           vector (ccs_template) {
@@ -3023,9 +3023,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00501881");
-            index_3 ("0.871381, 0.895172, 0.90111, 0.910633, 0.925586, 0.945963, 0.961841, 0.97447, 0.983199, 0.988486, 1.00065, 1.00383, 1.01019, 1.01241, 1.01686, 1.02436, 1.03343, 1.04724, 1.05304, 1.06142, 1.06689, 1.07531, 1.08652, 1.09965, 1.10097");
+            index_3 ("0.871381, 0.895172, 0.90111, 0.910633, 0.925586, 0.945963, 0.961841, 0.97447, 0.983199, 0.988486, 1.00065, 1.00383, 1.01019, 1.01241, 1.01685, 1.02436, 1.03343, 1.04724, 1.05304, 1.06142, 1.06689, 1.07531, 1.08652, 1.09965, 1.10097");
             values ( \
-              "-0.00270223, -0.0124961, -0.0159216, -0.0234367, -0.0368264, -0.0519046, -0.0616893, -0.0678605, -0.0712326, -0.0729664, -0.0759641, -0.0764947, -0.0771192, -0.0771149, -0.0766684, -0.0728317, -0.0590275, -0.0333317, -0.0245537, -0.0150822, -0.0107555, -0.00622548, -0.00283671, -0.00110364, -0.00104111" \
+              "-0.00270223, -0.0124961, -0.0159216, -0.0234367, -0.0368264, -0.0519046, -0.0616893, -0.0678605, -0.0712327, -0.0729664, -0.0759641, -0.0764947, -0.0771192, -0.0771149, -0.0766686, -0.0728317, -0.0590275, -0.0333318, -0.0245537, -0.0150821, -0.0107555, -0.00622551, -0.00283675, -0.00110366, -0.00104109" \
             );
           }
           vector (ccs_template) {
@@ -3235,8 +3235,8 @@
             "0.1811, 0.26034, 0.562968, 1.75684, 6.55078, 25.8409", \
             "0.188836, 0.268683, 0.572391, 1.76706, 6.55992, 25.8523", \
             "0.229224, 0.308646, 0.61318, 1.80902, 6.60433, 25.8964", \
-            "0.385743, 0.480181, 0.791776, 1.98522, 6.77962, 26.0727", \
-            "0.714948, 0.87209, 1.36314, 2.71781, 7.49615, 26.7822", \
+            "0.385743, 0.48048, 0.791776, 1.98567, 6.77962, 26.0718", \
+            "0.714948, 0.87209, 1.36314, 2.71817, 7.49615, 26.7822", \
             "1.53844, 1.78148, 2.55889, 4.76591, 10.4346, 29.6608" \
           );
         }
@@ -3247,8 +3247,8 @@
             "0.0365739, 0.0691246, 0.1926, 0.676026, 2.62517, 10.4693", \
             "0.0365355, 0.0691016, 0.192641, 0.676393, 2.62641, 10.4689", \
             "0.0364533, 0.0691312, 0.192497, 0.67691, 2.62558, 10.4692", \
-            "0.0460769, 0.0767504, 0.193208, 0.676514, 2.62642, 10.4689", \
-            "0.0783691, 0.120071, 0.243853, 0.67944, 2.62562, 10.4698", \
+            "0.0460769, 0.0764902, 0.193208, 0.676183, 2.62642, 10.4704", \
+            "0.0783691, 0.120071, 0.243853, 0.679513, 2.62562, 10.4698", \
             "0.143395, 0.200871, 0.376404, 0.896384, 2.64589, 10.4701" \
           );
         }
@@ -3349,7 +3349,7 @@
             index_2 ("0.32778");
             index_3 ("2.17408, 2.61275, 3.11, 3.36918, 3.58646, 3.79037, 4.19818, 4.2613, 4.38753, 4.64, 4.80777, 5.03147, 5.11951, 5.29559, 5.55397, 5.98545, 6.02947, 7.05076, 7.48297, 7.65507, 7.99926, 8.28722, 8.64919, 9.06285, 9.32642, 9.71432, 10.1655, 11.0388, 12.3171, 13.8344");
             values ( \
-              "0.0395902, 0.0456026, 0.0799952, 0.0963252, 0.108175, 0.117579, 0.131081, 0.132573, 0.135022, 0.138285, 0.139234, 0.139109, 0.138662, 0.136932, 0.132241, 0.117637, 0.115742, 0.0666195, 0.0489496, 0.0429637, 0.0326747, 0.0257778, 0.0189642, 0.0132106, 0.0104699, 0.00740529, 0.00489661, 0.00212786, 0.00054666, 0.000108402" \
+              "0.0395902, 0.0456026, 0.0799952, 0.0963252, 0.108175, 0.117579, 0.131081, 0.132573, 0.135022, 0.138285, 0.139234, 0.139109, 0.138662, 0.136932, 0.132241, 0.117637, 0.115742, 0.0666195, 0.0489496, 0.0429637, 0.0326747, 0.0257778, 0.0189642, 0.0132106, 0.0104699, 0.00740529, 0.0048966, 0.00212786, 0.000546659, 0.000108402" \
             );
           }
           vector (ccs_template) {
@@ -3385,7 +3385,7 @@
             index_2 ("0.0202113");
             index_3 ("0.373756, 0.399719, 0.454346, 0.480977, 0.495866, 0.525644, 0.538367, 0.555079, 0.560934, 0.572644, 0.586656, 0.59979, 0.617303, 0.629886, 0.646663, 0.654464, 0.670067, 0.713288, 0.738264, 0.754919, 0.772761, 0.796551, 0.816596, 0.827755, 0.846399, 0.872409, 0.882814, 0.903625, 0.945246, 1.00457, 1.08139, 1.18599");
             values ( \
-              "0.024237, 0.0318599, 0.0742484, 0.0918166, 0.0999234, 0.112331, 0.115959, 0.119274, 0.120102, 0.12106, 0.121235, 0.120361, 0.117641, 0.114194, 0.107284, 0.103112, 0.0938434, 0.0663675, 0.052021, 0.0437391, 0.0359497, 0.0273239, 0.0214694, 0.0187391, 0.0148365, 0.0106499, 0.00930835, 0.00710906, 0.0040627, 0.00178142, 0.000574651, 0.000108878" \
+              "0.024237, 0.0318599, 0.0742484, 0.0918166, 0.0999234, 0.112331, 0.115959, 0.119274, 0.120102, 0.12106, 0.121235, 0.120361, 0.117641, 0.114194, 0.107284, 0.103112, 0.0938434, 0.0663675, 0.052021, 0.0437391, 0.0359497, 0.0273239, 0.0214694, 0.0187391, 0.0148365, 0.0106499, 0.00930835, 0.00710906, 0.00406269, 0.00178142, 0.000574651, 0.000108878" \
             );
           }
           vector (ccs_template) {
@@ -3475,7 +3475,7 @@
             index_2 ("0.00124625");
             index_3 ("2.24209, 2.27957, 2.28697, 2.29543, 2.30023, 2.32986, 2.35115, 2.36399, 2.37569, 2.38667, 2.39736, 2.40803, 2.42007, 2.43393, 2.44558, 2.45423, 2.46165, 2.46641, 2.47595, 2.48314, 2.49328, 2.52294, 2.53578, 2.57605, 2.58247");
             values ( \
-              "0.00034693, 0.00204845, 0.00279156, 0.00392393, 0.00485798, 0.0122205, 0.016454, 0.0184772, 0.0199257, 0.0208864, 0.02117, 0.0194071, 0.0120156, 0.00644157, 0.00361488, 0.00226237, 0.00150635, 0.00117398, 0.000709331, 0.000503409, 0.000361331, 0.000131417, 0.000188675, 6.549e-05, 6.31163e-05" \
+              "0.00034693, 0.00204845, 0.00279156, 0.00392393, 0.00485798, 0.0122205, 0.016454, 0.0184772, 0.0199257, 0.0208864, 0.02117, 0.0194071, 0.0120156, 0.00644157, 0.00361488, 0.00226237, 0.00150635, 0.00117398, 0.000709331, 0.000503409, 0.000361331, 0.000131417, 0.000188675, 6.549e-05, 6.31164e-05" \
             );
           }
           vector (ccs_template) {
@@ -3484,7 +3484,7 @@
             index_2 ("0.00501881");
             index_3 ("2.34603, 2.37581, 2.38269, 2.40343, 2.45847, 2.50166, 2.51943, 2.53597, 2.55213, 2.56825, 2.58793, 2.60972, 2.6268, 2.63908, 2.66104, 2.69669, 2.71955");
             values ( \
-              "0.00574321, 0.00639957, 0.00778113, 0.0140236, 0.0347436, 0.0485926, 0.053111, 0.0559947, 0.0560737, 0.0478003, 0.0312868, 0.017465, 0.0103212, 0.00693379, 0.00345867, 0.00113042, 0.000709552" \
+              "0.0057432, 0.00639957, 0.00778113, 0.0140236, 0.0347436, 0.0485926, 0.053111, 0.0559947, 0.0560737, 0.0478003, 0.0312868, 0.017465, 0.0103212, 0.00693379, 0.00345867, 0.00113042, 0.000709552" \
             );
           }
           vector (ccs_template) {
@@ -3545,9 +3545,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0202113");
-            index_3 ("8.71815, 8.9055, 8.96467, 9.00287, 9.18689, 9.3049, 9.35499, 9.41292, 9.43798, 9.46416, 9.48912, 9.51463, 9.54355, 9.64489, 9.68396, 9.7227, 9.76565, 9.79049, 9.84015, 9.92683, 10.0277");
+            index_3 ("8.71815, 8.9055, 8.96467, 9.00287, 9.18689, 9.30488, 9.35499, 9.41292, 9.43798, 9.46416, 9.48912, 9.51463, 9.54355, 9.64489, 9.68396, 9.7227, 9.76565, 9.79049, 9.84015, 9.92683, 10.0277");
             values ( \
-              "0.000832181, 0.00693815, 0.0119347, 0.0165853, 0.0425908, 0.0577085, 0.0633081, 0.068655, 0.0704241, 0.0715902, 0.0711239, 0.0679072, 0.0606306, 0.0291119, 0.0199594, 0.0133053, 0.00822703, 0.00615628, 0.00339101, 0.00107149, 0.000299783" \
+              "0.000832179, 0.00693815, 0.0119347, 0.0165853, 0.0425908, 0.0577072, 0.0633084, 0.068655, 0.0704241, 0.0715902, 0.0711239, 0.0679072, 0.0606306, 0.0291116, 0.0199594, 0.0133054, 0.00822702, 0.00615624, 0.00339092, 0.00107146, 0.000299769" \
             );
           }
           vector (ccs_template) {
@@ -3556,7 +3556,7 @@
             index_2 ("0.0813932");
             index_3 ("9.92459, 10.2368, 10.3315, 10.4252, 10.6022, 10.9497, 11.0468, 11.1185, 11.1492, 11.2105, 11.2817, 11.4054, 11.438, 11.4754, 11.5424, 11.6633, 11.8202, 11.9072, 11.9697, 12.053, 12.1183, 12.1692, 12.237, 12.3511, 12.3902, 12.4779, 12.5782, 12.7788, 13.0914, 13.4689");
             values ( \
-              "0.00340202, 0.0153699, 0.0229979, 0.0322147, 0.0507515, 0.0891057, 0.0986295, 0.104719, 0.106984, 0.110717, 0.11373, 0.114607, 0.113533, 0.111393, 0.105087, 0.0878491, 0.0624065, 0.0496399, 0.0415688, 0.0323277, 0.0263391, 0.0223569, 0.0178568, 0.0121051, 0.0105732, 0.00780569, 0.00546271, 0.00260444, 0.000726087, 0.000146489" \
+              "0.00340202, 0.0153699, 0.0229979, 0.0322147, 0.0507515, 0.0891057, 0.0986295, 0.104719, 0.106984, 0.110717, 0.11373, 0.114607, 0.113533, 0.111393, 0.105087, 0.0878491, 0.0624065, 0.0496399, 0.0415688, 0.0323277, 0.0263391, 0.0223569, 0.0178568, 0.0121051, 0.0105732, 0.00780569, 0.0054627, 0.00260444, 0.000726087, 0.000146489" \
             );
           }
           vector (ccs_template) {
@@ -3778,9 +3778,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00501881");
-            index_3 ("0.849122, 0.89427, 0.901613, 0.91361, 0.919471, 0.95865, 0.975035, 0.988934, 1.00138, 1.01295, 1.0241, 1.03523, 1.06197, 1.0722, 1.08198, 1.08853");
+            index_3 ("0.888161, 0.90866, 0.912648, 0.914393, 0.917884, 0.920257, 0.925003, 0.933887, 0.941263, 0.952092, 0.958705, 0.962781, 0.966857, 0.975009, 0.978469, 0.98539, 0.98885, 0.991953, 0.998159, 1.00126, 1.00703, 1.01281, 1.01559, 1.01837, 1.02115, 1.02393, 1.02671, 1.02949, 1.03227, 1.03504, 1.0353, 1.03626, 1.03766, 1.03905, 1.04044, 1.04116, 1.0426, 1.04475, 1.04697, 1.05046, 1.0531, 1.05706, 1.05998, 1.06388, 1.06678, 1.06917, 1.0706, 1.07203, 1.07346, 1.07566");
             values ( \
-              "-0.00224869, -0.00671009, -0.00957902, -0.0155879, -0.0195017, -0.0493934, -0.0608043, -0.0693696, -0.0757606, -0.0801738, -0.0822421, -0.077736, -0.0262993, -0.0140407, -0.00737211, -0.00480091" \
+              "-0.0118973, -0.0134333, -0.0156059, -0.0166157, -0.0187429, -0.0203842, -0.0240257, -0.0311111, -0.0369032, -0.0451662, -0.0500723, -0.0530034, -0.0558755, -0.0614429, -0.0636771, -0.0679572, -0.0700031, -0.0716922, -0.0749473, -0.0765133, -0.079317, -0.0819785, -0.0818462, -0.0815932, -0.0812196, -0.0807252, -0.0801113, -0.079377, -0.0785225, -0.0775476, -0.0773315, -0.0760247, -0.0738489, -0.0714895, -0.0689465, -0.0657406, -0.0636152, -0.0597357, -0.0550215, -0.0471665, -0.0416055, -0.034064, -0.0290625, -0.0228949, -0.0193185, -0.0167079, -0.0152894, -0.013969, -0.0127467, -0.011045" \
             );
           }
           vector (ccs_template) {
@@ -3796,9 +3796,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0813932");
-            index_3 ("1.63675, 1.77138, 1.96589, 2.14015, 2.27665, 2.39583, 2.50512, 2.60869, 2.71023, 2.81165, 3.03544, 3.13421, 3.20363, 3.28052, 3.34206, 3.46513, 3.51666");
+            index_3 ("1.60347, 1.72537, 2.06656, 2.27609, 2.39521, 2.50445, 2.60798, 2.70949, 2.81089, 3.03455, 3.13354, 3.20288, 3.34105, 3.46387, 3.5417");
             values ( \
-              "-0.030546, -0.0349944, -0.0698887, -0.0979164, -0.11648, -0.129547, -0.138645, -0.144199, -0.144805, -0.130547, -0.0540143, -0.0317303, -0.0211928, -0.0133906, -0.00915073, -0.00409266, -0.00324262" \
+              "-0.0206078, -0.0274933, -0.0866982, -0.116573, -0.12962, -0.138703, -0.144244, -0.144839, -0.130568, -0.054048, -0.0317111, -0.0211892, -0.00916347, -0.00410595, -0.00281788" \
             );
           }
           vector (ccs_template) {
@@ -3814,9 +3814,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32001");
-            index_3 ("13.1728, 15.1127, 18.5069, 20.9569, 23.0549, 24.6592, 26.3129, 27.127, 28.1888, 29.7653, 31.3411, 33.4407, 34.8254, 35.8616, 37.5238, 38.6916, 39.6081, 41.2124, 44.4209, 46.0252");
+            index_3 ("13.2694, 15.2505, 18.2784, 20.9549, 23.0533, 24.6576, 26.3138, 27.1222, 28.1881, 29.7645, 31.3405, 34.6072, 35.3717, 36.6722, 37.6101, 38.7903, 40.3639, 41.9682, 45.1768");
             values ( \
-              "-0.0333952, -0.0345115, -0.0764663, -0.103323, -0.122494, -0.134395, -0.143911, -0.147449, -0.150694, -0.15098, -0.135532, -0.0853101, -0.0564152, -0.039595, -0.021579, -0.0138307, -0.00989938, -0.00495232, -0.0012026, -0.000815525" \
+              "-0.035689, -0.036153, -0.0737488, -0.103281, -0.122461, -0.134376, -0.143898, -0.147415, -0.150686, -0.150968, -0.135529, -0.0605027, -0.0468298, -0.0299213, -0.0209128, -0.0133, -0.00728294, -0.00355941, -0.000830446" \
             );
           }
           vector (ccs_template) {
@@ -3850,9 +3850,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0813932");
-            index_3 ("3.90968, 4.06175, 4.25557, 4.41007, 4.57031, 4.69015, 4.79987, 4.90373, 5.00549, 5.10714, 5.25331, 5.3319, 5.42891, 5.49897, 5.5769, 5.63945, 5.76454, 5.94745, 6.16965");
+            index_3 ("3.86891, 4.00008, 4.40959, 4.57055, 4.69035, 4.80007, 4.90394, 5.00571, 5.10736, 5.33215, 5.42911, 5.49919, 5.63972, 5.76487, 5.79214");
             values ( \
-              "-0.0283881, -0.0339004, -0.0681862, -0.0934537, -0.115711, -0.128984, -0.138181, -0.143856, -0.144524, -0.130393, -0.0783587, -0.0537467, -0.0318844, -0.0212225, -0.0133283, -0.00904946, -0.00398805, -0.0010503, -0.000192626" \
+              "-0.0203354, -0.024388, -0.093331, -0.115697, -0.128972, -0.138166, -0.143849, -0.144515, -0.130391, -0.0537395, -0.0318885, -0.0212233, -0.00904672, -0.00398531, -0.00354761" \
             );
           }
           vector (ccs_template) {
@@ -3935,8 +3935,8 @@
             "0.00766385, 0.00769877, 0.00774369, 0.0077698, 0.00777854, 0.00778091", \
             "0.00872157, 0.0087476, 0.00879461, 0.00883057, 0.00884445, 0.00884837", \
             "0.00920476, 0.0092072, 0.00921894, 0.00923822, 0.00924915, 0.00925266", \
-            "0.00944333, 0.00943717, 0.00942495, 0.00941647, 0.00941755, 0.00941844", \
-            "0.00958685, 0.00957924, 0.00956021, 0.00953256, 0.00951419, 0.00950893", \
+            "0.00944333, 0.00943676, 0.00942495, 0.00941694, 0.00941755, 0.00941886", \
+            "0.00958685, 0.00957924, 0.00956021, 0.00953286, 0.00951419, 0.00950893", \
             "0.00964706, 0.00964247, 0.00962843, 0.0095991, 0.00956397, 0.00954286" \
           );
         }
@@ -3947,8 +3947,8 @@
             "0.00978862, 0.00981764, 0.00987429, 0.00990956, 0.00992161, 0.00992488", \
             "0.010517, 0.0105107, 0.0105058, 0.0105044, 0.0105037, 0.0105035", \
             "0.0110812, 0.0110134, 0.0109104, 0.0108379, 0.0108038, 0.0107959", \
-            "0.0119273, 0.0117412, 0.0113712, 0.0111149, 0.0110163, 0.0109875", \
-            "0.0108182, 0.0106997, 0.0102149, 0.0114461, 0.0111017, 0.0110035", \
+            "0.0119273, 0.0117385, 0.0113712, 0.0111143, 0.0110163, 0.0109873", \
+            "0.0108182, 0.0106997, 0.0102149, 0.0114477, 0.0111017, 0.0110035", \
             "0.0105685, 0.0106106, 0.0107156, 0.00991709, 0.0114825, 0.0111083" \
           );
         }
@@ -3963,8 +3963,8 @@
           values ( \
             "0.134589, 0.196006, 0.430872, 1.3609, 5.09058, 20.1047", \
             "0.143711, 0.205527, 0.44056, 1.37129, 5.10399, 20.1167", \
-            "0.181289, 0.243342, 0.479361, 1.41034, 5.13975, 20.1546", \
-            "0.280443, 0.364829, 0.633891, 1.56841, 5.29755, 20.3112", \
+            "0.181289, 0.243342, 0.479361, 1.41034, 5.13975, 20.1538", \
+            "0.280443, 0.364829, 0.633891, 1.56844, 5.29755, 20.3131", \
             "0.375153, 0.51791, 0.954415, 2.16206, 5.93657, 20.9468", \
             "0.216332, 0.457875, 1.20166, 3.19797, 8.26839, 23.5053" \
           );
@@ -3975,8 +3975,8 @@
           values ( \
             "0.0337648, 0.0668583, 0.19817, 0.71898, 2.81533, 11.2597", \
             "0.0337065, 0.0666995, 0.198006, 0.718368, 2.81585, 11.2584", \
-            "0.0336343, 0.0666052, 0.197726, 0.718371, 2.81414, 11.2597", \
-            "0.0442233, 0.0757702, 0.200078, 0.718226, 2.81407, 11.26", \
+            "0.0336343, 0.0666052, 0.197726, 0.718371, 2.81414, 11.2595", \
+            "0.0442233, 0.0757702, 0.200078, 0.718225, 2.81407, 11.2591", \
             "0.0724852, 0.114292, 0.244498, 0.735167, 2.81327, 11.2578", \
             "0.129384, 0.194364, 0.377781, 0.908087, 2.89092, 11.2585" \
           );
@@ -4163,9 +4163,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.32001");
-            index_3 ("0, 4.69668, 6.22248, 7.74829, 9.10548, 12.6918, 14.9755, 16.5013, 17.5579, 18.5872, 20.113, 21.3157, 22.0244, 23.4417, 29.5321, 30.9024, 33.6411, 36.8732, 40.2177, 42.6179");
+            index_3 ("8.60206, 10.4075, 12.2764, 13.5074, 14.9721, 16.4979, 17.5538, 18.5835, 20.1093, 20.6328, 21.3119, 22.0206, 23.438, 24.8849, 27.27, 29.458, 31.3049, 32.6054, 33.7769, 35.3027, 36.5865, 38.2948, 39.8206, 41.3464, 44.398, 50.5012");
             values ( \
-              "2.75346e-08, 0.000101015, 0.00164994, 0.00995462, 0.0262058, 0.0878898, 0.118704, 0.1316, 0.13696, 0.139733, 0.139906, 0.136634, 0.133009, 0.121435, 0.0512321, 0.0394548, 0.0225065, 0.011143, 0.00525969, 0.00346835" \
+              "0.0429351, 0.0480877, 0.0810624, 0.100423, 0.118722, 0.131604, 0.136958, 0.139744, 0.139902, 0.13887, 0.136643, 0.133004, 0.121443, 0.105122, 0.0756193, 0.0519105, 0.0363974, 0.027943, 0.021867, 0.0157096, 0.0118637, 0.00811696, 0.00573305, 0.00406586, 0.00202406, 0.000501796" \
             );
           }
           vector (ccs_template) {
@@ -4199,9 +4199,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0813932");
-            index_3 ("1.32207, 1.43166, 1.53294, 1.62, 1.69533, 1.74788, 1.78652, 1.83046, 1.86886, 1.92699, 1.98015, 2.0888, 2.19748, 2.2619, 2.31956, 2.59505, 2.67131, 2.72387, 2.7869, 2.86974, 2.9449, 3.04512, 3.1234, 3.20947, 3.32424, 3.55377, 3.86841, 4.25545");
+            index_3 ("1.31515, 1.41989, 1.54069, 1.61901, 1.69366, 1.74794, 1.78687, 1.83065, 1.86892, 1.92704, 1.98021, 2.08887, 2.19754, 2.26196, 2.31962, 2.59494, 2.67131, 2.72404, 2.78703, 2.86983, 2.94491, 3.04501, 3.12341, 3.20959, 3.3245, 3.55431, 3.86902, 4.25619");
             values ( \
-              "0.033531, 0.0408936, 0.0672858, 0.0884308, 0.103875, 0.113014, 0.118727, 0.124203, 0.128022, 0.132295, 0.134597, 0.135184, 0.129556, 0.122267, 0.11339, 0.0624222, 0.0504144, 0.0431488, 0.0355089, 0.0272308, 0.0212448, 0.0150729, 0.0115038, 0.00851153, 0.00562934, 0.00236995, 0.000639728, 0.000126583" \
+              "0.0311076, 0.0378532, 0.069252, 0.0881964, 0.103548, 0.113013, 0.118769, 0.124216, 0.128025, 0.132293, 0.134599, 0.135182, 0.129559, 0.122267, 0.11339, 0.0624507, 0.0504225, 0.0431343, 0.0355015, 0.0272281, 0.0212487, 0.0150821, 0.0115059, 0.0085098, 0.00562539, 0.00236515, 0.000638335, 0.000126093" \
             );
           }
           vector (ccs_template) {
@@ -4217,9 +4217,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32001");
-            index_3 ("9.20517, 10.9631, 13.0373, 14.4229, 15.5171, 17.0429, 18.0996, 19.1289, 20.6547, 21.1784, 21.8575, 22.5661, 23.9834, 25.4308, 27.8153, 30.0755, 31.436, 32.9618, 34.1932, 35.0715, 36.5973, 37.4233, 39.1953, 40.7211, 42.2469, 45.2985, 51.4018");
+            index_3 ("9.1482, 10.897, 13.1598, 14.349, 15.5196, 17.0454, 18.1009, 19.1308, 20.6566, 21.1799, 21.859, 22.5678, 23.9853, 25.4319, 27.8173, 30.0773, 31.4367, 32.9625, 34.196, 35.0758, 36.6016, 37.4258, 39.1927, 40.7185, 42.2443, 45.2959, 51.3991");
             values ( \
-              "0.045454, 0.0482713, 0.0846639, 0.105573, 0.118694, 0.131587, 0.13695, 0.139733, 0.139899, 0.138867, 0.136637, 0.133004, 0.12144, 0.105115, 0.0756188, 0.0512205, 0.0395128, 0.0290342, 0.0224623, 0.0186151, 0.0133466, 0.0111171, 0.00750163, 0.00530039, 0.00375183, 0.00186506, 0.000459713" \
+              "0.0431305, 0.0471097, 0.086685, 0.10458, 0.118732, 0.131615, 0.136966, 0.139746, 0.139908, 0.138876, 0.136642, 0.133009, 0.12144, 0.105121, 0.0756138, 0.051218, 0.0395207, 0.0290387, 0.0224559, 0.0186052, 0.0133377, 0.0111158, 0.00750771, 0.00530651, 0.00375439, 0.00186581, 0.000459093" \
             );
           }
           vector (ccs_template) {
@@ -4235,9 +4235,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00501881");
-            index_3 ("2.40681, 2.44689, 2.45414, 2.46864, 2.47855, 2.51702, 2.53718, 2.57019, 2.58725, 2.60035, 2.61625, 2.6241, 2.63375, 2.65014, 2.67486, 2.69695, 2.70548, 2.71687, 2.72553, 2.73933, 2.7503, 2.76138, 2.77322, 2.78701, 2.80148");
+            index_3 ("2.40681, 2.44689, 2.45414, 2.46864, 2.47855, 2.51702, 2.53718, 2.57019, 2.58725, 2.60035, 2.61625, 2.6241, 2.63375, 2.65014, 2.67486, 2.69695, 2.70548, 2.71687, 2.72553, 2.73934, 2.7503, 2.76138, 2.77323, 2.78701, 2.80148");
             values ( \
-              "0.00365257, 0.00537112, 0.00650639, 0.00968093, 0.0126207, 0.0264795, 0.0332434, 0.0436355, 0.0483758, 0.0515295, 0.054464, 0.0552837, 0.0550459, 0.0474324, 0.0275044, 0.0149433, 0.0115144, 0.00806962, 0.00609322, 0.00392338, 0.00277228, 0.00189052, 0.00131233, 0.000824666, 0.000576088" \
+              "0.00365257, 0.00537112, 0.00650639, 0.00968093, 0.0126207, 0.0264795, 0.0332434, 0.0436355, 0.0483758, 0.0515295, 0.0544639, 0.0552839, 0.0550458, 0.0474324, 0.0275045, 0.0149432, 0.0115144, 0.00806969, 0.00609327, 0.00392331, 0.00277223, 0.00189047, 0.0013123, 0.000824643, 0.000576072" \
             );
           }
           vector (ccs_template) {
@@ -4300,7 +4300,7 @@
             index_2 ("0.0202113");
             index_3 ("8.91936, 9.06145, 9.10755, 9.15726, 9.29651, 9.44364, 9.48456, 9.54403, 9.57718, 9.61542, 9.64425, 9.67093, 9.70057, 9.77698, 9.82398, 9.86254, 9.88388, 9.91348, 9.94822, 9.99454, 10.081, 10.1809");
             values ( \
-              "0.00610194, 0.00906047, 0.0130956, 0.0190436, 0.0365746, 0.0534773, 0.0577248, 0.0633206, 0.0660482, 0.068223, 0.0682152, 0.0655994, 0.0590458, 0.0361826, 0.0238975, 0.016255, 0.0129606, 0.00931958, 0.00626334, 0.00360447, 0.00115902, 0.000327185" \
+              "0.00610194, 0.00906047, 0.0130956, 0.0190436, 0.0365746, 0.0534773, 0.0577248, 0.0633205, 0.0660481, 0.068223, 0.0682152, 0.0655994, 0.0590458, 0.0361826, 0.0238975, 0.0162551, 0.0129606, 0.00931959, 0.00626333, 0.00360446, 0.00115902, 0.000327184" \
             );
           }
           vector (ccs_template) {
@@ -4337,8 +4337,8 @@
           values ( \
             "0.00809052, 0.00813375, 0.0081876, 0.00821738, 0.00822712, 0.00822973", \
             "0.00950396, 0.00956295, 0.00965917, 0.00972661, 0.00975109, 0.00975787", \
-            "0.0101334, 0.0101584, 0.0102217, 0.0102861, 0.0103156, 0.0103242", \
-            "0.0106, 0.0105687, 0.0105261, 0.0105133, 0.0105195, 0.0105231", \
+            "0.0101334, 0.0101584, 0.0102217, 0.0102861, 0.0103156, 0.0103236", \
+            "0.0106, 0.0105687, 0.0105261, 0.0105132, 0.0105195, 0.010523", \
             "0.0109852, 0.0109359, 0.0108253, 0.0106789, 0.0106026, 0.0105813", \
             "0.0113966, 0.0113189, 0.0111438, 0.010935, 0.0107284, 0.0106277" \
           );
@@ -4349,8 +4349,8 @@
           values ( \
             "0.0101789, 0.0102128, 0.0102652, 0.0102976, 0.0103088, 0.0103119", \
             "0.0103415, 0.0102971, 0.0102338, 0.0101954, 0.0101823, 0.0101786", \
-            "0.0105333, 0.0103967, 0.0101656, 0.00999118, 0.00992087, 0.00990236", \
-            "0.0105662, 0.0108386, 0.0105086, 0.0100391, 0.0098501, 0.0097911", \
+            "0.0105333, 0.0103967, 0.0101656, 0.00999118, 0.00992087, 0.0099046", \
+            "0.0105662, 0.0108386, 0.0105086, 0.010032, 0.0098501, 0.00978649", \
             "0.00930758, 0.00939049, 0.0095362, 0.0105605, 0.0100094, 0.00980501", \
             "0.00855428, 0.00869735, 0.00902375, 0.00939737, 0.0103731, 0.0100241" \
           );
@@ -4479,7 +4479,7 @@
             index_2 ("0.00501881");
             index_3 ("0.348451, 0.389634, 0.407739, 0.422518, 0.435634, 0.459246, 0.470406, 0.481556, 0.510356, 0.520169, 0.5307, 0.53985, 0.551303, 0.552405");
             values ( \
-              "-0.00049876, -0.0432126, -0.0568495, -0.0657168, -0.0722247, -0.0805079, -0.0816173, -0.0772191, -0.0235667, -0.012905, -0.00644845, -0.00337436, -0.00144146, -0.00136821" \
+              "-0.000498761, -0.0432126, -0.0568495, -0.0657168, -0.0722247, -0.0805079, -0.0816173, -0.0772191, -0.0235667, -0.012905, -0.00644845, -0.00337436, -0.00144146, -0.00136821" \
             );
           }
           vector (ccs_template) {
@@ -4531,9 +4531,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00501881");
-            index_3 ("0.871381, 0.895172, 0.90111, 0.910633, 0.925586, 0.945963, 0.961841, 0.97447, 0.983199, 0.988486, 1.00065, 1.00383, 1.01019, 1.01241, 1.01686, 1.02436, 1.03343, 1.04724, 1.05304, 1.06142, 1.06689, 1.07531, 1.08652, 1.09965, 1.10097");
+            index_3 ("0.871381, 0.895172, 0.90111, 0.910633, 0.925586, 0.945963, 0.961841, 0.97447, 0.983199, 0.988486, 1.00065, 1.00383, 1.01019, 1.01241, 1.01685, 1.02436, 1.03343, 1.04724, 1.05304, 1.06142, 1.06689, 1.07531, 1.08652, 1.09965, 1.10097");
             values ( \
-              "-0.00270223, -0.0124961, -0.0159216, -0.0234367, -0.0368264, -0.0519046, -0.0616893, -0.0678605, -0.0712326, -0.0729664, -0.0759641, -0.0764947, -0.0771192, -0.0771149, -0.0766684, -0.0728317, -0.0590275, -0.0333317, -0.0245537, -0.0150822, -0.0107555, -0.00622548, -0.00283671, -0.00110364, -0.00104111" \
+              "-0.00270223, -0.0124961, -0.0159216, -0.0234367, -0.0368264, -0.0519046, -0.0616893, -0.0678605, -0.0712327, -0.0729664, -0.0759641, -0.0764947, -0.0771192, -0.0771149, -0.0766686, -0.0728317, -0.0590275, -0.0333318, -0.0245537, -0.0150821, -0.0107555, -0.00622551, -0.00283675, -0.00110366, -0.00104109" \
             );
           }
           vector (ccs_template) {
@@ -4718,7 +4718,7 @@
           values ( \
             "0.13007, 0.194625, 0.441558, 1.42254, 5.35979, 21.2009", \
             "0.139509, 0.204246, 0.451477, 1.43273, 5.36776, 21.2129", \
-            "0.178149, 0.243115, 0.490837, 1.47275, 5.41113, 21.254", \
+            "0.178149, 0.24322, 0.490837, 1.47275, 5.41113, 21.2528", \
             "0.277165, 0.365972, 0.647486, 1.63214, 5.56496, 21.4103", \
             "0.369349, 0.520316, 0.976841, 2.23739, 6.21012, 22.047", \
             "0.197705, 0.453026, 1.23382, 3.31579, 8.59633, 24.6224" \
@@ -4730,7 +4730,7 @@
           values ( \
             "0.0350112, 0.0692337, 0.20342, 0.737747, 2.88788, 11.5408", \
             "0.0349525, 0.0691868, 0.203435, 0.73769, 2.88576, 11.5396", \
-            "0.0350698, 0.069006, 0.203266, 0.737398, 2.88806, 11.5386", \
+            "0.0350698, 0.0690301, 0.203266, 0.737398, 2.88806, 11.5393", \
             "0.0460591, 0.0783734, 0.205356, 0.737356, 2.88658, 11.5398", \
             "0.076188, 0.118717, 0.250536, 0.752255, 2.88587, 11.5397", \
             "0.141877, 0.20778, 0.393077, 0.932678, 2.95522, 11.5376" \
@@ -4743,7 +4743,7 @@
             "0.162437, 0.246144, 0.560472, 1.79128, 6.72044, 26.5691", \
             "0.171017, 0.255247, 0.570115, 1.80199, 6.73197, 26.5773", \
             "0.212864, 0.296668, 0.611331, 1.84366, 6.77708, 26.6223", \
-            "0.361565, 0.465085, 0.789775, 2.01831, 6.94937, 26.7969", \
+            "0.36149, 0.465085, 0.789775, 2.01831, 6.94937, 26.7969", \
             "0.668951, 0.837689, 1.35389, 2.748, 7.66249, 27.5015", \
             "1.42434, 1.6874, 2.51126, 4.78686, 10.5835, 30.3591" \
           );
@@ -4755,7 +4755,7 @@
             "0.040362, 0.0765419, 0.203433, 0.694135, 2.67156, 10.6233", \
             "0.040318, 0.076442, 0.203628, 0.694055, 2.66874, 10.6182", \
             "0.0402633, 0.0762332, 0.20382, 0.693437, 2.66928, 10.623", \
-            "0.0521262, 0.0853885, 0.204108, 0.694092, 2.66951, 10.6241", \
+            "0.0521041, 0.0853885, 0.204108, 0.694092, 2.66951, 10.6241", \
             "0.0856123, 0.133023, 0.259996, 0.695546, 2.66896, 10.6242", \
             "0.159276, 0.22423, 0.40525, 0.919347, 2.6855, 10.6165" \
           );
@@ -4882,9 +4882,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00501881");
-            index_3 ("0.289234, 0.323716, 0.333512, 0.339062, 0.350162, 0.358535, 0.362618, 0.370784, 0.373212, 0.378069, 0.383662, 0.394186, 0.411651, 0.416426, 0.425071, 0.432568, 0.43759, 0.447222, 0.454306, 0.460814, 0.469997, 0.473818, 0.48146, 0.496744, 0.510917, 0.521394, 0.548497, 0.574815");
+            index_3 ("0.29119, 0.301965, 0.323866, 0.339263, 0.351697, 0.362847, 0.37344, 0.383944, 0.394442, 0.412045, 0.425929, 0.440967, 0.459878, 0.476046, 0.499102, 0.507437");
             values ( \
-              "0.00275305, 0.0498473, 0.0615474, 0.0674825, 0.0769545, 0.0820971, 0.0838769, 0.0861812, 0.0864434, 0.0865491, 0.0854772, 0.0783059, 0.0522955, 0.0457249, 0.0352723, 0.0278418, 0.0235475, 0.0168841, 0.0131235, 0.0103468, 0.00734036, 0.00635888, 0.00475368, 0.00262119, 0.00157558, 0.00111729, 0.00042581, 0.000155828" \
+              "0.00978691, 0.0195146, 0.0497441, 0.0676323, 0.0779146, 0.0840937, 0.0864889, 0.0856894, 0.0782295, 0.0520806, 0.0345925, 0.0211582, 0.0107954, 0.00589159, 0.00244791, 0.00203304" \
             );
           }
           vector (ccs_template) {
@@ -4918,9 +4918,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.32001");
-            index_3 ("0.0173543, 5.71563, 7.28751, 7.68048, 9.61849, 13.5159, 15.9066, 17.4785, 18.627, 19.656, 21.2153, 22.4723, 23.1818, 24.6007, 30.7423, 32.3856, 35.1071, 37.8807, 40.7494, 43.8287");
+            index_3 ("9.14072, 10.9362, 13.0395, 14.3945, 15.8828, 17.4547, 18.6042, 19.6326, 21.1919, 21.7653, 22.4493, 23.1586, 24.5773, 26.1003, 28.4261, 30.7192, 32.3625, 33.7748, 35.084, 36.6558, 37.8576, 39.1545, 40.7264, 42.2982, 45.442, 51.7295");
             values ( \
-              "1e-22, 0.000332334, 0.00343503, 0.00533882, 0.0232124, 0.083837, 0.113712, 0.126492, 0.132359, 0.135388, 0.136279, 0.133651, 0.130507, 0.119984, 0.0512137, 0.0374415, 0.0214203, 0.0117219, 0.00615755, 0.00351249" \
+              "0.0400774, 0.0432393, 0.0769593, 0.0964315, 0.113689, 0.126472, 0.132347, 0.135381, 0.136271, 0.135468, 0.133648, 0.130502, 0.119986, 0.103655, 0.0756585, 0.0512155, 0.0374393, 0.0281537, 0.0214222, 0.0152362, 0.0117237, 0.0088001, 0.00615568, 0.00432368, 0.00210876, 0.000501919" \
             );
           }
           vector (ccs_template) {
@@ -4929,7 +4929,7 @@
             index_2 ("0.00124625");
             index_3 ("0.727142, 0.739031, 0.743786, 0.782, 0.790104, 0.797412, 0.804244, 0.81098, 0.817703, 0.823472, 0.830218, 0.840149, 0.849085, 0.856037, 0.861967, 0.872344, 0.881181, 0.898699, 0.947083");
             values ( \
-              "0.00350879, 0.00404299, 0.00555584, 0.0258367, 0.0294337, 0.032069, 0.0335684, 0.0331631, 0.0270915, 0.019271, 0.0132451, 0.00735095, 0.00419873, 0.00266853, 0.00184734, 0.000970023, 0.000580641, 0.000271448, 9.87868e-05" \
+              "0.0035088, 0.00404299, 0.00555583, 0.0258367, 0.0294337, 0.032069, 0.0335684, 0.0331631, 0.0270915, 0.019271, 0.0132451, 0.00735095, 0.00419873, 0.00266854, 0.00184734, 0.000970023, 0.000580641, 0.000271448, 9.87868e-05" \
             );
           }
           vector (ccs_template) {
@@ -5046,7 +5046,7 @@
             index_2 ("0.00501881");
             index_3 ("8.33766, 8.48193, 8.50232, 8.52951, 8.55456, 8.57297, 8.64561, 8.6712, 8.71523, 8.7527, 8.78661, 8.81826, 8.84773, 8.85458, 8.86241, 8.87898, 8.89431, 8.93368, 8.95573, 8.98675, 9.00706, 9.02122, 9.0373, 9.05584, 9.07519");
             values ( \
-              "0.000345118, 0.00216063, 0.00276861, 0.00387302, 0.00540535, 0.00702482, 0.0156683, 0.0184586, 0.022632, 0.0255626, 0.0277283, 0.0292829, 0.0301772, 0.0302172, 0.0301354, 0.028874, 0.0253211, 0.013589, 0.00868233, 0.00432799, 0.00262296, 0.00180693, 0.00115061, 0.000671138, 0.000386856" \
+              "0.000345118, 0.00216063, 0.00276861, 0.00387302, 0.00540535, 0.00702483, 0.0156682, 0.0184586, 0.022632, 0.0255626, 0.0277283, 0.0292829, 0.0301772, 0.0302172, 0.0301354, 0.028874, 0.0253211, 0.013589, 0.00868233, 0.00432799, 0.00262296, 0.00180693, 0.00115061, 0.000671138, 0.000386855" \
             );
           }
           vector (ccs_template) {
@@ -5092,7 +5092,7 @@
           values ( \
             "0.00632804, 0.00635494, 0.00638882, 0.00640761, 0.00641376, 0.00641541", \
             "0.00730438, 0.00732804, 0.00736814, 0.00739633, 0.00740662, 0.00740947", \
-            "0.0077061, 0.00771039, 0.00772475, 0.0077421, 0.00775075, 0.00775334", \
+            "0.0077061, 0.00770916, 0.00772475, 0.0077421, 0.00775075, 0.00775229", \
             "0.00795227, 0.00793251, 0.00789898, 0.00787879, 0.00787447, 0.00787384", \
             "0.00812297, 0.00808909, 0.00802861, 0.00795778, 0.00791671, 0.00790421", \
             "0.00840477, 0.00832368, 0.00818512, 0.00806172, 0.00797405, 0.00792645" \
@@ -5104,7 +5104,7 @@
           values ( \
             "0.00784829, 0.00785777, 0.00787262, 0.00788138, 0.00788431, 0.0078851", \
             "0.00789771, 0.00785618, 0.00779696, 0.00775938, 0.00774628, 0.0077427", \
-            "0.00791023, 0.00781515, 0.0076695, 0.00756802, 0.00752827, 0.00751651", \
+            "0.00791023, 0.00781575, 0.0076695, 0.00756802, 0.00752827, 0.00751869", \
             "0.00866072, 0.00837649, 0.00789881, 0.00757184, 0.0074528, 0.00741864", \
             "0.00824693, 0.00830683, 0.00837007, 0.00800463, 0.00755106, 0.00742415", \
             "0.00759355, 0.00774472, 0.00804704, 0.00834459, 0.00803456, 0.00757397" \
@@ -5277,9 +5277,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.800075, 0.810634, 0.81611, 0.816983, 0.81873, 0.822222, 0.828527, 0.844986, 0.853464, 0.864319, 0.873677, 0.882202, 0.890198, 0.897919, 0.905631, 0.911386, 0.920642, 0.926448, 0.930938, 0.93362, 0.938984, 0.948254, 0.948791");
+            index_3 ("0.801193, 0.81217, 0.825284, 0.853024, 0.873193, 0.889731, 0.897452, 0.905165, 0.910922, 0.923752, 0.930475, 0.93854, 0.947686, 0.958562, 0.969392");
             values ( \
-              "-0.00207344, -0.00323746, -0.00401531, -0.00437688, -0.00465892, -0.00560242, -0.00756483, -0.0155181, -0.0187962, -0.0226224, -0.0252889, -0.0273523, -0.0287001, -0.0295072, -0.0288775, -0.0246439, -0.012342, -0.00687492, -0.00414082, -0.00301113, -0.00155966, -0.000463546, -0.00044931" \
+              "-0.00217264, -0.00326695, -0.00638226, -0.0189825, -0.0253991, -0.0288045, -0.0293956, -0.028974, -0.0245444, -0.00869495, -0.00414989, -0.00156545, -0.000462336, -0.000186579, -0.000173205" \
             );
           }
           vector (ccs_template) {
@@ -5443,7 +5443,7 @@
             "0.00627838, 0.0063014, 0.00632951, 0.00634492, 0.00634995, 0.0063513", \
             "0.00712075, 0.00713533, 0.00715967, 0.00717689, 0.00718335, 0.00718515", \
             "0.00746432, 0.00746421, 0.00746788, 0.0074752, 0.00747941, 0.00748078", \
-            "0.0076221, 0.00761677, 0.00761169, 0.00760545, 0.00760413, 0.00760397", \
+            "0.00762213, 0.00761677, 0.00761169, 0.00760545, 0.00760413, 0.00760397", \
             "0.00772745, 0.00772196, 0.00770832, 0.00769011, 0.00767836, 0.00767445", \
             "0.00777152, 0.00776779, 0.0077575, 0.00773856, 0.00771772, 0.00770564" \
           );
@@ -5455,7 +5455,7 @@
             "0.00788999, 0.00790607, 0.0079283, 0.00794092, 0.00794479, 0.0079458", \
             "0.00823769, 0.00822341, 0.00820728, 0.0081983, 0.0081959, 0.00819522", \
             "0.00857834, 0.00850584, 0.00841088, 0.00835303, 0.00833228, 0.00832647", \
-            "0.009235, 0.00909559, 0.0087992, 0.00860076, 0.00853127, 0.00851119", \
+            "0.00923625, 0.00909559, 0.0087992, 0.00860076, 0.00853127, 0.00851119", \
             "0.00866141, 0.00848664, 0.00798529, 0.00885422, 0.00861718, 0.00855055", \
             "0.00856725, 0.00857193, 0.00859076, 0.00776854, 0.00884189, 0.00859181" \
           );
@@ -5473,10 +5473,10 @@
           values ( \
             "0.113061, 0.174677, 0.409001, 1.33916, 5.06926, 20.0901", \
             "0.1223, 0.184109, 0.418825, 1.34914, 5.07937, 20.1004", \
-            "0.1604, 0.22266, 0.457693, 1.38823, 5.11881, 20.1397", \
+            "0.1604, 0.222618, 0.457693, 1.38831, 5.11873, 20.1397", \
             "0.243836, 0.334174, 0.61113, 1.54674, 5.27887, 20.2971", \
             "0.306112, 0.458489, 0.911656, 2.13588, 5.91507, 20.9288", \
-            "0.0628699, 0.321464, 1.10297, 3.13899, 8.23732, 23.4834" \
+            "0.0628699, 0.321472, 1.10297, 3.13899, 8.23732, 23.4834" \
           );
         }
         rise_transition (delay_template) {
@@ -5485,10 +5485,10 @@
           values ( \
             "0.0346694, 0.0676374, 0.198666, 0.71986, 2.81457, 11.2576", \
             "0.034667, 0.0675664, 0.198691, 0.719858, 2.81524, 11.2566", \
-            "0.0351341, 0.0677005, 0.198656, 0.719514, 2.81524, 11.2556", \
+            "0.0351341, 0.0676581, 0.198656, 0.719596, 2.81593, 11.2556", \
             "0.0501356, 0.0801169, 0.201664, 0.719816, 2.81711, 11.2561", \
             "0.0830272, 0.124974, 0.251481, 0.737489, 2.816, 11.2596", \
-            "0.152958, 0.218891, 0.400986, 0.918533, 2.89417, 11.2597" \
+            "0.152958, 0.2189, 0.400986, 0.918533, 2.89417, 11.2597" \
           );
         }
         cell_fall (delay_template) {
@@ -5498,7 +5498,7 @@
             "0.181495, 0.267422, 0.595251, 1.88905, 7.08373, 27.9908", \
             "0.189912, 0.276395, 0.605145, 1.89965, 7.09178, 28.0032", \
             "0.231353, 0.31741, 0.646417, 1.94236, 7.13758, 28.0456", \
-            "0.389952, 0.491245, 0.823939, 2.11595, 7.31147, 28.2178", \
+            "0.389791, 0.491245, 0.823939, 2.11595, 7.31147, 28.2178", \
             "0.724215, 0.890805, 1.40922, 2.84624, 8.02505, 28.9247", \
             "1.54208, 1.80049, 2.62315, 4.95791, 10.9559, 31.7831" \
           );
@@ -5510,7 +5510,7 @@
             "0.0393327, 0.0737469, 0.202841, 0.708779, 2.74748, 10.9344", \
             "0.0394035, 0.0737296, 0.202537, 0.708993, 2.74774, 10.9554", \
             "0.0393048, 0.0737175, 0.202816, 0.708494, 2.7476, 10.9533", \
-            "0.0487315, 0.079932, 0.203443, 0.708668, 2.74829, 10.9554", \
+            "0.048973, 0.079932, 0.203443, 0.708668, 2.74829, 10.9554", \
             "0.0817721, 0.124271, 0.25177, 0.712795, 2.74781, 10.9541", \
             "0.150608, 0.208755, 0.387403, 0.921773, 2.758, 10.955" \
           );
@@ -5637,9 +5637,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00501881");
-            index_3 ("0.274169, 0.285449, 0.304122, 0.319221, 0.331407, 0.342331, 0.352694, 0.362971, 0.373242, 0.390449, 0.404495, 0.422298, 0.43765, 0.447346, 0.457518, 0.480798, 0.496907");
+            index_3 ("0.275759, 0.284193, 0.313711, 0.319146, 0.330015, 0.333941, 0.342226, 0.350177, 0.357405, 0.362838, 0.37312, 0.395024, 0.410271, 0.422255, 0.428027, 0.437343, 0.447174, 0.457569, 0.465662, 0.481101, 0.507164, 0.510015");
             values ( \
-              "0.0123018, 0.0243272, 0.0504416, 0.0687238, 0.079606, 0.0858569, 0.0885182, 0.0874576, 0.0796986, 0.0530157, 0.0348339, 0.0192228, 0.011019, 0.00762143, 0.00513887, 0.00203217, 0.00130757" \
+              "0.0200535, 0.0228489, 0.0626331, 0.0686524, 0.0785886, 0.0813566, 0.0857724, 0.0881106, 0.0884725, 0.0873366, 0.0796754, 0.0463562, 0.0288832, 0.0191747, 0.0156181, 0.011101, 0.00763936, 0.00510703, 0.00371277, 0.00199999, 0.000847364, 0.000805063" \
             );
           }
           vector (ccs_template) {
@@ -5655,18 +5655,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0813932");
-            index_3 ("0.768139, 0.921542, 1.02925, 1.08235, 1.12594, 1.16458, 1.20042, 1.2359, 1.28354, 1.32682, 1.38452, 1.40959, 1.45973, 1.51843, 1.62689, 1.66261, 1.70071, 1.74946, 1.84697, 1.98277, 2.08165, 2.11215, 2.15595, 2.206, 2.29774, 2.32459, 2.37829, 2.48568, 2.5566, 2.63574, 2.74125, 2.95227, 3.26808, 3.6526");
+            index_3 ("0.759799, 0.897282, 0.997118, 1.05673, 1.08234, 1.12654, 1.16488, 1.20011, 1.23564, 1.28253, 1.32918, 1.39139, 1.40972, 1.44638, 1.51862, 1.62711, 1.66194, 1.69908, 1.74962, 1.97737, 2.06983, 2.11837, 2.16836, 2.22736, 2.30394, 2.36742, 2.44015, 2.54295, 2.58802, 2.6485, 2.72914, 2.89042, 3.20233, 3.57095");
             values ( \
-              "0.0350776, 0.0571049, 0.0840612, 0.0957273, 0.104085, 0.110715, 0.116198, 0.120946, 0.126209, 0.129892, 0.133264, 0.134194, 0.135162, 0.134794, 0.129363, 0.125742, 0.120861, 0.113263, 0.0953694, 0.0697652, 0.0532895, 0.0488228, 0.0428427, 0.0367501, 0.0273754, 0.0250889, 0.0209769, 0.0145326, 0.0113502, 0.0086027, 0.00591184, 0.00267103, 0.00073855, 0.000128573" \
+              "0.0331214, 0.0507341, 0.076296, 0.090243, 0.0956766, 0.104147, 0.110732, 0.116126, 0.120878, 0.126091, 0.130049, 0.133535, 0.134195, 0.135006, 0.134804, 0.129354, 0.125839, 0.121113, 0.113267, 0.0707675, 0.055144, 0.0479495, 0.0412869, 0.0343935, 0.0268623, 0.0217851, 0.0170504, 0.0119237, 0.0101924, 0.00824356, 0.00619863, 0.00342488, 0.00096973, 0.000188537" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.32778");
-            index_3 ("1.6208, 1.80203, 1.99416, 2.35722, 3.35161, 3.92338, 4.18279, 4.3931, 4.81371, 5.03964, 5.25243, 5.67719, 5.84095, 6.16143, 7.14946, 7.6152, 8.05306, 8.34814, 8.87681, 9.284, 9.70753, 10.0319, 10.6808, 11.3766");
+            index_3 ("2.27251, 2.68372, 3.15566, 3.42675, 3.74089, 3.91959, 4.27699, 4.38996, 4.61503, 4.824, 5.17709, 5.24909, 5.39308, 5.6834, 6.11703, 6.41021, 6.95768, 7.21163, 7.53308, 7.7716, 8.01188, 8.33105, 8.61134, 8.98507, 9.31534, 9.75739, 10.3468, 11.4895, 12.8233");
             values ( \
-              "0.000796697, 0.00265769, 0.00662797, 0.0210189, 0.0870928, 0.117579, 0.126951, 0.132485, 0.138631, 0.139395, 0.138676, 0.132552, 0.127994, 0.115745, 0.0681536, 0.0489606, 0.0348514, 0.0273778, 0.0174782, 0.0122162, 0.00839005, 0.00625988, 0.0034243, 0.00203184" \
+              "0.0367265, 0.0416171, 0.07435, 0.0920266, 0.109458, 0.117572, 0.129785, 0.13252, 0.136549, 0.138663, 0.139082, 0.138669, 0.137355, 0.132244, 0.11757, 0.104021, 0.0769677, 0.0652302, 0.0519184, 0.0433852, 0.0359377, 0.0276858, 0.0218871, 0.0158525, 0.0118445, 0.00798637, 0.00464981, 0.00146366, 0.000360227" \
             );
           }
           vector (ccs_template) {
@@ -5799,9 +5799,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00501881");
-            index_3 ("8.24518, 8.33772, 8.37018, 8.38547, 8.40172, 8.43023, 8.50355, 8.53383, 8.58012, 8.61948, 8.65511, 8.68844, 8.72058, 8.74981, 8.75857, 8.80944, 8.83326, 8.86415, 8.88649, 8.8995, 8.91497, 8.93432, 8.96063, 9.00091, 9.0065");
+            index_3 ("8.21699, 8.32496, 8.33772, 8.37084, 8.38603, 8.40181, 8.42977, 8.50484, 8.53383, 8.58013, 8.61949, 8.65512, 8.68845, 8.72059, 8.74982, 8.75858, 8.80944, 8.83328, 8.84497, 8.86417, 8.8865, 8.8995, 8.91498, 8.93432, 8.96063, 9.0009, 9.04555, 9.07677");
             values ( \
-              "0.00221167, 0.00226933, 0.00306604, 0.003566, 0.00431423, 0.00641951, 0.0144977, 0.0175422, 0.021558, 0.0243351, 0.0263771, 0.0277771, 0.0285318, 0.02771, 0.0264312, 0.0131447, 0.00831469, 0.0043252, 0.00252269, 0.00179812, 0.00117131, 0.000673728, 0.000301467, 9.15582e-05, 9.07146e-05" \
+              "0.00133271, 0.00201576, 0.00226131, 0.00308059, 0.00358691, 0.00431218, 0.00637134, 0.0146345, 0.0175379, 0.0215588, 0.0243321, 0.0263784, 0.0277747, 0.0285334, 0.027708, 0.0264294, 0.0131479, 0.0083136, 0.00654264, 0.00432479, 0.00252312, 0.0017986, 0.00117158, 0.000673945, 0.000301563, 9.1631e-05, 4.57094e-05, 5.23465e-05" \
             );
           }
           vector (ccs_template) {
@@ -5819,7 +5819,7 @@
             index_2 ("0.0813932");
             index_3 ("10.1601, 10.4322, 10.5303, 10.7777, 10.9266, 11.1729, 11.331, 11.4074, 11.4726, 11.6031, 11.6314, 11.6638, 11.7286, 11.7717, 11.8291, 12.028, 12.107, 12.1834, 12.2852, 12.3416, 12.4123, 12.5065, 12.5801, 12.6541, 12.7527, 12.9498, 13.2543, 13.6205");
             values ( \
-              "0.0160499, 0.021163, 0.0289349, 0.0498897, 0.0629786, 0.0858033, 0.099073, 0.104215, 0.107587, 0.110387, 0.109958, 0.108833, 0.104343, 0.0997646, 0.0921712, 0.0613749, 0.0500406, 0.0403505, 0.0295875, 0.0247621, 0.0196853, 0.0143718, 0.0111474, 0.00863274, 0.00611842, 0.00293957, 0.000879261, 0.000169398" \
+              "0.0160499, 0.021163, 0.0289349, 0.0498897, 0.0629786, 0.0858033, 0.099073, 0.104215, 0.107587, 0.110387, 0.109958, 0.108833, 0.104343, 0.0997646, 0.0921711, 0.0613749, 0.0500406, 0.0403505, 0.0295875, 0.0247621, 0.0196853, 0.0143718, 0.0111474, 0.00863274, 0.00611842, 0.00293957, 0.000879262, 0.000169398" \
             );
           }
           vector (ccs_template) {
@@ -5847,10 +5847,10 @@
           values ( \
             "0.00672503, 0.0067626, 0.00680657, 0.00682969, 0.00683709, 0.00683906", \
             "0.00797744, 0.00801676, 0.0080739, 0.00810915, 0.0081212, 0.00812447", \
-            "0.00860213, 0.00860653, 0.0086255, 0.00864756, 0.00865683, 0.00866004", \
+            "0.00860213, 0.00860707, 0.0086255, 0.00864714, 0.00865723, 0.00866004", \
             "0.00896715, 0.00893707, 0.00888942, 0.0088644, 0.00885916, 0.00885845", \
             "0.00919098, 0.00913593, 0.00905358, 0.00897205, 0.00892654, 0.00891297", \
-            "0.0093838, 0.0093913, 0.00923655, 0.00908783, 0.00899346, 0.00894226" \
+            "0.0093838, 0.00939129, 0.00923655, 0.00908783, 0.00899346, 0.00894226" \
           );
         }
         receiver_capacitance2_rise (delay_template) {
@@ -5859,10 +5859,10 @@
           values ( \
             "0.00844199, 0.00846863, 0.00849471, 0.00850561, 0.0085088, 0.00850964", \
             "0.00851598, 0.00845129, 0.00836827, 0.00832011, 0.00830418, 0.0082999", \
-            "0.00857653, 0.00840544, 0.00818651, 0.00805542, 0.00800901, 0.00799582", \
+            "0.00857653, 0.00840709, 0.00818651, 0.00805548, 0.00800917, 0.00799582", \
             "0.00836004, 0.00855986, 0.00842502, 0.00803361, 0.00788732, 0.00784889", \
             "0.00781549, 0.00790114, 0.00801697, 0.00842701, 0.00799608, 0.0078541", \
-            "0.0074762, 0.00746373, 0.00772255, 0.0079676, 0.00829826, 0.0080086" \
+            "0.0074762, 0.00746382, 0.00772255, 0.0079676, 0.00829826, 0.0080086" \
           );
         }
         output_current_fall () {
@@ -6032,9 +6032,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.827979, 0.845434, 0.854427, 0.863694, 0.87846, 0.897229, 0.910295, 0.917637, 0.924631, 0.931531, 0.936699, 0.947847, 0.953569, 0.957309, 0.962296, 0.966921, 0.971315, 0.977227, 0.986718, 0.991599");
+            index_3 ("0.829274, 0.843001, 0.844881, 0.846762, 0.849268, 0.851668, 0.852813, 0.855105, 0.856658, 0.858211, 0.859762, 0.864173, 0.868388, 0.869761, 0.873877, 0.87829, 0.882706, 0.888048, 0.89339, 0.897896, 0.902402, 0.906407, 0.908409, 0.912251, 0.914092, 0.917774, 0.919527, 0.921279, 0.923033, 0.924784, 0.926513, 0.928241, 0.929969, 0.931699, 0.932993, 0.934287, 0.935582, 0.936876, 0.938079, 0.939282, 0.940749, 0.942704, 0.946387, 0.947623, 0.948035, 0.94886, 0.949685, 0.951333, 0.953156, 0.955009");
             values ( \
-              "-0.00107722, -0.0040665, -0.00631161, -0.0101862, -0.0171701, -0.0248733, -0.029459, -0.0315152, -0.0327916, -0.0323298, -0.0269192, -0.0101742, -0.00517417, -0.00322272, -0.00167117, -0.000905419, -0.0005488, -0.000273626, -0.000141131, -0.000136322" \
+              "-0.00321479, -0.00332704, -0.00371806, -0.00413799, -0.00474288, -0.00537604, -0.0057005, -0.00638847, -0.00693745, -0.00758562, -0.00826286, -0.0103639, -0.0124868, -0.0131344, -0.015032, -0.0169732, -0.0188733, -0.0211184, -0.0233035, -0.0250649, -0.0267628, -0.0281798, -0.0288648, -0.030136, -0.0307245, -0.0318619, -0.0321323, -0.0322936, -0.0323458, -0.0322889, -0.0320993, -0.0317931, -0.0313701, -0.0308305, -0.0299974, -0.0289876, -0.0278012, -0.0264381, -0.0248363, -0.0230154, -0.0204711, -0.0173305, -0.012018, -0.0104715, -0.00999524, -0.00914283, -0.00834145, -0.00689172, -0.00555177, -0.00429148" \
             );
           }
           vector (ccs_template) {
@@ -6106,7 +6106,7 @@
             index_2 ("0.0202113");
             index_3 ("3.00494, 3.102, 3.14369, 3.17535, 3.21536, 3.23538, 3.37174, 3.40476, 3.44807, 3.48921, 3.51217, 3.53004, 3.56565, 3.60118, 3.62795, 3.66291, 3.68153, 3.70178, 3.71907, 3.72626, 3.74065, 3.76942, 3.80164");
             values ( \
-              "-0.00165262, -0.00557186, -0.0103478, -0.0152575, -0.0234058, -0.0284612, -0.0644135, -0.0727454, -0.0830652, -0.0922632, -0.0969767, -0.100203, -0.104488, -0.0997592, -0.0802186, -0.0494798, -0.0359646, -0.024586, -0.0174841, -0.0149965, -0.0111666, -0.0058349, -0.00315562" \
+              "-0.00165262, -0.00557186, -0.0103478, -0.0152575, -0.0234058, -0.0284612, -0.0644135, -0.0727454, -0.0830652, -0.0922631, -0.0969768, -0.100203, -0.104488, -0.0997592, -0.0802186, -0.0494798, -0.0359646, -0.024586, -0.0174841, -0.0149965, -0.0111666, -0.0058349, -0.00315562" \
             );
           }
           vector (ccs_template) {
@@ -6198,7 +6198,7 @@
             "0.00601379, 0.00603111, 0.00605423, 0.00606771, 0.00607225, 0.00607347", \
             "0.00675185, 0.00676233, 0.00678074, 0.00679477, 0.00680034, 0.00680191", \
             "0.00705176, 0.00705202, 0.00705505, 0.00706105, 0.00706462, 0.00706584", \
-            "0.0071873, 0.00718368, 0.00718625, 0.00717962, 0.00717775, 0.00717753", \
+            "0.00718785, 0.00718368, 0.00718625, 0.00717962, 0.00717775, 0.00717753", \
             "0.00727698, 0.00727286, 0.00726243, 0.00724743, 0.00723724, 0.00723375", \
             "0.00731078, 0.00730807, 0.00730017, 0.00728465, 0.00726657, 0.00725565" \
           );
@@ -6210,7 +6210,7 @@
             "0.00758039, 0.00759143, 0.00760653, 0.00761708, 0.0076205, 0.00762142", \
             "0.00802613, 0.0080152, 0.00800119, 0.00799166, 0.00798919, 0.00798849", \
             "0.0083973, 0.00834441, 0.00827762, 0.0082331, 0.00821627, 0.00821142", \
-            "0.00892987, 0.00877683, 0.00852602, 0.0083678, 0.00831147, 0.00829466", \
+            "0.00892197, 0.00877683, 0.00852602, 0.0083678, 0.00831147, 0.00829466", \
             "0.00964344, 0.00945327, 0.00890552, 0.00857066, 0.00835527, 0.00829478", \
             "0.00940296, 0.00943556, 0.00958445, 0.00853747, 0.00857185, 0.00833976" \
           );
@@ -6226,7 +6226,7 @@
           values ( \
             "0.13007, 0.194625, 0.441558, 1.42254, 5.35979, 21.2009", \
             "0.139509, 0.204246, 0.451477, 1.43273, 5.36776, 21.2129", \
-            "0.178149, 0.243115, 0.490837, 1.47275, 5.41113, 21.254", \
+            "0.178149, 0.24322, 0.490837, 1.47275, 5.41113, 21.2528", \
             "0.277165, 0.365972, 0.647486, 1.63214, 5.56496, 21.4103", \
             "0.369349, 0.520316, 0.976841, 2.23739, 6.21012, 22.047", \
             "0.197705, 0.453026, 1.23382, 3.31579, 8.59633, 24.6224" \
@@ -6238,7 +6238,7 @@
           values ( \
             "0.0350112, 0.0692337, 0.20342, 0.737747, 2.88788, 11.5408", \
             "0.0349525, 0.0691868, 0.203435, 0.73769, 2.88576, 11.5396", \
-            "0.0350698, 0.069006, 0.203266, 0.737398, 2.88806, 11.5386", \
+            "0.0350698, 0.0690301, 0.203266, 0.737398, 2.88806, 11.5393", \
             "0.0460591, 0.0783734, 0.205356, 0.737356, 2.88658, 11.5398", \
             "0.076188, 0.118717, 0.250536, 0.752255, 2.88587, 11.5397", \
             "0.141877, 0.20778, 0.393077, 0.932678, 2.95522, 11.5376" \
@@ -6251,7 +6251,7 @@
             "0.181495, 0.267422, 0.595251, 1.88905, 7.08373, 27.9908", \
             "0.189912, 0.276395, 0.605145, 1.89965, 7.09178, 28.0032", \
             "0.231353, 0.31741, 0.646417, 1.94236, 7.13758, 28.0456", \
-            "0.389952, 0.491245, 0.823939, 2.11595, 7.31147, 28.2178", \
+            "0.389791, 0.491245, 0.823939, 2.11595, 7.31147, 28.2178", \
             "0.724215, 0.890805, 1.40922, 2.84624, 8.02505, 28.9247", \
             "1.54208, 1.80049, 2.62315, 4.95791, 10.9559, 31.7831" \
           );
@@ -6263,7 +6263,7 @@
             "0.0393327, 0.0737469, 0.202841, 0.708779, 2.74748, 10.9344", \
             "0.0394035, 0.0737296, 0.202537, 0.708993, 2.74774, 10.9554", \
             "0.0393048, 0.0737175, 0.202816, 0.708494, 2.7476, 10.9533", \
-            "0.0487315, 0.079932, 0.203443, 0.708668, 2.74829, 10.9554", \
+            "0.048973, 0.079932, 0.203443, 0.708668, 2.74829, 10.9554", \
             "0.0817721, 0.124271, 0.25177, 0.712795, 2.74781, 10.9541", \
             "0.150608, 0.208755, 0.387403, 0.921773, 2.758, 10.955" \
           );
@@ -6390,9 +6390,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00501881");
-            index_3 ("0.289234, 0.323716, 0.333512, 0.339062, 0.350162, 0.358535, 0.362618, 0.370784, 0.373212, 0.378069, 0.383662, 0.394186, 0.411651, 0.416426, 0.425071, 0.432568, 0.43759, 0.447222, 0.454306, 0.460814, 0.469997, 0.473818, 0.48146, 0.496744, 0.510917, 0.521394, 0.548497, 0.574815");
+            index_3 ("0.29119, 0.301965, 0.323866, 0.339263, 0.351697, 0.362847, 0.37344, 0.383944, 0.394442, 0.412045, 0.425929, 0.440967, 0.459878, 0.476046, 0.499102, 0.507437");
             values ( \
-              "0.00275305, 0.0498473, 0.0615474, 0.0674825, 0.0769545, 0.0820971, 0.0838769, 0.0861812, 0.0864434, 0.0865491, 0.0854772, 0.0783059, 0.0522955, 0.0457249, 0.0352723, 0.0278418, 0.0235475, 0.0168841, 0.0131235, 0.0103468, 0.00734036, 0.00635888, 0.00475368, 0.00262119, 0.00157558, 0.00111729, 0.00042581, 0.000155828" \
+              "0.00978691, 0.0195146, 0.0497441, 0.0676323, 0.0779146, 0.0840937, 0.0864889, 0.0856894, 0.0782295, 0.0520806, 0.0345925, 0.0211582, 0.0107954, 0.00589159, 0.00244791, 0.00203304" \
             );
           }
           vector (ccs_template) {
@@ -6426,9 +6426,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.32001");
-            index_3 ("0.0173543, 5.71563, 7.28751, 7.68048, 9.61849, 13.5159, 15.9066, 17.4785, 18.627, 19.656, 21.2153, 22.4723, 23.1818, 24.6007, 30.7423, 32.3856, 35.1071, 37.8807, 40.7494, 43.8287");
+            index_3 ("9.14072, 10.9362, 13.0395, 14.3945, 15.8828, 17.4547, 18.6042, 19.6326, 21.1919, 21.7653, 22.4493, 23.1586, 24.5773, 26.1003, 28.4261, 30.7192, 32.3625, 33.7748, 35.084, 36.6558, 37.8576, 39.1545, 40.7264, 42.2982, 45.442, 51.7295");
             values ( \
-              "1e-22, 0.000332334, 0.00343503, 0.00533882, 0.0232124, 0.083837, 0.113712, 0.126492, 0.132359, 0.135388, 0.136279, 0.133651, 0.130507, 0.119984, 0.0512137, 0.0374415, 0.0214203, 0.0117219, 0.00615755, 0.00351249" \
+              "0.0400774, 0.0432393, 0.0769593, 0.0964315, 0.113689, 0.126472, 0.132347, 0.135381, 0.136271, 0.135468, 0.133648, 0.130502, 0.119986, 0.103655, 0.0756585, 0.0512155, 0.0374393, 0.0281537, 0.0214222, 0.0152362, 0.0117237, 0.0088001, 0.00615568, 0.00432368, 0.00210876, 0.000501919" \
             );
           }
           vector (ccs_template) {
@@ -6437,7 +6437,7 @@
             index_2 ("0.00124625");
             index_3 ("0.727142, 0.739031, 0.743786, 0.782, 0.790104, 0.797412, 0.804244, 0.81098, 0.817703, 0.823472, 0.830218, 0.840149, 0.849085, 0.856037, 0.861967, 0.872344, 0.881181, 0.898699, 0.947083");
             values ( \
-              "0.00350879, 0.00404299, 0.00555584, 0.0258367, 0.0294337, 0.032069, 0.0335684, 0.0331631, 0.0270915, 0.019271, 0.0132451, 0.00735095, 0.00419873, 0.00266853, 0.00184734, 0.000970023, 0.000580641, 0.000271448, 9.87868e-05" \
+              "0.0035088, 0.00404299, 0.00555583, 0.0258367, 0.0294337, 0.032069, 0.0335684, 0.0331631, 0.0270915, 0.019271, 0.0132451, 0.00735095, 0.00419873, 0.00266854, 0.00184734, 0.000970023, 0.000580641, 0.000271448, 9.87868e-05" \
             );
           }
           vector (ccs_template) {
@@ -6554,7 +6554,7 @@
             index_2 ("0.00501881");
             index_3 ("8.33766, 8.48193, 8.50232, 8.52951, 8.55456, 8.57297, 8.64561, 8.6712, 8.71523, 8.7527, 8.78661, 8.81826, 8.84773, 8.85458, 8.86241, 8.87898, 8.89431, 8.93368, 8.95573, 8.98675, 9.00706, 9.02122, 9.0373, 9.05584, 9.07519");
             values ( \
-              "0.000345118, 0.00216063, 0.00276861, 0.00387302, 0.00540535, 0.00702482, 0.0156683, 0.0184586, 0.022632, 0.0255626, 0.0277283, 0.0292829, 0.0301772, 0.0302172, 0.0301354, 0.028874, 0.0253211, 0.013589, 0.00868233, 0.00432799, 0.00262296, 0.00180693, 0.00115061, 0.000671138, 0.000386856" \
+              "0.000345118, 0.00216063, 0.00276861, 0.00387302, 0.00540535, 0.00702483, 0.0156682, 0.0184586, 0.022632, 0.0255626, 0.0277283, 0.0292829, 0.0301772, 0.0302172, 0.0301354, 0.028874, 0.0253211, 0.013589, 0.00868233, 0.00432799, 0.00262296, 0.00180693, 0.00115061, 0.000671138, 0.000386855" \
             );
           }
           vector (ccs_template) {
@@ -6600,7 +6600,7 @@
           values ( \
             "0.00632804, 0.00635494, 0.00638882, 0.00640761, 0.00641376, 0.00641541", \
             "0.00730438, 0.00732804, 0.00736814, 0.00739633, 0.00740662, 0.00740947", \
-            "0.0077061, 0.00771039, 0.00772475, 0.0077421, 0.00775075, 0.00775334", \
+            "0.0077061, 0.00770916, 0.00772475, 0.0077421, 0.00775075, 0.00775229", \
             "0.00795227, 0.00793251, 0.00789898, 0.00787879, 0.00787447, 0.00787384", \
             "0.00812297, 0.00808909, 0.00802861, 0.00795778, 0.00791671, 0.00790421", \
             "0.00840477, 0.00832368, 0.00818512, 0.00806172, 0.00797405, 0.00792645" \
@@ -6612,7 +6612,7 @@
           values ( \
             "0.00784829, 0.00785777, 0.00787262, 0.00788138, 0.00788431, 0.0078851", \
             "0.00789771, 0.00785618, 0.00779696, 0.00775938, 0.00774628, 0.0077427", \
-            "0.00791023, 0.00781515, 0.0076695, 0.00756802, 0.00752827, 0.00751651", \
+            "0.00791023, 0.00781575, 0.0076695, 0.00756802, 0.00752827, 0.00751869", \
             "0.00866072, 0.00837649, 0.00789881, 0.00757184, 0.0074528, 0.00741864", \
             "0.00824693, 0.00830683, 0.00837007, 0.00800463, 0.00755106, 0.00742415", \
             "0.00759355, 0.00774472, 0.00804704, 0.00834459, 0.00803456, 0.00757397" \
@@ -6785,9 +6785,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.827979, 0.845434, 0.854427, 0.863694, 0.87846, 0.897229, 0.910295, 0.917637, 0.924631, 0.931531, 0.936699, 0.947847, 0.953569, 0.957309, 0.962296, 0.966921, 0.971315, 0.977227, 0.986718, 0.991599");
+            index_3 ("0.829274, 0.843001, 0.844881, 0.846762, 0.849268, 0.851668, 0.852813, 0.855105, 0.856658, 0.858211, 0.859762, 0.864173, 0.868388, 0.869761, 0.873877, 0.87829, 0.882706, 0.888048, 0.89339, 0.897896, 0.902402, 0.906407, 0.908409, 0.912251, 0.914092, 0.917774, 0.919527, 0.921279, 0.923033, 0.924784, 0.926513, 0.928241, 0.929969, 0.931699, 0.932993, 0.934287, 0.935582, 0.936876, 0.938079, 0.939282, 0.940749, 0.942704, 0.946387, 0.947623, 0.948035, 0.94886, 0.949685, 0.951333, 0.953156, 0.955009");
             values ( \
-              "-0.00107722, -0.0040665, -0.00631161, -0.0101862, -0.0171701, -0.0248733, -0.029459, -0.0315152, -0.0327916, -0.0323298, -0.0269192, -0.0101742, -0.00517417, -0.00322272, -0.00167117, -0.000905419, -0.0005488, -0.000273626, -0.000141131, -0.000136322" \
+              "-0.00321479, -0.00332704, -0.00371806, -0.00413799, -0.00474288, -0.00537604, -0.0057005, -0.00638847, -0.00693745, -0.00758562, -0.00826286, -0.0103639, -0.0124868, -0.0131344, -0.015032, -0.0169732, -0.0188733, -0.0211184, -0.0233035, -0.0250649, -0.0267628, -0.0281798, -0.0288648, -0.030136, -0.0307245, -0.0318619, -0.0321323, -0.0322936, -0.0323458, -0.0322889, -0.0320993, -0.0317931, -0.0313701, -0.0308305, -0.0299974, -0.0289876, -0.0278012, -0.0264381, -0.0248363, -0.0230154, -0.0204711, -0.0173305, -0.012018, -0.0104715, -0.00999524, -0.00914283, -0.00834145, -0.00689172, -0.00555177, -0.00429148" \
             );
           }
           vector (ccs_template) {
@@ -6859,7 +6859,7 @@
             index_2 ("0.0202113");
             index_3 ("3.00494, 3.102, 3.14369, 3.17535, 3.21536, 3.23538, 3.37174, 3.40476, 3.44807, 3.48921, 3.51217, 3.53004, 3.56565, 3.60118, 3.62795, 3.66291, 3.68153, 3.70178, 3.71907, 3.72626, 3.74065, 3.76942, 3.80164");
             values ( \
-              "-0.00165262, -0.00557186, -0.0103478, -0.0152575, -0.0234058, -0.0284612, -0.0644135, -0.0727454, -0.0830652, -0.0922632, -0.0969767, -0.100203, -0.104488, -0.0997592, -0.0802186, -0.0494798, -0.0359646, -0.024586, -0.0174841, -0.0149965, -0.0111666, -0.0058349, -0.00315562" \
+              "-0.00165262, -0.00557186, -0.0103478, -0.0152575, -0.0234058, -0.0284612, -0.0644135, -0.0727454, -0.0830652, -0.0922631, -0.0969768, -0.100203, -0.104488, -0.0997592, -0.0802186, -0.0494798, -0.0359646, -0.024586, -0.0174841, -0.0149965, -0.0111666, -0.0058349, -0.00315562" \
             );
           }
           vector (ccs_template) {
@@ -6951,7 +6951,7 @@
             "0.00601379, 0.00603111, 0.00605423, 0.00606771, 0.00607225, 0.00607347", \
             "0.00675185, 0.00676233, 0.00678074, 0.00679477, 0.00680034, 0.00680191", \
             "0.00705176, 0.00705202, 0.00705505, 0.00706105, 0.00706462, 0.00706584", \
-            "0.0071873, 0.00718368, 0.00718625, 0.00717962, 0.00717775, 0.00717753", \
+            "0.00718785, 0.00718368, 0.00718625, 0.00717962, 0.00717775, 0.00717753", \
             "0.00727698, 0.00727286, 0.00726243, 0.00724743, 0.00723724, 0.00723375", \
             "0.00731078, 0.00730807, 0.00730017, 0.00728465, 0.00726657, 0.00725565" \
           );
@@ -6963,7 +6963,7 @@
             "0.00758039, 0.00759143, 0.00760653, 0.00761708, 0.0076205, 0.00762142", \
             "0.00802613, 0.0080152, 0.00800119, 0.00799166, 0.00798919, 0.00798849", \
             "0.0083973, 0.00834441, 0.00827762, 0.0082331, 0.00821627, 0.00821142", \
-            "0.00892987, 0.00877683, 0.00852602, 0.0083678, 0.00831147, 0.00829466", \
+            "0.00892197, 0.00877683, 0.00852602, 0.0083678, 0.00831147, 0.00829466", \
             "0.00964344, 0.00945327, 0.00890552, 0.00857066, 0.00835527, 0.00829478", \
             "0.00940296, 0.00943556, 0.00958445, 0.00853747, 0.00857185, 0.00833976" \
           );
@@ -7339,7 +7339,7 @@
             "0.0199663, 0.0348794, 0.0926627, 0.324041, 1.25539, 5.00577", \
             "0.0289905, 0.044612, 0.102797, 0.334352, 1.26572, 5.01617", \
             "0.0442975, 0.074335, 0.144487, 0.376065, 1.30749, 5.05798", \
-            "0.0496243, 0.110689, 0.253238, 0.547778, 1.47774, 5.22783", \
+            "0.0496243, 0.110691, 0.253092, 0.547778, 1.47768, 5.22787", \
             "-0.0259087, 0.0899818, 0.368984, 0.967616, 2.16585, 5.90797", \
             "-0.481378, -0.281547, 0.240613, 1.40874, 3.84135, 8.66609" \
           );
@@ -7351,7 +7351,7 @@
             "0.0128724, 0.0317709, 0.108039, 0.414469, 1.64917, 6.62048", \
             "0.0162531, 0.0322614, 0.108038, 0.414467, 1.65022, 6.62048", \
             "0.036857, 0.0572113, 0.115733, 0.414469, 1.64938, 6.62048", \
-            "0.0875315, 0.127151, 0.219026, 0.452539, 1.64938, 6.62048", \
+            "0.0875315, 0.127149, 0.219169, 0.452539, 1.64938, 6.62047", \
             "0.234399, 0.307551, 0.486645, 0.870146, 1.80949, 6.62359", \
             "0.761714, 0.861135, 1.1825, 1.924, 3.47899, 7.2554" \
           );
@@ -7532,18 +7532,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00501881");
-            index_3 ("0.422738, 0.458466, 0.483586, 0.506023, 0.520635, 0.559045, 0.586779, 0.610235, 0.631092, 0.650374, 0.66853, 0.672659, 0.679266, 0.686193, 0.699513, 0.721729, 0.737279, 0.75098, 0.762457, 0.774787, 0.788589");
+            index_3 ("0.422673, 0.458171, 0.482389, 0.505964, 0.558984, 0.586717, 0.610173, 0.631029, 0.650311, 0.668467, 0.672596, 0.679204, 0.68613, 0.69945, 0.721666, 0.737215, 0.750918, 0.762412, 0.774743, 0.792891, 0.794543");
             values ( \
-              "-0.00431987, -0.00579452, -0.00941518, -0.0145415, -0.0188773, -0.0291689, -0.0359662, -0.041147, -0.0452858, -0.0485688, -0.0507807, -0.0510653, -0.0512448, -0.0506368, -0.0440487, -0.0214436, -0.0103501, -0.00502649, -0.00261526, -0.00127475, -0.000625818" \
+              "-0.0044281, -0.00575915, -0.00928467, -0.014596, -0.029162, -0.0359597, -0.041155, -0.0452931, -0.0485645, -0.0507778, -0.051069, -0.0512421, -0.0506401, -0.044046, -0.0214457, -0.0103522, -0.00502418, -0.0026143, -0.00127113, -0.000423374, -0.000400106" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0202113");
-            index_3 ("0.425919, 0.497465, 0.52048, 0.644442, 0.697521, 0.747619, 0.773522, 0.806459, 0.837709, 0.868933, 0.938751, 0.961363, 0.97743, 0.997779, 1.02491, 1.04064, 1.05369, 1.08448, 1.11413");
+            index_3 ("0.426069, 0.496533, 0.508582, 0.542079, 0.574942, 0.64438, 0.697473, 0.732714, 0.773526, 0.806478, 0.837741, 0.868977, 0.879584, 0.925321, 0.938818, 0.961399, 0.977475, 0.997855, 1.02503, 1.04079, 1.05385, 1.08468, 1.11435");
             values ( \
-              "-0.00273486, -0.0176073, -0.0241013, -0.0673177, -0.0844689, -0.0994437, -0.106485, -0.11425, -0.11883, -0.11167, -0.0418168, -0.0264312, -0.0186195, -0.0117315, -0.00606207, -0.00412921, -0.003793, -0.0017102, -0.000829353" \
+              "-0.00326001, -0.0174125, -0.020483, -0.0311986, -0.0433867, -0.0672752, -0.0844063, -0.0950993, -0.106439, -0.114211, -0.11878, -0.111651, -0.102595, -0.0537171, -0.0418001, -0.0264391, -0.0186219, -0.0117253, -0.0060526, -0.00411943, -0.00378471, -0.00170495, -0.000826266" \
             );
           }
           vector (ccs_template) {
@@ -7559,18 +7559,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.32778");
-            index_3 ("0.613322, 0.791495, 1.03973, 1.05635, 1.73627, 1.99755, 2.12465, 2.26804, 2.54119, 2.63314, 2.73823, 3.14801, 3.31421, 3.48093, 3.70323, 3.7917, 3.95763, 4.10385, 4.30884, 4.38901, 4.54935, 4.87004, 5.47547, 6.17951");
+            index_3 ("0.6128, 0.790923, 1.03972, 1.05636, 1.73621, 1.99749, 2.12665, 2.26798, 2.54117, 2.63284, 2.73761, 3.14789, 3.31414, 3.4809, 3.70325, 3.79166, 3.95746, 4.10372, 4.30874, 4.38895, 4.54936, 4.87018, 5.47563, 6.17972");
             values ( \
-              "-0.12344, -0.139197, -0.243174, -0.244139, -0.229279, -0.222474, -0.218602, -0.213593, -0.198797, -0.190513, -0.178011, -0.11755, -0.0953181, -0.0760616, -0.0551481, -0.0483357, -0.0375327, -0.0298673, -0.0215195, -0.01892, -0.0145785, -0.00852606, -0.00283455, -0.000739631" \
+              "-0.123029, -0.138953, -0.243173, -0.244138, -0.229279, -0.222474, -0.218535, -0.213593, -0.198794, -0.190538, -0.178085, -0.117559, -0.0953199, -0.0760585, -0.0551408, -0.0483342, -0.0375386, -0.0298705, -0.0215207, -0.0189201, -0.0145769, -0.00852308, -0.00283343, -0.000739226" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32001");
-            index_3 ("0.468981, 1.06882, 3.68024, 5.60798, 6.45823, 6.83688, 7.59419, 7.98504, 8.57425, 10.2268, 11.0555, 11.6925, 12.1885, 12.8713, 13.4321, 14.0641, 14.9579, 15.5219, 16.5654, 17.4717, 18.378, 19.2843, 21.0969, 22.9095");
+            index_3 ("0.480128, 1.05797, 3.68542, 5.61215, 6.84107, 7.59791, 7.98922, 8.57842, 10.231, 11.6967, 12.8755, 14.0683, 15.5261, 16.5695, 17.4758, 19.2885, 19.459");
             values ( \
-              "-0.0142454, -0.249722, -0.235888, -0.224139, -0.217646, -0.214198, -0.205155, -0.198351, -0.183344, -0.12302, -0.094997, -0.0766339, -0.0643151, -0.0500314, -0.0404824, -0.0316955, -0.022207, -0.0177231, -0.0115958, -0.00796998, -0.00546892, -0.00374205, -0.00174824, -0.000814535" \
+              "-0.0151563, -0.249749, -0.235852, -0.224087, -0.214201, -0.205159, -0.198354, -0.183342, -0.12302, -0.0766344, -0.0500318, -0.0316953, -0.017723, -0.0115958, -0.00797017, -0.00374219, -0.00360447" \
             );
           }
           vector (ccs_template) {
@@ -7642,7 +7642,7 @@
             index_2 ("0.00501881");
             index_3 ("5.54803, 6.50291, 6.60006, 6.79436, 6.95027, 7.04742, 7.15844, 7.29533, 7.37003, 7.58151, 7.76281, 7.91434, 7.99817, 8.05753, 8.13731, 8.18363, 8.24365, 8.32235, 8.40162, 8.47917, 8.53259, 8.59878, 8.69123, 8.74058, 8.79698, 8.86159, 8.93879, 9.10538, 9.53022, 10.2784, 11.0934, 11.9997");
             values ( \
-              "-2.82078e-05, -0.000350394, -0.000433779, -0.000637274, -0.000860221, -0.00107637, -0.0013878, -0.00203563, -0.00256015, -0.00436091, -0.00560807, -0.00632866, -0.00661059, -0.00676643, -0.00691569, -0.00697068, -0.00700959, -0.00700267, -0.00691241, -0.00668569, -0.0063434, -0.00526137, -0.00240571, -0.00137251, -0.000767451, -0.000495125, -0.000380576, -0.000278052, -0.000142656, -4.3544e-05, -1.06911e-05, -1.83868e-06" \
+              "-2.82078e-05, -0.000350394, -0.000433779, -0.000637274, -0.000860221, -0.00107637, -0.0013878, -0.00203563, -0.00256015, -0.00436091, -0.00560807, -0.00632866, -0.00661059, -0.00676643, -0.00691569, -0.00697068, -0.00700959, -0.00700267, -0.00691241, -0.00668569, -0.0063434, -0.00526137, -0.00240571, -0.00137251, -0.000767451, -0.000495125, -0.000380576, -0.000278052, -0.000142656, -4.35441e-05, -1.06912e-05, -1.83869e-06" \
             );
           }
           vector (ccs_template) {
@@ -7952,9 +7952,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202113");
-            index_3 ("1.77881, 1.96578, 1.98862, 2.0069, 2.02518, 2.08389, 2.14906, 2.17078, 2.19837, 2.21414, 2.24901, 2.28925, 2.32697, 2.36493, 2.37943, 2.40156, 2.4214, 2.43995, 2.46032, 2.47719, 2.48562, 2.50542, 2.52522, 2.59437, 2.60286, 2.61136, 2.61985, 2.62834, 2.63665, 2.64496, 2.65327, 2.66157, 2.67412, 2.68666, 2.6992, 2.71175, 2.72206, 2.72721, 2.73236, 2.73366, 2.74012, 2.76611, 2.79663, 2.80033, 2.81142, 2.81877, 2.82612, 2.83348, 2.84083, 2.85242");
+            index_3 ("1.77882, 1.96579, 1.98863, 2.00691, 2.02519, 2.0839, 2.14907, 2.17079, 2.19838, 2.21415, 2.24902, 2.28926, 2.3104, 2.32698, 2.36494, 2.37944, 2.40157, 2.42141, 2.43996, 2.46033, 2.4772, 2.48563, 2.50543, 2.52523, 2.59438, 2.60287, 2.61137, 2.61986, 2.62835, 2.63666, 2.64497, 2.65328, 2.66158, 2.67413, 2.68667, 2.69921, 2.71176, 2.72207, 2.72722, 2.73367, 2.74013, 2.76612, 2.79664, 2.80034, 2.81143, 2.81878, 2.82613, 2.83349, 2.84084, 2.85243");
             values ( \
-              "-0.0140386, -0.0145241, -0.0160274, -0.0172988, -0.0186133, -0.0231268, -0.0280845, -0.029725, -0.0317579, -0.0328888, -0.0353331, -0.0380819, -0.040535, -0.0428928, -0.0437623, -0.0450546, -0.0461809, -0.0472067, -0.0483007, -0.0491766, -0.0496047, -0.0505321, -0.0513994, -0.0540626, -0.0542346, -0.0543232, -0.0543282, -0.0542497, -0.0540922, -0.0538547, -0.0535374, -0.0531402, -0.052146, -0.0508365, -0.0492116, -0.0472713, -0.0451749, -0.0440128, -0.0427748, -0.0424055, -0.0404201, -0.032038, -0.0226813, -0.0216987, -0.0189222, -0.0172324, -0.0156493, -0.0141729, -0.0128032, -0.0108486" \
+              "-0.0140386, -0.0145241, -0.0160274, -0.0172988, -0.0186133, -0.0231268, -0.0280845, -0.029725, -0.0317579, -0.0328888, -0.0353332, -0.0380821, -0.0394712, -0.0405348, -0.0428925, -0.0437623, -0.0450546, -0.0461809, -0.0472067, -0.0483007, -0.0491766, -0.0496047, -0.0505321, -0.0513994, -0.0540626, -0.0542346, -0.0543232, -0.0543282, -0.0542497, -0.0540922, -0.0538547, -0.0535374, -0.0531402, -0.052146, -0.0508365, -0.0492116, -0.0472713, -0.0451749, -0.0440128, -0.0424055, -0.0404201, -0.032038, -0.0226813, -0.0216987, -0.0189222, -0.0172324, -0.0156493, -0.0141729, -0.0128032, -0.0108486" \
             );
           }
           vector (ccs_template) {
@@ -8026,7 +8026,7 @@
             index_2 ("0.32778");
             index_3 ("7.10381, 8.05951, 8.57415, 9.58645, 10.4285, 10.9656, 11.5432, 12.1745, 12.5955, 12.9011, 13.1906, 13.6796, 13.7274, 13.8914, 14.5104, 14.7452, 14.9418, 15.2039, 15.3668, 15.5402, 15.7714, 16.2339, 16.666, 17.1821, 17.4723");
             values ( \
-              "-0.0187572, -0.0223664, -0.0329292, -0.0560998, -0.0746202, -0.0858592, -0.0973094, -0.108779, -0.115473, -0.119476, -0.121934, -0.116158, -0.113948, -0.104445, -0.0596577, -0.0451818, -0.0351611, -0.0245765, -0.0195103, -0.0151621, -0.0107024, -0.00507834, -0.00246644, -0.00111392, -0.00067898" \
+              "-0.0187572, -0.0223664, -0.0329292, -0.0560998, -0.0746202, -0.0858592, -0.0973094, -0.108779, -0.115473, -0.119476, -0.121934, -0.116158, -0.113948, -0.104445, -0.0596573, -0.0451817, -0.0351612, -0.0245767, -0.0195103, -0.0151621, -0.0107022, -0.00507814, -0.00246644, -0.00111393, -0.000678991" \
             );
           }
           vector (ccs_template) {
@@ -8120,9 +8120,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("1.32001");
-            index_3 ("0.136299, 0.136319, 1.77568, 4.53201, 6.41313, 7.36535, 8.32487, 9.48605, 12.7519, 14.3901, 16.505, 17.4615, 18.6227, 19.1085, 21.1187, 23.0643, 25.5642, 27.8866, 30.2089, 37.176");
+            index_3 ("0.136299, 0.136319, 1.77568, 4.53201, 6.41313, 7.36535, 8.32487, 9.48605, 12.7519, 14.3901, 16.505, 17.4615, 18.6227, 19.1085, 21.1187, 23.0643, 25.5642, 27.8866, 30.2089, 37.1761");
             values ( \
-              "1e-22, 0.224176, 0.187059, 0.170466, 0.158035, 0.150734, 0.141716, 0.128723, 0.0849118, 0.0647731, 0.0439017, 0.0361711, 0.0286666, 0.0257439, 0.0167156, 0.0108688, 0.00617547, 0.00361053, 0.00208868, 0.000353135" \
+              "1e-22, 0.224176, 0.187059, 0.170466, 0.158035, 0.150734, 0.141716, 0.128723, 0.0849118, 0.0647731, 0.0439017, 0.0361711, 0.0286666, 0.0257439, 0.0167156, 0.0108688, 0.00617547, 0.00361053, 0.00208868, 0.000353134" \
             );
           }
           vector (ccs_template) {
@@ -8176,7 +8176,7 @@
             index_2 ("1.32001");
             index_3 ("0.172398, 0.172418, 1.81974, 4.56562, 6.44655, 7.39877, 8.35829, 9.51947, 10.1676, 12.7853, 13.491, 14.4235, 15.5847, 16.5385, 17.4949, 18.6561, 20.1136, 21.1521, 22.3133, 23.6714, 24.4364, 25.5976, 26.7588, 27.92, 30.2423, 33.7259, 37.2095");
             values ( \
-              "1e-22, 0.224775, 0.186888, 0.170357, 0.157927, 0.150626, 0.141824, 0.128614, 0.120379, 0.08502, 0.0759497, 0.0648814, 0.0525253, 0.0437935, 0.0362793, 0.0285584, 0.0209978, 0.0168237, 0.013003, 0.00966864, 0.0081092, 0.00628343, 0.0047902, 0.00371846, 0.00219659, 0.000954385, 0.000460978" \
+              "1e-22, 0.224775, 0.186888, 0.170357, 0.157927, 0.150626, 0.141824, 0.128614, 0.120379, 0.08502, 0.0759497, 0.0648814, 0.0525253, 0.0437936, 0.0362793, 0.0285584, 0.0209978, 0.0168237, 0.013003, 0.00966864, 0.0081092, 0.00628343, 0.0047902, 0.00371846, 0.00219659, 0.000954384, 0.000460977" \
             );
           }
           vector (ccs_template) {
@@ -8221,7 +8221,7 @@
             index_2 ("0.32778");
             index_3 ("0.209735, 0.209755, 0.758259, 1.16009, 1.68724, 1.87597, 2.24987, 2.41058, 2.55646, 3.46488, 3.70257, 4.0592, 4.3555, 4.58561, 4.9626, 5.12497, 5.41226, 5.81781, 5.99701, 6.23867, 6.56088, 7.20531, 8.36649, 9.52768");
             values ( \
-              "1e-22, 0.199807, 0.183709, 0.174075, 0.160575, 0.155198, 0.142347, 0.135454, 0.128526, 0.0800621, 0.0683771, 0.0529001, 0.0421676, 0.0350941, 0.0256928, 0.0223964, 0.0174835, 0.0122216, 0.0104282, 0.00840664, 0.0062834, 0.00344864, 0.00105935, 0.000324429" \
+              "1e-22, 0.199807, 0.183709, 0.174075, 0.160575, 0.155198, 0.142347, 0.135454, 0.128526, 0.0800621, 0.0683771, 0.0529001, 0.0421676, 0.0350941, 0.0256928, 0.0223964, 0.0174835, 0.0122216, 0.0104282, 0.00840664, 0.0062834, 0.00344864, 0.00105935, 0.000324428" \
             );
           }
           vector (ccs_template) {
@@ -8230,7 +8230,7 @@
             index_2 ("1.32001");
             index_3 ("0.317555, 0.317575, 1.94296, 4.71448, 6.59511, 7.54733, 8.50685, 9.66803, 10.3161, 12.9339, 14.5721, 15.7333, 16.687, 17.6435, 18.8046, 19.2905, 20.2622, 21.3007, 22.4619, 23.82, 24.585, 25.7462, 26.9073, 28.0685, 30.3909, 33.8745, 37.358");
             values ( \
-              "1e-22, 0.22433, 0.18706, 0.170377, 0.15795, 0.150648, 0.141802, 0.128637, 0.120356, 0.0849976, 0.064859, 0.0525478, 0.043816, 0.0362568, 0.0285809, 0.0258297, 0.0210203, 0.0168013, 0.0130255, 0.00964626, 0.00813163, 0.00626105, 0.00481261, 0.00369608, 0.0021742, 0.000976779, 0.000438593" \
+              "1e-22, 0.22433, 0.18706, 0.170377, 0.15795, 0.150648, 0.141802, 0.128637, 0.120356, 0.0849976, 0.064859, 0.0525477, 0.043816, 0.0362568, 0.0285809, 0.0258297, 0.0210203, 0.0168013, 0.0130255, 0.00964626, 0.00813163, 0.00626105, 0.00481261, 0.00369608, 0.0021742, 0.000976779, 0.000438593" \
             );
           }
           vector (ccs_template) {
@@ -8284,7 +8284,7 @@
             index_2 ("1.32001");
             index_3 ("0.887332, 0.887352, 2.54198, 5.23058, 7.18725, 8.13964, 9.09904, 10.2602, 10.9083, 13.5261, 15.1643, 16.3255, 17.2792, 18.2357, 19.3968, 19.8827, 20.8544, 21.8929, 23.054, 24.4122, 25.1772, 26.3383, 27.4995, 28.6607, 30.9831, 34.4666, 37.9502");
             values ( \
-              "1e-22, 0.218642, 0.187023, 0.170855, 0.157953, 0.150651, 0.141799, 0.12864, 0.120353, 0.0849947, 0.0648561, 0.0525506, 0.0438188, 0.0362541, 0.0285837, 0.0258269, 0.021023, 0.0167986, 0.0130282, 0.00964364, 0.00813428, 0.00625845, 0.00481524, 0.00369349, 0.00217162, 0.000979351, 0.000436046" \
+              "1e-22, 0.218642, 0.187023, 0.170855, 0.157953, 0.150651, 0.141799, 0.12864, 0.120353, 0.0849947, 0.0648561, 0.0525506, 0.0438188, 0.0362541, 0.0285837, 0.0258269, 0.021023, 0.0167986, 0.0130282, 0.00964365, 0.00813428, 0.00625845, 0.00481524, 0.00369349, 0.00217162, 0.00097935, 0.000436045" \
             );
           }
           vector (ccs_template) {
@@ -8338,16 +8338,16 @@
             index_2 ("1.32001");
             index_3 ("2.743, 3.54074, 4.16316, 4.21254, 7.0071, 8.8751, 9.88077, 11.042, 12.304, 13.2835, 15.9077, 17.5497, 18.7109, 19.6404, 20.603, 22.2598, 23.2509, 24.2826, 25.4438, 26.784, 28.6982, 31.0206, 33.3429, 36.8265, 40.31");
             values ( \
-              "0.107777, 0.126817, 0.190992, 0.191167, 0.174561, 0.162703, 0.155652, 0.146038, 0.132664, 0.120387, 0.0849428, 0.0647685, 0.052466, 0.0439521, 0.0363309, 0.0258322, 0.0209315, 0.016757, 0.012988, 0.00965908, 0.00628694, 0.00371197, 0.00218407, 0.000979535, 0.000441451" \
+              "0.107777, 0.126817, 0.190992, 0.191167, 0.174561, 0.162703, 0.155652, 0.146038, 0.132664, 0.120387, 0.0849428, 0.0647685, 0.052466, 0.0439521, 0.0363309, 0.0258322, 0.0209315, 0.016757, 0.012988, 0.00965908, 0.00628694, 0.00371197, 0.00218407, 0.000979534, 0.00044145" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("6.6912, 7.62119, 7.75578, 7.93525, 8.1361, 8.19614, 8.3162, 8.37847, 8.46145, 8.5577, 8.71791, 8.8081, 8.87494, 9.01507, 9.14638, 9.27403, 9.3155, 9.35787, 9.45176, 9.52673, 9.55866, 9.58209, 9.63341, 9.69719, 9.75871, 9.81479, 9.87578, 9.92723, 9.99165, 10.083, 10.2318, 10.4439, 10.7586, 11.1096, 11.7906");
+            index_3 ("6.6912, 7.62118, 7.75579, 7.93525, 8.13611, 8.19614, 8.3162, 8.37848, 8.46146, 8.5577, 8.71791, 8.8081, 8.87494, 9.01507, 9.14638, 9.27403, 9.3155, 9.35787, 9.45176, 9.52673, 9.55866, 9.58209, 9.63341, 9.69719, 9.75871, 9.81479, 9.87578, 9.92723, 9.99165, 10.083, 10.2318, 10.4439, 10.7586, 11.1096, 11.7906");
             values ( \
-              "3.03409e-05, 6.61819e-05, 8.16941e-05, 0.000112785, 0.000170804, 0.000209555, 0.000297563, 0.000389437, 0.000579355, 0.000872388, 0.00129809, 0.00145227, 0.00154415, 0.00166726, 0.00174217, 0.00177903, 0.00177743, 0.00178364, 0.00177715, 0.00174855, 0.00171751, 0.00166911, 0.00142241, 0.00090583, 0.000537381, 0.000362928, 0.000266784, 0.000221859, 0.000183197, 0.000147964, 0.000105795, 6.85958e-05, 3.55283e-05, 1.59296e-05, 2.01686e-06" \
+              "3.0341e-05, 6.61819e-05, 8.16943e-05, 0.000112785, 0.000170805, 0.000209555, 0.00029756, 0.000389447, 0.000579359, 0.00087238, 0.00129809, 0.00145227, 0.00154415, 0.00166726, 0.00174217, 0.00177903, 0.00177743, 0.00178364, 0.00177715, 0.00174855, 0.00171751, 0.00166911, 0.00142241, 0.00090583, 0.000537381, 0.000362928, 0.000266784, 0.000221859, 0.000183197, 0.000147964, 0.000105795, 6.85958e-05, 3.55283e-05, 1.59296e-05, 2.01684e-06" \
             );
           }
           vector (ccs_template) {
@@ -8356,25 +8356,25 @@
             index_2 ("0.00501881");
             index_3 ("6.69254, 7.68276, 7.92128, 8.12979, 8.23203, 8.3383, 8.53133, 8.74206, 8.83983, 9.03126, 9.19781, 9.34561, 9.44232, 9.54693, 9.62771, 9.68951, 9.75553, 9.78401, 9.81862, 9.8494, 10.0536, 10.1033, 10.1632, 10.2652, 10.3535, 10.5329, 10.8353, 11.2333");
             values ( \
-              "0.000113184, 0.000282708, 0.00041239, 0.000610595, 0.000787888, 0.00104823, 0.00197059, 0.00359228, 0.00420569, 0.00523241, 0.00581767, 0.00618782, 0.00636474, 0.00650303, 0.00656625, 0.00658851, 0.00653642, 0.00647349, 0.00632191, 0.00605455, 0.00197664, 0.00139389, 0.000949274, 0.000540711, 0.000403054, 0.000257629, 0.00013332, 5.23728e-05" \
+              "0.000113185, 0.000282708, 0.000412392, 0.000610597, 0.000787888, 0.00104823, 0.00197059, 0.00359228, 0.00420569, 0.00523241, 0.00581767, 0.00618782, 0.00636474, 0.00650303, 0.00656625, 0.00658851, 0.00653642, 0.00647349, 0.00632191, 0.00605455, 0.00197664, 0.00139389, 0.000949274, 0.000540711, 0.000403054, 0.000257629, 0.00013332, 5.23729e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0202113");
-            index_3 ("6.69093, 7.71644, 7.87765, 8.09259, 8.28165, 8.33669, 8.42966, 8.53592, 8.6967, 9.06841, 9.15947, 9.36389, 9.55859, 9.71064, 9.83488, 9.95234, 10.044, 10.1479, 10.2068, 10.2855, 10.3808, 10.4748, 10.6173, 10.8009, 10.8932, 11.0133, 11.0963, 11.2068, 11.4077, 11.6406, 11.9324");
+            index_3 ("6.69094, 7.71644, 7.87765, 8.09258, 8.28165, 8.33669, 8.42966, 8.53592, 8.6967, 9.06841, 9.15947, 9.36389, 9.55859, 9.71064, 9.83488, 9.95234, 10.044, 10.1479, 10.2068, 10.2855, 10.3808, 10.4748, 10.6173, 10.8009, 10.8932, 11.0133, 11.0963, 11.2068, 11.4077, 11.6406, 11.9324");
             values ( \
-              "0.000399669, 0.00101983, 0.00128486, 0.00177305, 0.00245005, 0.00272089, 0.0032782, 0.00410069, 0.00581093, 0.0104643, 0.0115058, 0.0136118, 0.0153249, 0.0164733, 0.0172883, 0.0179593, 0.0184083, 0.0188211, 0.0189978, 0.019188, 0.0192679, 0.0190848, 0.016873, 0.00996813, 0.00685185, 0.00390704, 0.00257851, 0.00145036, 0.000451465, 0.000152661, 4.27986e-05" \
+              "0.000399671, 0.00101983, 0.00128486, 0.00177305, 0.00245005, 0.00272089, 0.0032782, 0.00410069, 0.00581093, 0.0104643, 0.0115058, 0.0136118, 0.0153249, 0.0164733, 0.0172883, 0.0179593, 0.0184083, 0.0188211, 0.0189978, 0.019188, 0.0192679, 0.0190848, 0.016873, 0.00996813, 0.00685185, 0.00390704, 0.00257851, 0.00145036, 0.000451465, 0.000152661, 4.27986e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0813932");
-            index_3 ("6.69029, 7.94075, 8.11931, 8.33605, 8.54139, 8.7004, 8.90555, 9.5625, 9.92463, 10.287, 10.5215, 10.8211, 11.1914, 11.3714, 11.5359, 11.8651, 12.1955, 12.2539, 12.4377, 12.7454, 12.9293, 13.1591, 13.2703, 13.3842, 13.5361, 13.8399, 14.2847, 14.8126");
+            index_3 ("6.69029, 7.94075, 8.1193, 8.33605, 8.54139, 8.7004, 8.90555, 9.5625, 9.92463, 10.287, 10.5215, 10.8211, 11.1914, 11.3714, 11.5359, 11.8651, 12.1955, 12.2539, 12.4377, 12.7454, 12.9293, 13.1591, 13.2703, 13.3842, 13.5361, 13.8399, 14.2847, 14.8126");
             values ( \
-              "0.00126356, 0.00342658, 0.00432076, 0.00565703, 0.00730753, 0.00894028, 0.0115937, 0.0218825, 0.0270928, 0.0317867, 0.0345668, 0.0378074, 0.0412467, 0.0426533, 0.0437394, 0.045096, 0.0428262, 0.0414208, 0.0353642, 0.0230538, 0.0165123, 0.0102303, 0.00795851, 0.00609568, 0.00420116, 0.00184732, 0.000451898, 6.12616e-05" \
+              "0.00126356, 0.00342658, 0.00432074, 0.00565703, 0.00730754, 0.00894028, 0.0115937, 0.0218825, 0.0270928, 0.0317867, 0.0345668, 0.0378074, 0.0412467, 0.0426533, 0.0437394, 0.045096, 0.0428262, 0.0414208, 0.0353642, 0.0230538, 0.0165123, 0.0102303, 0.00795851, 0.00609568, 0.00420116, 0.00184732, 0.000451898, 6.12616e-05" \
             );
           }
           vector (ccs_template) {
@@ -8383,7 +8383,7 @@
             index_2 ("0.32778");
             index_3 ("7.85119, 8.92792, 9.46065, 12.1539, 13.2496, 13.6015, 13.8998, 14.4346, 14.6404, 14.865, 15.0923, 15.547, 16.8036, 17.1276, 17.5648, 17.7916, 18.2451, 18.4892, 18.8651, 19.3663, 20.3687, 21.5299, 22.6911");
             values ( \
-              "0.014633, 0.0154978, 0.0233225, 0.0689366, 0.0863795, 0.0913157, 0.0950442, 0.100059, 0.100929, 0.100539, 0.0981983, 0.0877801, 0.0437979, 0.0338304, 0.0235187, 0.0193663, 0.0129932, 0.0104691, 0.00747916, 0.00472031, 0.00177148, 0.000532535, 0.000171537" \
+              "0.0146331, 0.0154978, 0.0233225, 0.0689366, 0.0863795, 0.0913157, 0.0950442, 0.100059, 0.100929, 0.100539, 0.0981983, 0.0877801, 0.0437979, 0.0338304, 0.0235187, 0.0193663, 0.0129932, 0.0104691, 0.00747916, 0.00472031, 0.00177148, 0.000532535, 0.000171536" \
             );
           }
           vector (ccs_template) {
@@ -8392,7 +8392,7 @@
             index_2 ("1.32001");
             index_3 ("8.91377, 10.5292, 11.8781, 12.9926, 15.3406, 16.6642, 17.9394, 19.4175, 20.4739, 21.0129, 21.8363, 22.8186, 25.4428, 26.1573, 27.0849, 28.2461, 29.1753, 30.138, 31.2992, 31.7949, 32.7862, 33.8178, 34.979, 35.7544, 36.3191, 37.072, 38.2331, 39.3943, 40.5555, 42.8779, 44.0391, 46.3614, 49.845");
             values ( \
-              "0.0419812, 0.0438114, 0.0703617, 0.0945366, 0.147062, 0.173694, 0.165807, 0.155637, 0.146993, 0.141789, 0.132702, 0.120383, 0.0849384, 0.0758107, 0.0647639, 0.0524693, 0.0439569, 0.0363278, 0.0286423, 0.0258287, 0.0209344, 0.0167532, 0.0129912, 0.0109436, 0.00965592, 0.00816555, 0.00628394, 0.00483368, 0.00370894, 0.00218104, 0.00167408, 0.000982606, 0.000438487" \
+              "0.0419812, 0.0438114, 0.0703617, 0.0945366, 0.147062, 0.173694, 0.165807, 0.155637, 0.146992, 0.141789, 0.132702, 0.120383, 0.0849384, 0.0758107, 0.0647639, 0.0524693, 0.0439569, 0.0363279, 0.0286423, 0.0258287, 0.0209344, 0.0167532, 0.0129912, 0.0109436, 0.00965592, 0.00816555, 0.00628394, 0.00483368, 0.00370894, 0.00218104, 0.00167408, 0.000982605, 0.000438487" \
             );
           }
         }
@@ -8731,7 +8731,7 @@
             index_2 ("0.0813932");
             index_3 ("6.42829, 7.15212, 7.41189, 7.53949, 7.78339, 7.87168, 8.84163, 9.12596, 9.42612, 9.74209, 10.0317, 10.3395, 10.4077, 10.544, 10.6209, 10.7235, 10.8517, 11.1476, 11.2553, 11.395, 11.5054, 11.7262, 11.8073");
             values ( \
-              "-0.00491164, -0.00641352, -0.00894229, -0.0104529, -0.0139276, -0.0154086, -0.0341707, -0.0392198, -0.0441254, -0.0487392, -0.052342, -0.0551319, -0.0554798, -0.0555399, -0.0548145, -0.0519121, -0.0438311, -0.0202398, -0.0139647, -0.00830453, -0.00534821, -0.00201039, -0.00158439" \
+              "-0.00491164, -0.00641352, -0.00894229, -0.0104529, -0.0139276, -0.0154086, -0.0341707, -0.0392198, -0.0441254, -0.0487392, -0.052342, -0.0551319, -0.0554798, -0.0555399, -0.0548145, -0.0519121, -0.0438311, -0.0202398, -0.0139648, -0.0083045, -0.00534817, -0.00201035, -0.00158438" \
             );
           }
           vector (ccs_template) {
@@ -8768,7 +8768,7 @@
             "0.0354465, 0.0554283, 0.133552, 0.444602, 1.69544, 6.73129", \
             "0.0675972, 0.101674, 0.183735, 0.494937, 1.74595, 6.78194", \
             "0.132432, 0.201961, 0.360976, 0.699077, 1.94891, 6.98495", \
-            "0.296058, 0.419692, 0.732757, 1.39984, 2.76951, 7.79898", \
+            "0.295952, 0.419829, 0.732757, 1.39984, 2.76948, 7.79894", \
             "0.813482, 1.01115, 1.55983, 2.8591, 5.56891, 11.086" \
           );
         }
@@ -8780,7 +8780,7 @@
             "0.0215041, 0.0475706, 0.161085, 0.617892, 2.45768, 9.86612", \
             "0.0464752, 0.0695549, 0.163082, 0.617986, 2.45767, 9.86638", \
             "0.104288, 0.153778, 0.260284, 0.629307, 2.45782, 9.86643", \
-            "0.258542, 0.348393, 0.576402, 1.02532, 2.50641, 9.86638", \
+            "0.258618, 0.348315, 0.576402, 1.02532, 2.50633, 9.86638", \
             "0.808904, 0.924682, 1.31492, 2.27024, 4.09992, 10.0594" \
           );
         }
@@ -8942,7 +8942,7 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.32001");
-            index_3 ("0.314143, 0.314163, 2.53541, 4.63798, 6.59602, 7.54828, 8.50774, 9.66898, 10.317, 12.9347, 14.573, 15.7343, 16.6883, 17.6446, 18.8058, 19.2912, 20.262, 21.3007, 22.4619, 23.8204, 24.5857, 25.7469, 26.9081, 28.0694, 30.3918, 33.8755, 37.3593");
+            index_3 ("0.314144, 0.314164, 2.53541, 4.63799, 6.59602, 7.54828, 8.50774, 9.66898, 10.317, 12.9347, 14.573, 15.7343, 16.6883, 17.6446, 18.8058, 19.2912, 20.262, 21.3007, 22.4619, 23.8204, 24.5857, 25.7469, 26.9081, 28.0694, 30.3918, 33.8755, 37.3593");
             values ( \
               "1e-22, 0.216163, 0.183568, 0.170863, 0.157953, 0.150651, 0.141799, 0.12864, 0.120354, 0.0849956, 0.0648562, 0.0525504, 0.0438159, 0.0362527, 0.0285825, 0.0258281, 0.0210284, 0.0168018, 0.0130309, 0.00964452, 0.008135, 0.00625852, 0.00481567, 0.00369334, 0.00217138, 0.000979593, 0.000435739" \
             );
@@ -9005,18 +9005,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("1.79173, 1.97643, 2.03684, 2.08341, 2.10656, 2.14588, 2.23658, 2.2905, 2.33654, 2.37852, 2.4182, 2.45674, 2.49525, 2.52403, 2.56794, 2.60666, 2.64378, 2.69106, 2.71795, 2.78153, 2.78683");
+            index_3 ("1.73908, 1.92424, 1.95427, 2.01432, 2.0489, 2.08348, 2.1065, 2.1478, 2.21923, 2.26818, 2.29037, 2.33653, 2.37849, 2.4182, 2.45675, 2.49528, 2.52406, 2.56797, 2.60671, 2.61824, 2.64384, 2.66614, 2.69113, 2.71805, 2.74858, 2.78164, 2.81013");
             values ( \
-              "0.000300381, 0.000364101, 0.000562048, 0.000855473, 0.00109131, 0.001738, 0.00372458, 0.00459397, 0.00516336, 0.0055229, 0.00577723, 0.00587931, 0.0058033, 0.00504828, 0.00228041, 0.00103496, 0.000540469, 0.000249223, 0.000173254, 8.78816e-05, 8.57827e-05" \
+              "0.000219901, 0.000264971, 0.000319844, 0.000469193, 0.000622916, 0.000855174, 0.00109294, 0.00177268, 0.00338284, 0.00426928, 0.00458011, 0.00516213, 0.00551421, 0.00577841, 0.00587274, 0.00580585, 0.00504277, 0.00228255, 0.00103338, 0.000827379, 0.000539373, 0.000365565, 0.000248347, 0.000173987, 0.000122255, 8.86363e-05, 7.21485e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00501881");
-            index_3 ("1.79194, 2.01309, 2.07788, 2.10012, 2.14549, 2.20416, 2.28091, 2.35262, 2.38277, 2.44557, 2.50537, 2.55533, 2.5794, 2.60649, 2.65298, 2.66574, 2.67567, 2.69765, 2.75723, 2.78702, 2.80958, 2.83344, 2.85186, 2.88871, 2.94337, 2.97885");
+            index_3 ("1.73899, 1.9423, 2.01072, 2.04703, 2.10023, 2.13498, 2.18211, 2.30862, 2.38277, 2.44557, 2.50268, 2.55509, 2.58261, 2.60637, 2.64793, 2.67514, 2.75236, 2.78292, 2.80734, 2.83722, 2.86108, 2.9088, 2.96657, 3.03753, 3.26245, 4.16479");
             values ( \
-              "0.000926482, 0.00152204, 0.00233079, 0.00274641, 0.003946, 0.00632097, 0.00975351, 0.0124999, 0.0134806, 0.0152994, 0.0166259, 0.0174329, 0.0177005, 0.0178951, 0.01773, 0.0173528, 0.0168244, 0.0147732, 0.00745561, 0.00480338, 0.00333885, 0.00223473, 0.00161584, 0.000818698, 0.000278133, 0.000173934" \
+              "0.000749586, 0.0010287, 0.00152592, 0.00190168, 0.00276017, 0.00362828, 0.00535023, 0.0108815, 0.0135012, 0.0153048, 0.0165829, 0.0174376, 0.0177378, 0.0178974, 0.017806, 0.0168851, 0.00794344, 0.00511065, 0.00344985, 0.00208416, 0.00136573, 0.000543954, 0.000179804, 5.18973e-05, 8.00131e-06, 3.70442e-06" \
             );
           }
           vector (ccs_template) {
@@ -9041,18 +9041,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.32778");
-            index_3 ("2.23188, 2.62731, 2.99179, 3.75852, 3.88943, 4.16665, 4.21606, 4.48642, 4.85442, 5.20907, 5.33868, 5.50699, 5.70221, 6.31241, 6.5914, 6.9634, 7.13065, 7.30429, 7.53582, 7.85025, 7.94065, 8.12145, 8.40223, 8.80272, 8.97554, 9.3212, 10.0125, 11.122, 12.2833");
+            index_3 ("2.24921, 2.66388, 3.08009, 3.88586, 4.13668, 4.17966, 4.48507, 4.85308, 5.20782, 5.50554, 6.58969, 6.96125, 7.30377, 7.53641, 7.93933, 8.11917, 8.40028, 8.80104, 9.32051, 10.0131, 11.1228, 12.2841");
             values ( \
-              "0.0404901, 0.042879, 0.0716153, 0.139636, 0.15091, 0.172918, 0.172182, 0.165353, 0.155207, 0.143105, 0.1377, 0.129896, 0.119947, 0.0868845, 0.072674, 0.0558872, 0.0493247, 0.0431534, 0.0359099, 0.0277097, 0.0257027, 0.0220449, 0.0173124, 0.0121463, 0.01043, 0.00763608, 0.00401617, 0.00131157, 0.000408443" \
+              "0.0418766, 0.0456842, 0.0792466, 0.15072, 0.170798, 0.172998, 0.165367, 0.155219, 0.143089, 0.12991, 0.072688, 0.0559241, 0.043128, 0.0358519, 0.0257008, 0.0220646, 0.01732, 0.0121515, 0.00763307, 0.00400698, 0.00131021, 0.000406085" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.32001");
-            index_3 ("2.72894, 3.46127, 4.17765, 7.00575, 8.40212, 9.56336, 10.5154, 11.475, 12.6363, 13.2843, 15.902, 17.5403, 18.7016, 19.6556, 20.6118, 21.7731, 22.2585, 23.2293, 24.268, 25.4292, 26.7877, 27.5529, 28.7141, 29.8754, 31.0366, 33.3591, 36.8428, 40.3265");
+            index_3 ("2.73819, 3.59943, 4.1769, 6.58074, 8.40254, 9.56378, 10.5159, 11.4754, 12.6367, 13.2847, 15.9024, 17.5407, 18.702, 19.6561, 20.6123, 21.7735, 22.2589, 23.2296, 24.2684, 25.4296, 26.7881, 27.5533, 28.7146, 29.8758, 31.037, 33.3595, 35.682, 40.3269");
             values ( \
-              "0.110212, 0.118992, 0.191344, 0.174571, 0.165825, 0.157936, 0.150637, 0.141814, 0.128626, 0.120368, 0.0850075, 0.0648675, 0.0525391, 0.0438054, 0.0362635, 0.0285722, 0.0258384, 0.0210181, 0.0168118, 0.0130208, 0.00965389, 0.00812578, 0.00626774, 0.00480656, 0.00370242, 0.00218034, 0.000970809, 0.000444365" \
+              "0.102153, 0.133023, 0.191348, 0.177156, 0.165789, 0.157971, 0.15067, 0.141782, 0.128656, 0.120338, 0.0849823, 0.0648436, 0.0525632, 0.0438277, 0.0362407, 0.0285942, 0.0258166, 0.02104, 0.0167906, 0.0130421, 0.00963423, 0.00814528, 0.00624842, 0.00482577, 0.00368336, 0.00216153, 0.00126378, 0.000426291" \
             );
           }
           vector (ccs_template) {
@@ -9124,8 +9124,8 @@
             "0.0236033, 0.0437404, 0.121458, 0.432243, 1.683, 6.71955", \
             "0.0354934, 0.0554214, 0.133552, 0.444653, 1.69551, 6.73209", \
             "0.0675683, 0.101626, 0.183656, 0.494844, 1.74591, 6.78266", \
-            "0.13244, 0.201975, 0.360995, 0.698887, 1.94907, 6.98528", \
-            "0.296118, 0.41988, 0.732832, 1.39995, 2.76996, 7.7998", \
+            "0.13244, 0.201975, 0.360995, 0.698887, 1.94914, 6.98562", \
+            "0.296118, 0.419755, 0.732773, 1.39995, 2.77012, 7.7998", \
             "0.813693, 1.01148, 1.55983, 2.8597, 5.56923, 11.0862" \
           );
         }
@@ -9137,7 +9137,7 @@
             "0.021563, 0.0475838, 0.161096, 0.618117, 2.45837, 9.86806", \
             "0.0464161, 0.0696265, 0.1631, 0.618117, 2.45837, 9.86834", \
             "0.104254, 0.153707, 0.260385, 0.629244, 2.45824, 9.86806", \
-            "0.25857, 0.348205, 0.576322, 1.02595, 2.50771, 9.86833", \
+            "0.25857, 0.348181, 0.576391, 1.02595, 2.50682, 9.86833", \
             "0.808031, 0.924819, 1.31561, 2.27372, 4.09766, 10.0606" \
           );
         }
@@ -9256,7 +9256,7 @@
             index_2 ("0.00124625");
             index_3 ("0.137858, 0.150603, 0.154092, 0.157582, 0.16353, 0.168209, 0.173843, 0.177981, 0.182066, 0.186016, 0.190282, 0.193439, 0.195446, 0.197679, 0.204604, 0.205575, 0.207516, 0.208487, 0.210428, 0.211348, 0.212267, 0.213187, 0.214107, 0.215947, 0.216867, 0.218883, 0.221908, 0.222916, 0.225621, 0.228325, 0.232293, 0.234569, 0.237983, 0.23912, 0.241829, 0.243982, 0.245858, 0.246484, 0.248985, 0.251487, 0.252945, 0.254402, 0.25586, 0.257318, 0.258735, 0.259443, 0.260719, 0.261853, 0.26242, 0.263906");
             values ( \
-              "0.00835306, 0.00924913, 0.0113867, 0.0134083, 0.0166156, 0.0190018, 0.0218062, 0.0237745, 0.0256095, 0.0272894, 0.0290155, 0.0302236, 0.0309334, 0.0316159, 0.0332666, 0.0332799, 0.0332503, 0.0332073, 0.033065, 0.0328057, 0.0322696, 0.0316249, 0.0308716, 0.0290392, 0.0279601, 0.0249584, 0.0210051, 0.0198281, 0.0170779, 0.0147152, 0.0117225, 0.0101884, 0.00831429, 0.00774934, 0.00654297, 0.00562672, 0.00490981, 0.00469067, 0.00395771, 0.00332995, 0.00301264, 0.00273104, 0.00248517, 0.00227501, 0.0027167, 0.00289167, 0.00312954, 0.00325777, 0.00329251, 0.00320691" \
+              "0.00835306, 0.00924913, 0.0113867, 0.0134083, 0.0166156, 0.0190018, 0.0218062, 0.0237745, 0.0256095, 0.0272894, 0.0290155, 0.0302236, 0.0309334, 0.0316159, 0.0332666, 0.0332799, 0.0332503, 0.0332073, 0.033065, 0.0328057, 0.0322696, 0.0316249, 0.0308716, 0.0290392, 0.0279601, 0.0249585, 0.0210051, 0.019828, 0.0170779, 0.0147152, 0.0117225, 0.0101884, 0.00831429, 0.00774934, 0.00654297, 0.00562672, 0.00490981, 0.00469067, 0.00395771, 0.00332995, 0.00301264, 0.00273104, 0.00248517, 0.00227501, 0.0027167, 0.00289167, 0.00312954, 0.00325777, 0.00329251, 0.00320691" \
             );
           }
           vector (ccs_template) {
@@ -9344,18 +9344,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.32778");
-            index_3 ("0.675262, 0.840963, 1.04064, 1.05285, 1.07202, 1.96379, 2.37773, 2.65422, 2.86695, 3.20031, 3.97114, 4.14879, 4.50411, 4.74365, 5.00478, 5.35296, 5.63032, 5.78552, 6.05823, 6.43793, 6.59213, 6.90053, 7.51734, 8.61605, 9.77751, 10.939");
+            index_3 ("0.682841, 0.859511, 1.04087, 1.05287, 1.07186, 1.75436, 2.22224, 2.47003, 2.84207, 3.01561, 3.21393, 3.83494, 4.16848, 4.54399, 4.74506, 4.96286, 5.18617, 5.55652, 5.71298, 6.00229, 6.40938, 6.83607, 7.16341, 7.8181, 8.97956, 10.141");
             values ( \
-              "0.100607, 0.107337, 0.189118, 0.190573, 0.190428, 0.168888, 0.157898, 0.149351, 0.141343, 0.12604, 0.0845575, 0.0755272, 0.0589928, 0.049393, 0.0403403, 0.0304352, 0.0241163, 0.0211593, 0.0166912, 0.0119553, 0.0104049, 0.00791113, 0.00445419, 0.00149975, 0.000443618, 0.000149496" \
+              "0.103269, 0.114642, 0.189125, 0.190577, 0.19044, 0.17409, 0.162193, 0.155159, 0.14244, 0.134928, 0.125357, 0.0918142, 0.0745619, 0.0573142, 0.0493479, 0.0417057, 0.034916, 0.0257031, 0.0224953, 0.017552, 0.0122329, 0.00836965, 0.00624139, 0.003377, 0.00104798, 0.00030955" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32001");
-            index_3 ("0.86684, 0.86686, 2.46466, 4.97135, 7.11052, 8.26272, 9.10044, 10.2619, 10.9093, 13.527, 15.1652, 16.3266, 17.2794, 18.236, 19.3974, 20.8544, 21.8928, 23.0543, 24.4118, 26.3379, 28.6608, 30.9837, 34.4681, 37.9525");
+            index_3 ("0.8831, 0.88312, 2.70793, 5.48635, 7.11092, 8.26287, 9.10058, 10.262, 10.9095, 13.5271, 15.1653, 16.3268, 17.2796, 18.2362, 19.3976, 19.8832, 20.8544, 21.8929, 23.0544, 24.4119, 25.1766, 26.338, 27.4995, 28.6609, 30.9839, 34.4682, 37.9526");
             values ( \
-              "1e-22, 0.214031, 0.187512, 0.172497, 0.158453, 0.149574, 0.141823, 0.128586, 0.120379, 0.085018, 0.064879, 0.0525137, 0.043792, 0.0362801, 0.0285545, 0.0209974, 0.0168241, 0.0130019, 0.00966762, 0.00628172, 0.00371575, 0.00219342, 0.000956832, 0.000457243" \
+              "1e-22, 0.215324, 0.18603, 0.169251, 0.158496, 0.149616, 0.141782, 0.128625, 0.120341, 0.0849854, 0.0648479, 0.052545, 0.043821, 0.0362505, 0.0285832, 0.0258229, 0.021026, 0.0167964, 0.0130298, 0.00964172, 0.00813765, 0.00625623, 0.00481746, 0.00369058, 0.00216855, 0.000981254, 0.000433269" \
             );
           }
           vector (ccs_template) {
@@ -9371,18 +9371,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00501881");
-            index_3 ("1.75699, 1.94298, 1.9878, 2.03581, 2.0916, 2.155, 2.30915, 2.38327, 2.44608, 2.50276, 2.54204, 2.58186, 2.60326, 2.62851, 2.6509, 2.65736, 2.68293, 2.76217, 2.81261, 2.86591, 2.91743, 2.93716");
+            index_3 ("1.62381, 1.94294, 1.98782, 2.07759, 2.12524, 2.15913, 2.28546, 2.35231, 2.38285, 2.44576, 2.50892, 2.55546, 2.58097, 2.60653, 2.62137, 2.63723, 2.65693, 2.67383, 2.68806, 2.76179, 2.80608, 2.83727, 2.86058, 2.9072, 2.94112");
             values ( \
-              "0.000914917, 0.0010283, 0.00132563, 0.00176544, 0.00257755, 0.00426138, 0.0108732, 0.0135022, 0.0152971, 0.0165771, 0.0172547, 0.0177355, 0.0178961, 0.0179427, 0.0177748, 0.0176205, 0.0162825, 0.00698589, 0.00320107, 0.00125682, 0.000464121, 0.000362824" \
+              "0.00010398, 0.0010289, 0.00132834, 0.00229061, 0.00333622, 0.00441401, 0.00992606, 0.0124738, 0.0134866, 0.0152913, 0.0166928, 0.0174406, 0.0177265, 0.0179118, 0.0179522, 0.0178978, 0.0176443, 0.0169284, 0.0157965, 0.00700152, 0.00355144, 0.00209884, 0.00139296, 0.000567641, 0.000355183" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202113");
-            index_3 ("1.86665, 2.08311, 2.12863, 2.18655, 2.22787, 2.43145, 2.56693, 2.63993, 2.7211, 2.81504, 2.90949, 2.94444, 2.98618, 3.00432, 3.03655, 3.07027, 3.11325, 3.225, 3.27361, 3.34574, 3.39729, 3.43848, 3.52086, 3.64358, 3.79072, 3.97689, 4.16457, 4.18803");
+            index_3 ("1.85339, 2.08323, 2.14308, 2.18633, 2.23528, 2.41476, 2.49398, 2.5684, 2.65377, 2.74631, 2.86088, 2.92171, 2.97202, 2.98628, 3.01481, 3.05159, 3.07035, 3.10787, 3.20875, 3.26222, 3.29805, 3.33901, 3.36625, 3.40512, 3.45695, 3.5606, 3.68868, 3.84722, 4.16468, 4.22196");
             values ( \
-              "0.00464718, 0.00542111, 0.00673941, 0.00898743, 0.011055, 0.023217, 0.0302676, 0.0336262, 0.036997, 0.0403404, 0.0429337, 0.0436014, 0.0440402, 0.0440306, 0.0433942, 0.0413074, 0.0363436, 0.0199342, 0.0140673, 0.00777685, 0.00491174, 0.00332215, 0.00141918, 0.000312106, 4.79714e-05, 5.01087e-06, 1.40322e-05, 0.000322182" \
+              "0.00411534, 0.00539767, 0.00722322, 0.00896967, 0.0114495, 0.0222677, 0.0265901, 0.0303353, 0.0342232, 0.0379489, 0.0417063, 0.0431872, 0.0439486, 0.0440368, 0.0439319, 0.0426802, 0.0412824, 0.0370876, 0.0222031, 0.0153107, 0.0116232, 0.00824485, 0.00650246, 0.00456836, 0.00278136, 0.00087991, 0.000180997, 1.57736e-05, 3.55013e-06, 0.000247554" \
             );
           }
           vector (ccs_template) {
@@ -9398,9 +9398,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.32778");
-            index_3 ("2.27479, 2.67991, 3.01885, 3.75774, 4.16573, 4.20026, 4.31421, 4.78963, 4.98218, 5.25079, 5.65448, 6.44214, 6.67927, 7.0369, 7.33485, 7.56446, 7.94056, 8.10224, 8.38972, 8.79539, 9.21677, 9.53944, 10.1848, 11.3462, 12.5077");
+            index_3 ("2.25113, 2.64356, 3.01679, 3.75814, 4.16593, 4.20031, 4.31399, 4.79569, 4.97111, 5.20501, 5.50964, 6.60201, 6.98722, 7.27775, 7.47463, 7.93877, 8.14989, 8.57212, 8.9552, 9.16325, 9.57936, 10.4116, 11.1128");
             values ( \
-              "0.0465431, 0.0468385, 0.0739596, 0.139629, 0.172906, 0.172512, 0.169708, 0.157074, 0.151194, 0.141372, 0.122448, 0.0800907, 0.0684434, 0.052902, 0.0421242, 0.0350629, 0.0256874, 0.0224119, 0.0174857, 0.0122277, 0.00840724, 0.00627606, 0.00344574, 0.00105423, 0.000326435" \
+              "0.0436651, 0.0441153, 0.0737663, 0.139646, 0.17291, 0.172519, 0.169722, 0.156909, 0.151564, 0.14322, 0.129717, 0.0721082, 0.0548675, 0.0440153, 0.0376858, 0.0257051, 0.0214828, 0.0148671, 0.0105976, 0.00879419, 0.006036, 0.00272655, 0.00158683" \
             );
           }
           vector (ccs_template) {
@@ -9775,7 +9775,7 @@
             index_2 ("0.00124625");
             index_3 ("6.70809, 7.62168, 7.77075, 7.9695, 8.15233, 8.26687, 8.33723, 8.46557, 8.66111, 8.80957, 9.01531, 9.14678, 9.27429, 9.39983, 9.52534, 9.58682, 9.64927, 9.7288, 9.793, 9.84262, 9.9405, 10.0494, 10.2216, 10.4319, 10.5967, 10.9262, 11.4562, 12.0817");
             values ( \
-              "3.13854e-05, 6.68488e-05, 8.4342e-05, 0.000119116, 0.000179645, 0.000254277, 0.000332187, 0.00057077, 0.00117417, 0.00146533, 0.00167889, 0.00173097, 0.0017916, 0.0017841, 0.001764, 0.00164676, 0.00131508, 0.000685205, 0.000413179, 0.000304204, 0.000208262, 0.000160877, 0.000105798, 6.9819e-05, 5.22484e-05, 2.21204e-05, 7.52746e-06, 1.30594e-08" \
+              "3.13854e-05, 6.68488e-05, 8.4342e-05, 0.000119116, 0.000179645, 0.000254277, 0.000332187, 0.00057077, 0.00117417, 0.00146533, 0.00167889, 0.00173097, 0.0017916, 0.0017841, 0.001764, 0.00164676, 0.00131508, 0.000685205, 0.000413179, 0.000304204, 0.000208262, 0.000160877, 0.000105798, 6.9819e-05, 5.22484e-05, 2.21204e-05, 7.52746e-06, 1.30595e-08" \
             );
           }
           vector (ccs_template) {
@@ -9836,8 +9836,8 @@
             "0.0236033, 0.0437404, 0.121458, 0.432243, 1.683, 6.71955", \
             "0.0354934, 0.0554214, 0.133552, 0.444653, 1.69551, 6.73209", \
             "0.0675683, 0.101626, 0.183656, 0.494844, 1.74591, 6.78266", \
-            "0.13244, 0.201975, 0.360995, 0.698887, 1.94907, 6.98528", \
-            "0.296118, 0.41988, 0.732832, 1.39995, 2.76996, 7.7998", \
+            "0.13244, 0.201975, 0.360995, 0.698887, 1.94914, 6.98562", \
+            "0.296118, 0.419755, 0.732773, 1.39995, 2.77012, 7.7998", \
             "0.813693, 1.01148, 1.55983, 2.8597, 5.56923, 11.0862" \
           );
         }
@@ -9849,7 +9849,7 @@
             "0.021563, 0.0475838, 0.161096, 0.618117, 2.45837, 9.86806", \
             "0.0464161, 0.0696265, 0.1631, 0.618117, 2.45837, 9.86834", \
             "0.104254, 0.153707, 0.260385, 0.629244, 2.45824, 9.86806", \
-            "0.25857, 0.348205, 0.576322, 1.02595, 2.50771, 9.86833", \
+            "0.25857, 0.348181, 0.576391, 1.02595, 2.50682, 9.86833", \
             "0.808031, 0.924819, 1.31561, 2.27372, 4.09766, 10.0606" \
           );
         }
@@ -9992,7 +9992,7 @@
             index_2 ("0.00124625");
             index_3 ("0.137858, 0.150603, 0.154092, 0.157582, 0.16353, 0.168209, 0.173843, 0.177981, 0.182066, 0.186016, 0.190282, 0.193439, 0.195446, 0.197679, 0.204604, 0.205575, 0.207516, 0.208487, 0.210428, 0.211348, 0.212267, 0.213187, 0.214107, 0.215947, 0.216867, 0.218883, 0.221908, 0.222916, 0.225621, 0.228325, 0.232293, 0.234569, 0.237983, 0.23912, 0.241829, 0.243982, 0.245858, 0.246484, 0.248985, 0.251487, 0.252945, 0.254402, 0.25586, 0.257318, 0.258735, 0.259443, 0.260719, 0.261853, 0.26242, 0.263906");
             values ( \
-              "0.00835306, 0.00924913, 0.0113867, 0.0134083, 0.0166156, 0.0190018, 0.0218062, 0.0237745, 0.0256095, 0.0272894, 0.0290155, 0.0302236, 0.0309334, 0.0316159, 0.0332666, 0.0332799, 0.0332503, 0.0332073, 0.033065, 0.0328057, 0.0322696, 0.0316249, 0.0308716, 0.0290392, 0.0279601, 0.0249584, 0.0210051, 0.0198281, 0.0170779, 0.0147152, 0.0117225, 0.0101884, 0.00831429, 0.00774934, 0.00654297, 0.00562672, 0.00490981, 0.00469067, 0.00395771, 0.00332995, 0.00301264, 0.00273104, 0.00248517, 0.00227501, 0.0027167, 0.00289167, 0.00312954, 0.00325777, 0.00329251, 0.00320691" \
+              "0.00835306, 0.00924913, 0.0113867, 0.0134083, 0.0166156, 0.0190018, 0.0218062, 0.0237745, 0.0256095, 0.0272894, 0.0290155, 0.0302236, 0.0309334, 0.0316159, 0.0332666, 0.0332799, 0.0332503, 0.0332073, 0.033065, 0.0328057, 0.0322696, 0.0316249, 0.0308716, 0.0290392, 0.0279601, 0.0249585, 0.0210051, 0.019828, 0.0170779, 0.0147152, 0.0117225, 0.0101884, 0.00831429, 0.00774934, 0.00654297, 0.00562672, 0.00490981, 0.00469067, 0.00395771, 0.00332995, 0.00301264, 0.00273104, 0.00248517, 0.00227501, 0.0027167, 0.00289167, 0.00312954, 0.00325777, 0.00329251, 0.00320691" \
             );
           }
           vector (ccs_template) {
@@ -10080,18 +10080,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.32778");
-            index_3 ("0.675262, 0.840963, 1.04064, 1.05285, 1.07202, 1.96379, 2.37773, 2.65422, 2.86695, 3.20031, 3.97114, 4.14879, 4.50411, 4.74365, 5.00478, 5.35296, 5.63032, 5.78552, 6.05823, 6.43793, 6.59213, 6.90053, 7.51734, 8.61605, 9.77751, 10.939");
+            index_3 ("0.682841, 0.859511, 1.04087, 1.05287, 1.07186, 1.75436, 2.22224, 2.47003, 2.84207, 3.01561, 3.21393, 3.83494, 4.16848, 4.54399, 4.74506, 4.96286, 5.18617, 5.55652, 5.71298, 6.00229, 6.40938, 6.83607, 7.16341, 7.8181, 8.97956, 10.141");
             values ( \
-              "0.100607, 0.107337, 0.189118, 0.190573, 0.190428, 0.168888, 0.157898, 0.149351, 0.141343, 0.12604, 0.0845575, 0.0755272, 0.0589928, 0.049393, 0.0403403, 0.0304352, 0.0241163, 0.0211593, 0.0166912, 0.0119553, 0.0104049, 0.00791113, 0.00445419, 0.00149975, 0.000443618, 0.000149496" \
+              "0.103269, 0.114642, 0.189125, 0.190577, 0.19044, 0.17409, 0.162193, 0.155159, 0.14244, 0.134928, 0.125357, 0.0918142, 0.0745619, 0.0573142, 0.0493479, 0.0417057, 0.034916, 0.0257031, 0.0224953, 0.017552, 0.0122329, 0.00836965, 0.00624139, 0.003377, 0.00104798, 0.00030955" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32001");
-            index_3 ("0.86684, 0.86686, 2.46466, 4.97135, 7.11052, 8.26272, 9.10044, 10.2619, 10.9093, 13.527, 15.1652, 16.3266, 17.2794, 18.236, 19.3974, 20.8544, 21.8928, 23.0543, 24.4118, 26.3379, 28.6608, 30.9837, 34.4681, 37.9525");
+            index_3 ("0.8831, 0.88312, 2.70793, 5.48635, 7.11092, 8.26287, 9.10058, 10.262, 10.9095, 13.5271, 15.1653, 16.3268, 17.2796, 18.2362, 19.3976, 19.8832, 20.8544, 21.8929, 23.0544, 24.4119, 25.1766, 26.338, 27.4995, 28.6609, 30.9839, 34.4682, 37.9526");
             values ( \
-              "1e-22, 0.214031, 0.187512, 0.172497, 0.158453, 0.149574, 0.141823, 0.128586, 0.120379, 0.085018, 0.064879, 0.0525137, 0.043792, 0.0362801, 0.0285545, 0.0209974, 0.0168241, 0.0130019, 0.00966762, 0.00628172, 0.00371575, 0.00219342, 0.000956832, 0.000457243" \
+              "1e-22, 0.215324, 0.18603, 0.169251, 0.158496, 0.149616, 0.141782, 0.128625, 0.120341, 0.0849854, 0.0648479, 0.052545, 0.043821, 0.0362505, 0.0285832, 0.0258229, 0.021026, 0.0167964, 0.0130298, 0.00964172, 0.00813765, 0.00625623, 0.00481746, 0.00369058, 0.00216855, 0.000981254, 0.000433269" \
             );
           }
           vector (ccs_template) {
@@ -10107,18 +10107,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00501881");
-            index_3 ("1.75699, 1.94298, 1.9878, 2.03581, 2.0916, 2.155, 2.30915, 2.38327, 2.44608, 2.50276, 2.54204, 2.58186, 2.60326, 2.62851, 2.6509, 2.65736, 2.68293, 2.76217, 2.81261, 2.86591, 2.91743, 2.93716");
+            index_3 ("1.62381, 1.94294, 1.98782, 2.07759, 2.12524, 2.15913, 2.28546, 2.35231, 2.38285, 2.44576, 2.50892, 2.55546, 2.58097, 2.60653, 2.62137, 2.63723, 2.65693, 2.67383, 2.68806, 2.76179, 2.80608, 2.83727, 2.86058, 2.9072, 2.94112");
             values ( \
-              "0.000914917, 0.0010283, 0.00132563, 0.00176544, 0.00257755, 0.00426138, 0.0108732, 0.0135022, 0.0152971, 0.0165771, 0.0172547, 0.0177355, 0.0178961, 0.0179427, 0.0177748, 0.0176205, 0.0162825, 0.00698589, 0.00320107, 0.00125682, 0.000464121, 0.000362824" \
+              "0.00010398, 0.0010289, 0.00132834, 0.00229061, 0.00333622, 0.00441401, 0.00992606, 0.0124738, 0.0134866, 0.0152913, 0.0166928, 0.0174406, 0.0177265, 0.0179118, 0.0179522, 0.0178978, 0.0176443, 0.0169284, 0.0157965, 0.00700152, 0.00355144, 0.00209884, 0.00139296, 0.000567641, 0.000355183" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202113");
-            index_3 ("1.86665, 2.08311, 2.12863, 2.18655, 2.22787, 2.43145, 2.56693, 2.63993, 2.7211, 2.81504, 2.90949, 2.94444, 2.98618, 3.00432, 3.03655, 3.07027, 3.11325, 3.225, 3.27361, 3.34574, 3.39729, 3.43848, 3.52086, 3.64358, 3.79072, 3.97689, 4.16457, 4.18803");
+            index_3 ("1.85339, 2.08323, 2.14308, 2.18633, 2.23528, 2.41476, 2.49398, 2.5684, 2.65377, 2.74631, 2.86088, 2.92171, 2.97202, 2.98628, 3.01481, 3.05159, 3.07035, 3.10787, 3.20875, 3.26222, 3.29805, 3.33901, 3.36625, 3.40512, 3.45695, 3.5606, 3.68868, 3.84722, 4.16468, 4.22196");
             values ( \
-              "0.00464718, 0.00542111, 0.00673941, 0.00898743, 0.011055, 0.023217, 0.0302676, 0.0336262, 0.036997, 0.0403404, 0.0429337, 0.0436014, 0.0440402, 0.0440306, 0.0433942, 0.0413074, 0.0363436, 0.0199342, 0.0140673, 0.00777685, 0.00491174, 0.00332215, 0.00141918, 0.000312106, 4.79714e-05, 5.01087e-06, 1.40322e-05, 0.000322182" \
+              "0.00411534, 0.00539767, 0.00722322, 0.00896967, 0.0114495, 0.0222677, 0.0265901, 0.0303353, 0.0342232, 0.0379489, 0.0417063, 0.0431872, 0.0439486, 0.0440368, 0.0439319, 0.0426802, 0.0412824, 0.0370876, 0.0222031, 0.0153107, 0.0116232, 0.00824485, 0.00650246, 0.00456836, 0.00278136, 0.00087991, 0.000180997, 1.57736e-05, 3.55013e-06, 0.000247554" \
             );
           }
           vector (ccs_template) {
@@ -10134,9 +10134,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.32778");
-            index_3 ("2.27479, 2.67991, 3.01885, 3.75774, 4.16573, 4.20026, 4.31421, 4.78963, 4.98218, 5.25079, 5.65448, 6.44214, 6.67927, 7.0369, 7.33485, 7.56446, 7.94056, 8.10224, 8.38972, 8.79539, 9.21677, 9.53944, 10.1848, 11.3462, 12.5077");
+            index_3 ("2.25113, 2.64356, 3.01679, 3.75814, 4.16593, 4.20031, 4.31399, 4.79569, 4.97111, 5.20501, 5.50964, 6.60201, 6.98722, 7.27775, 7.47463, 7.93877, 8.14989, 8.57212, 8.9552, 9.16325, 9.57936, 10.4116, 11.1128");
             values ( \
-              "0.0465431, 0.0468385, 0.0739596, 0.139629, 0.172906, 0.172512, 0.169708, 0.157074, 0.151194, 0.141372, 0.122448, 0.0800907, 0.0684434, 0.052902, 0.0421242, 0.0350629, 0.0256874, 0.0224119, 0.0174857, 0.0122277, 0.00840724, 0.00627606, 0.00344574, 0.00105423, 0.000326435" \
+              "0.0436651, 0.0441153, 0.0737663, 0.139646, 0.17291, 0.172519, 0.169722, 0.156909, 0.151564, 0.14322, 0.129717, 0.0721082, 0.0548675, 0.0440153, 0.0376858, 0.0257051, 0.0214828, 0.0148671, 0.0105976, 0.00879419, 0.006036, 0.00272655, 0.00158683" \
             );
           }
           vector (ccs_template) {
@@ -10507,7 +10507,7 @@
             index_2 ("0.0813932");
             index_3 ("6.42829, 7.15212, 7.41189, 7.53949, 7.78339, 7.87168, 8.84163, 9.12596, 9.42612, 9.74209, 10.0317, 10.3395, 10.4077, 10.544, 10.6209, 10.7235, 10.8517, 11.1476, 11.2553, 11.395, 11.5054, 11.7262, 11.8073");
             values ( \
-              "-0.00491164, -0.00641352, -0.00894229, -0.0104529, -0.0139276, -0.0154086, -0.0341707, -0.0392198, -0.0441254, -0.0487392, -0.052342, -0.0551319, -0.0554798, -0.0555399, -0.0548145, -0.0519121, -0.0438311, -0.0202398, -0.0139647, -0.00830453, -0.00534821, -0.00201039, -0.00158439" \
+              "-0.00491164, -0.00641352, -0.00894229, -0.0104529, -0.0139276, -0.0154086, -0.0341707, -0.0392198, -0.0441254, -0.0487392, -0.052342, -0.0551319, -0.0554798, -0.0555399, -0.0548145, -0.0519121, -0.0438311, -0.0202398, -0.0139648, -0.0083045, -0.00534817, -0.00201035, -0.00158438" \
             );
           }
           vector (ccs_template) {
@@ -10727,8 +10727,8 @@
           values ( \
             "0.00357847, 0.00364557, 0.00372331, 0.00409419, 0.00536996, 0.0118084", \
             "0.00350298, 0.00358406, 0.00368295, 0.00398525, 0.00532442, 0.0117013", \
-            "0.00326955, 0.00339206, 0.00358371, 0.00395752, 0.00535481, 0.0117925", \
-            "0.00306808, 0.00319843, 0.0033995, 0.003828, 0.00535765, 0.011771", \
+            "0.00326955, 0.00339206, 0.00358371, 0.00395752, 0.00535481, 0.0118064", \
+            "0.00306808, 0.00319843, 0.0033995, 0.00382654, 0.00535765, 0.0116703", \
             "0.00393045, 0.00387505, 0.00398491, 0.0041771, 0.00523436, 0.0116684", \
             "0.00939201, 0.00912586, 0.00856148, 0.00787293, 0.00798335, 0.0118573" \
           );
@@ -10798,8 +10798,8 @@
             "0.00923392, 0.00932809, 0.00948186, 0.00988832, 0.0123624, 0.0239191", \
             "0.00913135, 0.00924595, 0.00943581, 0.00988483, 0.0124944, 0.0238971", \
             "0.00897446, 0.00910214, 0.00933829, 0.00992661, 0.0124097, 0.0239923", \
-            "0.00896021, 0.00903866, 0.00927692, 0.00980313, 0.0124838, 0.0239201", \
-            "0.01021, 0.010119, 0.0101109, 0.0101736, 0.0125239, 0.0240587", \
+            "0.00896021, 0.00904112, 0.00927692, 0.00978975, 0.0124838, 0.0240831", \
+            "0.01021, 0.010119, 0.0101109, 0.0101643, 0.0125239, 0.0240587", \
             "0.0165458, 0.0162319, 0.0157788, 0.0150948, 0.0154014, 0.0248198" \
           );
         }
@@ -10827,8 +10827,8 @@
           values ( \
             "0.00357847, 0.00364557, 0.00372331, 0.00409419, 0.00536996, 0.0118084", \
             "0.00350298, 0.00358406, 0.00368295, 0.00398525, 0.00532442, 0.0117013", \
-            "0.00326955, 0.00339206, 0.00358371, 0.00395752, 0.00535481, 0.0117925", \
-            "0.00306808, 0.00319843, 0.0033995, 0.003828, 0.00535765, 0.011771", \
+            "0.00326955, 0.00339206, 0.00358371, 0.00395752, 0.00535481, 0.0118064", \
+            "0.00306808, 0.00319843, 0.0033995, 0.00382654, 0.00535765, 0.0116703", \
             "0.00393045, 0.00387505, 0.00398491, 0.0041771, 0.00523436, 0.0116684", \
             "0.00939201, 0.00912586, 0.00856148, 0.00787293, 0.00798335, 0.0118573" \
           );
@@ -10885,7 +10885,7 @@
           values ( \
             "0.00358467, 0.00365253, 0.00377615, 0.00418086, 0.00602451, 0.0132785", \
             "0.00356469, 0.00364277, 0.00377936, 0.00419358, 0.00585112, 0.0132625", \
-            "0.00350085, 0.00356429, 0.00372928, 0.00415282, 0.00581236, 0.0131943", \
+            "0.00350085, 0.00357009, 0.00372928, 0.00415282, 0.00581236, 0.0132442", \
             "0.00355941, 0.00360606, 0.00371263, 0.00409603, 0.00599472, 0.0132902", \
             "0.00455654, 0.00450451, 0.00445309, 0.00455346, 0.00578533, 0.0133278", \
             "0.0109326, 0.0104435, 0.0095943, 0.00841677, 0.00854192, 0.0133872" \
@@ -10898,7 +10898,7 @@
             "0.00818693, 0.00833024, 0.00856456, 0.00906772, 0.0121134, 0.0248414", \
             "0.0081293, 0.00828466, 0.00854816, 0.00904412, 0.0117875, 0.0249151", \
             "0.00804288, 0.00819, 0.0084995, 0.00907771, 0.011822, 0.0248586", \
-            "0.00814219, 0.00824187, 0.00844595, 0.00901973, 0.0117938, 0.0248642", \
+            "0.00813775, 0.00824187, 0.00844595, 0.00901973, 0.0117938, 0.0248642", \
             "0.00957232, 0.00943692, 0.00927776, 0.00942903, 0.0118828, 0.0249601", \
             "0.0159754, 0.0154453, 0.0146643, 0.0140356, 0.0144724, 0.0255794" \
           );
@@ -10943,10 +10943,10 @@
           values ( \
             "0.00322953, 0.00331312, 0.00344864, 0.00377786, 0.0051773, 0.0116698", \
             "0.00319701, 0.0032935, 0.00343436, 0.00375687, 0.00514944, 0.0116265", \
-            "0.00305589, 0.00318894, 0.00338598, 0.00372348, 0.00511687, 0.0116235", \
+            "0.00305589, 0.00319508, 0.00338598, 0.00373252, 0.00511651, 0.0116235", \
             "0.00307341, 0.00311184, 0.00327762, 0.00368637, 0.00509383, 0.0115863", \
             "0.00421555, 0.00401303, 0.00393405, 0.00404521, 0.00515236, 0.0116217", \
-            "0.0106754, 0.0100259, 0.00886136, 0.00754585, 0.00785226, 0.0120066" \
+            "0.0106754, 0.0100225, 0.00886136, 0.00754585, 0.00785226, 0.0120066" \
           );
         }
         fall_power (power_template) {
@@ -10956,7 +10956,7 @@
             "0.00753609, 0.00760644, 0.00777688, 0.00847303, 0.0117765, 0.0265301", \
             "0.00749327, 0.00756982, 0.00775392, 0.00844967, 0.0119957, 0.0265283", \
             "0.00740757, 0.00749889, 0.00770639, 0.00842165, 0.0118336, 0.0264911", \
-            "0.0075868, 0.007579, 0.00777686, 0.00840628, 0.0118057, 0.0265484", \
+            "0.00765384, 0.007579, 0.00777686, 0.00840628, 0.0118057, 0.0265484", \
             "0.00902891, 0.00886965, 0.0087976, 0.00929377, 0.0119161, 0.0265255", \
             "0.0155521, 0.01485, 0.0142151, 0.0138275, 0.0144306, 0.0272636" \
           );
@@ -10985,7 +10985,7 @@
           values ( \
             "0.00358467, 0.00365253, 0.00377615, 0.00418086, 0.00602451, 0.0132785", \
             "0.00356469, 0.00364277, 0.00377936, 0.00419358, 0.00585112, 0.0132625", \
-            "0.00350085, 0.00356429, 0.00372928, 0.00415282, 0.00581236, 0.0131943", \
+            "0.00350085, 0.00357009, 0.00372928, 0.00415282, 0.00581236, 0.0132442", \
             "0.00355941, 0.00360606, 0.00371263, 0.00409603, 0.00599472, 0.0132902", \
             "0.00455654, 0.00450451, 0.00445309, 0.00455346, 0.00578533, 0.0133278", \
             "0.0109326, 0.0104435, 0.0095943, 0.00841677, 0.00854192, 0.0133872" \
@@ -10998,7 +10998,7 @@
             "0.00753609, 0.00760644, 0.00777688, 0.00847303, 0.0117765, 0.0265301", \
             "0.00749327, 0.00756982, 0.00775392, 0.00844967, 0.0119957, 0.0265283", \
             "0.00740757, 0.00749889, 0.00770639, 0.00842165, 0.0118336, 0.0264911", \
-            "0.0075868, 0.007579, 0.00777686, 0.00840628, 0.0118057, 0.0265484", \
+            "0.00765384, 0.007579, 0.00777686, 0.00840628, 0.0118057, 0.0265484", \
             "0.00902891, 0.00886965, 0.0087976, 0.00929377, 0.0119161, 0.0265255", \
             "0.0155521, 0.01485, 0.0142151, 0.0138275, 0.0144306, 0.0272636" \
           );
@@ -11073,7 +11073,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0474945, 0.0544526, 0.0568043, 0.061018, 0.0686227, 0.0720004, 0.078756, 0.092267, 0.0944662, 0.0988647, 0.107662, 0.117666, 0.137674, 0.141877, 0.150283, 0.164534, 0.16824, 0.185161, 0.199756, 0.206244, 0.237046, 0.242617, 0.255274, 0.26036, 0.265909, 0.2864, 0.292768, 0.301939, 0.312134, 0.321189, 0.326784, 0.333822, 0.343135, 0.351045, 0.360776, 0.371298, 0.384179, 0.392163, 0.408131, 0.427065, 0.454484, 0.465573, 0.48775, 0.532106, 0.607468, 0.625974");
             values ( \
-              "0.00263107, 0.0102954, 0.0102158, 0.0102985, 0.0101363, 0.0101887, 0.00998795, 0.00993168, 0.00977811, 0.0098497, 0.00960113, 0.00957838, 0.0090487, 0.00907746, 0.00863724, 0.00820476, 0.00797249, 0.00802914, 0.00742684, 0.00687797, 0.00498129, 0.00531243, 0.00570233, 0.00550081, 0.00545874, 0.00411972, 0.00399351, 0.00360741, 0.00355312, 0.00310697, 0.00305934, 0.00260723, 0.0024454, 0.00205843, 0.00200174, 0.00162985, 0.00157421, 0.00128273, 0.00122046, 0.000824603, 0.000726618, 0.000477269, 0.000502604, 0.000150689, 0.000161722, 0.000128237" \
+              "0.00263107, 0.0102954, 0.0102158, 0.0102985, 0.0101363, 0.0101887, 0.00998795, 0.00993168, 0.00977811, 0.0098497, 0.00960113, 0.00957838, 0.0090487, 0.00907746, 0.00863724, 0.00820476, 0.00797249, 0.00802914, 0.00742684, 0.00687797, 0.00498129, 0.00531243, 0.00570233, 0.00550081, 0.00545874, 0.00411972, 0.00399351, 0.00360741, 0.00355312, 0.00310697, 0.00305934, 0.00260723, 0.0024454, 0.00205843, 0.00200174, 0.00162985, 0.00157421, 0.00128273, 0.00122046, 0.000824603, 0.000726617, 0.000477269, 0.000502604, 0.000150689, 0.000161722, 0.000128237" \
             );
           }
           vector (ccs_template) {
@@ -11091,7 +11091,7 @@
             index_2 ("0.0145581");
             index_3 ("0.0474246, 0.0620256, 0.0650814, 0.0711841, 0.0773351, 0.0896371, 0.10321, 0.129196, 0.156141, 0.201938, 0.210562, 0.22781, 0.262306, 0.266877, 0.276019, 0.294304, 0.326642, 0.329173, 0.344353, 0.364594, 0.447881, 0.495178, 0.540164, 0.600459, 0.622793, 0.693926, 0.747889, 0.790512, 0.848127, 0.886632, 0.95367, 1.02354, 1.07811, 1.18723, 1.37472, 1.59844");
             values ( \
-              "0.0206107, 0.0513247, 0.0517027, 0.0511098, 0.0513207, 0.0504715, 0.0504222, 0.049322, 0.0490809, 0.0475022, 0.0476404, 0.046724, 0.0458337, 0.0452645, 0.0452367, 0.043892, 0.0423301, 0.0424462, 0.0424023, 0.0417986, 0.0345704, 0.0280475, 0.0256451, 0.0203744, 0.0192081, 0.0141464, 0.0107214, 0.00855187, 0.00621146, 0.00505121, 0.00341606, 0.0022879, 0.00171416, 0.0008601, 0.000289546, 3.42519e-05" \
+              "0.0206107, 0.0513247, 0.0517027, 0.0511098, 0.0513207, 0.0504715, 0.0504222, 0.049322, 0.0490809, 0.0475022, 0.0476404, 0.046724, 0.0458337, 0.0452645, 0.0452367, 0.043892, 0.0423301, 0.0424462, 0.0424023, 0.0417986, 0.0345704, 0.0280475, 0.0256451, 0.0203744, 0.0192081, 0.0141464, 0.0107214, 0.00855187, 0.00621146, 0.00505121, 0.00341606, 0.0022879, 0.00171416, 0.0008601, 0.000289546, 3.4252e-05" \
             );
           }
           vector (ccs_template) {
@@ -11118,7 +11118,7 @@
             index_2 ("0.581233");
             index_3 ("0.165192, 0.165212, 2.02446, 4.08089, 4.55118, 6.15106, 7.54517, 8.52907, 9.90826, 13.2232, 15.3618, 17.7203, 19.0807, 20.9825, 22.9687, 25.5987, 30.1625, 30.999");
             values ( \
-              "1e-22, 0.0900752, 0.0783302, 0.0747716, 0.0744902, 0.0712468, 0.0681035, 0.0643908, 0.0570769, 0.0359139, 0.0243854, 0.0152494, 0.0114383, 0.0075452, 0.00482691, 0.00263703, 0.000885765, 0.000793912" \
+              "1e-22, 0.0900752, 0.0783302, 0.0747716, 0.0744902, 0.0712468, 0.0681035, 0.0643908, 0.0570769, 0.0359139, 0.0243854, 0.0152494, 0.0114383, 0.0075452, 0.00482691, 0.00263703, 0.000885765, 0.000793913" \
             );
           }
           vector (ccs_template) {
@@ -11136,7 +11136,7 @@
             index_2 ("0.00425947");
             index_3 ("0.085596, 0.091659, 0.0991411, 0.173542, 0.194542, 0.205734, 0.239529, 0.256952, 0.274378, 0.276798, 0.298073, 0.320581, 0.344953, 0.353808, 0.375019, 0.396278, 0.409283, 0.475333, 0.491646, 0.52294, 0.568817, 0.616074, 0.696088, 0.793562, 0.907886");
             values ( \
-              "0.0238688, 0.026815, 0.0268867, 0.0249291, 0.0242131, 0.0237046, 0.0216653, 0.0214138, 0.0215853, 0.0206876, 0.0180031, 0.0154174, 0.0134722, 0.0120415, 0.0113641, 0.0110969, 0.0105553, 0.00591485, 0.00501868, 0.00372309, 0.00238589, 0.00148568, 0.000645799, 0.000235809, 4.73541e-05" \
+              "0.0238688, 0.026815, 0.0268867, 0.0249291, 0.0242131, 0.0237046, 0.0216653, 0.0214138, 0.0215853, 0.0206876, 0.0180031, 0.0154174, 0.0134722, 0.0120415, 0.0113641, 0.0110969, 0.0105553, 0.00591485, 0.00501868, 0.00372309, 0.00238589, 0.00148568, 0.000645799, 0.000235809, 4.73542e-05" \
             );
           }
           vector (ccs_template) {
@@ -11208,7 +11208,7 @@
             index_2 ("0.0497569");
             index_3 ("0.22082, 0.251747, 0.259839, 0.269614, 0.274836, 0.283772, 0.307588, 0.32912, 0.475505, 0.627313, 0.735179, 0.768446, 0.834981, 0.916253, 1.02417, 1.04684, 1.05703, 1.0812, 1.16892, 1.19211, 1.24948, 1.46967, 1.54132, 1.64227, 1.70913, 1.81288, 1.9511, 2.0206, 2.11234, 2.16207, 2.22839, 2.33319, 2.48038, 2.65683, 2.89209, 3.28137, 3.77446");
             values ( \
-              "0.0577951, 0.0581143, 0.0602852, 0.0671908, 0.0684548, 0.0692117, 0.06896, 0.0684893, 0.0667582, 0.0647027, 0.0628574, 0.0622508, 0.060539, 0.0591675, 0.0561149, 0.0557942, 0.0555008, 0.0539611, 0.0495108, 0.0496697, 0.0474464, 0.0347115, 0.030827, 0.0255549, 0.0226667, 0.0188905, 0.0144688, 0.0125709, 0.0103302, 0.00929439, 0.00803136, 0.00637933, 0.00456118, 0.00304752, 0.00177487, 0.000659933, 0.000197201" \
+              "0.0577951, 0.0581143, 0.0602852, 0.0671908, 0.0684548, 0.0692117, 0.06896, 0.0684893, 0.0667582, 0.0647027, 0.0628574, 0.0622508, 0.060539, 0.0591675, 0.0561149, 0.0557942, 0.0555008, 0.0539611, 0.0495108, 0.0496697, 0.0474464, 0.0347115, 0.030827, 0.0255549, 0.0226667, 0.0188905, 0.0144688, 0.0125709, 0.0103302, 0.00929439, 0.00803136, 0.00637933, 0.00456118, 0.00304752, 0.00177487, 0.000659932, 0.000197201" \
             );
           }
           vector (ccs_template) {
@@ -11289,7 +11289,7 @@
             index_2 ("0.00124625");
             index_3 ("2.03184, 2.20291, 2.25587, 2.31135, 2.51165, 2.60368, 2.68212, 2.68701, 2.71636, 2.74606, 2.75651, 2.77662, 2.80075, 2.82731, 2.82989, 2.83505, 2.84538, 2.85468, 2.86324, 2.87819, 2.89188, 2.90969, 2.93567, 2.94747, 2.97287, 3.00519, 3.05373, 3.11955, 3.14773, 3.20693, 3.23379, 3.27482, 3.32952, 3.43228, 3.55679, 3.71429, 4.1649, 4.23552, 4.35372");
             values ( \
-              "0.000359412, 0.000467906, 0.000629904, 0.000899913, 0.00216382, 0.0027054, 0.00302369, 0.00302573, 0.00296523, 0.00301254, 0.00321908, 0.00309581, 0.00306569, 0.00287822, 0.00293728, 0.00288569, 0.00291643, 0.00299559, 0.00352794, 0.00380675, 0.00367186, 0.00364234, 0.00335782, 0.00331782, 0.002843, 0.00252238, 0.00186566, 0.00111272, 0.000877307, 0.000504481, 0.000389452, 0.000258449, 0.000155301, 4.0965e-05, 1.56965e-05, 1e-22, 2e-22, 2.48951e-05, 4.84104e-06" \
+              "0.000359412, 0.000467906, 0.000629904, 0.000899913, 0.00216382, 0.0027054, 0.00302369, 0.00302573, 0.00296523, 0.00301254, 0.00321908, 0.00309581, 0.00306569, 0.00287822, 0.00293728, 0.00288569, 0.00291643, 0.00299559, 0.00352794, 0.00380675, 0.00367186, 0.00364234, 0.00335782, 0.00331782, 0.002843, 0.00252238, 0.00186566, 0.00111272, 0.000877307, 0.000504481, 0.000389452, 0.000258448, 0.000155301, 4.0965e-05, 1.56965e-05, 1e-22, 2e-22, 2.48951e-05, 4.84104e-06" \
             );
           }
           vector (ccs_template) {
@@ -11316,7 +11316,7 @@
             index_2 ("0.0497569");
             index_3 ("2.15572, 2.41518, 2.51361, 3.03718, 3.25135, 3.44019, 3.55671, 3.61444, 3.61834, 3.71423, 3.74861, 3.76046, 3.87311, 3.89644, 3.9537, 4.12637, 4.42212, 4.55014, 4.72858, 4.86808, 4.95727, 5.12301, 5.26901, 5.5061, 5.82221, 6.12209");
             values ( \
-              "0.00815503, 0.0118042, 0.0156095, 0.0378342, 0.0454858, 0.0495577, 0.0504324, 0.0512081, 0.0509871, 0.0507352, 0.050885, 0.0508193, 0.0471937, 0.0477463, 0.0466381, 0.0387501, 0.0237001, 0.0189105, 0.0133, 0.00989383, 0.00822725, 0.00560709, 0.0040288, 0.00232831, 0.00113505, 0.000581017" \
+              "0.00815503, 0.0118042, 0.0156095, 0.0378342, 0.0454858, 0.0495577, 0.0504324, 0.0512081, 0.0509871, 0.0507352, 0.050885, 0.0508193, 0.0471937, 0.0477463, 0.0466381, 0.0387501, 0.0237001, 0.0189105, 0.0133, 0.00989383, 0.00822725, 0.00560709, 0.0040288, 0.00232831, 0.00113505, 0.000581018" \
             );
           }
           vector (ccs_template) {
@@ -11352,7 +11352,7 @@
             index_2 ("0.00425947");
             index_3 ("7.50462, 8.16645, 8.33617, 8.67561, 8.85661, 9.08673, 9.64599, 9.83473, 9.89186, 9.95298, 10.0083, 10.0132, 10.1003, 10.1496, 10.17, 10.1967, 10.2849, 10.3291, 10.3892, 10.4427, 10.4694, 10.7755, 10.9512, 11.0366, 11.2326, 11.4472, 11.7085, 12.0486, 12.5342");
             values ( \
-              "0.000230873, 0.0002328, 0.000305856, 0.000563059, 0.000892604, 0.00164067, 0.00381253, 0.00431292, 0.00426738, 0.00434019, 0.00476894, 0.00455047, 0.00438983, 0.00466487, 0.00449752, 0.00455324, 0.00557634, 0.00546566, 0.00551897, 0.00540662, 0.00524565, 0.00195346, 0.000806302, 0.000510915, 0.000167702, 8.13777e-05, 2.78403e-06, 2.94656e-05, 1e-22" \
+              "0.000230873, 0.0002328, 0.000305856, 0.000563059, 0.000892604, 0.00164067, 0.00381253, 0.00431292, 0.00426738, 0.00434019, 0.00476894, 0.00455047, 0.00438983, 0.00466487, 0.00449752, 0.00455324, 0.00557634, 0.00546566, 0.00551897, 0.00540662, 0.00524565, 0.00195346, 0.000806302, 0.000510915, 0.000167702, 8.13778e-05, 2.78402e-06, 2.94656e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -11423,7 +11423,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0253222, 0.0285152, 0.0301613, 0.0323417, 0.0367025, 0.0388182, 0.0430497, 0.0518404, 0.0648634, 0.0649135, 0.0712366, 0.0780628, 0.0909022, 0.103493, 0.115431, 0.116744, 0.135598, 0.147921, 0.161078, 0.162378, 0.164976, 0.186339, 0.193059, 0.21292, 0.222231, 0.23096, 0.234009, 0.240109, 0.248347, 0.259733, 0.26526, 0.279631, 0.286982, 0.309841, 0.359403, 0.392543, 0.431633, 0.478894, 0.539936");
             values ( \
-              "-0.0104294, -0.0177381, -0.0176525, -0.0173894, -0.0173297, -0.0171912, -0.0172828, -0.0171853, -0.0171505, -0.0170808, -0.0170423, -0.0171267, -0.0178136, -0.0178724, -0.0161749, -0.0159207, -0.0105718, -0.00755993, -0.00466706, -0.00467608, -0.00442363, -0.0031676, -0.00271523, -0.00157234, -0.00118085, -0.000859484, -0.000845271, -0.000726556, -0.000623331, -0.000161784, -1e-22, -9.24282e-06, -0.000120438, -0.000253403, -0.000201688, -9.89888e-05, -7.29872e-05, -9.30602e-06, -2.43655e-05" \
+              "-0.0104294, -0.0177381, -0.0176525, -0.0173894, -0.0173297, -0.0171912, -0.0172828, -0.0171853, -0.0171505, -0.0170808, -0.0170423, -0.0171267, -0.0178136, -0.0178724, -0.0161749, -0.0159207, -0.0105718, -0.00755993, -0.00466706, -0.00467608, -0.00442363, -0.0031676, -0.00271523, -0.00157234, -0.00118085, -0.000859484, -0.000845271, -0.000726556, -0.000623331, -0.000161784, -1e-22, -9.24282e-06, -0.000120438, -0.000253404, -0.000201688, -9.89888e-05, -7.29872e-05, -9.30601e-06, -2.43655e-05" \
             );
           }
           vector (ccs_template) {
@@ -11441,7 +11441,7 @@
             index_2 ("0.0145581");
             index_3 ("0.0274156, 0.0276859, 0.0303902, 0.0330672, 0.0372925, 0.041512, 0.0485515, 0.0555946, 0.069681, 0.0839041, 0.108944, 0.112532, 0.119708, 0.130618, 0.141633, 0.163663, 0.185346, 0.199925, 0.225554, 0.230753, 0.24115, 0.280811, 0.322424, 0.354171, 0.367485, 0.385589, 0.394316, 0.411769, 0.434482, 0.463373, 0.482098, 0.486614, 0.495646, 0.513711, 0.535076, 0.56016, 0.586087, 0.61649, 0.653941, 0.70556, 0.779501, 0.887543");
             values ( \
-              "-0.0928613, -0.0929961, -0.0927527, -0.0933009, -0.0928781, -0.0933323, -0.0928286, -0.0931939, -0.0924475, -0.092425, -0.0907384, -0.0909249, -0.0900991, -0.0900554, -0.0893221, -0.0901906, -0.0907151, -0.0888961, -0.0827541, -0.0795485, -0.0750577, -0.0521365, -0.0321732, -0.0201987, -0.0166673, -0.0144893, -0.0127445, -0.0110727, -0.00819581, -0.00694511, -0.00525372, -0.00548228, -0.0044599, -0.00410028, -0.00286007, -0.00268112, -0.00167423, -0.00164902, -0.000717144, -0.000759896, -1e-22, -0.000286278" \
+              "-0.0928613, -0.0929961, -0.0927527, -0.0933009, -0.0928781, -0.0933323, -0.0928286, -0.0931939, -0.0924475, -0.092425, -0.0907384, -0.0909249, -0.0900991, -0.0900554, -0.0893221, -0.0901906, -0.0907151, -0.0888961, -0.0827541, -0.0795485, -0.0750577, -0.0521365, -0.0321732, -0.0201987, -0.0166673, -0.0144893, -0.0127445, -0.0110727, -0.00819581, -0.00694511, -0.00525372, -0.00548228, -0.0044599, -0.00410028, -0.00286007, -0.00268112, -0.00167423, -0.00164902, -0.000717144, -0.000759895, -1e-22, -0.000286278" \
             );
           }
           vector (ccs_template) {
@@ -11558,7 +11558,7 @@
             index_2 ("0.0497569");
             index_3 ("0.16354, 0.202308, 0.219363, 0.22971, 0.243505, 0.259637, 0.260318, 0.261679, 0.264401, 0.268268, 0.272637, 0.279721, 0.32222, 0.328754, 0.341822, 0.398345, 0.459118, 0.469173, 0.489282, 0.529501, 0.541245, 0.564733, 0.608026, 0.614448, 0.627292, 0.652981, 0.692829, 0.733247, 0.764703, 0.78853, 0.818598, 0.836548, 0.868956, 0.924835, 0.963194, 1.00347, 1.05663, 1.07241, 1.10396, 1.16653, 1.21996, 1.25126, 1.31387, 1.38232, 1.45831, 1.54068, 1.63987, 1.76767, 1.90933");
             values ( \
-              "-0.0414936, -0.0867733, -0.10387, -0.112019, -0.120103, -0.126395, -0.129824, -0.130864, -0.131373, -0.131627, -0.131485, -0.131336, -0.130176, -0.129937, -0.129567, -0.127609, -0.125424, -0.125245, -0.124675, -0.123955, -0.123821, -0.123194, -0.120784, -0.120164, -0.118798, -0.115122, -0.106812, -0.096051, -0.0868365, -0.0795614, -0.069349, -0.0648812, -0.0582116, -0.0470813, -0.0398189, -0.032993, -0.0252877, -0.0233722, -0.0197995, -0.0140858, -0.0102312, -0.00858998, -0.00598601, -0.00430332, -0.00292921, -0.0020827, -0.00126475, -0.000763169, -0.000332348" \
+              "-0.0414936, -0.0867733, -0.10387, -0.112019, -0.120103, -0.126395, -0.129824, -0.130864, -0.131373, -0.131627, -0.131485, -0.131336, -0.130176, -0.129937, -0.129567, -0.127609, -0.125424, -0.125245, -0.124675, -0.123955, -0.123821, -0.123194, -0.120784, -0.120164, -0.118798, -0.115122, -0.106812, -0.096051, -0.0868365, -0.0795614, -0.069349, -0.0648812, -0.0582116, -0.0470813, -0.0398189, -0.032993, -0.0252877, -0.0233722, -0.0197995, -0.0140858, -0.0102312, -0.00858998, -0.005986, -0.00430332, -0.00292921, -0.0020827, -0.00126475, -0.000763169, -0.000332348" \
             );
           }
           vector (ccs_template) {
@@ -11630,7 +11630,7 @@
             index_2 ("0.581233");
             index_3 ("0.679033, 0.94419, 1.05668, 1.0879, 1.12322, 1.15562, 1.20106, 1.25379, 1.31916, 1.39002, 1.49378, 1.62388, 1.79464, 2.02547, 2.0649, 2.14376, 2.29521, 2.43847, 2.67878, 2.75151, 2.89696, 3.15127, 3.45231, 3.80482, 4.16511, 4.16996, 4.57852, 4.81282, 5.14954, 5.20963, 5.3688, 5.54969, 5.91805, 7.37882, 8.08759, 8.81106, 9.32197, 9.73649, 10.2112, 10.883, 11.3132, 12.0955, 12.8091, 13.5226, 14.2362, 15.6634, 17.0905");
             values ( \
-              "-0.123006, -0.143708, -0.158696, -0.156412, -0.158291, -0.156288, -0.15793, -0.155986, -0.157467, -0.155518, -0.156808, -0.154666, -0.155672, -0.153137, -0.154609, -0.152691, -0.153682, -0.151504, -0.152085, -0.150191, -0.151134, -0.148418, -0.148565, -0.145182, -0.144662, -0.143866, -0.140912, -0.138714, -0.13379, -0.133794, -0.131414, -0.127495, -0.117601, -0.0719011, -0.0530362, -0.0378827, -0.0293858, -0.023818, -0.0186388, -0.0129984, -0.0103208, -0.0067283, -0.00454174, -0.00306519, -0.00207585, -0.000954507, -0.000442183" \
+              "-0.123006, -0.143708, -0.158696, -0.156412, -0.158291, -0.156288, -0.15793, -0.155986, -0.157467, -0.155518, -0.156808, -0.154666, -0.155672, -0.153137, -0.154609, -0.152691, -0.153682, -0.151504, -0.152085, -0.150191, -0.151134, -0.148418, -0.148565, -0.145182, -0.144662, -0.143866, -0.140912, -0.138714, -0.13379, -0.133794, -0.131414, -0.127495, -0.117601, -0.0719011, -0.0530362, -0.0378827, -0.0293858, -0.023818, -0.0186388, -0.0129984, -0.0103208, -0.0067283, -0.00454174, -0.00306519, -0.00207585, -0.000954507, -0.000442182" \
             );
           }
           vector (ccs_template) {
@@ -11639,7 +11639,7 @@
             index_2 ("0.00124625");
             index_3 ("1.68878, 1.87456, 1.90355, 1.96154, 2.01555, 2.139, 2.28965, 2.34001, 2.34538, 2.37583, 2.39211, 2.40727, 2.42367, 2.44259, 2.46483, 2.47845, 2.49023, 2.50043, 2.51222, 2.52476, 2.5455, 2.58725, 2.5971, 2.60276, 2.6104, 2.61907, 2.63252, 2.64741, 2.66916, 2.69842, 2.73313, 2.77343, 2.82318, 2.88671, 2.97498, 2.99411");
             values ( \
-              "-0.000356388, -0.000638763, -0.000728218, -0.000990729, -0.00131661, -0.00232634, -0.0036389, -0.00431981, -0.00444235, -0.00465218, -0.00468563, -0.00466295, -0.00460961, -0.00457998, -0.00452265, -0.00412437, -0.00445209, -0.0045752, -0.00456837, -0.00435752, -0.00363257, -0.00133641, -0.00108322, -0.00109067, -0.000965832, -0.000982097, -0.00082769, -0.000787274, -0.000561096, -0.000434667, -0.000204093, -0.000152363, -1.96668e-05, -5.03256e-05, -1e-22, -5.44178e-06" \
+              "-0.000356388, -0.000638763, -0.000728218, -0.000990729, -0.00131661, -0.00232634, -0.0036389, -0.00431981, -0.00444235, -0.00465218, -0.00468563, -0.00466295, -0.00460961, -0.00457998, -0.00452265, -0.00412437, -0.00445209, -0.0045752, -0.00456837, -0.00435752, -0.00363257, -0.00133641, -0.00108322, -0.00109067, -0.000965832, -0.000982097, -0.00082769, -0.000787274, -0.000561096, -0.000434667, -0.000204093, -0.000152363, -1.96668e-05, -5.03256e-05, -1e-22, -5.44174e-06" \
             );
           }
           vector (ccs_template) {
@@ -11684,7 +11684,7 @@
             index_2 ("0.581233");
             index_3 ("2.28647, 2.79729, 3.29607, 3.78494, 3.8816, 3.97825, 4.0749, 4.17156, 5.2497, 5.88216, 6.33733, 6.6488, 6.89172, 7.09876, 7.24178, 7.42114, 7.68242, 7.99908, 8.37806, 8.964, 9.63679, 10.0497, 10.6143, 11.1007, 11.7767, 12.2031, 12.5599, 12.9167, 13.2714");
             values ( \
-              "-0.0680728, -0.0760571, -0.117262, -0.147054, -0.150675, -0.153172, -0.153671, -0.153774, -0.149286, -0.146105, -0.143529, -0.141281, -0.139135, -0.136635, -0.13431, -0.132372, -0.127023, -0.118466, -0.106946, -0.0878286, -0.0679547, -0.0569809, -0.0437347, -0.0348533, -0.024476, -0.0197165, -0.0164286, -0.0136033, -0.0112247" \
+              "-0.0680728, -0.0760571, -0.117262, -0.147054, -0.150675, -0.153172, -0.153671, -0.153774, -0.149286, -0.146106, -0.143529, -0.141281, -0.139135, -0.136635, -0.13431, -0.132372, -0.127023, -0.118466, -0.106946, -0.0878286, -0.0679547, -0.0569809, -0.0437347, -0.0348533, -0.024476, -0.0197165, -0.0164286, -0.0136033, -0.0112247" \
             );
           }
           vector (ccs_template) {
@@ -11702,7 +11702,7 @@
             index_2 ("0.00425947");
             index_3 ("6.2251, 6.82423, 7.09111, 7.19828, 7.41262, 7.57703, 7.70432, 7.8498, 8.19023, 8.33752, 8.53482, 8.59897, 8.63608, 8.69954, 8.75429, 8.78984, 8.80853, 8.85005, 8.88289, 8.90076, 8.95373, 9.0002, 9.17682, 9.23415, 9.23974, 9.31804, 9.40752, 9.53892, 9.70584, 9.93434, 10.316, 10.3286");
             values ( \
-              "-0.00029389, -0.000363337, -0.000549277, -0.000661194, -0.000949935, -0.00131775, -0.00172934, -0.0023489, -0.00393586, -0.00467972, -0.00596814, -0.00611589, -0.00598941, -0.00589271, -0.00585338, -0.00595825, -0.00574834, -0.00621131, -0.00601425, -0.00556138, -0.00603422, -0.00589901, -0.00175993, -0.000982535, -0.000999104, -0.000408263, -0.000226968, -4.73028e-05, -9.24026e-05, -4.15638e-06, -5.58984e-05, -5.48504e-05" \
+              "-0.00029389, -0.000363337, -0.000549277, -0.000661194, -0.000949935, -0.00131775, -0.00172934, -0.0023489, -0.00393586, -0.00467972, -0.00596814, -0.00611589, -0.00598941, -0.00589271, -0.00585338, -0.00595825, -0.00574834, -0.00621131, -0.00601425, -0.00556138, -0.00603422, -0.00589901, -0.00175993, -0.000982535, -0.000999104, -0.000408263, -0.000226968, -4.73029e-05, -9.24026e-05, -4.15639e-06, -5.58984e-05, -5.48504e-05" \
             );
           }
           vector (ccs_template) {
@@ -11835,7 +11835,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.00425947");
-            index_3 ("0.0437229, 0.0499753, 0.0579563, 0.068979, 0.0713405, 0.0760634, 0.0855092, 0.0985789, 0.125967, 0.126509, 0.142762, 0.186085, 0.201689, 0.217811, 0.233653, 0.251266, 0.253642, 0.279672, 0.290283, 0.303483, 0.308498, 0.315184, 0.342997, 0.363633, 0.414694, 0.434793, 0.461013, 0.506232, 0.529439, 0.622266, 0.693519");
+            index_3 ("0.0437229, 0.0499753, 0.0579563, 0.068979, 0.0713405, 0.0760634, 0.0855092, 0.0985789, 0.125967, 0.126509, 0.142762, 0.186085, 0.201689, 0.217811, 0.233653, 0.251266, 0.253642, 0.279672, 0.290283, 0.303483, 0.308498, 0.315184, 0.342997, 0.363633, 0.414694, 0.434793, 0.461013, 0.506232, 0.529439, 0.622266, 0.69352");
             values ( \
               "0.0200143, 0.0291393, 0.0285195, 0.0287964, 0.0282207, 0.0286421, 0.0279092, 0.0281067, 0.0268234, 0.0270475, 0.0264607, 0.0242923, 0.0238991, 0.0245566, 0.0213353, 0.0194345, 0.0184937, 0.0148995, 0.0129774, 0.0118066, 0.0119079, 0.0113168, 0.0113929, 0.0100509, 0.00551364, 0.0043426, 0.00321217, 0.00189307, 0.00143608, 0.00043627, 0.000276778" \
             );
@@ -11900,7 +11900,7 @@
             index_2 ("0.0145581");
             index_3 ("0.0774359, 0.0905957, 0.104671, 0.176469, 0.22491, 0.277069, 0.330896, 0.35838, 0.386843, 0.428138, 0.450318, 0.476204, 0.49689, 0.512436, 0.523674, 0.535177, 0.548897, 0.563075, 0.601086, 0.623549, 0.695144, 0.757228, 0.782419, 0.816006, 0.847221, 0.905699, 0.982065, 1.05722, 1.15565, 1.31445, 1.51902");
             values ( \
-              "0.0237555, 0.0559917, 0.0557676, 0.0537992, 0.052281, 0.0501639, 0.0473193, 0.0470805, 0.0459277, 0.0397359, 0.036907, 0.0320753, 0.0293288, 0.0284217, 0.0286553, 0.0273928, 0.0264244, 0.0243653, 0.020617, 0.0190737, 0.0130468, 0.00890914, 0.00760265, 0.00618649, 0.00499842, 0.0033975, 0.00203505, 0.00126311, 0.000600908, 0.000219475, 1.4221e-05" \
+              "0.0237555, 0.0559917, 0.0557676, 0.0537992, 0.052281, 0.0501639, 0.0473193, 0.0470805, 0.0459277, 0.039736, 0.036907, 0.0320753, 0.0293288, 0.0284217, 0.0286553, 0.0273928, 0.0264244, 0.0243653, 0.020617, 0.0190737, 0.0130468, 0.00890914, 0.00760265, 0.00618649, 0.00499842, 0.0033975, 0.00203505, 0.00126311, 0.000600908, 0.000219475, 1.4221e-05" \
             );
           }
           vector (ccs_template) {
@@ -11952,9 +11952,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0145581");
-            index_3 ("0.199743, 0.21832, 0.235954, 0.259841, 0.266347, 0.2706, 0.279104, 0.338959, 0.364116, 0.418105, 0.469098, 0.49275, 0.519915, 0.524934, 0.534972, 0.584208, 0.62749, 0.641623, 0.653067, 0.668267, 0.67341, 0.716028, 0.844036, 0.904643, 0.959279, 1.00168, 1.06466, 1.18132, 1.28145, 1.43603, 1.6423");
+            index_3 ("0.199743, 0.21832, 0.235954, 0.259841, 0.266347, 0.2706, 0.279104, 0.338959, 0.364116, 0.418105, 0.469098, 0.49275, 0.519915, 0.524934, 0.534972, 0.584208, 0.62749, 0.641623, 0.653067, 0.668267, 0.67341, 0.716028, 0.844036, 0.904643, 0.95928, 1.00168, 1.06466, 1.18132, 1.28145, 1.43603, 1.6423");
             values ( \
-              "0.0217868, 0.0347147, 0.0422726, 0.0481753, 0.0526967, 0.0538991, 0.0547408, 0.0530975, 0.0522142, 0.0500617, 0.0473236, 0.0470997, 0.0464366, 0.045279, 0.0444605, 0.0374384, 0.030225, 0.0284951, 0.0291222, 0.0277764, 0.0277836, 0.0225756, 0.0122927, 0.00844495, 0.00594557, 0.00452868, 0.00297179, 0.00134499, 0.000695764, 0.000202684, 5.93196e-05" \
+              "0.0217868, 0.0347147, 0.0422726, 0.0481753, 0.0526967, 0.0538991, 0.0547408, 0.0530975, 0.0522142, 0.0500617, 0.0473236, 0.0470997, 0.0464366, 0.045279, 0.0444605, 0.0374384, 0.030225, 0.0284951, 0.0291222, 0.0277764, 0.0277836, 0.0225756, 0.0122927, 0.00844495, 0.00594556, 0.00452868, 0.00297179, 0.00134499, 0.000695765, 0.000202684, 5.93198e-05" \
             );
           }
           vector (ccs_template) {
@@ -11979,9 +11979,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.581233");
-            index_3 ("0.330779, 0.330799, 2.39545, 3.81247, 5.07926, 6.03278, 6.50048, 6.86078, 7.5271, 8.35465, 9.37179, 11.3273, 13.3713, 14.3181, 15.3083, 17.4496, 18.9888, 20.0457, 20.7497, 22.8634, 24.977, 27.0906, 28.4034");
+            index_3 ("0.330779, 0.330799, 2.39545, 3.81247, 5.07926, 6.03278, 6.50048, 6.86077, 7.52708, 8.35468, 9.37179, 11.3273, 13.3716, 14.3181, 15.3079, 17.4498, 18.9892, 20.046, 20.7497, 22.8634, 24.977, 27.0906, 28.4026");
             values ( \
-              "1e-22, 0.0999705, 0.0868551, 0.0840926, 0.081137, 0.0783945, 0.0765552, 0.0759441, 0.073186, 0.0685238, 0.0613136, 0.0455883, 0.0307792, 0.0251416, 0.0201585, 0.0121348, 0.0082708, 0.00642561, 0.00526618, 0.00302189, 0.00171323, 0.000957791, 0.000795168" \
+              "1e-22, 0.0999705, 0.0868551, 0.0840926, 0.081137, 0.0783944, 0.0765552, 0.0759442, 0.0731861, 0.0685236, 0.0613136, 0.0455883, 0.0307773, 0.0251415, 0.0201603, 0.0121343, 0.00827014, 0.00642509, 0.00526617, 0.00302189, 0.00171322, 0.000957792, 0.000795264" \
             );
           }
           vector (ccs_template) {
@@ -11999,7 +11999,7 @@
             index_2 ("0.00425947");
             index_3 ("0.596032, 0.660998, 0.767489, 0.794908, 0.81518, 0.857254, 0.878226, 0.897027, 0.916863, 0.934952, 0.953589, 0.975985, 1.01068, 1.02576, 1.03178, 1.04095, 1.04561, 1.05447, 1.06232, 1.08616, 1.13108, 1.1583, 1.18875, 1.21494, 1.23407, 1.2516, 1.27498, 1.32173, 1.4088, 1.51154");
             values ( \
-              "0.00468348, 0.00712346, 0.0145312, 0.0163392, 0.0174775, 0.0190676, 0.0193537, 0.019318, 0.0205238, 0.0206238, 0.0187292, 0.0167942, 0.0126652, 0.0118532, 0.0118607, 0.0116158, 0.0122461, 0.0122921, 0.0120931, 0.0102543, 0.0060898, 0.00444458, 0.00315112, 0.00235159, 0.00186337, 0.00151643, 0.00116877, 0.000646086, 0.000220846, 4.36101e-05" \
+              "0.00468348, 0.00712346, 0.0145312, 0.0163392, 0.0174775, 0.0190676, 0.0193537, 0.019318, 0.0205238, 0.0206238, 0.0187292, 0.0167942, 0.0126652, 0.0118532, 0.0118607, 0.0116158, 0.0122461, 0.0122921, 0.0120931, 0.0102543, 0.0060898, 0.00444458, 0.00315112, 0.00235159, 0.00186337, 0.00151643, 0.00116877, 0.000646086, 0.000220846, 4.361e-05" \
             );
           }
           vector (ccs_template) {
@@ -12008,7 +12008,7 @@
             index_2 ("0.0145581");
             index_3 ("0.606649, 0.682066, 0.755554, 0.808455, 0.837536, 0.8957, 0.91914, 0.950393, 0.96355, 0.989864, 1.02199, 1.04088, 1.05138, 1.06504, 1.07881, 1.10162, 1.17889, 1.19442, 1.20632, 1.22109, 1.22702, 1.23887, 1.25347, 1.27339, 1.29394, 1.31733, 1.34568, 1.34903, 1.35575, 1.36917, 1.41726, 1.45762, 1.47096, 1.49764, 1.54403, 1.59744, 1.62392, 1.67689, 1.75537, 1.8812, 2.03984, 2.26687");
             values ( \
-              "0.0109088, 0.0165894, 0.0266237, 0.0336528, 0.0369761, 0.0418566, 0.0431763, 0.0443617, 0.0447379, 0.0449861, 0.044859, 0.0458398, 0.0466108, 0.0469428, 0.0452782, 0.042825, 0.0306637, 0.0285822, 0.0293757, 0.0278465, 0.0279046, 0.0263001, 0.0248591, 0.0221485, 0.0208182, 0.0186806, 0.0166374, 0.0161365, 0.0156566, 0.0144713, 0.0108772, 0.00847338, 0.00778279, 0.00657753, 0.00484863, 0.00340666, 0.00289164, 0.00199825, 0.00120239, 0.000464055, 0.00017144, 3.15121e-06" \
+              "0.0109088, 0.0165894, 0.0266237, 0.0336528, 0.0369761, 0.0418566, 0.0431763, 0.0443617, 0.0447379, 0.0449861, 0.044859, 0.0458398, 0.0466108, 0.0469428, 0.0452782, 0.042825, 0.0306637, 0.0285822, 0.0293757, 0.0278465, 0.0279046, 0.0263001, 0.0248591, 0.0221485, 0.0208182, 0.0186806, 0.0166374, 0.0161365, 0.0156566, 0.0144713, 0.0108772, 0.00847338, 0.00778279, 0.00657753, 0.00484863, 0.00340666, 0.00289164, 0.00199825, 0.00120239, 0.000464055, 0.00017144, 3.1512e-06" \
             );
           }
           vector (ccs_template) {
@@ -12044,7 +12044,7 @@
             index_2 ("0.00124625");
             index_3 ("1.9659, 2.16091, 2.26121, 2.36073, 2.56135, 2.59869, 2.67337, 2.68329, 2.72296, 2.74934, 2.75079, 2.77554, 2.79659, 2.83133, 2.84003, 2.85936, 2.88114, 2.88302, 2.90229, 2.9236, 2.93411, 2.98387, 3.04663, 3.10361, 3.14164, 3.18703, 3.2667, 3.35876, 3.46805, 3.60448, 3.74936");
             values ( \
-              "0.000271248, 0.000362109, 0.0006557, 0.00121179, 0.00248882, 0.00269364, 0.00304675, 0.00305664, 0.0030296, 0.00325473, 0.00323882, 0.00331895, 0.00327355, 0.00316646, 0.00312042, 0.00376604, 0.00373553, 0.00370273, 0.00371119, 0.0035453, 0.0036826, 0.00274381, 0.00176664, 0.0010374, 0.000698438, 0.000421382, 0.000158132, 7.05803e-05, 1e-22, 2.06707e-05, 4.2038e-06" \
+              "0.000271248, 0.000362109, 0.0006557, 0.00121179, 0.00248882, 0.00269364, 0.00304675, 0.00305664, 0.0030296, 0.00325473, 0.00323882, 0.00331895, 0.00327355, 0.00316646, 0.00312042, 0.00376604, 0.00373553, 0.00370273, 0.00371119, 0.0035453, 0.0036826, 0.00274381, 0.00176664, 0.0010374, 0.000698438, 0.000421382, 0.000158132, 7.05803e-05, 1e-22, 2.06707e-05, 4.20379e-06" \
             );
           }
           vector (ccs_template) {
@@ -12107,7 +12107,7 @@
             index_2 ("0.00425947");
             index_3 ("7.40885, 8.1156, 8.28814, 8.43609, 8.62714, 8.86061, 9.04525, 9.63083, 9.81923, 9.87276, 9.93469, 9.98979, 9.99535, 10.1005, 10.1563, 10.1976, 10.2571, 10.2993, 10.3022, 10.306, 10.3123, 10.3249, 10.3441, 10.3926, 10.4734, 10.6562, 10.8274, 10.9084, 11.0717, 11.2515, 11.4668, 11.5246");
             values ( \
-              "0.00021114, 0.00022293, 0.000292577, 0.000376104, 0.000550646, 0.000947686, 0.00152081, 0.00381078, 0.00434012, 0.00432987, 0.0044004, 0.00491321, 0.00474394, 0.00462153, 0.00443216, 0.00463207, 0.00621117, 0.00586951, 0.005947, 0.00589348, 0.00592762, 0.00588103, 0.00592134, 0.00585422, 0.00532316, 0.00280373, 0.00114523, 0.000717202, 0.000266762, 0.000114016, 2.36744e-05, 2.33763e-05" \
+              "0.00021114, 0.00022293, 0.000292577, 0.000376104, 0.000550646, 0.000947686, 0.00152081, 0.00381078, 0.00434012, 0.00432987, 0.0044004, 0.00491321, 0.00474394, 0.00462153, 0.00443216, 0.00463207, 0.00621117, 0.00586951, 0.005947, 0.00589348, 0.00592762, 0.00588103, 0.00592134, 0.00585422, 0.00532316, 0.00280373, 0.00114523, 0.000717202, 0.000266762, 0.000114016, 2.36743e-05, 2.33763e-05" \
             );
           }
           vector (ccs_template) {
@@ -12116,7 +12116,7 @@
             index_2 ("0.0145581");
             index_3 ("7.40979, 8.18728, 8.33851, 8.64097, 8.80582, 9.05682, 9.9244, 10.1634, 10.2745, 10.3773, 10.4972, 10.5729, 10.6011, 10.625, 10.6649, 10.7124, 10.7963, 10.8272, 10.9036, 10.9845, 11.2566, 11.3536, 11.4586, 11.5883, 11.6662, 11.8218, 12.069, 12.3619, 12.7256");
             values ( \
-              "0.00060277, 0.000746213, 0.0009505, 0.00148656, 0.00201878, 0.00336886, 0.0102043, 0.0117583, 0.0121037, 0.0131459, 0.0134384, 0.0130973, 0.0131608, 0.0131046, 0.0144696, 0.0148575, 0.014435, 0.0148087, 0.0146425, 0.0133836, 0.0068777, 0.00497698, 0.003392, 0.00203861, 0.00148964, 0.000754095, 0.000236429, 5.40746e-05, 1.61383e-05" \
+              "0.00060277, 0.000746213, 0.0009505, 0.00148656, 0.00201878, 0.00336886, 0.0102043, 0.0117583, 0.0121037, 0.0131459, 0.0134384, 0.0130973, 0.0131608, 0.0131046, 0.0144696, 0.0148575, 0.014435, 0.0148087, 0.0146425, 0.0133836, 0.0068777, 0.00497698, 0.003392, 0.00203861, 0.00148964, 0.000754095, 0.000236429, 5.40746e-05, 1.61382e-05" \
             );
           }
           vector (ccs_template) {
@@ -12221,7 +12221,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.581233");
-            index_3 ("0.03212, 0.0439574, 0.0831616, 0.106077, 0.14031, 0.174589, 0.243148, 0.364902, 0.389095, 0.437481, 0.534252, 0.727795, 0.748858, 0.790983, 0.875233, 1.04373, 1.38073, 1.47692, 1.66929, 2.05402, 2.21913, 2.54936, 2.97608, 3.71456, 3.75131, 3.82482, 4.12632, 4.62051, 5.42434, 7.07717, 8.06263, 8.86757, 9.65048, 10.5313, 11.778, 12.0903, 12.715, 14.1919, 14.9304, 15.6689, 16.4074, 17.1458, 17.8843, 18.6228");
+            index_3 ("0.0321201, 0.0439574, 0.0831616, 0.106078, 0.14031, 0.174589, 0.243148, 0.364902, 0.389095, 0.437481, 0.534252, 0.727795, 0.748858, 0.790983, 0.875233, 1.04373, 1.38073, 1.47692, 1.66929, 2.05402, 2.21913, 2.54936, 2.97608, 3.71456, 3.75131, 3.82482, 4.12632, 4.62051, 5.42434, 7.07717, 8.06263, 8.86757, 9.65048, 10.5313, 11.778, 12.0903, 12.715, 14.1919, 14.9304, 15.6689, 16.4074, 17.1458, 17.8843, 18.6228");
             values ( \
               "-0.144414, -0.147683, -0.146704, -0.147255, -0.146506, -0.147043, -0.146185, -0.146446, -0.145724, -0.146216, -0.145262, -0.145285, -0.144569, -0.14508, -0.144156, -0.144248, -0.142461, -0.142775, -0.141454, -0.140706, -0.13944, -0.138815, -0.136433, -0.133625, -0.132787, -0.132982, -0.13092, -0.126494, -0.112275, -0.068765, -0.0471022, -0.0335793, -0.0237219, -0.0157976, -0.00864867, -0.00804658, -0.0054321, -0.00253042, -0.0023205, -0.00109314, -0.00131026, -0.000380308, -0.000809966, -2.66196e-05" \
             );
@@ -12232,7 +12232,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0596903, 0.0661382, 0.0668074, 0.0678113, 0.0693695, 0.0716888, 0.0747906, 0.0758179, 0.0778724, 0.0819815, 0.0888428, 0.101894, 0.10233, 0.102911, 0.104073, 0.106397, 0.110917, 0.115471, 0.128785, 0.135359, 0.141833, 0.15189, 0.177773, 0.200179, 0.210203, 0.215309, 0.232311, 0.239577, 0.256428, 0.266498, 0.27388, 0.275657, 0.279212, 0.284741, 0.290734, 0.298868, 0.306035, 0.334355, 0.343736, 0.357314, 0.376117, 0.408548, 0.446837, 0.491055, 0.541026, 0.600351");
             values ( \
-              "-0.00514398, -0.012241, -0.0227208, -0.0120453, -0.0228141, -0.0120213, -0.0227318, -0.0119232, -0.0226834, -0.011847, -0.0225468, -0.0114685, -0.0168796, -0.0167512, -0.0168297, -0.0166621, -0.0166997, -0.0165836, -0.0171662, -0.0173681, -0.016895, -0.0155472, -0.00916211, -0.00471999, -0.00413652, -0.00397395, -0.00311664, -0.00264418, -0.00183742, -0.00151813, -0.00118627, -0.00123585, -0.00100618, -0.000960019, -0.000650601, -0.000487895, -6.6942e-05, -1e-22, -0.00010064, -1.34995e-05, -0.000201802, -7.58608e-05, -0.000192265, -7.03717e-06, -0.0001156, -1e-22" \
+              "-0.00514398, -0.012241, -0.0227208, -0.0120453, -0.0228141, -0.0120213, -0.0227318, -0.0119232, -0.0226834, -0.011847, -0.0225468, -0.0114685, -0.0168796, -0.0167512, -0.0168297, -0.0166621, -0.0166997, -0.0165836, -0.0171662, -0.0173681, -0.016895, -0.0155472, -0.00916211, -0.00471999, -0.00413652, -0.00397395, -0.00311664, -0.00264418, -0.00183742, -0.00151813, -0.00118627, -0.00123585, -0.00100618, -0.000960019, -0.000650601, -0.000487895, -6.6942e-05, -1e-22, -0.00010064, -1.34996e-05, -0.000201802, -7.58608e-05, -0.000192265, -7.03717e-06, -0.0001156, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -12257,9 +12257,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0497569");
-            index_3 ("0.0649298, 0.0668596, 0.0715413, 0.0745992, 0.0794492, 0.100962, 0.137052, 0.209998, 0.348266, 0.450585, 0.47687, 0.513814, 0.521967, 0.554576, 0.602157, 0.708102, 0.773086, 0.830687, 0.88583, 0.94941, 1.0311, 1.06939, 1.1224, 1.18261, 1.2389, 1.31686, 1.39618, 1.48601, 1.5916, 1.722, 2.04893");
+            index_3 ("0.0649298, 0.0668596, 0.0715413, 0.0745992, 0.0794492, 0.100962, 0.137052, 0.209998, 0.348266, 0.450585, 0.47687, 0.513814, 0.521967, 0.554576, 0.602157, 0.708102, 0.773087, 0.830687, 0.88583, 0.949409, 1.0311, 1.06939, 1.1224, 1.18261, 1.2389, 1.31685, 1.39618, 1.48601, 1.5916, 1.722, 2.04893");
             values ( \
-              "-0.101606, -0.12045, -0.124674, -0.125359, -0.125375, -0.124539, -0.123792, -0.121905, -0.117215, -0.114636, -0.113635, -0.111023, -0.110161, -0.105842, -0.0967615, -0.0725252, -0.0558534, -0.0455222, -0.037204, -0.0288656, -0.0202834, -0.0170132, -0.0131266, -0.0096357, -0.00738722, -0.00523334, -0.00377093, -0.00259878, -0.00169356, -0.000962607, -0.000215531" \
+              "-0.101606, -0.12045, -0.124674, -0.125359, -0.125375, -0.124539, -0.123792, -0.121905, -0.117215, -0.114636, -0.113635, -0.111023, -0.110161, -0.105842, -0.0967615, -0.0725252, -0.0558534, -0.0455221, -0.037204, -0.0288657, -0.0202834, -0.0170131, -0.0131266, -0.00963567, -0.00738722, -0.00523336, -0.00377095, -0.00259879, -0.00169357, -0.000962614, -0.000215534" \
             );
           }
           vector (ccs_template) {
@@ -12383,9 +12383,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.581233");
-            index_3 ("0.64196, 0.840094, 0.97028, 1.07373, 2.89234, 3.97746, 4.64995, 5.28354, 5.81369, 6.02025, 6.48819, 7.76094, 8.49334, 8.80191, 9.13227, 9.57274, 10.1638, 10.6772, 11.2105, 11.949, 12.4647, 13.4171, 14.1556, 14.8941, 15.6325, 17.1095, 18.5864");
+            index_3 ("0.64196, 0.840094, 0.97028, 1.07373, 2.89234, 3.97746, 4.64995, 5.28354, 5.81369, 6.02025, 6.4882, 7.76094, 8.49334, 8.80191, 9.13227, 9.57274, 10.1638, 10.6772, 11.2105, 11.949, 12.4647, 13.4171, 14.1556, 14.8941, 15.6325, 17.1095, 18.5864");
             values ( \
-              "-0.0949127, -0.116386, -0.138563, -0.146112, -0.139827, -0.135382, -0.131777, -0.126536, -0.11822, -0.114067, -0.102497, -0.0690496, -0.0523958, -0.0463258, -0.0404484, -0.0335751, -0.0259098, -0.0205909, -0.0161418, -0.0114089, -0.00895491, -0.00570125, -0.00400821, -0.00282502, -0.00198462, -0.000981972, -0.000484994" \
+              "-0.0949127, -0.116386, -0.138563, -0.146112, -0.139827, -0.135382, -0.131777, -0.126536, -0.11822, -0.114067, -0.102497, -0.0690496, -0.0523958, -0.0463258, -0.0404484, -0.0335751, -0.0259098, -0.0205909, -0.0161418, -0.0114089, -0.00895491, -0.00570125, -0.00400821, -0.00282502, -0.00198462, -0.000981973, -0.000484995" \
             );
           }
           vector (ccs_template) {
@@ -12448,7 +12448,7 @@
             index_2 ("0.00124625");
             index_3 ("6.31807, 6.8641, 7.10028, 7.18237, 7.34657, 7.52471, 7.61784, 7.74202, 7.88986, 8.2142, 8.24859, 8.31739, 8.33729, 8.37852, 8.41149, 8.44792, 8.50345, 8.53835, 8.57305, 8.59362, 8.61671, 8.63474, 8.63751, 8.64303, 8.65344, 8.66531, 8.67981, 8.69582, 8.7115, 8.73222, 8.76122, 8.77303, 8.79006, 8.81627, 8.85522, 8.87042, 8.98688, 9.01583, 9.06769, 9.09086, 9.12521, 9.171, 9.26259, 9.39841, 9.82072, 10.2518, 10.9903");
             values ( \
-              "-0.000112055, -0.000116667, -0.000174537, -0.000201039, -0.000274541, -0.000400999, -0.000505034, -0.000691287, -0.000942578, -0.00149673, -0.00158214, -0.00173179, -0.00180242, -0.00185737, -0.00186328, -0.00179965, -0.00167658, -0.00164055, -0.00164225, -0.001608, -0.00165145, -0.00205666, -0.00197388, -0.00210701, -0.00217045, -0.00231924, -0.00235405, -0.00243587, -0.00236847, -0.00202298, -0.00177387, -0.00170988, -0.00162987, -0.00161729, -0.00154661, -0.00150236, -0.00071115, -0.000541628, -0.000324211, -0.000255334, -0.000182694, -0.000118537, -5.55401e-05, -2.88488e-05, -1.40757e-05, -7.49082e-06, -1.28321e-06" \
+              "-0.000112055, -0.000116667, -0.000174537, -0.000201039, -0.000274541, -0.000400999, -0.000505034, -0.000691287, -0.000942578, -0.00149673, -0.00158214, -0.00173179, -0.00180242, -0.00185737, -0.00186328, -0.00179965, -0.00167658, -0.00164055, -0.00164225, -0.001608, -0.00165145, -0.00205666, -0.00197388, -0.00210701, -0.00217045, -0.00231924, -0.00235405, -0.00243587, -0.00236847, -0.00202298, -0.00177387, -0.00170988, -0.00162987, -0.00161729, -0.00154661, -0.00150236, -0.00071115, -0.000541628, -0.000324211, -0.000255334, -0.000182694, -0.000118537, -5.55401e-05, -2.88488e-05, -1.40757e-05, -7.49083e-06, -1.2832e-06" \
             );
           }
           vector (ccs_template) {
@@ -12457,7 +12457,7 @@
             index_2 ("0.00425947");
             index_3 ("6.31825, 6.86522, 7.04963, 7.22223, 7.54748, 7.60457, 7.71876, 7.96393, 8.184, 8.33749, 8.47196, 8.50001, 8.59377, 8.63121, 8.68818, 8.74257, 8.76628, 8.78083, 8.79821, 8.81204, 8.82298, 8.83239, 8.84225, 8.85124, 8.86321, 8.87453, 8.89053, 8.90998, 8.92308, 8.93568, 8.96456, 8.98563, 9.0091, 9.03468, 9.14025, 9.17092, 9.2025, 9.24864, 9.27249, 9.30958, 9.35903, 9.45794, 9.60442, 9.7901, 10.0458, 10.4718, 11.2102");
             values ( \
-              "-0.00035508, -0.00037694, -0.000505442, -0.000674328, -0.00122475, -0.00140351, -0.00181056, -0.00295234, -0.00400523, -0.00477541, -0.00564589, -0.00580239, -0.00593228, -0.00567627, -0.0056718, -0.00562309, -0.00590067, -0.00585959, -0.00601948, -0.00576935, -0.00603257, -0.00601931, -0.00626218, -0.00625121, -0.00644176, -0.00639959, -0.00627177, -0.00569213, -0.00547653, -0.00539366, -0.00536045, -0.00531853, -0.00514884, -0.00482396, -0.00265051, -0.00208472, -0.00161791, -0.00105969, -0.000854488, -0.000611214, -0.000413898, -0.000167935, -9.13397e-05, -4.31691e-05, -4.70728e-05, -6.3903e-06, -1.54392e-05" \
+              "-0.00035508, -0.00037694, -0.000505442, -0.000674328, -0.00122475, -0.00140351, -0.00181056, -0.00295234, -0.00400523, -0.00477541, -0.00564589, -0.00580239, -0.00593228, -0.00567627, -0.0056718, -0.00562309, -0.00590067, -0.00585959, -0.00601948, -0.00576935, -0.00603257, -0.00601931, -0.00626218, -0.00625121, -0.00644176, -0.00639959, -0.00627177, -0.00569213, -0.00547653, -0.00539366, -0.00536045, -0.00531853, -0.00514884, -0.00482396, -0.00265051, -0.00208472, -0.00161791, -0.00105969, -0.000854488, -0.000611214, -0.000413898, -0.000167935, -9.13397e-05, -4.31691e-05, -4.70728e-05, -6.39029e-06, -1.54393e-05" \
             );
           }
           vector (ccs_template) {
@@ -12466,7 +12466,7 @@
             index_2 ("0.0145581");
             index_3 ("6.4293, 7.07424, 7.31364, 7.40493, 7.52665, 7.7622, 8.04991, 8.44816, 8.66175, 8.84149, 8.89744, 8.97187, 9.00316, 9.11359, 9.19603, 9.21768, 9.22775, 9.23891, 9.27083, 9.29205, 9.34878, 9.39638, 9.44828, 9.4705, 9.53109, 9.64289, 9.67963, 9.74671, 9.80567, 9.84655, 9.92778, 10.0902, 10.2822, 10.8557, 11.4195");
             values ( \
-              "-0.00110641, -0.00145173, -0.00206612, -0.00238295, -0.00290233, -0.00431703, -0.00701954, -0.0111287, -0.0134245, -0.0157372, -0.0161798, -0.0165722, -0.016472, -0.0166914, -0.0174474, -0.0173753, -0.0172197, -0.0171846, -0.0167309, -0.0162356, -0.0157061, -0.0145964, -0.0137925, -0.013297, -0.0112723, -0.00679456, -0.00552666, -0.00369217, -0.00253381, -0.00195366, -0.00112994, -0.000372111, -0.000124514, -3.17647e-05, -1.9358e-05" \
+              "-0.00110641, -0.00145173, -0.00206612, -0.00238295, -0.00290233, -0.00431703, -0.00701954, -0.0111287, -0.0134245, -0.0157372, -0.0161798, -0.0165722, -0.016472, -0.0166914, -0.0174474, -0.0173753, -0.0172197, -0.0171846, -0.0167309, -0.0162356, -0.0157061, -0.0145964, -0.0137925, -0.013297, -0.0112723, -0.00679456, -0.00552666, -0.00369217, -0.00253381, -0.00195366, -0.00112994, -0.000372112, -0.000124514, -3.17646e-05, -1.9358e-05" \
             );
           }
           vector (ccs_template) {
@@ -12581,7 +12581,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0474945, 0.0544526, 0.0568043, 0.061018, 0.0686227, 0.0720004, 0.078756, 0.092267, 0.0944662, 0.0988647, 0.107662, 0.117666, 0.137674, 0.141877, 0.150283, 0.164534, 0.16824, 0.185161, 0.199756, 0.206244, 0.237046, 0.242617, 0.255274, 0.26036, 0.265909, 0.2864, 0.292768, 0.301939, 0.312134, 0.321189, 0.326784, 0.333822, 0.343135, 0.351045, 0.360776, 0.371298, 0.384179, 0.392163, 0.408131, 0.427065, 0.454484, 0.465573, 0.48775, 0.532106, 0.607468, 0.625974");
             values ( \
-              "0.00263107, 0.0102954, 0.0102158, 0.0102985, 0.0101363, 0.0101887, 0.00998795, 0.00993168, 0.00977811, 0.0098497, 0.00960113, 0.00957838, 0.0090487, 0.00907746, 0.00863724, 0.00820476, 0.00797249, 0.00802914, 0.00742684, 0.00687797, 0.00498129, 0.00531243, 0.00570233, 0.00550081, 0.00545874, 0.00411972, 0.00399351, 0.00360741, 0.00355312, 0.00310697, 0.00305934, 0.00260723, 0.0024454, 0.00205843, 0.00200174, 0.00162985, 0.00157421, 0.00128273, 0.00122046, 0.000824603, 0.000726618, 0.000477269, 0.000502604, 0.000150689, 0.000161722, 0.000128237" \
+              "0.00263107, 0.0102954, 0.0102158, 0.0102985, 0.0101363, 0.0101887, 0.00998795, 0.00993168, 0.00977811, 0.0098497, 0.00960113, 0.00957838, 0.0090487, 0.00907746, 0.00863724, 0.00820476, 0.00797249, 0.00802914, 0.00742684, 0.00687797, 0.00498129, 0.00531243, 0.00570233, 0.00550081, 0.00545874, 0.00411972, 0.00399351, 0.00360741, 0.00355312, 0.00310697, 0.00305934, 0.00260723, 0.0024454, 0.00205843, 0.00200174, 0.00162985, 0.00157421, 0.00128273, 0.00122046, 0.000824603, 0.000726617, 0.000477269, 0.000502604, 0.000150689, 0.000161722, 0.000128237" \
             );
           }
           vector (ccs_template) {
@@ -12599,7 +12599,7 @@
             index_2 ("0.0145581");
             index_3 ("0.0474246, 0.0620256, 0.0650814, 0.0711841, 0.0773351, 0.0896371, 0.10321, 0.129196, 0.156141, 0.201938, 0.210562, 0.22781, 0.262306, 0.266877, 0.276019, 0.294304, 0.326642, 0.329173, 0.344353, 0.364594, 0.447881, 0.495178, 0.540164, 0.600459, 0.622793, 0.693926, 0.747889, 0.790512, 0.848127, 0.886632, 0.95367, 1.02354, 1.07811, 1.18723, 1.37472, 1.59844");
             values ( \
-              "0.0206107, 0.0513247, 0.0517027, 0.0511098, 0.0513207, 0.0504715, 0.0504222, 0.049322, 0.0490809, 0.0475022, 0.0476404, 0.046724, 0.0458337, 0.0452645, 0.0452367, 0.043892, 0.0423301, 0.0424462, 0.0424023, 0.0417986, 0.0345704, 0.0280475, 0.0256451, 0.0203744, 0.0192081, 0.0141464, 0.0107214, 0.00855187, 0.00621146, 0.00505121, 0.00341606, 0.0022879, 0.00171416, 0.0008601, 0.000289546, 3.42519e-05" \
+              "0.0206107, 0.0513247, 0.0517027, 0.0511098, 0.0513207, 0.0504715, 0.0504222, 0.049322, 0.0490809, 0.0475022, 0.0476404, 0.046724, 0.0458337, 0.0452645, 0.0452367, 0.043892, 0.0423301, 0.0424462, 0.0424023, 0.0417986, 0.0345704, 0.0280475, 0.0256451, 0.0203744, 0.0192081, 0.0141464, 0.0107214, 0.00855187, 0.00621146, 0.00505121, 0.00341606, 0.0022879, 0.00171416, 0.0008601, 0.000289546, 3.4252e-05" \
             );
           }
           vector (ccs_template) {
@@ -12626,7 +12626,7 @@
             index_2 ("0.581233");
             index_3 ("0.165192, 0.165212, 2.02446, 4.08089, 4.55118, 6.15106, 7.54517, 8.52907, 9.90826, 13.2232, 15.3618, 17.7203, 19.0807, 20.9825, 22.9687, 25.5987, 30.1625, 30.999");
             values ( \
-              "1e-22, 0.0900752, 0.0783302, 0.0747716, 0.0744902, 0.0712468, 0.0681035, 0.0643908, 0.0570769, 0.0359139, 0.0243854, 0.0152494, 0.0114383, 0.0075452, 0.00482691, 0.00263703, 0.000885765, 0.000793912" \
+              "1e-22, 0.0900752, 0.0783302, 0.0747716, 0.0744902, 0.0712468, 0.0681035, 0.0643908, 0.0570769, 0.0359139, 0.0243854, 0.0152494, 0.0114383, 0.0075452, 0.00482691, 0.00263703, 0.000885765, 0.000793913" \
             );
           }
           vector (ccs_template) {
@@ -12644,7 +12644,7 @@
             index_2 ("0.00425947");
             index_3 ("0.085596, 0.091659, 0.0991411, 0.173542, 0.194542, 0.205734, 0.239529, 0.256952, 0.274378, 0.276798, 0.298073, 0.320581, 0.344953, 0.353808, 0.375019, 0.396278, 0.409283, 0.475333, 0.491646, 0.52294, 0.568817, 0.616074, 0.696088, 0.793562, 0.907886");
             values ( \
-              "0.0238688, 0.026815, 0.0268867, 0.0249291, 0.0242131, 0.0237046, 0.0216653, 0.0214138, 0.0215853, 0.0206876, 0.0180031, 0.0154174, 0.0134722, 0.0120415, 0.0113641, 0.0110969, 0.0105553, 0.00591485, 0.00501868, 0.00372309, 0.00238589, 0.00148568, 0.000645799, 0.000235809, 4.73541e-05" \
+              "0.0238688, 0.026815, 0.0268867, 0.0249291, 0.0242131, 0.0237046, 0.0216653, 0.0214138, 0.0215853, 0.0206876, 0.0180031, 0.0154174, 0.0134722, 0.0120415, 0.0113641, 0.0110969, 0.0105553, 0.00591485, 0.00501868, 0.00372309, 0.00238589, 0.00148568, 0.000645799, 0.000235809, 4.73542e-05" \
             );
           }
           vector (ccs_template) {
@@ -12716,7 +12716,7 @@
             index_2 ("0.0497569");
             index_3 ("0.22082, 0.251747, 0.259839, 0.269614, 0.274836, 0.283772, 0.307588, 0.32912, 0.475505, 0.627313, 0.735179, 0.768446, 0.834981, 0.916253, 1.02417, 1.04684, 1.05703, 1.0812, 1.16892, 1.19211, 1.24948, 1.46967, 1.54132, 1.64227, 1.70913, 1.81288, 1.9511, 2.0206, 2.11234, 2.16207, 2.22839, 2.33319, 2.48038, 2.65683, 2.89209, 3.28137, 3.77446");
             values ( \
-              "0.0577951, 0.0581143, 0.0602852, 0.0671908, 0.0684548, 0.0692117, 0.06896, 0.0684893, 0.0667582, 0.0647027, 0.0628574, 0.0622508, 0.060539, 0.0591675, 0.0561149, 0.0557942, 0.0555008, 0.0539611, 0.0495108, 0.0496697, 0.0474464, 0.0347115, 0.030827, 0.0255549, 0.0226667, 0.0188905, 0.0144688, 0.0125709, 0.0103302, 0.00929439, 0.00803136, 0.00637933, 0.00456118, 0.00304752, 0.00177487, 0.000659933, 0.000197201" \
+              "0.0577951, 0.0581143, 0.0602852, 0.0671908, 0.0684548, 0.0692117, 0.06896, 0.0684893, 0.0667582, 0.0647027, 0.0628574, 0.0622508, 0.060539, 0.0591675, 0.0561149, 0.0557942, 0.0555008, 0.0539611, 0.0495108, 0.0496697, 0.0474464, 0.0347115, 0.030827, 0.0255549, 0.0226667, 0.0188905, 0.0144688, 0.0125709, 0.0103302, 0.00929439, 0.00803136, 0.00637933, 0.00456118, 0.00304752, 0.00177487, 0.000659932, 0.000197201" \
             );
           }
           vector (ccs_template) {
@@ -12797,7 +12797,7 @@
             index_2 ("0.00124625");
             index_3 ("2.03184, 2.20291, 2.25587, 2.31135, 2.51165, 2.60368, 2.68212, 2.68701, 2.71636, 2.74606, 2.75651, 2.77662, 2.80075, 2.82731, 2.82989, 2.83505, 2.84538, 2.85468, 2.86324, 2.87819, 2.89188, 2.90969, 2.93567, 2.94747, 2.97287, 3.00519, 3.05373, 3.11955, 3.14773, 3.20693, 3.23379, 3.27482, 3.32952, 3.43228, 3.55679, 3.71429, 4.1649, 4.23552, 4.35372");
             values ( \
-              "0.000359412, 0.000467906, 0.000629904, 0.000899913, 0.00216382, 0.0027054, 0.00302369, 0.00302573, 0.00296523, 0.00301254, 0.00321908, 0.00309581, 0.00306569, 0.00287822, 0.00293728, 0.00288569, 0.00291643, 0.00299559, 0.00352794, 0.00380675, 0.00367186, 0.00364234, 0.00335782, 0.00331782, 0.002843, 0.00252238, 0.00186566, 0.00111272, 0.000877307, 0.000504481, 0.000389452, 0.000258449, 0.000155301, 4.0965e-05, 1.56965e-05, 1e-22, 2e-22, 2.48951e-05, 4.84104e-06" \
+              "0.000359412, 0.000467906, 0.000629904, 0.000899913, 0.00216382, 0.0027054, 0.00302369, 0.00302573, 0.00296523, 0.00301254, 0.00321908, 0.00309581, 0.00306569, 0.00287822, 0.00293728, 0.00288569, 0.00291643, 0.00299559, 0.00352794, 0.00380675, 0.00367186, 0.00364234, 0.00335782, 0.00331782, 0.002843, 0.00252238, 0.00186566, 0.00111272, 0.000877307, 0.000504481, 0.000389452, 0.000258448, 0.000155301, 4.0965e-05, 1.56965e-05, 1e-22, 2e-22, 2.48951e-05, 4.84104e-06" \
             );
           }
           vector (ccs_template) {
@@ -12824,7 +12824,7 @@
             index_2 ("0.0497569");
             index_3 ("2.15572, 2.41518, 2.51361, 3.03718, 3.25135, 3.44019, 3.55671, 3.61444, 3.61834, 3.71423, 3.74861, 3.76046, 3.87311, 3.89644, 3.9537, 4.12637, 4.42212, 4.55014, 4.72858, 4.86808, 4.95727, 5.12301, 5.26901, 5.5061, 5.82221, 6.12209");
             values ( \
-              "0.00815503, 0.0118042, 0.0156095, 0.0378342, 0.0454858, 0.0495577, 0.0504324, 0.0512081, 0.0509871, 0.0507352, 0.050885, 0.0508193, 0.0471937, 0.0477463, 0.0466381, 0.0387501, 0.0237001, 0.0189105, 0.0133, 0.00989383, 0.00822725, 0.00560709, 0.0040288, 0.00232831, 0.00113505, 0.000581017" \
+              "0.00815503, 0.0118042, 0.0156095, 0.0378342, 0.0454858, 0.0495577, 0.0504324, 0.0512081, 0.0509871, 0.0507352, 0.050885, 0.0508193, 0.0471937, 0.0477463, 0.0466381, 0.0387501, 0.0237001, 0.0189105, 0.0133, 0.00989383, 0.00822725, 0.00560709, 0.0040288, 0.00232831, 0.00113505, 0.000581018" \
             );
           }
           vector (ccs_template) {
@@ -12860,7 +12860,7 @@
             index_2 ("0.00425947");
             index_3 ("7.50462, 8.16645, 8.33617, 8.67561, 8.85661, 9.08673, 9.64599, 9.83473, 9.89186, 9.95298, 10.0083, 10.0132, 10.1003, 10.1496, 10.17, 10.1967, 10.2849, 10.3291, 10.3892, 10.4427, 10.4694, 10.7755, 10.9512, 11.0366, 11.2326, 11.4472, 11.7085, 12.0486, 12.5342");
             values ( \
-              "0.000230873, 0.0002328, 0.000305856, 0.000563059, 0.000892604, 0.00164067, 0.00381253, 0.00431292, 0.00426738, 0.00434019, 0.00476894, 0.00455047, 0.00438983, 0.00466487, 0.00449752, 0.00455324, 0.00557634, 0.00546566, 0.00551897, 0.00540662, 0.00524565, 0.00195346, 0.000806302, 0.000510915, 0.000167702, 8.13777e-05, 2.78403e-06, 2.94656e-05, 1e-22" \
+              "0.000230873, 0.0002328, 0.000305856, 0.000563059, 0.000892604, 0.00164067, 0.00381253, 0.00431292, 0.00426738, 0.00434019, 0.00476894, 0.00455047, 0.00438983, 0.00466487, 0.00449752, 0.00455324, 0.00557634, 0.00546566, 0.00551897, 0.00540662, 0.00524565, 0.00195346, 0.000806302, 0.000510915, 0.000167702, 8.13778e-05, 2.78402e-06, 2.94656e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -12974,7 +12974,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.581233");
-            index_3 ("0.03212, 0.0439574, 0.0831616, 0.106077, 0.14031, 0.174589, 0.243148, 0.364902, 0.389095, 0.437481, 0.534252, 0.727795, 0.748858, 0.790983, 0.875233, 1.04373, 1.38073, 1.47692, 1.66929, 2.05402, 2.21913, 2.54936, 2.97608, 3.71456, 3.75131, 3.82482, 4.12632, 4.62051, 5.42434, 7.07717, 8.06263, 8.86757, 9.65048, 10.5313, 11.778, 12.0903, 12.715, 14.1919, 14.9304, 15.6689, 16.4074, 17.1458, 17.8843, 18.6228");
+            index_3 ("0.0321201, 0.0439574, 0.0831616, 0.106078, 0.14031, 0.174589, 0.243148, 0.364902, 0.389095, 0.437481, 0.534252, 0.727795, 0.748858, 0.790983, 0.875233, 1.04373, 1.38073, 1.47692, 1.66929, 2.05402, 2.21913, 2.54936, 2.97608, 3.71456, 3.75131, 3.82482, 4.12632, 4.62051, 5.42434, 7.07717, 8.06263, 8.86757, 9.65048, 10.5313, 11.778, 12.0903, 12.715, 14.1919, 14.9304, 15.6689, 16.4074, 17.1458, 17.8843, 18.6228");
             values ( \
               "-0.144414, -0.147683, -0.146704, -0.147255, -0.146506, -0.147043, -0.146185, -0.146446, -0.145724, -0.146216, -0.145262, -0.145285, -0.144569, -0.14508, -0.144156, -0.144248, -0.142461, -0.142775, -0.141454, -0.140706, -0.13944, -0.138815, -0.136433, -0.133625, -0.132787, -0.132982, -0.13092, -0.126494, -0.112275, -0.068765, -0.0471022, -0.0335793, -0.0237219, -0.0157976, -0.00864867, -0.00804658, -0.0054321, -0.00253042, -0.0023205, -0.00109314, -0.00131026, -0.000380308, -0.000809966, -2.66196e-05" \
             );
@@ -12985,7 +12985,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0596903, 0.0661382, 0.0668074, 0.0678113, 0.0693695, 0.0716888, 0.0747906, 0.0758179, 0.0778724, 0.0819815, 0.0888428, 0.101894, 0.10233, 0.102911, 0.104073, 0.106397, 0.110917, 0.115471, 0.128785, 0.135359, 0.141833, 0.15189, 0.177773, 0.200179, 0.210203, 0.215309, 0.232311, 0.239577, 0.256428, 0.266498, 0.27388, 0.275657, 0.279212, 0.284741, 0.290734, 0.298868, 0.306035, 0.334355, 0.343736, 0.357314, 0.376117, 0.408548, 0.446837, 0.491055, 0.541026, 0.600351");
             values ( \
-              "-0.00514398, -0.012241, -0.0227208, -0.0120453, -0.0228141, -0.0120213, -0.0227318, -0.0119232, -0.0226834, -0.011847, -0.0225468, -0.0114685, -0.0168796, -0.0167512, -0.0168297, -0.0166621, -0.0166997, -0.0165836, -0.0171662, -0.0173681, -0.016895, -0.0155472, -0.00916211, -0.00471999, -0.00413652, -0.00397395, -0.00311664, -0.00264418, -0.00183742, -0.00151813, -0.00118627, -0.00123585, -0.00100618, -0.000960019, -0.000650601, -0.000487895, -6.6942e-05, -1e-22, -0.00010064, -1.34995e-05, -0.000201802, -7.58608e-05, -0.000192265, -7.03717e-06, -0.0001156, -1e-22" \
+              "-0.00514398, -0.012241, -0.0227208, -0.0120453, -0.0228141, -0.0120213, -0.0227318, -0.0119232, -0.0226834, -0.011847, -0.0225468, -0.0114685, -0.0168796, -0.0167512, -0.0168297, -0.0166621, -0.0166997, -0.0165836, -0.0171662, -0.0173681, -0.016895, -0.0155472, -0.00916211, -0.00471999, -0.00413652, -0.00397395, -0.00311664, -0.00264418, -0.00183742, -0.00151813, -0.00118627, -0.00123585, -0.00100618, -0.000960019, -0.000650601, -0.000487895, -6.6942e-05, -1e-22, -0.00010064, -1.34996e-05, -0.000201802, -7.58608e-05, -0.000192265, -7.03717e-06, -0.0001156, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -13010,9 +13010,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0497569");
-            index_3 ("0.0649298, 0.0668596, 0.0715413, 0.0745992, 0.0794492, 0.100962, 0.137052, 0.209998, 0.348266, 0.450585, 0.47687, 0.513814, 0.521967, 0.554576, 0.602157, 0.708102, 0.773086, 0.830687, 0.88583, 0.94941, 1.0311, 1.06939, 1.1224, 1.18261, 1.2389, 1.31686, 1.39618, 1.48601, 1.5916, 1.722, 2.04893");
+            index_3 ("0.0649298, 0.0668596, 0.0715413, 0.0745992, 0.0794492, 0.100962, 0.137052, 0.209998, 0.348266, 0.450585, 0.47687, 0.513814, 0.521967, 0.554576, 0.602157, 0.708102, 0.773087, 0.830687, 0.88583, 0.949409, 1.0311, 1.06939, 1.1224, 1.18261, 1.2389, 1.31685, 1.39618, 1.48601, 1.5916, 1.722, 2.04893");
             values ( \
-              "-0.101606, -0.12045, -0.124674, -0.125359, -0.125375, -0.124539, -0.123792, -0.121905, -0.117215, -0.114636, -0.113635, -0.111023, -0.110161, -0.105842, -0.0967615, -0.0725252, -0.0558534, -0.0455222, -0.037204, -0.0288656, -0.0202834, -0.0170132, -0.0131266, -0.0096357, -0.00738722, -0.00523334, -0.00377093, -0.00259878, -0.00169356, -0.000962607, -0.000215531" \
+              "-0.101606, -0.12045, -0.124674, -0.125359, -0.125375, -0.124539, -0.123792, -0.121905, -0.117215, -0.114636, -0.113635, -0.111023, -0.110161, -0.105842, -0.0967615, -0.0725252, -0.0558534, -0.0455221, -0.037204, -0.0288657, -0.0202834, -0.0170131, -0.0131266, -0.00963567, -0.00738722, -0.00523336, -0.00377095, -0.00259879, -0.00169357, -0.000962614, -0.000215534" \
             );
           }
           vector (ccs_template) {
@@ -13136,9 +13136,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.581233");
-            index_3 ("0.64196, 0.840094, 0.97028, 1.07373, 2.89234, 3.97746, 4.64995, 5.28354, 5.81369, 6.02025, 6.48819, 7.76094, 8.49334, 8.80191, 9.13227, 9.57274, 10.1638, 10.6772, 11.2105, 11.949, 12.4647, 13.4171, 14.1556, 14.8941, 15.6325, 17.1095, 18.5864");
+            index_3 ("0.64196, 0.840094, 0.97028, 1.07373, 2.89234, 3.97746, 4.64995, 5.28354, 5.81369, 6.02025, 6.4882, 7.76094, 8.49334, 8.80191, 9.13227, 9.57274, 10.1638, 10.6772, 11.2105, 11.949, 12.4647, 13.4171, 14.1556, 14.8941, 15.6325, 17.1095, 18.5864");
             values ( \
-              "-0.0949127, -0.116386, -0.138563, -0.146112, -0.139827, -0.135382, -0.131777, -0.126536, -0.11822, -0.114067, -0.102497, -0.0690496, -0.0523958, -0.0463258, -0.0404484, -0.0335751, -0.0259098, -0.0205909, -0.0161418, -0.0114089, -0.00895491, -0.00570125, -0.00400821, -0.00282502, -0.00198462, -0.000981972, -0.000484994" \
+              "-0.0949127, -0.116386, -0.138563, -0.146112, -0.139827, -0.135382, -0.131777, -0.126536, -0.11822, -0.114067, -0.102497, -0.0690496, -0.0523958, -0.0463258, -0.0404484, -0.0335751, -0.0259098, -0.0205909, -0.0161418, -0.0114089, -0.00895491, -0.00570125, -0.00400821, -0.00282502, -0.00198462, -0.000981973, -0.000484995" \
             );
           }
           vector (ccs_template) {
@@ -13201,7 +13201,7 @@
             index_2 ("0.00124625");
             index_3 ("6.31807, 6.8641, 7.10028, 7.18237, 7.34657, 7.52471, 7.61784, 7.74202, 7.88986, 8.2142, 8.24859, 8.31739, 8.33729, 8.37852, 8.41149, 8.44792, 8.50345, 8.53835, 8.57305, 8.59362, 8.61671, 8.63474, 8.63751, 8.64303, 8.65344, 8.66531, 8.67981, 8.69582, 8.7115, 8.73222, 8.76122, 8.77303, 8.79006, 8.81627, 8.85522, 8.87042, 8.98688, 9.01583, 9.06769, 9.09086, 9.12521, 9.171, 9.26259, 9.39841, 9.82072, 10.2518, 10.9903");
             values ( \
-              "-0.000112055, -0.000116667, -0.000174537, -0.000201039, -0.000274541, -0.000400999, -0.000505034, -0.000691287, -0.000942578, -0.00149673, -0.00158214, -0.00173179, -0.00180242, -0.00185737, -0.00186328, -0.00179965, -0.00167658, -0.00164055, -0.00164225, -0.001608, -0.00165145, -0.00205666, -0.00197388, -0.00210701, -0.00217045, -0.00231924, -0.00235405, -0.00243587, -0.00236847, -0.00202298, -0.00177387, -0.00170988, -0.00162987, -0.00161729, -0.00154661, -0.00150236, -0.00071115, -0.000541628, -0.000324211, -0.000255334, -0.000182694, -0.000118537, -5.55401e-05, -2.88488e-05, -1.40757e-05, -7.49082e-06, -1.28321e-06" \
+              "-0.000112055, -0.000116667, -0.000174537, -0.000201039, -0.000274541, -0.000400999, -0.000505034, -0.000691287, -0.000942578, -0.00149673, -0.00158214, -0.00173179, -0.00180242, -0.00185737, -0.00186328, -0.00179965, -0.00167658, -0.00164055, -0.00164225, -0.001608, -0.00165145, -0.00205666, -0.00197388, -0.00210701, -0.00217045, -0.00231924, -0.00235405, -0.00243587, -0.00236847, -0.00202298, -0.00177387, -0.00170988, -0.00162987, -0.00161729, -0.00154661, -0.00150236, -0.00071115, -0.000541628, -0.000324211, -0.000255334, -0.000182694, -0.000118537, -5.55401e-05, -2.88488e-05, -1.40757e-05, -7.49083e-06, -1.2832e-06" \
             );
           }
           vector (ccs_template) {
@@ -13210,7 +13210,7 @@
             index_2 ("0.00425947");
             index_3 ("6.31825, 6.86522, 7.04963, 7.22223, 7.54748, 7.60457, 7.71876, 7.96393, 8.184, 8.33749, 8.47196, 8.50001, 8.59377, 8.63121, 8.68818, 8.74257, 8.76628, 8.78083, 8.79821, 8.81204, 8.82298, 8.83239, 8.84225, 8.85124, 8.86321, 8.87453, 8.89053, 8.90998, 8.92308, 8.93568, 8.96456, 8.98563, 9.0091, 9.03468, 9.14025, 9.17092, 9.2025, 9.24864, 9.27249, 9.30958, 9.35903, 9.45794, 9.60442, 9.7901, 10.0458, 10.4718, 11.2102");
             values ( \
-              "-0.00035508, -0.00037694, -0.000505442, -0.000674328, -0.00122475, -0.00140351, -0.00181056, -0.00295234, -0.00400523, -0.00477541, -0.00564589, -0.00580239, -0.00593228, -0.00567627, -0.0056718, -0.00562309, -0.00590067, -0.00585959, -0.00601948, -0.00576935, -0.00603257, -0.00601931, -0.00626218, -0.00625121, -0.00644176, -0.00639959, -0.00627177, -0.00569213, -0.00547653, -0.00539366, -0.00536045, -0.00531853, -0.00514884, -0.00482396, -0.00265051, -0.00208472, -0.00161791, -0.00105969, -0.000854488, -0.000611214, -0.000413898, -0.000167935, -9.13397e-05, -4.31691e-05, -4.70728e-05, -6.3903e-06, -1.54392e-05" \
+              "-0.00035508, -0.00037694, -0.000505442, -0.000674328, -0.00122475, -0.00140351, -0.00181056, -0.00295234, -0.00400523, -0.00477541, -0.00564589, -0.00580239, -0.00593228, -0.00567627, -0.0056718, -0.00562309, -0.00590067, -0.00585959, -0.00601948, -0.00576935, -0.00603257, -0.00601931, -0.00626218, -0.00625121, -0.00644176, -0.00639959, -0.00627177, -0.00569213, -0.00547653, -0.00539366, -0.00536045, -0.00531853, -0.00514884, -0.00482396, -0.00265051, -0.00208472, -0.00161791, -0.00105969, -0.000854488, -0.000611214, -0.000413898, -0.000167935, -9.13397e-05, -4.31691e-05, -4.70728e-05, -6.39029e-06, -1.54393e-05" \
             );
           }
           vector (ccs_template) {
@@ -13219,7 +13219,7 @@
             index_2 ("0.0145581");
             index_3 ("6.4293, 7.07424, 7.31364, 7.40493, 7.52665, 7.7622, 8.04991, 8.44816, 8.66175, 8.84149, 8.89744, 8.97187, 9.00316, 9.11359, 9.19603, 9.21768, 9.22775, 9.23891, 9.27083, 9.29205, 9.34878, 9.39638, 9.44828, 9.4705, 9.53109, 9.64289, 9.67963, 9.74671, 9.80567, 9.84655, 9.92778, 10.0902, 10.2822, 10.8557, 11.4195");
             values ( \
-              "-0.00110641, -0.00145173, -0.00206612, -0.00238295, -0.00290233, -0.00431703, -0.00701954, -0.0111287, -0.0134245, -0.0157372, -0.0161798, -0.0165722, -0.016472, -0.0166914, -0.0174474, -0.0173753, -0.0172197, -0.0171846, -0.0167309, -0.0162356, -0.0157061, -0.0145964, -0.0137925, -0.013297, -0.0112723, -0.00679456, -0.00552666, -0.00369217, -0.00253381, -0.00195366, -0.00112994, -0.000372111, -0.000124514, -3.17647e-05, -1.9358e-05" \
+              "-0.00110641, -0.00145173, -0.00206612, -0.00238295, -0.00290233, -0.00431703, -0.00701954, -0.0111287, -0.0134245, -0.0157372, -0.0161798, -0.0165722, -0.016472, -0.0166914, -0.0174474, -0.0173753, -0.0172197, -0.0171846, -0.0167309, -0.0162356, -0.0157061, -0.0145964, -0.0137925, -0.013297, -0.0112723, -0.00679456, -0.00552666, -0.00369217, -0.00253381, -0.00195366, -0.00112994, -0.000372112, -0.000124514, -3.17646e-05, -1.9358e-05" \
             );
           }
           vector (ccs_template) {
@@ -13311,8 +13311,8 @@
           values ( \
             "0.0851539, 0.103734, 0.165412, 0.372058, 1.07358, 3.46752", \
             "0.0942742, 0.11315, 0.175219, 0.382075, 1.08351, 3.47727", \
-            "0.132092, 0.150613, 0.213348, 0.421032, 1.12308, 3.51684", \
-            "0.214769, 0.249976, 0.34728, 0.579095, 1.28068, 3.67457", \
+            "0.132092, 0.150613, 0.213348, 0.421032, 1.12297, 3.5169", \
+            "0.214769, 0.249976, 0.34728, 0.579095, 1.28065, 3.67457", \
             "0.277925, 0.344437, 0.532323, 0.983006, 1.91843, 4.30929", \
             "0.0605358, 0.182688, 0.530791, 1.38132, 3.20658, 6.7795" \
           );
@@ -13323,8 +13323,8 @@
           values ( \
             "0.0813688, 0.104028, 0.184211, 0.46021, 1.40013, 4.60028", \
             "0.0810573, 0.103789, 0.183884, 0.45969, 1.39956, 4.59982", \
-            "0.0880314, 0.10789, 0.183945, 0.459657, 1.39943, 4.59945", \
-            "0.174694, 0.197577, 0.268326, 0.487881, 1.3994, 4.59952", \
+            "0.0880314, 0.10789, 0.183945, 0.459657, 1.39931, 4.5995", \
+            "0.174694, 0.197577, 0.268326, 0.487881, 1.39931, 4.59952", \
             "0.40013, 0.440776, 0.569529, 0.879471, 1.60994, 4.59938", \
             "0.983588, 1.07748, 1.31501, 1.89772, 3.12364, 5.70444" \
           );
@@ -13390,7 +13390,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0830883, 0.0874284, 0.0926144, 0.137275, 0.157581, 0.190847, 0.203231, 0.207089, 0.214804, 0.224508, 0.233939, 0.248183, 0.263834, 0.277795, 0.306359, 0.318254, 0.327758, 0.336731, 0.355085, 0.379686, 0.396112, 0.416578, 0.447333, 0.49843, 0.543899, 0.6045, 0.687226, 0.793277");
             values ( \
-              "0.00774916, 0.0129782, 0.01299, 0.0118017, 0.0109475, 0.00828146, 0.00791464, 0.00689624, 0.00660057, 0.00547361, 0.00536603, 0.00460462, 0.0052495, 0.00482843, 0.00358426, 0.00333451, 0.00288817, 0.00292245, 0.00274348, 0.00198857, 0.00165511, 0.00136986, 0.0010151, 0.000583628, 0.000347969, 0.000176163, 5.73384e-05, 1.92141e-05" \
+              "0.00774916, 0.0129782, 0.01299, 0.0118017, 0.0109475, 0.00828146, 0.00791464, 0.00689624, 0.00660057, 0.00547361, 0.00536603, 0.00460462, 0.0052495, 0.00482843, 0.00358426, 0.00333451, 0.00288817, 0.00292245, 0.00274348, 0.00198857, 0.00165511, 0.00136986, 0.0010151, 0.000583628, 0.000347969, 0.000176163, 5.73384e-05, 1.9214e-05" \
             );
           }
           vector (ccs_template) {
@@ -13399,7 +13399,7 @@
             index_2 ("0.00425947");
             index_3 ("0.0831288, 0.0900271, 0.0969777, 0.157315, 0.184577, 0.192312, 0.207783, 0.213854, 0.225997, 0.242752, 0.24813, 0.258884, 0.271262, 0.281268, 0.293558, 0.313835, 0.331401, 0.342466, 0.354072, 0.355921, 0.367011, 0.376188, 0.38572, 0.408986, 0.434725, 0.469438, 0.498786, 0.534733, 0.585984, 0.63418, 0.664361, 0.724723, 0.831425, 0.960986");
             values ( \
-              "0.0114266, 0.0331271, 0.0329827, 0.0298459, 0.0280185, 0.0271997, 0.0248216, 0.0249233, 0.0223029, 0.0218105, 0.0193675, 0.0186443, 0.0161128, 0.016025, 0.0143528, 0.0133468, 0.0111688, 0.0111431, 0.0108308, 0.0108677, 0.0101483, 0.00927013, 0.00923947, 0.00879649, 0.00751609, 0.00533953, 0.00408127, 0.00299944, 0.00190132, 0.00121955, 0.000927565, 0.00051487, 0.000177164, 3.83269e-05" \
+              "0.0114266, 0.0331271, 0.0329827, 0.0298459, 0.0280185, 0.0271997, 0.0248216, 0.0249233, 0.0223029, 0.0218105, 0.0193675, 0.0186443, 0.0161128, 0.016025, 0.0143528, 0.0133468, 0.0111688, 0.0111431, 0.0108308, 0.0108677, 0.0101483, 0.00927013, 0.00923947, 0.00879649, 0.00751609, 0.00533953, 0.00408127, 0.00299944, 0.00190132, 0.00121955, 0.000927565, 0.000514871, 0.000177164, 3.83269e-05" \
             );
           }
           vector (ccs_template) {
@@ -13453,7 +13453,7 @@
             index_2 ("0.00425947");
             index_3 ("0.205492, 0.219192, 0.229931, 0.241655, 0.245553, 0.259926, 0.264149, 0.268283, 0.272255, 0.2802, 0.297187, 0.323485, 0.336922, 0.352571, 0.367691, 0.385143, 0.389395, 0.401612, 0.414156, 0.459245, 0.474479, 0.483769, 0.507372, 0.519072, 0.530121, 0.542309, 0.554882, 0.566301, 0.579174, 0.596271, 0.627086, 0.657398, 0.702477, 0.753003, 0.757933, 0.767793, 0.826955, 0.905837, 1.02145, 1.1643");
             values ( \
-              "0.00252094, 0.0198916, 0.0230007, 0.0253206, 0.0260845, 0.0279512, 0.0303381, 0.0311271, 0.0311941, 0.0311207, 0.030102, 0.0282266, 0.02664, 0.0241622, 0.0230045, 0.0206193, 0.0195628, 0.0176483, 0.0162199, 0.0124139, 0.010961, 0.0111913, 0.0100547, 0.00901078, 0.00933744, 0.00879627, 0.00853476, 0.00775884, 0.00720067, 0.00598394, 0.00443909, 0.00339898, 0.0022773, 0.00142719, 0.0014316, 0.00124133, 0.000700366, 0.00037382, 6.62585e-05, 5.45293e-05" \
+              "0.00252094, 0.0198916, 0.0230007, 0.0253206, 0.0260845, 0.0279512, 0.0303381, 0.0311271, 0.0311941, 0.0311207, 0.030102, 0.0282266, 0.02664, 0.0241622, 0.0230045, 0.0206193, 0.0195628, 0.0176483, 0.0162199, 0.0124139, 0.010961, 0.0111913, 0.0100547, 0.00901078, 0.00933744, 0.00879627, 0.00853476, 0.00775884, 0.00720067, 0.00598394, 0.00443909, 0.00339898, 0.0022773, 0.00142719, 0.0014316, 0.00124133, 0.000700366, 0.00037382, 6.62586e-05, 5.45293e-05" \
             );
           }
           vector (ccs_template) {
@@ -13552,7 +13552,7 @@
             index_2 ("0.00124625");
             index_3 ("2.01518, 2.13477, 2.20427, 2.24594, 2.28904, 2.48466, 2.57208, 2.64665, 2.66528, 2.70255, 2.71777, 2.73846, 2.76137, 2.78703, 2.78996, 2.79582, 2.81683, 2.82573, 2.83818, 2.84806, 2.85907, 2.86175, 2.86712, 2.87548, 2.90085, 2.91343, 2.94321, 2.96209, 2.98834, 3.04834, 3.12197, 3.17323, 3.20686, 3.25447, 3.27741, 3.3109, 3.35554, 3.44483, 3.58293, 3.75261, 4.16531, 4.25471, 4.36748");
             values ( \
-              "0.000364204, 0.000386455, 0.000525626, 0.000687785, 0.000921215, 0.00228225, 0.00285241, 0.00316966, 0.00316768, 0.00307415, 0.00337508, 0.0031078, 0.00318688, 0.00277711, 0.00291313, 0.00279102, 0.00286115, 0.00335867, 0.00334552, 0.00344872, 0.00323653, 0.00346972, 0.00340554, 0.00341702, 0.00319191, 0.00320242, 0.00247431, 0.00211931, 0.00178788, 0.00149284, 0.000962861, 0.000664623, 0.000515881, 0.000349226, 0.000289318, 0.000218356, 0.000150051, 6.46008e-05, 1.69782e-05, 2.9054e-06, 6.78628e-07, 5.13944e-05, 1.43956e-05" \
+              "0.000364204, 0.000386455, 0.000525626, 0.000687785, 0.000921215, 0.00228225, 0.00285241, 0.00316966, 0.00316768, 0.00307415, 0.00337508, 0.0031078, 0.00318688, 0.00277711, 0.00291313, 0.00279102, 0.00286115, 0.00335867, 0.00334552, 0.00344872, 0.00323653, 0.00346972, 0.00340554, 0.00341702, 0.00319191, 0.00320242, 0.00247431, 0.00211931, 0.00178788, 0.00149284, 0.000962861, 0.000664623, 0.000515881, 0.000349226, 0.000289318, 0.000218356, 0.000150051, 6.46008e-05, 1.69782e-05, 2.9054e-06, 6.78626e-07, 5.13944e-05, 1.43956e-05" \
             );
           }
           vector (ccs_template) {
@@ -13561,7 +13561,7 @@
             index_2 ("0.00425947");
             index_3 ("2.01771, 2.15417, 2.19207, 2.23119, 2.27267, 2.31526, 2.5368, 2.63667, 2.72137, 2.74253, 2.78485, 2.79973, 2.82951, 2.85138, 2.87413, 2.93012, 2.94315, 2.97056, 2.98628, 2.99987, 3.01547, 3.03983, 3.06025, 3.07482, 3.09122, 3.14648, 3.24907, 3.27898, 3.31387, 3.35054, 3.40311, 3.42728, 3.46139, 3.50688, 3.59785, 3.75147, 3.93973, 4.16497, 4.25513, 4.37208");
             values ( \
-              "0.00103428, 0.00121792, 0.00144785, 0.00176507, 0.00223518, 0.00287176, 0.00683285, 0.00852785, 0.00959485, 0.00973463, 0.00975643, 0.0103839, 0.0104862, 0.010306, 0.00982166, 0.00901632, 0.00858524, 0.00920407, 0.00930387, 0.00955009, 0.00947168, 0.00844545, 0.00705992, 0.00641246, 0.00595917, 0.00510913, 0.00293325, 0.00243305, 0.00193632, 0.00153689, 0.0010328, 0.000866685, 0.000672613, 0.000499714, 0.000223816, 7.32219e-05, 7.75294e-08, 1.78068e-05, 0.000157061, 5.84193e-05" \
+              "0.00103428, 0.00121792, 0.00144785, 0.00176507, 0.00223518, 0.00287176, 0.00683285, 0.00852785, 0.00959485, 0.00973463, 0.00975643, 0.0103839, 0.0104862, 0.010306, 0.00982166, 0.00901632, 0.00858524, 0.00920407, 0.00930387, 0.00955009, 0.00947168, 0.00844545, 0.00705992, 0.00641246, 0.00595917, 0.00510913, 0.00293325, 0.00243305, 0.00193632, 0.00153689, 0.0010328, 0.000866685, 0.000672613, 0.000499714, 0.000223816, 7.32219e-05, 7.75438e-08, 1.78068e-05, 0.000157061, 5.84193e-05" \
             );
           }
           vector (ccs_template) {
@@ -13606,7 +13606,7 @@
             index_2 ("0.00124625");
             index_3 ("7.43922, 8.12273, 8.33639, 8.58914, 8.78249, 8.96286, 9.37179, 9.45022, 9.60708, 9.67122, 9.70781, 9.74361, 9.86366, 9.90445, 9.92175, 9.93707, 9.97728, 9.99886, 10.0091, 10.0436, 10.0803, 10.0832, 10.089, 10.1006, 10.1237, 10.182, 10.2229, 10.2852, 10.419, 10.5056, 10.7163, 10.8385, 10.8735, 11.0136, 11.2005, 11.4087, 11.5996");
             values ( \
-              "6.25924e-05, 6.87344e-05, 0.000107443, 0.000175818, 0.000311487, 0.000544776, 0.00117621, 0.00127174, 0.00142391, 0.00136168, 0.00137721, 0.0014165, 0.00117844, 0.00123805, 0.00156784, 0.00158299, 0.00180408, 0.00179823, 0.00175756, 0.00151866, 0.00161282, 0.00160623, 0.00162585, 0.00161313, 0.00164492, 0.0015949, 0.00153413, 0.00134217, 0.000703253, 0.000636915, 0.000274307, 0.000156637, 0.000133351, 7.20177e-05, 3.75671e-05, 1.60492e-05, 1.13011e-05" \
+              "6.25923e-05, 6.87344e-05, 0.000107443, 0.000175818, 0.000311487, 0.000544776, 0.00117621, 0.00127174, 0.00142391, 0.00136168, 0.00137721, 0.0014165, 0.00117844, 0.00123805, 0.00156784, 0.00158299, 0.00180408, 0.00179823, 0.00175756, 0.00151866, 0.00161282, 0.00160623, 0.00162585, 0.00161313, 0.00164492, 0.0015949, 0.00153413, 0.00134217, 0.000703253, 0.000636915, 0.000274307, 0.000156637, 0.000133351, 7.20177e-05, 3.75671e-05, 1.60492e-05, 1.13011e-05" \
             );
           }
           vector (ccs_template) {
@@ -13624,7 +13624,7 @@
             index_2 ("0.0145581");
             index_3 ("7.68607, 8.33727, 8.47762, 8.79933, 8.95158, 9.12836, 9.77033, 10.1155, 10.2315, 10.3368, 10.3923, 10.4417, 10.4916, 10.5258, 10.5426, 10.5736, 10.6037, 10.6264, 10.6555, 10.6827, 10.7388, 10.8079, 10.8519, 10.8917, 11.0975, 11.1885, 11.4833, 11.7014, 11.7629, 11.9623, 12.203, 12.3054");
             values ( \
-              "0.000957183, 0.000975288, 0.00118351, 0.00216296, 0.00301511, 0.00425887, 0.00939113, 0.0117646, 0.0121715, 0.0130679, 0.0127765, 0.0127476, 0.0125658, 0.0126418, 0.0125688, 0.0126968, 0.0126153, 0.0136778, 0.0136527, 0.0140432, 0.0134738, 0.0137183, 0.0136707, 0.013341, 0.00877318, 0.0078724, 0.00355756, 0.00171526, 0.00137955, 0.000659013, 0.000271645, 0.000202656" \
+              "0.000957183, 0.000975288, 0.00118351, 0.00216296, 0.00301512, 0.00425887, 0.00939113, 0.0117646, 0.0121715, 0.0130679, 0.0127765, 0.0127476, 0.0125658, 0.0126418, 0.0125688, 0.0126968, 0.0126153, 0.0136778, 0.0136527, 0.0140432, 0.0134738, 0.0137183, 0.0136707, 0.013341, 0.00877318, 0.0078724, 0.00355756, 0.00171526, 0.00137955, 0.000659013, 0.000271645, 0.000202656" \
             );
           }
           vector (ccs_template) {
@@ -13633,7 +13633,7 @@
             index_2 ("0.0497569");
             index_3 ("7.69052, 8.42487, 8.61505, 8.86862, 9.03648, 9.20856, 10.2162, 10.6555, 10.9617, 11.3468, 11.4284, 11.4961, 11.5743, 11.6336, 11.6516, 11.6842, 11.716, 11.7459, 11.7773, 11.8186, 11.8748, 12.0125, 12.2611, 12.3993, 12.7988, 13.0673, 13.3251, 13.5945, 13.7565, 14.0805, 14.5893, 14.6708");
             values ( \
-              "0.0024071, 0.00247366, 0.00317244, 0.00446115, 0.00564679, 0.00717605, 0.0180534, 0.0226907, 0.0256875, 0.0290168, 0.029077, 0.0294956, 0.0295619, 0.0298774, 0.0297699, 0.0311097, 0.0312108, 0.0315918, 0.0313161, 0.0312824, 0.0310643, 0.029505, 0.023834, 0.0218643, 0.0135367, 0.00885285, 0.00560605, 0.00336253, 0.00246191, 0.00123167, 0.000387241, 0.000344129" \
+              "0.0024071, 0.00247366, 0.00317244, 0.00446116, 0.0056468, 0.00717605, 0.0180534, 0.0226907, 0.0256875, 0.0290168, 0.029077, 0.0294956, 0.0295619, 0.0298774, 0.0297699, 0.0311097, 0.0312108, 0.0315918, 0.0313161, 0.0312824, 0.0310643, 0.029505, 0.023834, 0.0218643, 0.0135367, 0.00885285, 0.00560605, 0.00336253, 0.00246191, 0.00123167, 0.000387241, 0.000344129" \
             );
           }
           vector (ccs_template) {
@@ -13651,7 +13651,7 @@
             index_2 ("0.581233");
             index_3 ("9.06856, 10.3844, 12.4146, 13.5001, 14.1859, 15.2714, 15.6404, 16.6689, 17.7145, 18.6874, 18.9407, 19.8158, 20.3533, 21.4461, 24.4629, 26.3618, 27.9967, 28.9019, 30.1179, 31.7295, 32.815, 35.1166, 37.2877, 39.4587, 40.4904");
             values ( \
-              "0.0233368, 0.0235958, 0.0494309, 0.0617297, 0.0676563, 0.0741647, 0.0758059, 0.0787437, 0.0763396, 0.0730593, 0.0728497, 0.0690037, 0.0660707, 0.0589064, 0.036943, 0.0253744, 0.0179074, 0.0145501, 0.0109724, 0.00745913, 0.00576334, 0.003228, 0.00186403, 0.00106876, 0.000849724" \
+              "0.0233367, 0.0235958, 0.0494309, 0.0617297, 0.0676563, 0.0741647, 0.0758059, 0.0787437, 0.0763396, 0.0730593, 0.0728497, 0.0690037, 0.0660707, 0.0589064, 0.036943, 0.0253744, 0.0179074, 0.0145501, 0.0109724, 0.00745913, 0.00576334, 0.003228, 0.00186403, 0.00106876, 0.000849724" \
             );
           }
         }
@@ -13661,8 +13661,8 @@
           values ( \
             "0.00809052, 0.00812733, 0.00817635, 0.00820999, 0.00822401, 0.00822862", \
             "0.00950396, 0.00955336, 0.00963629, 0.00970888, 0.00974314, 0.00975499", \
-            "0.0101334, 0.0101627, 0.0102041, 0.0102675, 0.0103053, 0.01032", \
-            "0.0105998, 0.0105735, 0.0105348, 0.0105135, 0.0105164, 0.0105214", \
+            "0.0101334, 0.0101627, 0.0102041, 0.0102675, 0.0103059, 0.0103205", \
+            "0.0105998, 0.0105735, 0.0105348, 0.0105135, 0.0105163, 0.0105214", \
             "0.0109844, 0.0109439, 0.0108578, 0.0107269, 0.0106282, 0.0105902", \
             "0.0113962, 0.0113308, 0.011186, 0.0110066, 0.0108146, 0.0106736" \
           );
@@ -13673,8 +13673,8 @@
           values ( \
             "0.0101789, 0.0102073, 0.0102537, 0.0102893, 0.0103052, 0.0103106", \
             "0.0103415, 0.0103037, 0.0102473, 0.0102046, 0.0101865, 0.0101801", \
-            "0.0105346, 0.0104077, 0.0102178, 0.0100375, 0.00994581, 0.00991248", \
-            "0.0105643, 0.01081, 0.0106544, 0.0101707, 0.00991192, 0.00981561", \
+            "0.0105346, 0.0104077, 0.0102178, 0.0100375, 0.00994222, 0.00991027", \
+            "0.0105643, 0.01081, 0.0106544, 0.0101707, 0.00991108, 0.00981561", \
             "0.00931725, 0.00937972, 0.00951252, 0.0096945, 0.010223, 0.00990664", \
             "0.00855662, 0.00869372, 0.00894406, 0.00927937, 0.00928354, 0.0103116" \
           );
@@ -13704,7 +13704,7 @@
             index_2 ("0.0145581");
             index_3 ("0.0270247, 0.0359096, 0.0443436, 0.0545433, 0.0661064, 0.0866635, 0.144076, 0.146722, 0.155791, 0.173662, 0.185217, 0.202717, 0.227844, 0.244923, 0.267578, 0.291797, 0.314895, 0.347902, 0.361152, 0.374647, 0.396806, 0.416685, 0.439792, 0.4981, 0.515117, 0.536935, 0.561851, 0.617848, 0.654825, 0.705742, 0.777776, 0.883819");
             values ( \
-              "-0.0249681, -0.0932023, -0.0933112, -0.0932374, -0.0929625, -0.0922292, -0.0893386, -0.0895076, -0.0896535, -0.0905076, -0.0906423, -0.0890717, -0.082767, -0.0743025, -0.0612747, -0.0481732, -0.0369317, -0.0232068, -0.018776, -0.016259, -0.0130379, -0.010516, -0.00831218, -0.00472671, -0.00391868, -0.0031294, -0.0024733, -0.00144052, -0.00096729, -0.000549118, -0.000220486, -6.43451e-05" \
+              "-0.0249681, -0.0932023, -0.0933112, -0.0932374, -0.0929625, -0.0922292, -0.0893386, -0.0895076, -0.0896535, -0.0905076, -0.0906423, -0.0890717, -0.082767, -0.0743025, -0.0612747, -0.0481732, -0.0369317, -0.0232068, -0.018776, -0.016259, -0.0130379, -0.010516, -0.00831218, -0.00472671, -0.00391868, -0.0031294, -0.0024733, -0.00144052, -0.00096729, -0.000549118, -0.000220486, -6.43452e-05" \
             );
           }
           vector (ccs_template) {
@@ -13740,7 +13740,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0605769, 0.0649367, 0.0658322, 0.0685463, 0.0722829, 0.0748742, 0.0800566, 0.087787, 0.100728, 0.100813, 0.106088, 0.111532, 0.118749, 0.126745, 0.139292, 0.150025, 0.152478, 0.179387, 0.187938, 0.195201, 0.197512, 0.197974, 0.204445, 0.235779, 0.25584, 0.264127, 0.268105, 0.283698, 0.289282, 0.295046, 0.300449, 0.314472, 0.329589, 0.358594, 0.454927, 0.554356");
             values ( \
-              "-0.00559741, -0.0152922, -0.0189702, -0.0180775, -0.0175933, -0.017542, -0.0173338, -0.0173522, -0.0171073, -0.0171365, -0.0170872, -0.0171149, -0.0173844, -0.0178998, -0.0178946, -0.0164922, -0.015953, -0.00854433, -0.0067136, -0.00486207, -0.00478368, -0.00467462, -0.00420741, -0.00229681, -0.00124166, -0.000944541, -0.0008494, -0.000600664, -0.00042766, -0.000138172, -2.93513e-06, -2.93018e-05, -0.000176995, -0.000257172, -5.80012e-05, -1e-22" \
+              "-0.00559741, -0.0152922, -0.0189702, -0.0180775, -0.0175933, -0.017542, -0.0173338, -0.0173522, -0.0171073, -0.0171365, -0.0170872, -0.0171149, -0.0173844, -0.0178998, -0.0178946, -0.0164922, -0.015953, -0.00854433, -0.0067136, -0.00486207, -0.00478368, -0.00467462, -0.00420741, -0.00229681, -0.00124166, -0.000944541, -0.0008494, -0.000600664, -0.00042766, -0.000138172, -2.93512e-06, -2.93018e-05, -0.000176995, -0.000257172, -5.80012e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -13803,7 +13803,7 @@
             index_2 ("0.00425947");
             index_3 ("0.156931, 0.18184, 0.195718, 0.214955, 0.226554, 0.246712, 0.260091, 0.262305, 0.264303, 0.267417, 0.282327, 0.28558, 0.291194, 0.296782, 0.307959, 0.313775, 0.344668, 0.358211, 0.366097, 0.373405, 0.39151, 0.403569, 0.422651, 0.434332, 0.446257, 0.457614, 0.470377, 0.472525, 0.476822, 0.484026, 0.490408, 0.503172, 0.513413, 0.523945, 0.535348, 0.549706, 0.570502, 0.637961, 0.747412");
             values ( \
-              "-0.00221526, -0.0203737, -0.0253407, -0.031684, -0.0351953, -0.0409561, -0.0445658, -0.0463635, -0.0466436, -0.0463135, -0.0475242, -0.0477178, -0.0477497, -0.0471649, -0.0447845, -0.0427101, -0.025565, -0.0191503, -0.0159466, -0.013401, -0.0078351, -0.00620707, -0.00430808, -0.00366686, -0.00330691, -0.00310789, -0.00262955, -0.00266786, -0.00235837, -0.00197771, -0.00146152, -0.00104999, -0.000833016, -0.000862038, -0.000753449, -0.000753541, -0.000564456, -0.000198913, -5.61987e-06" \
+              "-0.00221526, -0.0203737, -0.0253407, -0.031684, -0.0351953, -0.0409561, -0.0445658, -0.0463635, -0.0466436, -0.0463135, -0.0475242, -0.0477178, -0.0477497, -0.0471649, -0.0447845, -0.0427101, -0.025565, -0.0191503, -0.0159466, -0.013401, -0.0078351, -0.00620707, -0.00430808, -0.00366686, -0.00330691, -0.00310789, -0.00262955, -0.00266786, -0.00235837, -0.00197771, -0.00146152, -0.00104999, -0.000833016, -0.000862038, -0.000753449, -0.000753541, -0.000564456, -0.000198913, -5.61988e-06" \
             );
           }
           vector (ccs_template) {
@@ -13828,18 +13828,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.17006");
-            index_3 ("0.17822, 0.264357, 0.27387, 0.281866, 0.292956, 0.304076, 0.32365, 0.353442, 0.400787, 0.405786, 0.415782, 0.611539, 1.03441, 1.3045, 1.36362, 1.41964, 1.4775, 1.58224, 1.66561, 1.71801, 1.74182, 1.80063, 1.88033, 2.15739, 2.34528, 2.48598, 2.67221, 2.81918, 2.93737, 3.08381, 3.29047, 3.42885, 3.51659, 3.69207, 3.97334, 4.30078, 4.69529, 5.11507, 5.55994");
+            index_3 ("0.201079, 0.259543, 0.272896, 0.28079, 0.292561, 0.304364, 0.611828, 1.2529, 1.40901, 1.5568, 1.656, 1.86248, 2.21125, 2.51645, 2.83379, 3.12523, 3.38695, 3.76872, 4.04128, 4.37485, 4.37872");
             values ( \
-              "-0.0621723, -0.151054, -0.151965, -0.15105, -0.15156, -0.150895, -0.151081, -0.150392, -0.150228, -0.149925, -0.149961, -0.147693, -0.141928, -0.137784, -0.136598, -0.135101, -0.133133, -0.128273, -0.1223, -0.117768, -0.114946, -0.110747, -0.104044, -0.0762756, -0.0590897, -0.0480102, -0.0358664, -0.0281745, -0.0230952, -0.0179357, -0.0124308, -0.00966629, -0.00825183, -0.00598395, -0.0036203, -0.002029, -0.00102934, -0.000492915, -0.000236436" \
+              "-0.145816, -0.145978, -0.151964, -0.151082, -0.151559, -0.1509, -0.147809, -0.138692, -0.135423, -0.12966, -0.123091, -0.105765, -0.0711019, -0.0458441, -0.0275171, -0.0167162, -0.0104597, -0.00521261, -0.00320903, -0.00179135, -0.00178496" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.581233");
-            index_3 ("0.176698, 0.270375, 0.277649, 0.290339, 0.304647, 0.325608, 0.333143, 0.348213, 0.378353, 0.424314, 0.516235, 0.531988, 1.54035, 2.52388, 3.36965, 3.80854, 4.15507, 4.38686, 4.56068, 4.6337, 4.69552, 4.75978, 4.82639, 5.00491, 5.18305, 5.58077, 6.50807, 7.2159, 7.72891, 8.01663, 8.52285, 8.85172, 9.38568, 9.97222, 10.6283, 10.9576, 11.6162, 12.3671, 13.118, 14.6198, 16.1216, 16.8725");
+            index_3 ("0.178888, 0.258763, 0.276154, 0.286045, 0.301263, 0.318189, 0.335126, 0.368999, 0.416979, 0.475385, 0.533921, 0.650994, 0.867408, 1.19174, 1.54235, 2.21996, 2.24751, 2.35771, 3.18987, 3.73239, 3.96299, 4.14563, 4.38882, 4.56146, 4.6357, 4.697, 4.76071, 4.82732, 5.00532, 5.18506, 5.40072, 6.85459, 7.43581, 7.63993, 7.91404, 8.27546, 8.79495, 9.22488, 9.69606, 10.3652, 10.7898, 11.0527, 11.5786, 12.3295, 13.0804, 13.8313, 14.5822, 15.3331, 16.8349");
             values ( \
-              "-0.0659241, -0.159079, -0.158158, -0.158811, -0.158135, -0.158523, -0.158118, -0.158327, -0.157994, -0.158037, -0.157518, -0.157634, -0.153865, -0.149669, -0.145476, -0.142779, -0.140017, -0.137532, -0.135155, -0.133653, -0.133751, -0.132472, -0.131774, -0.128001, -0.123503, -0.111775, -0.082497, -0.0618154, -0.0493059, -0.0430307, -0.033756, -0.028574, -0.0218274, -0.0159816, -0.0112385, -0.00944907, -0.0065316, -0.00435878, -0.00284076, -0.00123473, -0.000532541, -0.000392629" \
+              "-0.0661877, -0.152578, -0.158983, -0.158173, -0.158738, -0.158075, -0.158488, -0.157936, -0.158163, -0.157583, -0.15773, -0.156972, -0.156523, -0.154989, -0.153965, -0.150861, -0.15091, -0.150429, -0.146467, -0.143306, -0.141668, -0.140129, -0.137531, -0.135168, -0.133659, -0.133751, -0.132494, -0.131789, -0.128035, -0.123503, -0.117424, -0.0719984, -0.0563444, -0.0513389, -0.0452487, -0.0381268, -0.029465, -0.023694, -0.0185685, -0.0129728, -0.0103307, -0.00895154, -0.0067115, -0.00443165, -0.00293349, -0.0019409, -0.00128868, -0.000854538, -0.000378137" \
             );
           }
           vector (ccs_template) {
@@ -13882,9 +13882,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.17006");
-            index_3 ("0.6171, 0.795867, 0.946434, 1.04036, 1.06982, 1.36895, 1.58278, 1.85502, 1.91661, 1.97036, 2.02519, 2.1262, 2.21144, 2.26609, 2.29389, 2.39401, 2.43676, 2.76225, 2.94952, 3.01382, 3.10579, 3.21995, 3.36701, 3.48631, 3.63245, 3.83886, 3.9767, 4.064, 4.23862, 4.51527, 4.83779, 5.22492, 5.63886, 6.07583");
+            index_3 ("0.586359, 0.688193, 0.807029, 0.946347, 1.04026, 1.06971, 1.36905, 1.80052, 1.89119, 1.95721, 2.02576, 2.1028, 2.16128, 2.23408, 2.29956, 2.32924, 2.40313, 2.75231, 2.91665, 3.07409, 3.16354, 3.34244, 3.40603, 3.47484, 3.56659, 3.74727, 3.92706, 4.01615, 4.19433, 4.45061, 4.75344, 5.1164, 5.53074, 5.95736");
             values ( \
-              "-0.0700239, -0.0898809, -0.126683, -0.147715, -0.148711, -0.14494, -0.141908, -0.137745, -0.136496, -0.135023, -0.133167, -0.128517, -0.12251, -0.117803, -0.114618, -0.107128, -0.103286, -0.0708764, -0.0544767, -0.0495223, -0.0430217, -0.0359018, -0.028195, -0.0230687, -0.0179271, -0.0124275, -0.00967576, -0.00826414, -0.00600421, -0.00366153, -0.00207159, -0.00106323, -0.00051606, -0.000249246" \
+              "-0.058581, -0.0617235, -0.0927507, -0.126706, -0.147696, -0.148712, -0.144937, -0.138684, -0.137003, -0.135498, -0.13307, -0.129836, -0.126368, -0.12071, -0.113942, -0.112227, -0.106401, -0.0717956, -0.0571472, -0.0451422, -0.0393349, -0.0293127, -0.0263601, -0.023471, -0.0201377, -0.0146045, -0.0105659, -0.00905482, -0.0064732, -0.00414153, -0.00237058, -0.00131751, -0.000590074, -0.00033778" \
             );
           }
           vector (ccs_template) {
@@ -13965,7 +13965,7 @@
             index_2 ("0.00425947");
             index_3 ("5.5126, 6.54228, 6.64298, 6.84437, 7.06497, 7.17692, 7.40083, 7.49416, 7.68083, 7.89198, 8.14078, 8.29894, 8.38215, 8.57195, 8.62217, 8.66949, 8.7218, 8.77369, 8.79715, 8.84166, 8.86976, 8.88476, 8.91405, 8.92448, 8.93513, 8.97032, 9.0201, 9.05681, 9.09003, 9.2522, 9.30687, 9.39196, 9.54288, 9.61137, 9.71482, 9.79136, 9.91127, 10.1184, 10.3732, 10.69, 11.0803");
             values ( \
-              "-3.28344e-06, -0.000294725, -0.000339328, -0.000455475, -0.000624702, -0.000734136, -0.00105219, -0.00125806, -0.00183531, -0.00268762, -0.00349428, -0.00392978, -0.00422753, -0.0050209, -0.00510097, -0.00493036, -0.00492604, -0.00499339, -0.00520059, -0.00539591, -0.0052017, -0.00555151, -0.00478388, -0.00490181, -0.00490393, -0.00557109, -0.00597448, -0.00584772, -0.00562292, -0.00181324, -0.00101967, -0.000395455, -8.47579e-05, -0.000112769, -3.05102e-05, -8.25864e-05, -1.30503e-05, -6.03237e-05, -1e-22, -4.17387e-05, -1e-22" \
+              "-3.28344e-06, -0.000294725, -0.000339328, -0.000455475, -0.000624702, -0.000734136, -0.00105219, -0.00125806, -0.00183531, -0.00268762, -0.00349428, -0.00392978, -0.00422753, -0.0050209, -0.00510097, -0.00493036, -0.00492604, -0.00499339, -0.00520059, -0.00539591, -0.0052017, -0.00555151, -0.00478388, -0.00490181, -0.00490393, -0.00557109, -0.00597448, -0.00584772, -0.00562292, -0.00181324, -0.00101967, -0.000395455, -8.4758e-05, -0.000112769, -3.05102e-05, -8.25864e-05, -1.30503e-05, -6.03237e-05, -1e-22, -4.17387e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -13974,7 +13974,7 @@
             index_2 ("0.0145581");
             index_3 ("6.17959, 6.79929, 7.076, 7.17824, 7.38273, 7.61403, 7.68683, 7.78389, 8.10003, 8.28518, 8.40169, 8.64943, 8.76884, 8.86425, 8.94182, 9.00041, 9.05529, 9.16915, 9.23851, 9.27139, 9.30339, 9.33294, 9.36149, 9.38289, 9.40461, 9.41514, 9.43619, 9.4587, 9.48692, 9.51912, 9.55732, 9.59778, 9.64529, 9.74761, 9.80681, 9.8432, 9.88497, 9.94066, 10.025, 10.153, 10.316, 10.4672, 10.6776, 10.8296, 11.0618, 11.4461");
             values ( \
-              "-0.00105987, -0.00121838, -0.00176048, -0.00201751, -0.00265785, -0.00373697, -0.00420921, -0.00494229, -0.00757127, -0.00895622, -0.00976179, -0.0113933, -0.0122611, -0.0131144, -0.0137039, -0.0140562, -0.0140243, -0.0143912, -0.0147272, -0.0147181, -0.0142516, -0.0150407, -0.0150848, -0.0150929, -0.01484, -0.0148124, -0.014576, -0.0144616, -0.0144992, -0.014572, -0.0142644, -0.0133504, -0.011537, -0.00698618, -0.00476879, -0.00373327, -0.00277352, -0.00180739, -0.000963953, -0.0003351, -0.000139985, -5.96132e-05, -6.1282e-05, -2.38994e-05, -3.98184e-05, -7.86829e-07" \
+              "-0.00105987, -0.00121838, -0.00176048, -0.00201751, -0.00265785, -0.00373697, -0.00420921, -0.00494229, -0.00757127, -0.00895622, -0.00976179, -0.0113933, -0.0122611, -0.0131144, -0.0137039, -0.0140562, -0.0140243, -0.0143912, -0.0147272, -0.0147181, -0.0142516, -0.0150407, -0.0150848, -0.0150929, -0.01484, -0.0148124, -0.014576, -0.0144616, -0.0144992, -0.014572, -0.0142644, -0.0133505, -0.011537, -0.00698618, -0.00476879, -0.00373327, -0.00277352, -0.00180739, -0.000963953, -0.0003351, -0.000139985, -5.96132e-05, -6.1282e-05, -2.38993e-05, -3.98184e-05, -7.86821e-07" \
             );
           }
           vector (ccs_template) {
@@ -14043,9 +14043,9 @@
             "0.136946, 0.169365, 0.278784, 0.648412, 1.90709, 6.20636", \
             "0.144725, 0.177636, 0.28801, 0.658592, 1.91767, 6.21689", \
             "0.185152, 0.216972, 0.328277, 0.700375, 1.96087, 6.26068", \
-            "0.3388, 0.383502, 0.508937, 0.876728, 2.13673, 6.43708", \
-            "0.666357, 0.746931, 0.983432, 1.55691, 2.85983, 7.14946", \
-            "1.50812, 1.63508, 2.02945, 3.05765, 5.36373, 10.0748" \
+            "0.338804, 0.383502, 0.508937, 0.876666, 2.1368, 6.43716", \
+            "0.666357, 0.746857, 0.983432, 1.55744, 2.86089, 7.14913", \
+            "1.50812, 1.63508, 2.02945, 3.05765, 5.36374, 10.0755" \
           );
         }
         rise_transition (delay_template) {
@@ -14055,9 +14055,9 @@
             "0.159873, 0.205914, 0.358294, 0.875544, 2.65375, 8.73646", \
             "0.159701, 0.205711, 0.358204, 0.875428, 2.65349, 8.73544", \
             "0.160947, 0.205367, 0.358074, 0.875415, 2.65356, 8.73613", \
-            "0.251944, 0.290755, 0.403645, 0.876299, 2.65357, 8.73595", \
-            "0.503408, 0.57177, 0.757418, 1.19948, 2.69709, 8.73616", \
-            "1.0972, 1.21337, 1.54965, 2.39253, 4.16796, 9.07872" \
+            "0.251942, 0.290755, 0.403645, 0.876306, 2.65357, 8.73542", \
+            "0.503408, 0.571893, 0.757418, 1.19943, 2.69761, 8.73631", \
+            "1.0972, 1.21337, 1.54965, 2.39253, 4.16739, 9.07844" \
           );
         }
         cell_fall (delay_template) {
@@ -14127,7 +14127,7 @@
             index_2 ("0.17006");
             index_3 ("0.0594505, 0.0594705, 0.754539, 1.51212, 1.91477, 2.19915, 2.2598, 2.31998, 2.81755, 3.68938, 4.24902, 5.17937, 5.77366, 6.2492, 6.90042, 8.58585, 9.65678");
             values ( \
-              "1e-22, 0.0929743, 0.0830923, 0.0782412, 0.0743432, 0.0699385, 0.0704476, 0.0698733, 0.0593781, 0.0370285, 0.0252079, 0.0124732, 0.00761827, 0.00504373, 0.00280246, 0.000445766, 0.000425953" \
+              "1e-22, 0.0929743, 0.0830923, 0.0782412, 0.0743432, 0.0699385, 0.0704476, 0.0698733, 0.0593781, 0.0370285, 0.0252079, 0.0124732, 0.00761827, 0.00504373, 0.00280246, 0.000445765, 0.000425952" \
             );
           }
           vector (ccs_template) {
@@ -14136,7 +14136,7 @@
             index_2 ("0.581233");
             index_3 ("0.127943, 0.127963, 1.71678, 2.40468, 4.88531, 5.99802, 6.43408, 6.71103, 7.62238, 8.97043, 11.5482, 12.8839, 14.1563, 15.3904, 17.1688, 18.4063, 20.3149, 23.0142, 25.156, 27.2979, 28.2282");
             values ( \
-              "1e-22, 0.10059, 0.0875136, 0.0866672, 0.0813382, 0.0779364, 0.0761859, 0.0758221, 0.0717632, 0.0629651, 0.0424778, 0.0328244, 0.0250557, 0.0189954, 0.0124517, 0.00916897, 0.00563837, 0.00278423, 0.00156926, 0.000873896, 0.00076749" \
+              "1e-22, 0.10059, 0.0875136, 0.0866672, 0.0813382, 0.0779364, 0.0761859, 0.0758221, 0.0717632, 0.0629651, 0.0424778, 0.0328244, 0.0250557, 0.0189954, 0.0124517, 0.00916897, 0.00563837, 0.00278423, 0.00156926, 0.000873895, 0.000767489" \
             );
           }
           vector (ccs_template) {
@@ -14145,7 +14145,7 @@
             index_2 ("0.00124625");
             index_3 ("0.070304, 0.070324, 0.0992114, 0.130694, 0.144825, 0.177251, 0.189522, 0.199991, 0.202058, 0.20619, 0.214455, 0.244401, 0.250125, 0.256243, 0.262643, 0.293925, 0.301519, 0.309433, 0.323967, 0.349374, 0.366726, 0.410453, 0.43518, 0.466136, 0.507411, 0.57383, 0.656413");
             values ( \
-              "1e-22, 0.0124483, 0.0107897, 0.0103327, 0.0100482, 0.0090478, 0.00898219, 0.00918376, 0.00868988, 0.00859877, 0.00776025, 0.00552261, 0.00601968, 0.00596878, 0.00583823, 0.00391758, 0.00373403, 0.00370412, 0.00335744, 0.0021955, 0.00170299, 0.000936513, 0.000649216, 0.000402237, 0.000223943, 6.06871e-05, 2.68842e-05" \
+              "1e-22, 0.0124483, 0.0107897, 0.0103327, 0.0100482, 0.0090478, 0.00898219, 0.00918376, 0.00868988, 0.00859877, 0.00776025, 0.00552261, 0.00601968, 0.00596878, 0.00583823, 0.00391758, 0.00373403, 0.00370412, 0.00335744, 0.0021955, 0.00170299, 0.000936513, 0.000649215, 0.000402237, 0.000223943, 6.06871e-05, 2.68842e-05" \
             );
           }
           vector (ccs_template) {
@@ -14188,9 +14188,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.581233");
-            index_3 ("0.0768316, 0.0768516, 0.268178, 2.43794, 4.60636, 5.71744, 6.39344, 6.67967, 7.24635, 8.71955, 12.9008, 14.188, 15.428, 17.1982, 18.2541, 20.1477, 21.2952, 23.437, 27.1224");
+            index_3 ("0.0768316, 0.0768516, 0.268178, 2.43794, 4.60635, 5.71744, 6.39344, 6.67967, 7.24635, 8.71954, 12.9008, 14.188, 15.428, 17.1982, 18.2541, 20.1477, 21.2952, 23.437, 27.1224");
             values ( \
-              "1e-22, 0.0946403, 0.0903912, 0.0864872, 0.0819668, 0.0789681, 0.076406, 0.0760589, 0.0738007, 0.0650235, 0.0329268, 0.025057, 0.0189711, 0.0124592, 0.00960301, 0.00593609, 0.00441276, 0.00250856, 0.0010242" \
+              "1e-22, 0.0946403, 0.0903912, 0.0864872, 0.0819668, 0.0789681, 0.076406, 0.0760589, 0.0738007, 0.0650236, 0.0329268, 0.025057, 0.0189711, 0.0124592, 0.009603, 0.00593608, 0.00441276, 0.00250857, 0.00102421" \
             );
           }
           vector (ccs_template) {
@@ -14251,9 +14251,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.457128, 0.557593, 0.574166, 0.600062, 0.629342, 0.657673, 0.722878, 0.773646, 0.789718, 0.817773, 0.847375, 0.85931, 0.87792, 0.891062, 0.895986, 0.918898, 0.9334, 0.94445, 0.951292, 0.966037, 0.982293, 0.990268, 0.99891, 1.00711, 1.04002, 1.04083, 1.04246, 1.04572, 1.06545, 1.08222, 1.09712, 1.11325, 1.13585, 1.16343, 1.2002, 1.26544, 1.34193, 1.43821");
+            index_3 ("0.478292, 0.557614, 0.573598, 0.599917, 0.629612, 0.657661, 0.722865, 0.773632, 0.78949, 0.817759, 0.847345, 0.859295, 0.877908, 0.891051, 0.895971, 0.918878, 0.933384, 0.944438, 0.95128, 0.966023, 0.982275, 0.990251, 0.998893, 1.00709, 1.04, 1.04082, 1.04244, 1.04569, 1.06537, 1.0822, 1.09717, 1.11328, 1.13586, 1.16337, 1.20005, 1.26527, 1.34173, 1.43798");
             values ( \
-              "2.42409e-05, 0.000869614, 0.00109993, 0.00156859, 0.00225507, 0.00286502, 0.00402427, 0.00481642, 0.00503259, 0.00531898, 0.0053919, 0.00566374, 0.00629307, 0.00624306, 0.00631408, 0.00592693, 0.00537765, 0.00575797, 0.00573639, 0.00521482, 0.00442629, 0.00426052, 0.00415728, 0.00395727, 0.00249153, 0.00248251, 0.00265353, 0.00265448, 0.00204112, 0.00162712, 0.00132071, 0.00104897, 0.000744841, 0.000489671, 0.000277553, 9.12889e-05, 2.73252e-05, 4.1843e-06" \
+              "0.00026101, 0.000871089, 0.00109112, 0.00156653, 0.00226257, 0.00286393, 0.0040232, 0.00481533, 0.00503106, 0.00531798, 0.00539327, 0.0056624, 0.00629459, 0.00624185, 0.00631521, 0.00592802, 0.00537845, 0.00575833, 0.00573599, 0.00521442, 0.00442678, 0.00426015, 0.00415769, 0.00395693, 0.00249192, 0.00248227, 0.00265364, 0.00265502, 0.00204293, 0.00162717, 0.00131944, 0.00104829, 0.000744567, 0.000489995, 0.000278162, 9.15065e-05, 2.74128e-05, 4.19227e-06" \
             );
           }
           vector (ccs_template) {
@@ -14278,27 +14278,27 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0497569");
-            index_3 ("0.585609, 0.690995, 0.805937, 0.961604, 1.04049, 1.05704, 1.07881, 1.09795, 1.1375, 1.26425, 1.35986, 1.39713, 1.45411, 1.50854, 1.57742, 1.62573, 1.64432, 1.67946, 1.69675, 1.71802, 1.82572, 1.99535, 2.1145, 2.17839, 2.19233, 2.22021, 2.34316, 2.47349, 2.56753, 2.68485, 2.82305, 3.02908, 3.20591, 3.47872, 3.88057, 4.40848");
+            index_3 ("0.589865, 0.684555, 0.819436, 0.951811, 1.04051, 1.05707, 1.07957, 1.09879, 1.13748, 1.26422, 1.35947, 1.3971, 1.45405, 1.50849, 1.57738, 1.6257, 1.64429, 1.67943, 1.69672, 1.71799, 1.8257, 1.99533, 2.11448, 2.17836, 2.19229, 2.22017, 2.34314, 2.47346, 2.56748, 2.6848, 2.82302, 3.02906, 3.20603, 3.47889, 3.88081, 4.40885");
             values ( \
-              "0.0207407, 0.0256745, 0.0417764, 0.0627523, 0.0724302, 0.0735794, 0.0732485, 0.0727267, 0.0720958, 0.0693028, 0.0664203, 0.0663123, 0.0642586, 0.0626, 0.0581043, 0.054455, 0.0550809, 0.0541398, 0.0528956, 0.0517506, 0.0438311, 0.0321018, 0.0250585, 0.0218616, 0.0214286, 0.020096, 0.0153835, 0.0113047, 0.00905763, 0.0066313, 0.0046304, 0.00267608, 0.00173, 0.000752635, 0.000280263, 4.39724e-06" \
+              "0.0235426, 0.0247463, 0.0436426, 0.0614873, 0.0724299, 0.0735783, 0.0732324, 0.0727094, 0.0720959, 0.0693027, 0.0664306, 0.0663081, 0.0642627, 0.0625976, 0.058102, 0.0544532, 0.0550813, 0.05414, 0.0528952, 0.0517507, 0.0438301, 0.0321004, 0.0250579, 0.0218614, 0.0214285, 0.0200962, 0.0153833, 0.0113047, 0.00905784, 0.00663155, 0.00463046, 0.00267598, 0.00172924, 0.000752214, 0.000280021, 4.37663e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.17006");
-            index_3 ("0.653067, 0.884793, 1.04088, 1.06746, 1.68141, 2.24652, 2.61951, 2.73453, 2.93797, 2.98552, 3.01995, 3.05242, 3.08678, 3.40003, 4.24701, 4.67455, 5.06908, 5.46889, 5.97955, 6.25183, 6.88434, 7.2071, 7.63746, 8.24516, 9.31609, 10.387");
+            index_3 ("0.649624, 0.787672, 1.04014, 1.06672, 1.68074, 2.24585, 2.61884, 2.73386, 2.9373, 2.98485, 3.01928, 3.05175, 3.08611, 3.39936, 4.24634, 4.67388, 5.06841, 5.46822, 5.97888, 6.25116, 6.88366, 7.20643, 7.63679, 8.24449, 9.31542, 10.3864");
             values ( \
-              "0.0363018, 0.0603962, 0.0845237, 0.0859621, 0.0822376, 0.0781681, 0.0747254, 0.0733521, 0.0701536, 0.0698115, 0.0705565, 0.0695689, 0.0695926, 0.0632355, 0.0415288, 0.0315845, 0.0239587, 0.0178841, 0.01201, 0.00962408, 0.00564078, 0.00427217, 0.00310391, 0.0016718, 0.000737485, 0.000144229" \
+              "0.0439341, 0.0448135, 0.0845261, 0.0859628, 0.0822375, 0.078168, 0.0747254, 0.0733521, 0.0701536, 0.0698115, 0.0705565, 0.0695689, 0.0695926, 0.0632355, 0.0415288, 0.0315846, 0.0239587, 0.0178841, 0.01201, 0.00962409, 0.00564078, 0.00427217, 0.0031039, 0.0016718, 0.000737485, 0.000144227" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.581233");
-            index_3 ("0.839755, 0.839775, 2.96813, 5.45009, 6.47445, 7.15437, 7.33004, 8.08645, 8.90593, 9.92849, 12.6882, 14.6148, 16.2007, 17.7008, 19.7577, 21.2288, 23.1, 25.2419, 27.3838, 28.4073");
+            index_3 ("0.83057, 0.83059, 2.97115, 4.37198, 6.40476, 7.44009, 8.24568, 9.74728, 12.4543, 14.1891, 15.5635, 17.7061, 18.6035, 20.5553, 23.2594, 26.4722, 27.7252");
             values ( \
-              "1e-22, 0.0957041, 0.0868373, 0.0816323, 0.0787829, 0.0762633, 0.0762147, 0.073149, 0.0685337, 0.0612888, 0.0394455, 0.0266145, 0.0187676, 0.0130876, 0.00785752, 0.00539233, 0.00330958, 0.00187287, 0.00104875, 0.000905459" \
+              "1e-22, 0.0947445, 0.086836, 0.0840985, 0.0790432, 0.0758565, 0.072369, 0.0626719, 0.0412118, 0.0291755, 0.0216269, 0.0131493, 0.0105049, 0.00641989, 0.0032388, 0.00135758, 0.00113729" \
             );
           }
           vector (ccs_template) {
@@ -14307,16 +14307,16 @@
             index_2 ("0.00124625");
             index_3 ("1.63888, 1.99376, 2.08372, 2.15921, 2.187, 2.24258, 2.35782, 2.46273, 2.56765, 2.59387, 2.64631, 2.65932, 2.68533, 2.7174, 2.74831, 2.7512, 2.75505, 2.76276, 2.77817, 2.80672, 2.8352, 2.83919, 2.84451, 2.8605, 2.8817, 2.90277, 2.9143, 2.93426, 2.97507, 2.99529, 3.04243, 3.10893, 3.16816, 3.18855, 3.19578, 3.21025, 3.23917, 3.29702, 3.39073, 3.50284, 3.55653");
             values ( \
-              "4.06144e-05, 0.00021235, 0.000320717, 0.000464399, 0.000544938, 0.000755476, 0.00141396, 0.00193648, 0.00234176, 0.00241804, 0.00251221, 0.00251303, 0.00246594, 0.00243955, 0.00264398, 0.0025946, 0.00261002, 0.00261462, 0.00260438, 0.00253983, 0.00252987, 0.00250748, 0.00251691, 0.00304428, 0.00351869, 0.00375215, 0.00374652, 0.00389898, 0.0034502, 0.00314795, 0.00223155, 0.00117703, 0.00060194, 0.000501431, 0.000431676, 0.00039087, 0.00024935, 0.000140905, 1.88592e-05, 2.29805e-05, 1.44044e-05" \
+              "4.06144e-05, 0.00021235, 0.000320717, 0.000464399, 0.000544938, 0.000755476, 0.00141396, 0.00193648, 0.00234176, 0.00241804, 0.00251221, 0.00251303, 0.00246594, 0.00243955, 0.00264398, 0.0025946, 0.00261002, 0.00261462, 0.00260438, 0.00253983, 0.00252987, 0.00250748, 0.00251691, 0.00304428, 0.00351869, 0.00375215, 0.00374652, 0.00389898, 0.0034502, 0.00314795, 0.00223155, 0.00117703, 0.00060194, 0.000501431, 0.000431676, 0.00039087, 0.00024935, 0.000140905, 1.88591e-05, 2.29805e-05, 1.44044e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00425947");
-            index_3 ("1.49694, 1.86333, 1.97334, 2.08335, 2.19608, 2.26634, 2.46598, 2.57709, 2.62694, 2.72663, 2.79472, 2.82944, 2.8634, 2.89195, 2.92015, 2.94973, 2.96704, 2.99808, 3.02306, 3.03632, 3.04896, 3.07342, 3.20027, 3.24823, 3.31205, 3.38996, 3.44626, 3.52435, 3.64596, 3.79372, 3.79603");
+            index_3 ("1.49663, 1.643, 1.93636, 2.0082, 2.07192, 2.14976, 2.2071, 2.32178, 2.40349, 2.50806, 2.65666, 2.73042, 2.7592, 2.79481, 2.82905, 2.86303, 2.89159, 2.91978, 2.94934, 2.96664, 2.98541, 2.99772, 3.02272, 3.03599, 3.04865, 3.07307, 3.19999, 3.24792, 3.31168, 3.36149, 3.38967, 3.44605, 3.52404, 3.64571, 3.79337, 4.1645, 4.25061, 4.3565");
             values ( \
-              "3.0306e-05, 0.00038868, 0.000629116, 0.000991122, 0.0016661, 0.0024547, 0.00535272, 0.00659454, 0.00704262, 0.00768876, 0.00767811, 0.0082659, 0.00859037, 0.00836836, 0.00843237, 0.00840209, 0.00858649, 0.00870086, 0.0105671, 0.0112046, 0.0113586, 0.0106453, 0.00513288, 0.00349932, 0.00199107, 0.000948933, 0.000532515, 0.000235429, 5.43225e-05, 1.45449e-05, 1.44906e-05" \
+              "3.0306e-05, 0.000150473, 0.00050595, 0.000714175, 0.000940132, 0.00134078, 0.00178208, 0.00325457, 0.00450792, 0.0058655, 0.00730652, 0.00768744, 0.00765604, 0.0076877, 0.00824104, 0.00860778, 0.00835242, 0.00844527, 0.00838582, 0.00859514, 0.00862773, 0.00870799, 0.0105697, 0.0111946, 0.0113648, 0.0106534, 0.00512822, 0.00349645, 0.00198977, 0.00124092, 0.000950381, 0.000530149, 0.000237156, 5.2538e-05, 1.62789e-05, 7.52014e-06, 0.000118653, 3.25894e-05" \
             );
           }
           vector (ccs_template) {
@@ -14332,54 +14332,54 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0497569");
-            index_3 ("1.923, 2.17919, 2.37331, 2.66979, 2.8832, 3.30026, 3.63846, 3.75883, 3.80608, 3.81558, 3.8751, 3.89294, 3.94058, 4.08243, 4.19125, 4.37737, 4.49319, 4.65165, 4.77262, 4.93276, 5.12811, 5.47229, 6.18206, 6.71183");
+            index_3 ("1.94125, 2.22645, 2.32326, 2.60329, 2.80703, 3.23846, 3.63261, 3.73369, 3.8166, 3.88154, 3.90374, 3.92713, 3.9491, 4.08053, 4.181, 4.33909, 4.49362, 4.70179, 4.83829, 4.92543, 5.06845, 5.2128, 5.32427, 5.54722, 5.83951");
             values ( \
-              "0.0069898, 0.0069986, 0.0124272, 0.0229964, 0.0295734, 0.0415164, 0.0493881, 0.0513126, 0.051125, 0.0513098, 0.0506077, 0.0518825, 0.0518363, 0.0454846, 0.0397475, 0.0266162, 0.0210999, 0.0148732, 0.0111288, 0.00740633, 0.00440369, 0.00164036, 4.98604e-05, 0.000222083" \
+              "0.00705994, 0.00805465, 0.0107635, 0.0207778, 0.0272697, 0.0398754, 0.0492227, 0.0509823, 0.0513167, 0.0506867, 0.0521964, 0.0516668, 0.0516934, 0.0456764, 0.0400534, 0.0294397, 0.0213829, 0.0134755, 0.00968884, 0.00779274, 0.0053785, 0.00370409, 0.00275051, 0.00150979, 0.000718082" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.17006");
-            index_3 ("2.08267, 2.44219, 3.30686, 3.67671, 3.86367, 4.11295, 4.18134, 4.27869, 4.54045, 4.73501, 4.88239, 4.94166, 5.05641, 5.13703, 5.20334, 5.21931, 5.25127, 5.27828, 5.31828, 5.41067, 5.55787, 5.84519, 6.43845, 6.71664, 6.96136, 7.27781, 7.50446, 7.75253, 8.0193, 8.2065, 8.37978, 8.8069, 9.27679, 9.48935, 9.91446, 10.5396, 11.6106, 12.6815");
+            index_3 ("2.18828, 2.72638, 3.53321, 3.74015, 4.15721, 4.17897, 4.27482, 4.54176, 4.73625, 4.88552, 4.94309, 5.0232, 5.0828, 5.23641, 5.27212, 5.30384, 5.37243, 5.55858, 5.84654, 6.43983, 6.71829, 6.95563, 7.27798, 7.50817, 7.75466, 8.02217, 8.17928, 8.20791, 8.3797, 8.80574, 9.27778, 9.49202, 9.92049, 10.5349, 11.491, 12.562, 13.6329");
             values ( \
-              "0.0160742, 0.0179981, 0.0507292, 0.0643324, 0.0708653, 0.0789903, 0.0808465, 0.0801571, 0.0780259, 0.0761411, 0.0751337, 0.0740881, 0.0729678, 0.0712075, 0.0705166, 0.0698888, 0.0699936, 0.07029, 0.0700892, 0.0686734, 0.065817, 0.0592192, 0.0440181, 0.0372055, 0.0317054, 0.0253532, 0.0215803, 0.018053, 0.0146477, 0.0126451, 0.0110083, 0.00774981, 0.00521222, 0.00439738, 0.0030068, 0.00177077, 0.000615509, 0.000257275" \
+              "0.0184851, 0.0288401, 0.0591257, 0.0665698, 0.0803515, 0.0808732, 0.0802002, 0.0780299, 0.0761407, 0.0751176, 0.074087, 0.0734368, 0.0722184, 0.0698606, 0.0702096, 0.0702601, 0.0693366, 0.0658295, 0.0592209, 0.0440124, 0.0371911, 0.031867, 0.0253673, 0.0215349, 0.0180514, 0.0146214, 0.0130149, 0.0126334, 0.0110088, 0.00775342, 0.00520093, 0.00440535, 0.00298135, 0.00179401, 0.000690877, 0.000302539, 6.0416e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.581233");
-            index_3 ("2.50142, 3.29333, 3.99046, 4.19266, 6.64449, 7.71542, 8.53325, 8.99226, 9.23293, 9.46305, 9.63555, 9.66427, 9.72171, 9.96293, 10.2405, 10.6345, 11.2224, 11.9839, 14.6836, 15.3606, 16.4316, 17.159, 17.8723, 18.6653, 19.56, 20.8195, 21.7206, 22.7915, 23.5766, 24.5133, 26.6551, 28.797, 30.9389, 33.0807, 35.2226");
+            index_3 ("2.51858, 3.2575, 3.99489, 4.19175, 6.64343, 7.91065, 8.30325, 8.5322, 8.9913, 9.23187, 9.46216, 9.63465, 9.66329, 9.72057, 9.96159, 10.6335, 11.2212, 11.9817, 14.5598, 15.882, 17.1669, 18.4099, 19.3474, 20.1757, 21.3603, 22.4312, 23.3055, 24.0474, 26.1075, 28.2494, 30.3913, 32.5331, 34.675, 35.7459");
             values ( \
-              "0.0412769, 0.0535586, 0.0818098, 0.0887474, 0.0840605, 0.0816073, 0.0794598, 0.0780139, 0.0769308, 0.0761374, 0.0761235, 0.0758867, 0.0758172, 0.074908, 0.0736067, 0.0717542, 0.0681594, 0.062946, 0.0415432, 0.0366346, 0.0293629, 0.0251204, 0.02145, 0.0179563, 0.0144768, 0.0106507, 0.00857106, 0.00647586, 0.0052959, 0.00415655, 0.00236431, 0.00133227, 0.000742726, 0.000407495, 0.00021739" \
+              "0.044603, 0.0521011, 0.082003, 0.0887475, 0.0840643, 0.0811045, 0.0799718, 0.0794637, 0.0780177, 0.0769266, 0.0761328, 0.0761189, 0.0758904, 0.0758138, 0.0749056, 0.0717508, 0.0681639, 0.0629513, 0.042487, 0.0329247, 0.0250695, 0.0189699, 0.0152936, 0.0124744, 0.00931163, 0.00716693, 0.00567813, 0.00469092, 0.00273342, 0.00154417, 0.000863698, 0.000476392, 0.000256624, 0.000246832" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("6.31512, 7.70202, 7.89123, 8.26965, 8.41835, 8.57955, 8.80592, 8.99721, 9.18449, 9.42373, 9.48355, 9.60318, 9.68887, 9.75513, 9.79924, 9.8422, 9.88865, 9.93334, 9.97516, 9.99405, 10.0086, 10.0268, 10.0301, 10.0368, 10.089, 10.1277, 10.1621, 10.2104, 10.2422, 10.2779, 10.29, 10.3342, 10.4876, 10.5703, 10.6651, 10.7113, 10.773, 10.8964, 11.0611, 11.5463, 12.2487, 13.3612");
+            index_3 ("6.31513, 7.70202, 7.89123, 8.26964, 8.41835, 8.57955, 8.80592, 8.9972, 9.18449, 9.42373, 9.48355, 9.60318, 9.68887, 9.75513, 9.79924, 9.8422, 9.88865, 9.93334, 9.97516, 9.99405, 10.0086, 10.0268, 10.0301, 10.0368, 10.089, 10.1277, 10.1621, 10.2104, 10.2422, 10.2779, 10.29, 10.3342, 10.4876, 10.5703, 10.6651, 10.7113, 10.773, 10.8964, 11.0611, 11.5463, 12.2487, 13.3612");
             values ( \
-              "9.3076e-06, 5.54298e-05, 6.98718e-05, 0.000116453, 0.000156299, 0.000222135, 0.000399212, 0.000632126, 0.000835098, 0.00104116, 0.00107266, 0.00110374, 0.00105407, 0.00105593, 0.00111334, 0.000990103, 0.00101817, 0.000997617, 0.00119369, 0.00160014, 0.00163516, 0.00181471, 0.00177201, 0.00182383, 0.0015326, 0.00174197, 0.00183703, 0.00188157, 0.00188363, 0.00188182, 0.00187093, 0.00178386, 0.000903489, 0.000521467, 0.000260109, 0.000185042, 0.000117926, 5.13217e-05, 2.2465e-05, 6.66744e-06, 1.2411e-06, 1e-22" \
+              "9.30789e-06, 5.54298e-05, 6.98714e-05, 0.000116451, 0.000156299, 0.000222135, 0.000399212, 0.000632124, 0.000835099, 0.00104116, 0.00107266, 0.00110374, 0.00105407, 0.00105593, 0.00111334, 0.000990103, 0.00101817, 0.000997617, 0.00119369, 0.00160014, 0.00163516, 0.00181471, 0.00177201, 0.00182383, 0.0015326, 0.00174197, 0.00183703, 0.00188157, 0.00188363, 0.00188182, 0.00187093, 0.00178386, 0.000903489, 0.000521467, 0.000260109, 0.000185042, 0.000117926, 5.13216e-05, 2.2465e-05, 6.66746e-06, 1.24113e-06, 1e-22" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00425947");
-            index_3 ("6.31915, 7.72039, 7.92648, 8.33776, 8.4445, 8.65799, 8.8467, 9.07842, 9.26096, 9.52375, 9.58945, 9.72086, 9.75027, 9.80909, 9.88919, 9.95637, 9.96919, 9.99485, 10.0364, 10.078, 10.1024, 10.1321, 10.1531, 10.2066, 10.2272, 10.2416, 10.2565, 10.2761, 10.2937, 10.3134, 10.3337, 10.3612, 10.3949, 10.4349, 10.4715, 10.4746, 10.4808, 10.5137, 10.5538, 10.7378, 10.8227, 10.9177, 10.9839, 11.0595, 11.2109, 11.4016, 11.9725, 13.5253");
+            index_3 ("6.31916, 7.72039, 7.92647, 8.33776, 8.4445, 8.65799, 8.8467, 9.07842, 9.26096, 9.52375, 9.58945, 9.72086, 9.75027, 9.80909, 9.88919, 9.95637, 9.96919, 9.99485, 10.0364, 10.078, 10.1024, 10.1321, 10.1531, 10.2066, 10.2272, 10.2416, 10.2565, 10.2761, 10.2937, 10.3134, 10.3337, 10.3612, 10.3949, 10.4349, 10.4715, 10.4746, 10.4808, 10.5137, 10.5538, 10.7378, 10.8227, 10.9177, 10.9839, 11.0595, 11.2109, 11.4016, 11.9725, 13.5253");
             values ( \
-              "3.07481e-05, 0.000188256, 0.000240702, 0.000419626, 0.000518695, 0.000809309, 0.00128443, 0.00205565, 0.00260142, 0.00323658, 0.00334856, 0.00348028, 0.0034718, 0.00341941, 0.00345405, 0.00377394, 0.00344777, 0.00362502, 0.00339019, 0.00362389, 0.00354663, 0.00388062, 0.00389798, 0.00430824, 0.00525919, 0.0049349, 0.00525978, 0.0050461, 0.00534417, 0.00521133, 0.00544369, 0.00533745, 0.00552275, 0.00539798, 0.00548965, 0.00539321, 0.00542401, 0.0052912, 0.00495461, 0.0024135, 0.00150813, 0.00083977, 0.0005589, 0.000336862, 0.00013335, 4.13682e-05, 4.82876e-06, 6.55799e-06" \
+              "3.07492e-05, 0.000188256, 0.0002407, 0.000419625, 0.000518695, 0.000809308, 0.00128443, 0.00205565, 0.00260142, 0.00323658, 0.00334856, 0.00348028, 0.0034718, 0.00341941, 0.00345405, 0.00377394, 0.00344777, 0.00362502, 0.00339019, 0.00362389, 0.00354663, 0.00388062, 0.00389798, 0.00430824, 0.00525919, 0.00493489, 0.00525978, 0.0050461, 0.00534417, 0.00521133, 0.00544369, 0.00533745, 0.00552275, 0.00539798, 0.00548965, 0.00539321, 0.00542401, 0.0052912, 0.00495461, 0.0024135, 0.00150813, 0.00083977, 0.000558899, 0.000336862, 0.00013335, 4.13681e-05, 4.82876e-06, 6.55798e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0145581");
-            index_3 ("6.31948, 7.77976, 8.05744, 8.3372, 8.53348, 8.83202, 9.48344, 9.81399, 10.0616, 10.2687, 10.353, 10.3633, 10.3838, 10.425, 10.5206, 10.6146, 10.6393, 10.696, 10.726, 10.7594, 10.7948, 10.9113, 10.9946, 11.1031, 11.5119, 11.6589, 11.8447, 11.9994, 12.1623");
+            index_3 ("6.31948, 7.77976, 8.05743, 8.3372, 8.53348, 8.83202, 9.48344, 9.81399, 10.0616, 10.2687, 10.353, 10.3633, 10.3838, 10.425, 10.5206, 10.6146, 10.6393, 10.696, 10.726, 10.7594, 10.7948, 10.9113, 10.9946, 11.1031, 11.5119, 11.6589, 11.8447, 11.9994, 12.1623");
             values ( \
-              "8.96647e-05, 0.000628727, 0.000861557, 0.00124026, 0.00168183, 0.00288428, 0.00709771, 0.00877081, 0.00957606, 0.00983111, 0.0104096, 0.0101003, 0.010274, 0.0101511, 0.0104381, 0.0109931, 0.0119154, 0.0124086, 0.0122296, 0.0122553, 0.0119431, 0.0130974, 0.0131682, 0.0123409, 0.00409313, 0.0022619, 0.0010075, 0.000497673, 0.000259609" \
+              "8.96681e-05, 0.000628727, 0.000861551, 0.00124026, 0.00168183, 0.00288427, 0.00709771, 0.00877081, 0.00957606, 0.00983111, 0.0104096, 0.0101003, 0.010274, 0.0101511, 0.0104381, 0.0109931, 0.0119154, 0.0124086, 0.0122296, 0.0122553, 0.0119431, 0.0130974, 0.0131682, 0.0123409, 0.00409313, 0.0022619, 0.0010075, 0.000497673, 0.000259608" \
             );
           }
           vector (ccs_template) {
@@ -14388,25 +14388,25 @@
             index_2 ("0.0497569");
             index_3 ("7.32928, 8.33774, 8.69359, 8.96674, 9.60929, 9.89154, 10.3459, 10.5579, 10.9929, 11.1458, 11.2726, 11.3923, 11.6424, 11.6772, 11.7125, 11.7547, 11.7665, 11.7901, 11.8306, 11.8916, 11.9922, 12.2275, 12.3907, 12.5475, 13.1132, 13.28, 13.5611, 13.7267, 14.0596, 14.4658, 14.9776, 15.6307");
             values ( \
-              "0.00245342, 0.00296273, 0.00445375, 0.00631355, 0.0124236, 0.01485, 0.0181853, 0.0195277, 0.0217172, 0.0221821, 0.0227906, 0.0230036, 0.0239321, 0.0242799, 0.0254904, 0.0255583, 0.0259171, 0.0258071, 0.0261741, 0.0261961, 0.0266487, 0.0266812, 0.0253411, 0.023352, 0.0112793, 0.00836907, 0.00478729, 0.00335362, 0.0015863, 0.000600752, 0.000125679, 3.64568e-05" \
+              "0.00245344, 0.00296273, 0.00445375, 0.00631355, 0.0124236, 0.01485, 0.0181853, 0.0195277, 0.0217172, 0.0221821, 0.0227906, 0.0230036, 0.0239321, 0.0242799, 0.0254904, 0.0255583, 0.0259171, 0.0258071, 0.0261741, 0.0261961, 0.0266487, 0.0266812, 0.0253411, 0.023352, 0.0112793, 0.00836907, 0.00478729, 0.00335362, 0.00158629, 0.000600749, 0.000125678, 3.64564e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.17006");
-            index_3 ("7.58292, 8.75837, 9.10647, 10.0503, 10.74, 11.9396, 12.2808, 13.0267, 13.5233, 13.9696, 14.0479, 14.1875, 14.3199, 14.4515, 14.6694, 14.9154, 15.3179, 16.1657, 16.6663, 17.1047, 17.3471, 17.6308, 17.9184, 18.3188, 18.4823, 18.8092, 19.4632, 20.5341, 21.6051, 22.676");
+            index_3 ("7.58105, 8.74666, 9.10774, 10.0425, 10.7501, 12.2789, 12.9631, 13.5183, 13.9569, 14.053, 14.1548, 14.2087, 14.3771, 14.6525, 14.9134, 15.2137, 16.5304, 16.9407, 17.3853, 17.916, 18.4799, 18.807, 19.4611, 20.532, 21.603, 22.6739");
             values ( \
-              "0.00640299, 0.00784719, 0.0104396, 0.0199167, 0.026233, 0.0359482, 0.0385407, 0.0436624, 0.0466519, 0.0484821, 0.0498168, 0.0508089, 0.051407, 0.0517339, 0.0517235, 0.0502576, 0.0453722, 0.03003, 0.0218763, 0.015898, 0.0131439, 0.0104605, 0.00825684, 0.00589437, 0.00513562, 0.00388317, 0.00218463, 0.000800563, 0.000293262, 0.000105971" \
+              "0.00644623, 0.00778563, 0.0104665, 0.019858, 0.0263358, 0.0385409, 0.0432734, 0.046639, 0.0485612, 0.0500906, 0.0507616, 0.0507609, 0.0516928, 0.0518337, 0.0501854, 0.046938, 0.0237994, 0.0179989, 0.0127246, 0.00825702, 0.0051353, 0.00388323, 0.00218386, 0.000800705, 0.000292889, 0.000106256" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.581233");
-            index_3 ("8.33393, 10.1069, 12.2624, 14.2504, 15.1565, 15.7721, 16.6631, 16.8775, 17.9211, 18.2071, 18.6058, 18.706, 18.7819, 18.8116, 18.871, 18.9443, 19.0742, 19.6474, 20.1245, 20.706, 21.3762, 23.3353, 24.2524, 25.0591, 26.0979, 26.831, 27.5794, 28.515, 29.1483, 29.7646, 30.5863, 31.6572, 32.7322, 34.1361, 35.2071, 36.278, 38.4199, 39.4908, 40.5617, 41.6327, 42.7036, 43.7745, 44.8454");
+            index_3 ("8.32783, 10.3332, 14.2453, 15.7669, 16.657, 16.8718, 17.9158, 18.6006, 18.7008, 18.7767, 19.0699, 19.645, 20.1133, 21.3708, 24.2472, 25.0538, 26.0925, 26.8256, 27.574, 28.5095, 29.7593, 30.5811, 31.652, 32.7268, 34.1306, 35.2015, 36.2724, 38.4143, 40.5561, 44.8399");
             values ( \
-              "0.0158994, 0.0223425, 0.0432096, 0.0620186, 0.0701216, 0.0753027, 0.0820766, 0.0816505, 0.0787787, 0.0778233, 0.0762473, 0.076065, 0.0762054, 0.0759667, 0.0759069, 0.0755263, 0.0751571, 0.0725979, 0.0699589, 0.0660913, 0.0612987, 0.0455984, 0.0385882, 0.0330032, 0.0264145, 0.0224896, 0.0190826, 0.0152348, 0.0130867, 0.01126, 0.00927346, 0.00699607, 0.00530969, 0.00368374, 0.00286534, 0.00208577, 0.00116758, 0.000957006, 0.000643776, 0.000561243, 0.000346219, 0.000336407, 0.000177634" \
+              "0.0145192, 0.0246616, 0.062028, 0.0753097, 0.0820774, 0.0816514, 0.0787782, 0.0762467, 0.076065, 0.0762053, 0.0751535, 0.0725831, 0.0699938, 0.0612991, 0.0385871, 0.0330029, 0.0264141, 0.0224896, 0.019083, 0.0152348, 0.0112596, 0.0092733, 0.00699561, 0.00530949, 0.00368378, 0.00286569, 0.00208571, 0.00116747, 0.000643639, 0.000177473" \
             );
           }
         }
@@ -14657,7 +14657,7 @@
             index_2 ("0.00124625");
             index_3 ("1.70333, 1.79702, 1.84281, 1.89459, 1.96741, 1.98685, 2.02573, 2.08366, 2.09102, 2.10575, 2.16435, 2.22569, 2.28074, 2.30452, 2.3195, 2.33498, 2.35833, 2.38174, 2.39127, 2.41034, 2.42569, 2.43715, 2.44988, 2.46285, 2.4875, 2.51094, 2.51611, 2.52212, 2.5407, 2.54695, 2.55331, 2.56053, 2.56887, 2.57826, 2.59524, 2.62242, 2.64502, 2.65256, 2.66764, 2.6978, 2.73636, 2.79347, 2.86283, 2.88316");
             values ( \
-              "-0.000415125, -0.000543163, -0.000653691, -0.000887722, -0.00139278, -0.00161676, -0.00199794, -0.00264447, -0.00268447, -0.00286699, -0.00341743, -0.00389753, -0.00424672, -0.004119, -0.00415475, -0.00402607, -0.00402683, -0.00389271, -0.00394095, -0.00383884, -0.00355883, -0.00397543, -0.00399138, -0.00409652, -0.00340224, -0.0024197, -0.00237052, -0.00245956, -0.00177837, -0.0017158, -0.00155449, -0.00152768, -0.00136868, -0.0013241, -0.00107354, -0.00085238, -0.000591799, -0.000594602, -0.000431432, -0.000331735, -0.000141052, -0.000101544, -1e-22, -8.75147e-06" \
+              "-0.000415125, -0.000543163, -0.000653691, -0.000887722, -0.00139278, -0.00161676, -0.00199794, -0.00264447, -0.00268447, -0.00286699, -0.00341743, -0.00389753, -0.00424672, -0.004119, -0.00415475, -0.00402607, -0.00402683, -0.00389271, -0.00394095, -0.00383884, -0.00355883, -0.00397543, -0.00399138, -0.00409652, -0.00340224, -0.0024197, -0.00237052, -0.00245956, -0.00177837, -0.0017158, -0.00155449, -0.00152768, -0.00136868, -0.0013241, -0.00107354, -0.00085238, -0.000591799, -0.000594602, -0.000431432, -0.000331735, -0.000141052, -0.000101544, -1e-22, -8.75149e-06" \
             );
           }
           vector (ccs_template) {
@@ -14711,7 +14711,7 @@
             index_2 ("0.00124625");
             index_3 ("6.22141, 6.97799, 7.1206, 7.16421, 7.22235, 7.33863, 7.45893, 7.53648, 7.76365, 7.94818, 8.09918, 8.21852, 8.23425, 8.26571, 8.38393, 8.39283, 8.41061, 8.44619, 8.46787, 8.49206, 8.51143, 8.52438, 8.53409, 8.54715, 8.56798, 8.58648, 8.60981, 8.62623, 8.64764, 8.6645, 8.71789, 8.75363, 8.78288, 8.83221, 8.85401, 8.8812, 8.90102, 8.98289, 9.0564, 9.18261, 9.21749, 9.28725, 9.42676, 9.60822, 9.80596, 9.96812, 10.1646, 10.419, 10.9277, 11.4633");
             values ( \
-              "-6.02846e-05, -0.000158471, -0.0002108, -0.000231633, -0.000265412, -0.000347724, -0.0004852, -0.000606882, -0.00104895, -0.00138308, -0.00159567, -0.0017148, -0.00167005, -0.00162836, -0.00139806, -0.00139916, -0.00137829, -0.0013855, -0.00133993, -0.00136593, -0.00171342, -0.00172158, -0.00190744, -0.00192988, -0.00209609, -0.00204112, -0.00156325, -0.00139969, -0.00137784, -0.00144467, -0.00156124, -0.00148568, -0.0013623, -0.000984079, -0.000858991, -0.000767886, -0.00087998, -0.000493457, -0.000253762, -8.65366e-05, -9.26081e-05, -4.8558e-05, -5.37261e-05, -2.15403e-05, -3.81117e-05, -1.04811e-05, -2.81617e-05, -8.87027e-07, -1.62451e-05, -1e-22" \
+              "-6.02846e-05, -0.000158471, -0.0002108, -0.000231633, -0.000265412, -0.000347724, -0.0004852, -0.000606882, -0.00104895, -0.00138308, -0.00159567, -0.0017148, -0.00167005, -0.00162836, -0.00139806, -0.00139916, -0.00137829, -0.0013855, -0.00133993, -0.00136593, -0.00171342, -0.00172158, -0.00190744, -0.00192988, -0.00209609, -0.00204112, -0.00156325, -0.00139969, -0.00137784, -0.00144467, -0.00156124, -0.00148568, -0.0013623, -0.000984079, -0.000858991, -0.000767886, -0.00087998, -0.000493457, -0.000253762, -8.65366e-05, -9.2608e-05, -4.8558e-05, -5.37261e-05, -2.15403e-05, -3.81117e-05, -1.04811e-05, -2.81617e-05, -8.87045e-07, -1.62451e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -14756,7 +14756,7 @@
             index_2 ("0.581233");
             index_3 ("7.46368, 8.47825, 9.47536, 12.4861, 12.9011, 13.6148, 14.152, 14.6157, 15.0848, 15.4151, 15.7476, 15.8079, 16.1111, 16.6625, 18.1698, 18.6613, 19.2565, 19.7899, 20.5097, 21.0863, 21.7049, 22.612, 23.3257, 24.753, 26.1803, 27.6076");
             values ( \
-              "-0.0188054, -0.022466, -0.0410846, -0.102855, -0.110394, -0.121424, -0.127311, -0.130356, -0.131267, -0.130487, -0.127545, -0.127825, -0.123741, -0.110799, -0.0649279, -0.0523875, -0.0396995, -0.0305583, -0.0211994, -0.0156546, -0.0112402, -0.00684746, -0.00462245, -0.00211151, -0.000968317, -0.000445448" \
+              "-0.0188054, -0.022466, -0.0410846, -0.102855, -0.110394, -0.121424, -0.127311, -0.130356, -0.131267, -0.130487, -0.127545, -0.127825, -0.123741, -0.110799, -0.0649279, -0.0523875, -0.0396995, -0.0305583, -0.0211994, -0.0156546, -0.0112402, -0.00684746, -0.00462245, -0.00211151, -0.000968318, -0.000445448" \
             );
           }
         }
@@ -14767,9 +14767,9 @@
             "0.00766385, 0.00769346, 0.00773406, 0.00776323, 0.00777574, 0.0077799", \
             "0.00872157, 0.00874318, 0.00878311, 0.00882079, 0.00883989, 0.0088467", \
             "0.00920476, 0.00920661, 0.00921494, 0.00923181, 0.00924531, 0.00925114", \
-            "0.00944333, 0.00943831, 0.00942756, 0.00941799, 0.00941679, 0.00941821", \
-            "0.00958641, 0.00958068, 0.00956583, 0.00954247, 0.00952089, 0.00951133", \
-            "0.00964605, 0.00964288, 0.0096322, 0.00961065, 0.00957986, 0.00955329" \
+            "0.00944383, 0.00943831, 0.00942756, 0.00941846, 0.00941635, 0.00941779", \
+            "0.00958641, 0.00958046, 0.00956583, 0.00954224, 0.00952126, 0.00951079", \
+            "0.00964605, 0.00964288, 0.0096322, 0.00961065, 0.00957985, 0.00955331" \
           );
         }
         receiver_capacitance2_fall (delay_template) {
@@ -14779,9 +14779,9 @@
             "0.00978076, 0.00981213, 0.0098616, 0.00990059, 0.00991774, 0.00992349", \
             "0.010517, 0.0105115, 0.0105066, 0.0105047, 0.010504, 0.0105036", \
             "0.0110767, 0.0110186, 0.010926, 0.0108574, 0.010813, 0.0107992", \
-            "0.0119316, 0.0117811, 0.011457, 0.0111813, 0.0110487, 0.0109998", \
-            "0.0108168, 0.0107375, 0.00993119, 0.0116635, 0.0112201, 0.0110481", \
-            "0.0105691, 0.0106058, 0.0106925, 0.0105847, 0.011328, 0.0112684" \
+            "0.0119308, 0.0117811, 0.011457, 0.0111809, 0.0110484, 0.011", \
+            "0.0108168, 0.010738, 0.00993119, 0.0116511, 0.0112167, 0.0110505", \
+            "0.0105691, 0.0106058, 0.0106925, 0.0105847, 0.0113284, 0.0112682" \
           );
         }
       }
@@ -14796,9 +14796,9 @@
             "0.136946, 0.169365, 0.278784, 0.648412, 1.90709, 6.20636", \
             "0.144725, 0.177636, 0.28801, 0.658592, 1.91767, 6.21689", \
             "0.185152, 0.216972, 0.328277, 0.700375, 1.96087, 6.26068", \
-            "0.3388, 0.383502, 0.508937, 0.876728, 2.13673, 6.43708", \
-            "0.666357, 0.746931, 0.983432, 1.55691, 2.85983, 7.14946", \
-            "1.50812, 1.63508, 2.02945, 3.05765, 5.36373, 10.0748" \
+            "0.338804, 0.383502, 0.508937, 0.876666, 2.1368, 6.43716", \
+            "0.666357, 0.746857, 0.983432, 1.55744, 2.86089, 7.14913", \
+            "1.50812, 1.63508, 2.02945, 3.05765, 5.36374, 10.0755" \
           );
         }
         rise_transition (delay_template) {
@@ -14808,9 +14808,9 @@
             "0.159873, 0.205914, 0.358294, 0.875544, 2.65375, 8.73646", \
             "0.159701, 0.205711, 0.358204, 0.875428, 2.65349, 8.73544", \
             "0.160947, 0.205367, 0.358074, 0.875415, 2.65356, 8.73613", \
-            "0.251944, 0.290755, 0.403645, 0.876299, 2.65357, 8.73595", \
-            "0.503408, 0.57177, 0.757418, 1.19948, 2.69709, 8.73616", \
-            "1.0972, 1.21337, 1.54965, 2.39253, 4.16796, 9.07872" \
+            "0.251942, 0.290755, 0.403645, 0.876306, 2.65357, 8.73542", \
+            "0.503408, 0.571893, 0.757418, 1.19943, 2.69761, 8.73631", \
+            "1.0972, 1.21337, 1.54965, 2.39253, 4.16739, 9.07844" \
           );
         }
         cell_fall (delay_template) {
@@ -14819,8 +14819,8 @@
           values ( \
             "0.0851539, 0.103734, 0.165412, 0.372058, 1.07358, 3.46752", \
             "0.0942742, 0.11315, 0.175219, 0.382075, 1.08351, 3.47727", \
-            "0.132092, 0.150613, 0.213348, 0.421032, 1.12308, 3.51684", \
-            "0.214769, 0.249976, 0.34728, 0.579095, 1.28068, 3.67457", \
+            "0.132092, 0.150613, 0.213348, 0.421032, 1.12297, 3.5169", \
+            "0.214769, 0.249976, 0.34728, 0.579095, 1.28065, 3.67457", \
             "0.277925, 0.344437, 0.532323, 0.983006, 1.91843, 4.30929", \
             "0.0605358, 0.182688, 0.530791, 1.38132, 3.20658, 6.7795" \
           );
@@ -14831,8 +14831,8 @@
           values ( \
             "0.0813688, 0.104028, 0.184211, 0.46021, 1.40013, 4.60028", \
             "0.0810573, 0.103789, 0.183884, 0.45969, 1.39956, 4.59982", \
-            "0.0880314, 0.10789, 0.183945, 0.459657, 1.39943, 4.59945", \
-            "0.174694, 0.197577, 0.268326, 0.487881, 1.3994, 4.59952", \
+            "0.0880314, 0.10789, 0.183945, 0.459657, 1.39931, 4.5995", \
+            "0.174694, 0.197577, 0.268326, 0.487881, 1.39931, 4.59952", \
             "0.40013, 0.440776, 0.569529, 0.879471, 1.60994, 4.59938", \
             "0.983588, 1.07748, 1.31501, 1.89772, 3.12364, 5.70444" \
           );
@@ -14880,7 +14880,7 @@
             index_2 ("0.17006");
             index_3 ("0.0594505, 0.0594705, 0.754539, 1.51212, 1.91477, 2.19915, 2.2598, 2.31998, 2.81755, 3.68938, 4.24902, 5.17937, 5.77366, 6.2492, 6.90042, 8.58585, 9.65678");
             values ( \
-              "1e-22, 0.0929743, 0.0830923, 0.0782412, 0.0743432, 0.0699385, 0.0704476, 0.0698733, 0.0593781, 0.0370285, 0.0252079, 0.0124732, 0.00761827, 0.00504373, 0.00280246, 0.000445766, 0.000425953" \
+              "1e-22, 0.0929743, 0.0830923, 0.0782412, 0.0743432, 0.0699385, 0.0704476, 0.0698733, 0.0593781, 0.0370285, 0.0252079, 0.0124732, 0.00761827, 0.00504373, 0.00280246, 0.000445765, 0.000425952" \
             );
           }
           vector (ccs_template) {
@@ -14889,7 +14889,7 @@
             index_2 ("0.581233");
             index_3 ("0.127943, 0.127963, 1.71678, 2.40468, 4.88531, 5.99802, 6.43408, 6.71103, 7.62238, 8.97043, 11.5482, 12.8839, 14.1563, 15.3904, 17.1688, 18.4063, 20.3149, 23.0142, 25.156, 27.2979, 28.2282");
             values ( \
-              "1e-22, 0.10059, 0.0875136, 0.0866672, 0.0813382, 0.0779364, 0.0761859, 0.0758221, 0.0717632, 0.0629651, 0.0424778, 0.0328244, 0.0250557, 0.0189954, 0.0124517, 0.00916897, 0.00563837, 0.00278423, 0.00156926, 0.000873896, 0.00076749" \
+              "1e-22, 0.10059, 0.0875136, 0.0866672, 0.0813382, 0.0779364, 0.0761859, 0.0758221, 0.0717632, 0.0629651, 0.0424778, 0.0328244, 0.0250557, 0.0189954, 0.0124517, 0.00916897, 0.00563837, 0.00278423, 0.00156926, 0.000873895, 0.000767489" \
             );
           }
           vector (ccs_template) {
@@ -14898,7 +14898,7 @@
             index_2 ("0.00124625");
             index_3 ("0.070304, 0.070324, 0.0992114, 0.130694, 0.144825, 0.177251, 0.189522, 0.199991, 0.202058, 0.20619, 0.214455, 0.244401, 0.250125, 0.256243, 0.262643, 0.293925, 0.301519, 0.309433, 0.323967, 0.349374, 0.366726, 0.410453, 0.43518, 0.466136, 0.507411, 0.57383, 0.656413");
             values ( \
-              "1e-22, 0.0124483, 0.0107897, 0.0103327, 0.0100482, 0.0090478, 0.00898219, 0.00918376, 0.00868988, 0.00859877, 0.00776025, 0.00552261, 0.00601968, 0.00596878, 0.00583823, 0.00391758, 0.00373403, 0.00370412, 0.00335744, 0.0021955, 0.00170299, 0.000936513, 0.000649216, 0.000402237, 0.000223943, 6.06871e-05, 2.68842e-05" \
+              "1e-22, 0.0124483, 0.0107897, 0.0103327, 0.0100482, 0.0090478, 0.00898219, 0.00918376, 0.00868988, 0.00859877, 0.00776025, 0.00552261, 0.00601968, 0.00596878, 0.00583823, 0.00391758, 0.00373403, 0.00370412, 0.00335744, 0.0021955, 0.00170299, 0.000936513, 0.000649215, 0.000402237, 0.000223943, 6.06871e-05, 2.68842e-05" \
             );
           }
           vector (ccs_template) {
@@ -14941,9 +14941,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.581233");
-            index_3 ("0.0768316, 0.0768516, 0.268178, 2.43794, 4.60636, 5.71744, 6.39344, 6.67967, 7.24635, 8.71955, 12.9008, 14.188, 15.428, 17.1982, 18.2541, 20.1477, 21.2952, 23.437, 27.1224");
+            index_3 ("0.0768316, 0.0768516, 0.268178, 2.43794, 4.60635, 5.71744, 6.39344, 6.67967, 7.24635, 8.71954, 12.9008, 14.188, 15.428, 17.1982, 18.2541, 20.1477, 21.2952, 23.437, 27.1224");
             values ( \
-              "1e-22, 0.0946403, 0.0903912, 0.0864872, 0.0819668, 0.0789681, 0.076406, 0.0760589, 0.0738007, 0.0650235, 0.0329268, 0.025057, 0.0189711, 0.0124592, 0.00960301, 0.00593609, 0.00441276, 0.00250856, 0.0010242" \
+              "1e-22, 0.0946403, 0.0903912, 0.0864872, 0.0819668, 0.0789681, 0.076406, 0.0760589, 0.0738007, 0.0650236, 0.0329268, 0.025057, 0.0189711, 0.0124592, 0.009603, 0.00593608, 0.00441276, 0.00250857, 0.00102421" \
             );
           }
           vector (ccs_template) {
@@ -15004,9 +15004,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.457128, 0.557593, 0.574166, 0.600062, 0.629342, 0.657673, 0.722878, 0.773646, 0.789718, 0.817773, 0.847375, 0.85931, 0.87792, 0.891062, 0.895986, 0.918898, 0.9334, 0.94445, 0.951292, 0.966037, 0.982293, 0.990268, 0.99891, 1.00711, 1.04002, 1.04083, 1.04246, 1.04572, 1.06545, 1.08222, 1.09712, 1.11325, 1.13585, 1.16343, 1.2002, 1.26544, 1.34193, 1.43821");
+            index_3 ("0.478292, 0.557614, 0.573598, 0.599917, 0.629612, 0.657661, 0.722865, 0.773632, 0.78949, 0.817759, 0.847345, 0.859295, 0.877908, 0.891051, 0.895971, 0.918878, 0.933384, 0.944438, 0.95128, 0.966023, 0.982275, 0.990251, 0.998893, 1.00709, 1.04, 1.04082, 1.04244, 1.04569, 1.06537, 1.0822, 1.09717, 1.11328, 1.13586, 1.16337, 1.20005, 1.26527, 1.34173, 1.43798");
             values ( \
-              "2.42409e-05, 0.000869614, 0.00109993, 0.00156859, 0.00225507, 0.00286502, 0.00402427, 0.00481642, 0.00503259, 0.00531898, 0.0053919, 0.00566374, 0.00629307, 0.00624306, 0.00631408, 0.00592693, 0.00537765, 0.00575797, 0.00573639, 0.00521482, 0.00442629, 0.00426052, 0.00415728, 0.00395727, 0.00249153, 0.00248251, 0.00265353, 0.00265448, 0.00204112, 0.00162712, 0.00132071, 0.00104897, 0.000744841, 0.000489671, 0.000277553, 9.12889e-05, 2.73252e-05, 4.1843e-06" \
+              "0.00026101, 0.000871089, 0.00109112, 0.00156653, 0.00226257, 0.00286393, 0.0040232, 0.00481533, 0.00503106, 0.00531798, 0.00539327, 0.0056624, 0.00629459, 0.00624185, 0.00631521, 0.00592802, 0.00537845, 0.00575833, 0.00573599, 0.00521442, 0.00442678, 0.00426015, 0.00415769, 0.00395693, 0.00249192, 0.00248227, 0.00265364, 0.00265502, 0.00204293, 0.00162717, 0.00131944, 0.00104829, 0.000744567, 0.000489995, 0.000278162, 9.15065e-05, 2.74128e-05, 4.19227e-06" \
             );
           }
           vector (ccs_template) {
@@ -15031,27 +15031,27 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0497569");
-            index_3 ("0.585609, 0.690995, 0.805937, 0.961604, 1.04049, 1.05704, 1.07881, 1.09795, 1.1375, 1.26425, 1.35986, 1.39713, 1.45411, 1.50854, 1.57742, 1.62573, 1.64432, 1.67946, 1.69675, 1.71802, 1.82572, 1.99535, 2.1145, 2.17839, 2.19233, 2.22021, 2.34316, 2.47349, 2.56753, 2.68485, 2.82305, 3.02908, 3.20591, 3.47872, 3.88057, 4.40848");
+            index_3 ("0.589865, 0.684555, 0.819436, 0.951811, 1.04051, 1.05707, 1.07957, 1.09879, 1.13748, 1.26422, 1.35947, 1.3971, 1.45405, 1.50849, 1.57738, 1.6257, 1.64429, 1.67943, 1.69672, 1.71799, 1.8257, 1.99533, 2.11448, 2.17836, 2.19229, 2.22017, 2.34314, 2.47346, 2.56748, 2.6848, 2.82302, 3.02906, 3.20603, 3.47889, 3.88081, 4.40885");
             values ( \
-              "0.0207407, 0.0256745, 0.0417764, 0.0627523, 0.0724302, 0.0735794, 0.0732485, 0.0727267, 0.0720958, 0.0693028, 0.0664203, 0.0663123, 0.0642586, 0.0626, 0.0581043, 0.054455, 0.0550809, 0.0541398, 0.0528956, 0.0517506, 0.0438311, 0.0321018, 0.0250585, 0.0218616, 0.0214286, 0.020096, 0.0153835, 0.0113047, 0.00905763, 0.0066313, 0.0046304, 0.00267608, 0.00173, 0.000752635, 0.000280263, 4.39724e-06" \
+              "0.0235426, 0.0247463, 0.0436426, 0.0614873, 0.0724299, 0.0735783, 0.0732324, 0.0727094, 0.0720959, 0.0693027, 0.0664306, 0.0663081, 0.0642627, 0.0625976, 0.058102, 0.0544532, 0.0550813, 0.05414, 0.0528952, 0.0517507, 0.0438301, 0.0321004, 0.0250579, 0.0218614, 0.0214285, 0.0200962, 0.0153833, 0.0113047, 0.00905784, 0.00663155, 0.00463046, 0.00267598, 0.00172924, 0.000752214, 0.000280021, 4.37663e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.17006");
-            index_3 ("0.653067, 0.884793, 1.04088, 1.06746, 1.68141, 2.24652, 2.61951, 2.73453, 2.93797, 2.98552, 3.01995, 3.05242, 3.08678, 3.40003, 4.24701, 4.67455, 5.06908, 5.46889, 5.97955, 6.25183, 6.88434, 7.2071, 7.63746, 8.24516, 9.31609, 10.387");
+            index_3 ("0.649624, 0.787672, 1.04014, 1.06672, 1.68074, 2.24585, 2.61884, 2.73386, 2.9373, 2.98485, 3.01928, 3.05175, 3.08611, 3.39936, 4.24634, 4.67388, 5.06841, 5.46822, 5.97888, 6.25116, 6.88366, 7.20643, 7.63679, 8.24449, 9.31542, 10.3864");
             values ( \
-              "0.0363018, 0.0603962, 0.0845237, 0.0859621, 0.0822376, 0.0781681, 0.0747254, 0.0733521, 0.0701536, 0.0698115, 0.0705565, 0.0695689, 0.0695926, 0.0632355, 0.0415288, 0.0315845, 0.0239587, 0.0178841, 0.01201, 0.00962408, 0.00564078, 0.00427217, 0.00310391, 0.0016718, 0.000737485, 0.000144229" \
+              "0.0439341, 0.0448135, 0.0845261, 0.0859628, 0.0822375, 0.078168, 0.0747254, 0.0733521, 0.0701536, 0.0698115, 0.0705565, 0.0695689, 0.0695926, 0.0632355, 0.0415288, 0.0315846, 0.0239587, 0.0178841, 0.01201, 0.00962409, 0.00564078, 0.00427217, 0.0031039, 0.0016718, 0.000737485, 0.000144227" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.581233");
-            index_3 ("0.839755, 0.839775, 2.96813, 5.45009, 6.47445, 7.15437, 7.33004, 8.08645, 8.90593, 9.92849, 12.6882, 14.6148, 16.2007, 17.7008, 19.7577, 21.2288, 23.1, 25.2419, 27.3838, 28.4073");
+            index_3 ("0.83057, 0.83059, 2.97115, 4.37198, 6.40476, 7.44009, 8.24568, 9.74728, 12.4543, 14.1891, 15.5635, 17.7061, 18.6035, 20.5553, 23.2594, 26.4722, 27.7252");
             values ( \
-              "1e-22, 0.0957041, 0.0868373, 0.0816323, 0.0787829, 0.0762633, 0.0762147, 0.073149, 0.0685337, 0.0612888, 0.0394455, 0.0266145, 0.0187676, 0.0130876, 0.00785752, 0.00539233, 0.00330958, 0.00187287, 0.00104875, 0.000905459" \
+              "1e-22, 0.0947445, 0.086836, 0.0840985, 0.0790432, 0.0758565, 0.072369, 0.0626719, 0.0412118, 0.0291755, 0.0216269, 0.0131493, 0.0105049, 0.00641989, 0.0032388, 0.00135758, 0.00113729" \
             );
           }
           vector (ccs_template) {
@@ -15060,16 +15060,16 @@
             index_2 ("0.00124625");
             index_3 ("1.63888, 1.99376, 2.08372, 2.15921, 2.187, 2.24258, 2.35782, 2.46273, 2.56765, 2.59387, 2.64631, 2.65932, 2.68533, 2.7174, 2.74831, 2.7512, 2.75505, 2.76276, 2.77817, 2.80672, 2.8352, 2.83919, 2.84451, 2.8605, 2.8817, 2.90277, 2.9143, 2.93426, 2.97507, 2.99529, 3.04243, 3.10893, 3.16816, 3.18855, 3.19578, 3.21025, 3.23917, 3.29702, 3.39073, 3.50284, 3.55653");
             values ( \
-              "4.06144e-05, 0.00021235, 0.000320717, 0.000464399, 0.000544938, 0.000755476, 0.00141396, 0.00193648, 0.00234176, 0.00241804, 0.00251221, 0.00251303, 0.00246594, 0.00243955, 0.00264398, 0.0025946, 0.00261002, 0.00261462, 0.00260438, 0.00253983, 0.00252987, 0.00250748, 0.00251691, 0.00304428, 0.00351869, 0.00375215, 0.00374652, 0.00389898, 0.0034502, 0.00314795, 0.00223155, 0.00117703, 0.00060194, 0.000501431, 0.000431676, 0.00039087, 0.00024935, 0.000140905, 1.88592e-05, 2.29805e-05, 1.44044e-05" \
+              "4.06144e-05, 0.00021235, 0.000320717, 0.000464399, 0.000544938, 0.000755476, 0.00141396, 0.00193648, 0.00234176, 0.00241804, 0.00251221, 0.00251303, 0.00246594, 0.00243955, 0.00264398, 0.0025946, 0.00261002, 0.00261462, 0.00260438, 0.00253983, 0.00252987, 0.00250748, 0.00251691, 0.00304428, 0.00351869, 0.00375215, 0.00374652, 0.00389898, 0.0034502, 0.00314795, 0.00223155, 0.00117703, 0.00060194, 0.000501431, 0.000431676, 0.00039087, 0.00024935, 0.000140905, 1.88591e-05, 2.29805e-05, 1.44044e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00425947");
-            index_3 ("1.49694, 1.86333, 1.97334, 2.08335, 2.19608, 2.26634, 2.46598, 2.57709, 2.62694, 2.72663, 2.79472, 2.82944, 2.8634, 2.89195, 2.92015, 2.94973, 2.96704, 2.99808, 3.02306, 3.03632, 3.04896, 3.07342, 3.20027, 3.24823, 3.31205, 3.38996, 3.44626, 3.52435, 3.64596, 3.79372, 3.79603");
+            index_3 ("1.49663, 1.643, 1.93636, 2.0082, 2.07192, 2.14976, 2.2071, 2.32178, 2.40349, 2.50806, 2.65666, 2.73042, 2.7592, 2.79481, 2.82905, 2.86303, 2.89159, 2.91978, 2.94934, 2.96664, 2.98541, 2.99772, 3.02272, 3.03599, 3.04865, 3.07307, 3.19999, 3.24792, 3.31168, 3.36149, 3.38967, 3.44605, 3.52404, 3.64571, 3.79337, 4.1645, 4.25061, 4.3565");
             values ( \
-              "3.0306e-05, 0.00038868, 0.000629116, 0.000991122, 0.0016661, 0.0024547, 0.00535272, 0.00659454, 0.00704262, 0.00768876, 0.00767811, 0.0082659, 0.00859037, 0.00836836, 0.00843237, 0.00840209, 0.00858649, 0.00870086, 0.0105671, 0.0112046, 0.0113586, 0.0106453, 0.00513288, 0.00349932, 0.00199107, 0.000948933, 0.000532515, 0.000235429, 5.43225e-05, 1.45449e-05, 1.44906e-05" \
+              "3.0306e-05, 0.000150473, 0.00050595, 0.000714175, 0.000940132, 0.00134078, 0.00178208, 0.00325457, 0.00450792, 0.0058655, 0.00730652, 0.00768744, 0.00765604, 0.0076877, 0.00824104, 0.00860778, 0.00835242, 0.00844527, 0.00838582, 0.00859514, 0.00862773, 0.00870799, 0.0105697, 0.0111946, 0.0113648, 0.0106534, 0.00512822, 0.00349645, 0.00198977, 0.00124092, 0.000950381, 0.000530149, 0.000237156, 5.2538e-05, 1.62789e-05, 7.52014e-06, 0.000118653, 3.25894e-05" \
             );
           }
           vector (ccs_template) {
@@ -15085,54 +15085,54 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0497569");
-            index_3 ("1.923, 2.17919, 2.37331, 2.66979, 2.8832, 3.30026, 3.63846, 3.75883, 3.80608, 3.81558, 3.8751, 3.89294, 3.94058, 4.08243, 4.19125, 4.37737, 4.49319, 4.65165, 4.77262, 4.93276, 5.12811, 5.47229, 6.18206, 6.71183");
+            index_3 ("1.94125, 2.22645, 2.32326, 2.60329, 2.80703, 3.23846, 3.63261, 3.73369, 3.8166, 3.88154, 3.90374, 3.92713, 3.9491, 4.08053, 4.181, 4.33909, 4.49362, 4.70179, 4.83829, 4.92543, 5.06845, 5.2128, 5.32427, 5.54722, 5.83951");
             values ( \
-              "0.0069898, 0.0069986, 0.0124272, 0.0229964, 0.0295734, 0.0415164, 0.0493881, 0.0513126, 0.051125, 0.0513098, 0.0506077, 0.0518825, 0.0518363, 0.0454846, 0.0397475, 0.0266162, 0.0210999, 0.0148732, 0.0111288, 0.00740633, 0.00440369, 0.00164036, 4.98604e-05, 0.000222083" \
+              "0.00705994, 0.00805465, 0.0107635, 0.0207778, 0.0272697, 0.0398754, 0.0492227, 0.0509823, 0.0513167, 0.0506867, 0.0521964, 0.0516668, 0.0516934, 0.0456764, 0.0400534, 0.0294397, 0.0213829, 0.0134755, 0.00968884, 0.00779274, 0.0053785, 0.00370409, 0.00275051, 0.00150979, 0.000718082" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.17006");
-            index_3 ("2.08267, 2.44219, 3.30686, 3.67671, 3.86367, 4.11295, 4.18134, 4.27869, 4.54045, 4.73501, 4.88239, 4.94166, 5.05641, 5.13703, 5.20334, 5.21931, 5.25127, 5.27828, 5.31828, 5.41067, 5.55787, 5.84519, 6.43845, 6.71664, 6.96136, 7.27781, 7.50446, 7.75253, 8.0193, 8.2065, 8.37978, 8.8069, 9.27679, 9.48935, 9.91446, 10.5396, 11.6106, 12.6815");
+            index_3 ("2.18828, 2.72638, 3.53321, 3.74015, 4.15721, 4.17897, 4.27482, 4.54176, 4.73625, 4.88552, 4.94309, 5.0232, 5.0828, 5.23641, 5.27212, 5.30384, 5.37243, 5.55858, 5.84654, 6.43983, 6.71829, 6.95563, 7.27798, 7.50817, 7.75466, 8.02217, 8.17928, 8.20791, 8.3797, 8.80574, 9.27778, 9.49202, 9.92049, 10.5349, 11.491, 12.562, 13.6329");
             values ( \
-              "0.0160742, 0.0179981, 0.0507292, 0.0643324, 0.0708653, 0.0789903, 0.0808465, 0.0801571, 0.0780259, 0.0761411, 0.0751337, 0.0740881, 0.0729678, 0.0712075, 0.0705166, 0.0698888, 0.0699936, 0.07029, 0.0700892, 0.0686734, 0.065817, 0.0592192, 0.0440181, 0.0372055, 0.0317054, 0.0253532, 0.0215803, 0.018053, 0.0146477, 0.0126451, 0.0110083, 0.00774981, 0.00521222, 0.00439738, 0.0030068, 0.00177077, 0.000615509, 0.000257275" \
+              "0.0184851, 0.0288401, 0.0591257, 0.0665698, 0.0803515, 0.0808732, 0.0802002, 0.0780299, 0.0761407, 0.0751176, 0.074087, 0.0734368, 0.0722184, 0.0698606, 0.0702096, 0.0702601, 0.0693366, 0.0658295, 0.0592209, 0.0440124, 0.0371911, 0.031867, 0.0253673, 0.0215349, 0.0180514, 0.0146214, 0.0130149, 0.0126334, 0.0110088, 0.00775342, 0.00520093, 0.00440535, 0.00298135, 0.00179401, 0.000690877, 0.000302539, 6.0416e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.581233");
-            index_3 ("2.50142, 3.29333, 3.99046, 4.19266, 6.64449, 7.71542, 8.53325, 8.99226, 9.23293, 9.46305, 9.63555, 9.66427, 9.72171, 9.96293, 10.2405, 10.6345, 11.2224, 11.9839, 14.6836, 15.3606, 16.4316, 17.159, 17.8723, 18.6653, 19.56, 20.8195, 21.7206, 22.7915, 23.5766, 24.5133, 26.6551, 28.797, 30.9389, 33.0807, 35.2226");
+            index_3 ("2.51858, 3.2575, 3.99489, 4.19175, 6.64343, 7.91065, 8.30325, 8.5322, 8.9913, 9.23187, 9.46216, 9.63465, 9.66329, 9.72057, 9.96159, 10.6335, 11.2212, 11.9817, 14.5598, 15.882, 17.1669, 18.4099, 19.3474, 20.1757, 21.3603, 22.4312, 23.3055, 24.0474, 26.1075, 28.2494, 30.3913, 32.5331, 34.675, 35.7459");
             values ( \
-              "0.0412769, 0.0535586, 0.0818098, 0.0887474, 0.0840605, 0.0816073, 0.0794598, 0.0780139, 0.0769308, 0.0761374, 0.0761235, 0.0758867, 0.0758172, 0.074908, 0.0736067, 0.0717542, 0.0681594, 0.062946, 0.0415432, 0.0366346, 0.0293629, 0.0251204, 0.02145, 0.0179563, 0.0144768, 0.0106507, 0.00857106, 0.00647586, 0.0052959, 0.00415655, 0.00236431, 0.00133227, 0.000742726, 0.000407495, 0.00021739" \
+              "0.044603, 0.0521011, 0.082003, 0.0887475, 0.0840643, 0.0811045, 0.0799718, 0.0794637, 0.0780177, 0.0769266, 0.0761328, 0.0761189, 0.0758904, 0.0758138, 0.0749056, 0.0717508, 0.0681639, 0.0629513, 0.042487, 0.0329247, 0.0250695, 0.0189699, 0.0152936, 0.0124744, 0.00931163, 0.00716693, 0.00567813, 0.00469092, 0.00273342, 0.00154417, 0.000863698, 0.000476392, 0.000256624, 0.000246832" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("6.31512, 7.70202, 7.89123, 8.26965, 8.41835, 8.57955, 8.80592, 8.99721, 9.18449, 9.42373, 9.48355, 9.60318, 9.68887, 9.75513, 9.79924, 9.8422, 9.88865, 9.93334, 9.97516, 9.99405, 10.0086, 10.0268, 10.0301, 10.0368, 10.089, 10.1277, 10.1621, 10.2104, 10.2422, 10.2779, 10.29, 10.3342, 10.4876, 10.5703, 10.6651, 10.7113, 10.773, 10.8964, 11.0611, 11.5463, 12.2487, 13.3612");
+            index_3 ("6.31513, 7.70202, 7.89123, 8.26964, 8.41835, 8.57955, 8.80592, 8.9972, 9.18449, 9.42373, 9.48355, 9.60318, 9.68887, 9.75513, 9.79924, 9.8422, 9.88865, 9.93334, 9.97516, 9.99405, 10.0086, 10.0268, 10.0301, 10.0368, 10.089, 10.1277, 10.1621, 10.2104, 10.2422, 10.2779, 10.29, 10.3342, 10.4876, 10.5703, 10.6651, 10.7113, 10.773, 10.8964, 11.0611, 11.5463, 12.2487, 13.3612");
             values ( \
-              "9.3076e-06, 5.54298e-05, 6.98718e-05, 0.000116453, 0.000156299, 0.000222135, 0.000399212, 0.000632126, 0.000835098, 0.00104116, 0.00107266, 0.00110374, 0.00105407, 0.00105593, 0.00111334, 0.000990103, 0.00101817, 0.000997617, 0.00119369, 0.00160014, 0.00163516, 0.00181471, 0.00177201, 0.00182383, 0.0015326, 0.00174197, 0.00183703, 0.00188157, 0.00188363, 0.00188182, 0.00187093, 0.00178386, 0.000903489, 0.000521467, 0.000260109, 0.000185042, 0.000117926, 5.13217e-05, 2.2465e-05, 6.66744e-06, 1.2411e-06, 1e-22" \
+              "9.30789e-06, 5.54298e-05, 6.98714e-05, 0.000116451, 0.000156299, 0.000222135, 0.000399212, 0.000632124, 0.000835099, 0.00104116, 0.00107266, 0.00110374, 0.00105407, 0.00105593, 0.00111334, 0.000990103, 0.00101817, 0.000997617, 0.00119369, 0.00160014, 0.00163516, 0.00181471, 0.00177201, 0.00182383, 0.0015326, 0.00174197, 0.00183703, 0.00188157, 0.00188363, 0.00188182, 0.00187093, 0.00178386, 0.000903489, 0.000521467, 0.000260109, 0.000185042, 0.000117926, 5.13216e-05, 2.2465e-05, 6.66746e-06, 1.24113e-06, 1e-22" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00425947");
-            index_3 ("6.31915, 7.72039, 7.92648, 8.33776, 8.4445, 8.65799, 8.8467, 9.07842, 9.26096, 9.52375, 9.58945, 9.72086, 9.75027, 9.80909, 9.88919, 9.95637, 9.96919, 9.99485, 10.0364, 10.078, 10.1024, 10.1321, 10.1531, 10.2066, 10.2272, 10.2416, 10.2565, 10.2761, 10.2937, 10.3134, 10.3337, 10.3612, 10.3949, 10.4349, 10.4715, 10.4746, 10.4808, 10.5137, 10.5538, 10.7378, 10.8227, 10.9177, 10.9839, 11.0595, 11.2109, 11.4016, 11.9725, 13.5253");
+            index_3 ("6.31916, 7.72039, 7.92647, 8.33776, 8.4445, 8.65799, 8.8467, 9.07842, 9.26096, 9.52375, 9.58945, 9.72086, 9.75027, 9.80909, 9.88919, 9.95637, 9.96919, 9.99485, 10.0364, 10.078, 10.1024, 10.1321, 10.1531, 10.2066, 10.2272, 10.2416, 10.2565, 10.2761, 10.2937, 10.3134, 10.3337, 10.3612, 10.3949, 10.4349, 10.4715, 10.4746, 10.4808, 10.5137, 10.5538, 10.7378, 10.8227, 10.9177, 10.9839, 11.0595, 11.2109, 11.4016, 11.9725, 13.5253");
             values ( \
-              "3.07481e-05, 0.000188256, 0.000240702, 0.000419626, 0.000518695, 0.000809309, 0.00128443, 0.00205565, 0.00260142, 0.00323658, 0.00334856, 0.00348028, 0.0034718, 0.00341941, 0.00345405, 0.00377394, 0.00344777, 0.00362502, 0.00339019, 0.00362389, 0.00354663, 0.00388062, 0.00389798, 0.00430824, 0.00525919, 0.0049349, 0.00525978, 0.0050461, 0.00534417, 0.00521133, 0.00544369, 0.00533745, 0.00552275, 0.00539798, 0.00548965, 0.00539321, 0.00542401, 0.0052912, 0.00495461, 0.0024135, 0.00150813, 0.00083977, 0.0005589, 0.000336862, 0.00013335, 4.13682e-05, 4.82876e-06, 6.55799e-06" \
+              "3.07492e-05, 0.000188256, 0.0002407, 0.000419625, 0.000518695, 0.000809308, 0.00128443, 0.00205565, 0.00260142, 0.00323658, 0.00334856, 0.00348028, 0.0034718, 0.00341941, 0.00345405, 0.00377394, 0.00344777, 0.00362502, 0.00339019, 0.00362389, 0.00354663, 0.00388062, 0.00389798, 0.00430824, 0.00525919, 0.00493489, 0.00525978, 0.0050461, 0.00534417, 0.00521133, 0.00544369, 0.00533745, 0.00552275, 0.00539798, 0.00548965, 0.00539321, 0.00542401, 0.0052912, 0.00495461, 0.0024135, 0.00150813, 0.00083977, 0.000558899, 0.000336862, 0.00013335, 4.13681e-05, 4.82876e-06, 6.55798e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0145581");
-            index_3 ("6.31948, 7.77976, 8.05744, 8.3372, 8.53348, 8.83202, 9.48344, 9.81399, 10.0616, 10.2687, 10.353, 10.3633, 10.3838, 10.425, 10.5206, 10.6146, 10.6393, 10.696, 10.726, 10.7594, 10.7948, 10.9113, 10.9946, 11.1031, 11.5119, 11.6589, 11.8447, 11.9994, 12.1623");
+            index_3 ("6.31948, 7.77976, 8.05743, 8.3372, 8.53348, 8.83202, 9.48344, 9.81399, 10.0616, 10.2687, 10.353, 10.3633, 10.3838, 10.425, 10.5206, 10.6146, 10.6393, 10.696, 10.726, 10.7594, 10.7948, 10.9113, 10.9946, 11.1031, 11.5119, 11.6589, 11.8447, 11.9994, 12.1623");
             values ( \
-              "8.96647e-05, 0.000628727, 0.000861557, 0.00124026, 0.00168183, 0.00288428, 0.00709771, 0.00877081, 0.00957606, 0.00983111, 0.0104096, 0.0101003, 0.010274, 0.0101511, 0.0104381, 0.0109931, 0.0119154, 0.0124086, 0.0122296, 0.0122553, 0.0119431, 0.0130974, 0.0131682, 0.0123409, 0.00409313, 0.0022619, 0.0010075, 0.000497673, 0.000259609" \
+              "8.96681e-05, 0.000628727, 0.000861551, 0.00124026, 0.00168183, 0.00288427, 0.00709771, 0.00877081, 0.00957606, 0.00983111, 0.0104096, 0.0101003, 0.010274, 0.0101511, 0.0104381, 0.0109931, 0.0119154, 0.0124086, 0.0122296, 0.0122553, 0.0119431, 0.0130974, 0.0131682, 0.0123409, 0.00409313, 0.0022619, 0.0010075, 0.000497673, 0.000259608" \
             );
           }
           vector (ccs_template) {
@@ -15141,25 +15141,25 @@
             index_2 ("0.0497569");
             index_3 ("7.32928, 8.33774, 8.69359, 8.96674, 9.60929, 9.89154, 10.3459, 10.5579, 10.9929, 11.1458, 11.2726, 11.3923, 11.6424, 11.6772, 11.7125, 11.7547, 11.7665, 11.7901, 11.8306, 11.8916, 11.9922, 12.2275, 12.3907, 12.5475, 13.1132, 13.28, 13.5611, 13.7267, 14.0596, 14.4658, 14.9776, 15.6307");
             values ( \
-              "0.00245342, 0.00296273, 0.00445375, 0.00631355, 0.0124236, 0.01485, 0.0181853, 0.0195277, 0.0217172, 0.0221821, 0.0227906, 0.0230036, 0.0239321, 0.0242799, 0.0254904, 0.0255583, 0.0259171, 0.0258071, 0.0261741, 0.0261961, 0.0266487, 0.0266812, 0.0253411, 0.023352, 0.0112793, 0.00836907, 0.00478729, 0.00335362, 0.0015863, 0.000600752, 0.000125679, 3.64568e-05" \
+              "0.00245344, 0.00296273, 0.00445375, 0.00631355, 0.0124236, 0.01485, 0.0181853, 0.0195277, 0.0217172, 0.0221821, 0.0227906, 0.0230036, 0.0239321, 0.0242799, 0.0254904, 0.0255583, 0.0259171, 0.0258071, 0.0261741, 0.0261961, 0.0266487, 0.0266812, 0.0253411, 0.023352, 0.0112793, 0.00836907, 0.00478729, 0.00335362, 0.00158629, 0.000600749, 0.000125678, 3.64564e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.17006");
-            index_3 ("7.58292, 8.75837, 9.10647, 10.0503, 10.74, 11.9396, 12.2808, 13.0267, 13.5233, 13.9696, 14.0479, 14.1875, 14.3199, 14.4515, 14.6694, 14.9154, 15.3179, 16.1657, 16.6663, 17.1047, 17.3471, 17.6308, 17.9184, 18.3188, 18.4823, 18.8092, 19.4632, 20.5341, 21.6051, 22.676");
+            index_3 ("7.58105, 8.74666, 9.10774, 10.0425, 10.7501, 12.2789, 12.9631, 13.5183, 13.9569, 14.053, 14.1548, 14.2087, 14.3771, 14.6525, 14.9134, 15.2137, 16.5304, 16.9407, 17.3853, 17.916, 18.4799, 18.807, 19.4611, 20.532, 21.603, 22.6739");
             values ( \
-              "0.00640299, 0.00784719, 0.0104396, 0.0199167, 0.026233, 0.0359482, 0.0385407, 0.0436624, 0.0466519, 0.0484821, 0.0498168, 0.0508089, 0.051407, 0.0517339, 0.0517235, 0.0502576, 0.0453722, 0.03003, 0.0218763, 0.015898, 0.0131439, 0.0104605, 0.00825684, 0.00589437, 0.00513562, 0.00388317, 0.00218463, 0.000800563, 0.000293262, 0.000105971" \
+              "0.00644623, 0.00778563, 0.0104665, 0.019858, 0.0263358, 0.0385409, 0.0432734, 0.046639, 0.0485612, 0.0500906, 0.0507616, 0.0507609, 0.0516928, 0.0518337, 0.0501854, 0.046938, 0.0237994, 0.0179989, 0.0127246, 0.00825702, 0.0051353, 0.00388323, 0.00218386, 0.000800705, 0.000292889, 0.000106256" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.581233");
-            index_3 ("8.33393, 10.1069, 12.2624, 14.2504, 15.1565, 15.7721, 16.6631, 16.8775, 17.9211, 18.2071, 18.6058, 18.706, 18.7819, 18.8116, 18.871, 18.9443, 19.0742, 19.6474, 20.1245, 20.706, 21.3762, 23.3353, 24.2524, 25.0591, 26.0979, 26.831, 27.5794, 28.515, 29.1483, 29.7646, 30.5863, 31.6572, 32.7322, 34.1361, 35.2071, 36.278, 38.4199, 39.4908, 40.5617, 41.6327, 42.7036, 43.7745, 44.8454");
+            index_3 ("8.32783, 10.3332, 14.2453, 15.7669, 16.657, 16.8718, 17.9158, 18.6006, 18.7008, 18.7767, 19.0699, 19.645, 20.1133, 21.3708, 24.2472, 25.0538, 26.0925, 26.8256, 27.574, 28.5095, 29.7593, 30.5811, 31.652, 32.7268, 34.1306, 35.2015, 36.2724, 38.4143, 40.5561, 44.8399");
             values ( \
-              "0.0158994, 0.0223425, 0.0432096, 0.0620186, 0.0701216, 0.0753027, 0.0820766, 0.0816505, 0.0787787, 0.0778233, 0.0762473, 0.076065, 0.0762054, 0.0759667, 0.0759069, 0.0755263, 0.0751571, 0.0725979, 0.0699589, 0.0660913, 0.0612987, 0.0455984, 0.0385882, 0.0330032, 0.0264145, 0.0224896, 0.0190826, 0.0152348, 0.0130867, 0.01126, 0.00927346, 0.00699607, 0.00530969, 0.00368374, 0.00286534, 0.00208577, 0.00116758, 0.000957006, 0.000643776, 0.000561243, 0.000346219, 0.000336407, 0.000177634" \
+              "0.0145192, 0.0246616, 0.062028, 0.0753097, 0.0820774, 0.0816514, 0.0787782, 0.0762467, 0.076065, 0.0762053, 0.0751535, 0.0725831, 0.0699938, 0.0612991, 0.0385871, 0.0330029, 0.0264141, 0.0224896, 0.019083, 0.0152348, 0.0112596, 0.0092733, 0.00699561, 0.00530949, 0.00368378, 0.00286569, 0.00208571, 0.00116747, 0.000643639, 0.000177473" \
             );
           }
         }
@@ -15169,8 +15169,8 @@
           values ( \
             "0.00809052, 0.00812733, 0.00817635, 0.00820999, 0.00822401, 0.00822862", \
             "0.00950396, 0.00955336, 0.00963629, 0.00970888, 0.00974314, 0.00975499", \
-            "0.0101334, 0.0101627, 0.0102041, 0.0102675, 0.0103053, 0.01032", \
-            "0.0105998, 0.0105735, 0.0105348, 0.0105135, 0.0105164, 0.0105214", \
+            "0.0101334, 0.0101627, 0.0102041, 0.0102675, 0.0103059, 0.0103205", \
+            "0.0105998, 0.0105735, 0.0105348, 0.0105135, 0.0105163, 0.0105214", \
             "0.0109844, 0.0109439, 0.0108578, 0.0107269, 0.0106282, 0.0105902", \
             "0.0113962, 0.0113308, 0.011186, 0.0110066, 0.0108146, 0.0106736" \
           );
@@ -15181,8 +15181,8 @@
           values ( \
             "0.0101789, 0.0102073, 0.0102537, 0.0102893, 0.0103052, 0.0103106", \
             "0.0103415, 0.0103037, 0.0102473, 0.0102046, 0.0101865, 0.0101801", \
-            "0.0105346, 0.0104077, 0.0102178, 0.0100375, 0.00994581, 0.00991248", \
-            "0.0105643, 0.01081, 0.0106544, 0.0101707, 0.00991192, 0.00981561", \
+            "0.0105346, 0.0104077, 0.0102178, 0.0100375, 0.00994222, 0.00991027", \
+            "0.0105643, 0.01081, 0.0106544, 0.0101707, 0.00991108, 0.00981561", \
             "0.00931725, 0.00937972, 0.00951252, 0.0096945, 0.010223, 0.00990664", \
             "0.00855662, 0.00869372, 0.00894406, 0.00927937, 0.00928354, 0.0103116" \
           );
@@ -15212,7 +15212,7 @@
             index_2 ("0.0145581");
             index_3 ("0.0270247, 0.0359096, 0.0443436, 0.0545433, 0.0661064, 0.0866635, 0.144076, 0.146722, 0.155791, 0.173662, 0.185217, 0.202717, 0.227844, 0.244923, 0.267578, 0.291797, 0.314895, 0.347902, 0.361152, 0.374647, 0.396806, 0.416685, 0.439792, 0.4981, 0.515117, 0.536935, 0.561851, 0.617848, 0.654825, 0.705742, 0.777776, 0.883819");
             values ( \
-              "-0.0249681, -0.0932023, -0.0933112, -0.0932374, -0.0929625, -0.0922292, -0.0893386, -0.0895076, -0.0896535, -0.0905076, -0.0906423, -0.0890717, -0.082767, -0.0743025, -0.0612747, -0.0481732, -0.0369317, -0.0232068, -0.018776, -0.016259, -0.0130379, -0.010516, -0.00831218, -0.00472671, -0.00391868, -0.0031294, -0.0024733, -0.00144052, -0.00096729, -0.000549118, -0.000220486, -6.43451e-05" \
+              "-0.0249681, -0.0932023, -0.0933112, -0.0932374, -0.0929625, -0.0922292, -0.0893386, -0.0895076, -0.0896535, -0.0905076, -0.0906423, -0.0890717, -0.082767, -0.0743025, -0.0612747, -0.0481732, -0.0369317, -0.0232068, -0.018776, -0.016259, -0.0130379, -0.010516, -0.00831218, -0.00472671, -0.00391868, -0.0031294, -0.0024733, -0.00144052, -0.00096729, -0.000549118, -0.000220486, -6.43452e-05" \
             );
           }
           vector (ccs_template) {
@@ -15248,7 +15248,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0605769, 0.0649367, 0.0658322, 0.0685463, 0.0722829, 0.0748742, 0.0800566, 0.087787, 0.100728, 0.100813, 0.106088, 0.111532, 0.118749, 0.126745, 0.139292, 0.150025, 0.152478, 0.179387, 0.187938, 0.195201, 0.197512, 0.197974, 0.204445, 0.235779, 0.25584, 0.264127, 0.268105, 0.283698, 0.289282, 0.295046, 0.300449, 0.314472, 0.329589, 0.358594, 0.454927, 0.554356");
             values ( \
-              "-0.00559741, -0.0152922, -0.0189702, -0.0180775, -0.0175933, -0.017542, -0.0173338, -0.0173522, -0.0171073, -0.0171365, -0.0170872, -0.0171149, -0.0173844, -0.0178998, -0.0178946, -0.0164922, -0.015953, -0.00854433, -0.0067136, -0.00486207, -0.00478368, -0.00467462, -0.00420741, -0.00229681, -0.00124166, -0.000944541, -0.0008494, -0.000600664, -0.00042766, -0.000138172, -2.93513e-06, -2.93018e-05, -0.000176995, -0.000257172, -5.80012e-05, -1e-22" \
+              "-0.00559741, -0.0152922, -0.0189702, -0.0180775, -0.0175933, -0.017542, -0.0173338, -0.0173522, -0.0171073, -0.0171365, -0.0170872, -0.0171149, -0.0173844, -0.0178998, -0.0178946, -0.0164922, -0.015953, -0.00854433, -0.0067136, -0.00486207, -0.00478368, -0.00467462, -0.00420741, -0.00229681, -0.00124166, -0.000944541, -0.0008494, -0.000600664, -0.00042766, -0.000138172, -2.93512e-06, -2.93018e-05, -0.000176995, -0.000257172, -5.80012e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -15311,7 +15311,7 @@
             index_2 ("0.00425947");
             index_3 ("0.156931, 0.18184, 0.195718, 0.214955, 0.226554, 0.246712, 0.260091, 0.262305, 0.264303, 0.267417, 0.282327, 0.28558, 0.291194, 0.296782, 0.307959, 0.313775, 0.344668, 0.358211, 0.366097, 0.373405, 0.39151, 0.403569, 0.422651, 0.434332, 0.446257, 0.457614, 0.470377, 0.472525, 0.476822, 0.484026, 0.490408, 0.503172, 0.513413, 0.523945, 0.535348, 0.549706, 0.570502, 0.637961, 0.747412");
             values ( \
-              "-0.00221526, -0.0203737, -0.0253407, -0.031684, -0.0351953, -0.0409561, -0.0445658, -0.0463635, -0.0466436, -0.0463135, -0.0475242, -0.0477178, -0.0477497, -0.0471649, -0.0447845, -0.0427101, -0.025565, -0.0191503, -0.0159466, -0.013401, -0.0078351, -0.00620707, -0.00430808, -0.00366686, -0.00330691, -0.00310789, -0.00262955, -0.00266786, -0.00235837, -0.00197771, -0.00146152, -0.00104999, -0.000833016, -0.000862038, -0.000753449, -0.000753541, -0.000564456, -0.000198913, -5.61987e-06" \
+              "-0.00221526, -0.0203737, -0.0253407, -0.031684, -0.0351953, -0.0409561, -0.0445658, -0.0463635, -0.0466436, -0.0463135, -0.0475242, -0.0477178, -0.0477497, -0.0471649, -0.0447845, -0.0427101, -0.025565, -0.0191503, -0.0159466, -0.013401, -0.0078351, -0.00620707, -0.00430808, -0.00366686, -0.00330691, -0.00310789, -0.00262955, -0.00266786, -0.00235837, -0.00197771, -0.00146152, -0.00104999, -0.000833016, -0.000862038, -0.000753449, -0.000753541, -0.000564456, -0.000198913, -5.61988e-06" \
             );
           }
           vector (ccs_template) {
@@ -15336,18 +15336,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.17006");
-            index_3 ("0.17822, 0.264357, 0.27387, 0.281866, 0.292956, 0.304076, 0.32365, 0.353442, 0.400787, 0.405786, 0.415782, 0.611539, 1.03441, 1.3045, 1.36362, 1.41964, 1.4775, 1.58224, 1.66561, 1.71801, 1.74182, 1.80063, 1.88033, 2.15739, 2.34528, 2.48598, 2.67221, 2.81918, 2.93737, 3.08381, 3.29047, 3.42885, 3.51659, 3.69207, 3.97334, 4.30078, 4.69529, 5.11507, 5.55994");
+            index_3 ("0.201079, 0.259543, 0.272896, 0.28079, 0.292561, 0.304364, 0.611828, 1.2529, 1.40901, 1.5568, 1.656, 1.86248, 2.21125, 2.51645, 2.83379, 3.12523, 3.38695, 3.76872, 4.04128, 4.37485, 4.37872");
             values ( \
-              "-0.0621723, -0.151054, -0.151965, -0.15105, -0.15156, -0.150895, -0.151081, -0.150392, -0.150228, -0.149925, -0.149961, -0.147693, -0.141928, -0.137784, -0.136598, -0.135101, -0.133133, -0.128273, -0.1223, -0.117768, -0.114946, -0.110747, -0.104044, -0.0762756, -0.0590897, -0.0480102, -0.0358664, -0.0281745, -0.0230952, -0.0179357, -0.0124308, -0.00966629, -0.00825183, -0.00598395, -0.0036203, -0.002029, -0.00102934, -0.000492915, -0.000236436" \
+              "-0.145816, -0.145978, -0.151964, -0.151082, -0.151559, -0.1509, -0.147809, -0.138692, -0.135423, -0.12966, -0.123091, -0.105765, -0.0711019, -0.0458441, -0.0275171, -0.0167162, -0.0104597, -0.00521261, -0.00320903, -0.00179135, -0.00178496" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.581233");
-            index_3 ("0.176698, 0.270375, 0.277649, 0.290339, 0.304647, 0.325608, 0.333143, 0.348213, 0.378353, 0.424314, 0.516235, 0.531988, 1.54035, 2.52388, 3.36965, 3.80854, 4.15507, 4.38686, 4.56068, 4.6337, 4.69552, 4.75978, 4.82639, 5.00491, 5.18305, 5.58077, 6.50807, 7.2159, 7.72891, 8.01663, 8.52285, 8.85172, 9.38568, 9.97222, 10.6283, 10.9576, 11.6162, 12.3671, 13.118, 14.6198, 16.1216, 16.8725");
+            index_3 ("0.178888, 0.258763, 0.276154, 0.286045, 0.301263, 0.318189, 0.335126, 0.368999, 0.416979, 0.475385, 0.533921, 0.650994, 0.867408, 1.19174, 1.54235, 2.21996, 2.24751, 2.35771, 3.18987, 3.73239, 3.96299, 4.14563, 4.38882, 4.56146, 4.6357, 4.697, 4.76071, 4.82732, 5.00532, 5.18506, 5.40072, 6.85459, 7.43581, 7.63993, 7.91404, 8.27546, 8.79495, 9.22488, 9.69606, 10.3652, 10.7898, 11.0527, 11.5786, 12.3295, 13.0804, 13.8313, 14.5822, 15.3331, 16.8349");
             values ( \
-              "-0.0659241, -0.159079, -0.158158, -0.158811, -0.158135, -0.158523, -0.158118, -0.158327, -0.157994, -0.158037, -0.157518, -0.157634, -0.153865, -0.149669, -0.145476, -0.142779, -0.140017, -0.137532, -0.135155, -0.133653, -0.133751, -0.132472, -0.131774, -0.128001, -0.123503, -0.111775, -0.082497, -0.0618154, -0.0493059, -0.0430307, -0.033756, -0.028574, -0.0218274, -0.0159816, -0.0112385, -0.00944907, -0.0065316, -0.00435878, -0.00284076, -0.00123473, -0.000532541, -0.000392629" \
+              "-0.0661877, -0.152578, -0.158983, -0.158173, -0.158738, -0.158075, -0.158488, -0.157936, -0.158163, -0.157583, -0.15773, -0.156972, -0.156523, -0.154989, -0.153965, -0.150861, -0.15091, -0.150429, -0.146467, -0.143306, -0.141668, -0.140129, -0.137531, -0.135168, -0.133659, -0.133751, -0.132494, -0.131789, -0.128035, -0.123503, -0.117424, -0.0719984, -0.0563444, -0.0513389, -0.0452487, -0.0381268, -0.029465, -0.023694, -0.0185685, -0.0129728, -0.0103307, -0.00895154, -0.0067115, -0.00443165, -0.00293349, -0.0019409, -0.00128868, -0.000854538, -0.000378137" \
             );
           }
           vector (ccs_template) {
@@ -15390,9 +15390,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.17006");
-            index_3 ("0.6171, 0.795867, 0.946434, 1.04036, 1.06982, 1.36895, 1.58278, 1.85502, 1.91661, 1.97036, 2.02519, 2.1262, 2.21144, 2.26609, 2.29389, 2.39401, 2.43676, 2.76225, 2.94952, 3.01382, 3.10579, 3.21995, 3.36701, 3.48631, 3.63245, 3.83886, 3.9767, 4.064, 4.23862, 4.51527, 4.83779, 5.22492, 5.63886, 6.07583");
+            index_3 ("0.586359, 0.688193, 0.807029, 0.946347, 1.04026, 1.06971, 1.36905, 1.80052, 1.89119, 1.95721, 2.02576, 2.1028, 2.16128, 2.23408, 2.29956, 2.32924, 2.40313, 2.75231, 2.91665, 3.07409, 3.16354, 3.34244, 3.40603, 3.47484, 3.56659, 3.74727, 3.92706, 4.01615, 4.19433, 4.45061, 4.75344, 5.1164, 5.53074, 5.95736");
             values ( \
-              "-0.0700239, -0.0898809, -0.126683, -0.147715, -0.148711, -0.14494, -0.141908, -0.137745, -0.136496, -0.135023, -0.133167, -0.128517, -0.12251, -0.117803, -0.114618, -0.107128, -0.103286, -0.0708764, -0.0544767, -0.0495223, -0.0430217, -0.0359018, -0.028195, -0.0230687, -0.0179271, -0.0124275, -0.00967576, -0.00826414, -0.00600421, -0.00366153, -0.00207159, -0.00106323, -0.00051606, -0.000249246" \
+              "-0.058581, -0.0617235, -0.0927507, -0.126706, -0.147696, -0.148712, -0.144937, -0.138684, -0.137003, -0.135498, -0.13307, -0.129836, -0.126368, -0.12071, -0.113942, -0.112227, -0.106401, -0.0717956, -0.0571472, -0.0451422, -0.0393349, -0.0293127, -0.0263601, -0.023471, -0.0201377, -0.0146045, -0.0105659, -0.00905482, -0.0064732, -0.00414153, -0.00237058, -0.00131751, -0.000590074, -0.00033778" \
             );
           }
           vector (ccs_template) {
@@ -15473,7 +15473,7 @@
             index_2 ("0.00425947");
             index_3 ("5.5126, 6.54228, 6.64298, 6.84437, 7.06497, 7.17692, 7.40083, 7.49416, 7.68083, 7.89198, 8.14078, 8.29894, 8.38215, 8.57195, 8.62217, 8.66949, 8.7218, 8.77369, 8.79715, 8.84166, 8.86976, 8.88476, 8.91405, 8.92448, 8.93513, 8.97032, 9.0201, 9.05681, 9.09003, 9.2522, 9.30687, 9.39196, 9.54288, 9.61137, 9.71482, 9.79136, 9.91127, 10.1184, 10.3732, 10.69, 11.0803");
             values ( \
-              "-3.28344e-06, -0.000294725, -0.000339328, -0.000455475, -0.000624702, -0.000734136, -0.00105219, -0.00125806, -0.00183531, -0.00268762, -0.00349428, -0.00392978, -0.00422753, -0.0050209, -0.00510097, -0.00493036, -0.00492604, -0.00499339, -0.00520059, -0.00539591, -0.0052017, -0.00555151, -0.00478388, -0.00490181, -0.00490393, -0.00557109, -0.00597448, -0.00584772, -0.00562292, -0.00181324, -0.00101967, -0.000395455, -8.47579e-05, -0.000112769, -3.05102e-05, -8.25864e-05, -1.30503e-05, -6.03237e-05, -1e-22, -4.17387e-05, -1e-22" \
+              "-3.28344e-06, -0.000294725, -0.000339328, -0.000455475, -0.000624702, -0.000734136, -0.00105219, -0.00125806, -0.00183531, -0.00268762, -0.00349428, -0.00392978, -0.00422753, -0.0050209, -0.00510097, -0.00493036, -0.00492604, -0.00499339, -0.00520059, -0.00539591, -0.0052017, -0.00555151, -0.00478388, -0.00490181, -0.00490393, -0.00557109, -0.00597448, -0.00584772, -0.00562292, -0.00181324, -0.00101967, -0.000395455, -8.4758e-05, -0.000112769, -3.05102e-05, -8.25864e-05, -1.30503e-05, -6.03237e-05, -1e-22, -4.17387e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -15482,7 +15482,7 @@
             index_2 ("0.0145581");
             index_3 ("6.17959, 6.79929, 7.076, 7.17824, 7.38273, 7.61403, 7.68683, 7.78389, 8.10003, 8.28518, 8.40169, 8.64943, 8.76884, 8.86425, 8.94182, 9.00041, 9.05529, 9.16915, 9.23851, 9.27139, 9.30339, 9.33294, 9.36149, 9.38289, 9.40461, 9.41514, 9.43619, 9.4587, 9.48692, 9.51912, 9.55732, 9.59778, 9.64529, 9.74761, 9.80681, 9.8432, 9.88497, 9.94066, 10.025, 10.153, 10.316, 10.4672, 10.6776, 10.8296, 11.0618, 11.4461");
             values ( \
-              "-0.00105987, -0.00121838, -0.00176048, -0.00201751, -0.00265785, -0.00373697, -0.00420921, -0.00494229, -0.00757127, -0.00895622, -0.00976179, -0.0113933, -0.0122611, -0.0131144, -0.0137039, -0.0140562, -0.0140243, -0.0143912, -0.0147272, -0.0147181, -0.0142516, -0.0150407, -0.0150848, -0.0150929, -0.01484, -0.0148124, -0.014576, -0.0144616, -0.0144992, -0.014572, -0.0142644, -0.0133504, -0.011537, -0.00698618, -0.00476879, -0.00373327, -0.00277352, -0.00180739, -0.000963953, -0.0003351, -0.000139985, -5.96132e-05, -6.1282e-05, -2.38994e-05, -3.98184e-05, -7.86829e-07" \
+              "-0.00105987, -0.00121838, -0.00176048, -0.00201751, -0.00265785, -0.00373697, -0.00420921, -0.00494229, -0.00757127, -0.00895622, -0.00976179, -0.0113933, -0.0122611, -0.0131144, -0.0137039, -0.0140562, -0.0140243, -0.0143912, -0.0147272, -0.0147181, -0.0142516, -0.0150407, -0.0150848, -0.0150929, -0.01484, -0.0148124, -0.014576, -0.0144616, -0.0144992, -0.014572, -0.0142644, -0.0133505, -0.011537, -0.00698618, -0.00476879, -0.00373327, -0.00277352, -0.00180739, -0.000963953, -0.0003351, -0.000139985, -5.96132e-05, -6.1282e-05, -2.38993e-05, -3.98184e-05, -7.86821e-07" \
             );
           }
           vector (ccs_template) {
@@ -15520,9 +15520,9 @@
             "0.00766385, 0.00769346, 0.00773406, 0.00776323, 0.00777574, 0.0077799", \
             "0.00872157, 0.00874318, 0.00878311, 0.00882079, 0.00883989, 0.0088467", \
             "0.00920476, 0.00920661, 0.00921494, 0.00923181, 0.00924531, 0.00925114", \
-            "0.00944333, 0.00943831, 0.00942756, 0.00941799, 0.00941679, 0.00941821", \
-            "0.00958641, 0.00958068, 0.00956583, 0.00954247, 0.00952089, 0.00951133", \
-            "0.00964605, 0.00964288, 0.0096322, 0.00961065, 0.00957986, 0.00955329" \
+            "0.00944383, 0.00943831, 0.00942756, 0.00941846, 0.00941635, 0.00941779", \
+            "0.00958641, 0.00958046, 0.00956583, 0.00954224, 0.00952126, 0.00951079", \
+            "0.00964605, 0.00964288, 0.0096322, 0.00961065, 0.00957985, 0.00955331" \
           );
         }
         receiver_capacitance2_fall (delay_template) {
@@ -15532,9 +15532,9 @@
             "0.00978076, 0.00981213, 0.0098616, 0.00990059, 0.00991774, 0.00992349", \
             "0.010517, 0.0105115, 0.0105066, 0.0105047, 0.010504, 0.0105036", \
             "0.0110767, 0.0110186, 0.010926, 0.0108574, 0.010813, 0.0107992", \
-            "0.0119316, 0.0117811, 0.011457, 0.0111813, 0.0110487, 0.0109998", \
-            "0.0108168, 0.0107375, 0.00993119, 0.0116635, 0.0112201, 0.0110481", \
-            "0.0105691, 0.0106058, 0.0106925, 0.0105847, 0.011328, 0.0112684" \
+            "0.0119308, 0.0117811, 0.011457, 0.0111809, 0.0110484, 0.011", \
+            "0.0108168, 0.010738, 0.00993119, 0.0116511, 0.0112167, 0.0110505", \
+            "0.0105691, 0.0106058, 0.0106925, 0.0105847, 0.0113284, 0.0112682" \
           );
         }
       }
@@ -15551,7 +15551,7 @@
             "0.116126, 0.149677, 0.263322, 0.648713, 1.9621, 6.4462", \
             "0.124683, 0.158585, 0.272991, 0.659068, 1.97279, 6.4571", \
             "0.166475, 0.19996, 0.314288, 0.701209, 2.01573, 6.50028", \
-            "0.312293, 0.361011, 0.49486, 0.876648, 2.1889, 6.67325", \
+            "0.3123, 0.360795, 0.49486, 0.876648, 2.1889, 6.67325", \
             "0.61801, 0.705191, 0.955885, 1.55424, 2.89754, 7.38111", \
             "1.40054, 1.53638, 1.95696, 3.03414, 5.4118, 10.2793" \
           );
@@ -15563,7 +15563,7 @@
             "0.181079, 0.229813, 0.388911, 0.925867, 2.77088, 9.08361", \
             "0.181059, 0.2298, 0.388915, 0.925874, 2.77089, 9.08371", \
             "0.184421, 0.230237, 0.388793, 0.925841, 2.77088, 9.08364", \
-            "0.285951, 0.322471, 0.439032, 0.926801, 2.77094, 9.0836", \
+            "0.285943, 0.322533, 0.439032, 0.926801, 2.77094, 9.0836", \
             "0.570418, 0.631815, 0.810448, 1.25185, 2.79933, 9.08339", \
             "1.27889, 1.37381, 1.67413, 2.48549, 4.27542, 9.39835" \
           );
@@ -15574,8 +15574,8 @@
           values ( \
             "0.0794758, 0.0991935, 0.165211, 0.38781, 1.14555, 3.73345", \
             "0.0889065, 0.108761, 0.175071, 0.397909, 1.1558, 3.74371", \
-            "0.127697, 0.147179, 0.214009, 0.437393, 1.19555, 3.78372", \
-            "0.209286, 0.246939, 0.350678, 0.59675, 1.35431, 3.94208", \
+            "0.127699, 0.147179, 0.214009, 0.437382, 1.19562, 3.78372", \
+            "0.209286, 0.247018, 0.350678, 0.59675, 1.35431, 3.94208", \
             "0.268679, 0.339791, 0.540851, 1.01788, 1.99982, 4.58107", \
             "0.0279931, 0.159638, 0.533424, 1.43858, 3.36378, 7.10527" \
           );
@@ -15586,8 +15586,8 @@
           values ( \
             "0.0852963, 0.110123, 0.197582, 0.497841, 1.52235, 5.00972", \
             "0.0852349, 0.110079, 0.197587, 0.49777, 1.52236, 5.00968", \
-            "0.0945524, 0.115407, 0.197831, 0.497784, 1.52234, 5.00974", \
-            "0.186222, 0.210766, 0.285457, 0.524679, 1.52243, 5.00974", \
+            "0.0945448, 0.115407, 0.197831, 0.497784, 1.52243, 5.00974", \
+            "0.186222, 0.210497, 0.285457, 0.524679, 1.52243, 5.00974", \
             "0.425862, 0.467196, 0.598322, 0.921599, 1.71687, 5.00966", \
             "1.06713, 1.16155, 1.39856, 1.98572, 3.26175, 6.03422" \
           );
@@ -15671,7 +15671,7 @@
             index_2 ("0.0145581");
             index_3 ("0.0661498, 0.0661698, 0.13658, 0.187278, 0.225539, 0.251379, 0.297114, 0.339492, 0.368279, 0.384627, 0.396203, 0.407514, 0.423652, 0.437917, 0.498472, 0.512025, 0.525718, 0.539571, 0.542752, 0.578566, 0.599466, 0.649161, 0.702455, 0.757875, 0.806888, 0.857533, 0.955336, 0.998303, 1.05559, 1.17017, 1.33806, 1.55855");
             values ( \
-              "1e-22, 0.0653535, 0.0565964, 0.0544117, 0.052461, 0.0507851, 0.0466818, 0.0419456, 0.0371743, 0.0351469, 0.0344218, 0.0346027, 0.0337633, 0.0327333, 0.025599, 0.0237091, 0.0242315, 0.0233764, 0.0234776, 0.0209016, 0.0197938, 0.016525, 0.0127221, 0.00948291, 0.0072681, 0.00549914, 0.00316791, 0.0024778, 0.00184883, 0.000884273, 0.000358798, 3.60362e-05" \
+              "1e-22, 0.0653535, 0.0565964, 0.0544117, 0.052461, 0.0507851, 0.0466818, 0.0419456, 0.0371743, 0.0351469, 0.0344218, 0.0346027, 0.0337633, 0.0327333, 0.025599, 0.0237091, 0.0242315, 0.0233764, 0.0234776, 0.0209016, 0.0197938, 0.016525, 0.0127221, 0.00948291, 0.0072681, 0.00549914, 0.00316791, 0.0024778, 0.00184883, 0.000884273, 0.000358798, 3.60361e-05" \
             );
           }
           vector (ccs_template) {
@@ -15707,7 +15707,7 @@
             index_2 ("0.00124625");
             index_3 ("0.145582, 0.168671, 0.178206, 0.184542, 0.203486, 0.231412, 0.253852, 0.260207, 0.264386, 0.303508, 0.312174, 0.320596, 0.32514, 0.334227, 0.344587, 0.353857, 0.369406, 0.376091, 0.383182, 0.393122, 0.415986, 0.447985, 0.457799, 0.468148, 0.476456, 0.483939, 0.486344, 0.491153, 0.505642, 0.5148, 0.525212, 0.536643, 0.552248, 0.569997, 0.591419, 0.622405, 0.634992, 0.71051, 0.795482, 0.898194");
             values ( \
-              "0.000386099, 0.00350305, 0.00435427, 0.00508515, 0.00685979, 0.00926955, 0.0107404, 0.0110332, 0.0116016, 0.00835467, 0.00809368, 0.00695962, 0.00659913, 0.00559853, 0.00492719, 0.00453405, 0.00456158, 0.00503354, 0.00525632, 0.00504351, 0.00410152, 0.00304121, 0.00306853, 0.00287912, 0.00276897, 0.00248047, 0.00245193, 0.00223854, 0.00187121, 0.00174604, 0.0015494, 0.00142899, 0.00119642, 0.00103141, 0.000789867, 0.000590159, 0.000480876, 0.000191209, 8.87828e-05, 2.01106e-06" \
+              "0.000386099, 0.00350305, 0.00435427, 0.00508515, 0.00685979, 0.00926955, 0.0107404, 0.0110332, 0.0116016, 0.00835467, 0.00809368, 0.00695962, 0.00659913, 0.00559853, 0.00492719, 0.00453405, 0.00456158, 0.00503354, 0.00525632, 0.00504351, 0.00410152, 0.00304121, 0.00306853, 0.00287912, 0.00276897, 0.00248047, 0.00245193, 0.00223854, 0.00187121, 0.00174604, 0.0015494, 0.00142899, 0.00119642, 0.00103141, 0.000789867, 0.000590159, 0.000480876, 0.000191209, 8.87828e-05, 2.01107e-06" \
             );
           }
           vector (ccs_template) {
@@ -15759,18 +15759,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.461181, 0.536611, 0.568888, 0.635314, 0.69771, 0.746509, 0.789711, 0.811382, 0.832035, 0.833767, 0.840694, 0.878977, 0.89715, 0.906595, 0.932908, 0.943421, 1.00237, 1.01237, 1.03709, 1.04063, 1.0443, 1.07647, 1.13845, 1.19134, 1.23114, 1.28422, 1.37076, 1.47335");
+            index_3 ("0.46117, 0.536698, 0.569171, 0.635324, 0.697711, 0.746508, 0.789708, 0.811377, 0.832031, 0.833761, 0.840684, 0.87897, 0.897142, 0.906586, 0.932899, 0.943415, 1.00236, 1.01236, 1.03709, 1.04062, 1.04428, 1.07654, 1.13836, 1.19127, 1.23125, 1.28456, 1.37113, 1.47381");
             values ( \
-              "0.00032769, 0.000862133, 0.00136099, 0.00296054, 0.00420512, 0.00500599, 0.00536087, 0.00525503, 0.00507222, 0.00521443, 0.0052024, 0.00421825, 0.00387517, 0.00385388, 0.00495162, 0.00484895, 0.00324142, 0.0032804, 0.00265114, 0.00257772, 0.00265977, 0.00194303, 0.00104168, 0.000578992, 0.000368379, 0.000198678, 6.59811e-05, 1.81696e-05" \
+              "0.000327472, 0.000860798, 0.00136965, 0.00295986, 0.00420446, 0.00500719, 0.00536019, 0.00525596, 0.00507171, 0.00521451, 0.00520259, 0.00421842, 0.00387519, 0.00385396, 0.00495164, 0.00484896, 0.00324148, 0.00328035, 0.0026512, 0.00257783, 0.00265995, 0.00194163, 0.00104255, 0.000579383, 0.000367883, 0.000197844, 6.56546e-05, 1.80678e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00425947");
-            index_3 ("0.466167, 0.548527, 0.556411, 0.572179, 0.657022, 0.729182, 0.785321, 0.834553, 0.85916, 0.881528, 0.897748, 0.928013, 0.942597, 0.95387, 0.962426, 0.971701, 0.987052, 1.01003, 1.02139, 1.03315, 1.04093, 1.04528, 1.05174, 1.08102, 1.10649, 1.14137, 1.1712, 1.2214, 1.27479, 1.31105, 1.36439, 1.4355, 1.54819, 1.68358");
+            index_3 ("0.484151, 0.548322, 0.591593, 0.656732, 0.704513, 0.777469, 0.799916, 0.834067, 0.859391, 0.881036, 0.883599, 0.891798, 0.902731, 0.949094, 0.959675, 0.967915, 0.977413, 0.986702, 1.00183, 1.01309, 1.02475, 1.0362, 1.04071, 1.04489, 1.05141, 1.07956, 1.10501, 1.14253, 1.17306, 1.2182, 1.25859, 1.31217, 1.3439, 1.40737, 1.51368, 1.63947");
             values ( \
-              "0.000929687, 0.00279485, 0.00311263, 0.00381732, 0.00884116, 0.0124184, 0.0149179, 0.0162527, 0.0164037, 0.0160902, 0.0164958, 0.0146983, 0.0135934, 0.0132197, 0.0131248, 0.0134799, 0.0132525, 0.0118451, 0.0113775, 0.0116068, 0.0110284, 0.0114012, 0.0108935, 0.0101592, 0.00878714, 0.00640502, 0.00489118, 0.00316689, 0.00195775, 0.00140065, 0.000847069, 0.000446477, 0.000119333, 4.40339e-05" \
+              "0.00198019, 0.00280074, 0.00490611, 0.00880818, 0.0112572, 0.0145907, 0.015421, 0.0162589, 0.0163534, 0.0160714, 0.0162486, 0.0163476, 0.0162387, 0.0132771, 0.0130969, 0.0133863, 0.0133935, 0.0132507, 0.012426, 0.0114978, 0.0116026, 0.0113427, 0.0110958, 0.011417, 0.0108899, 0.0102062, 0.00886218, 0.00631927, 0.004801, 0.00324779, 0.00226447, 0.00138115, 0.00105283, 0.000551458, 0.000203629, 3.50385e-05" \
             );
           }
           vector (ccs_template) {
@@ -15779,7 +15779,7 @@
             index_2 ("0.0145581");
             index_3 ("0.520665, 0.613627, 0.645318, 0.694094, 0.758016, 0.813139, 0.88859, 0.954028, 1.01549, 1.04082, 1.04739, 1.0759, 1.09327, 1.10211, 1.1138, 1.1271, 1.14031, 1.20059, 1.21492, 1.22956, 1.24081, 1.2522, 1.26877, 1.33289, 1.42304, 1.45725, 1.5002, 1.55189, 1.59402, 1.65526, 1.73325, 1.82229, 1.97148, 2.16232, 2.40633");
             values ( \
-              "0.00732228, 0.0117097, 0.0151727, 0.0201196, 0.0262882, 0.031442, 0.0379779, 0.0421833, 0.0432072, 0.043122, 0.0427261, 0.0375711, 0.0353505, 0.0347993, 0.0347064, 0.0342409, 0.0333405, 0.0265436, 0.0244138, 0.0247579, 0.0240965, 0.0237878, 0.0222354, 0.0183208, 0.0119633, 0.0100662, 0.00792217, 0.00597991, 0.00478725, 0.00334858, 0.00214961, 0.00131368, 0.000501317, 0.000170528, 8.41907e-06" \
+              "0.00732228, 0.0117097, 0.0151727, 0.0201196, 0.0262882, 0.031442, 0.0379779, 0.0421833, 0.0432072, 0.043122, 0.0427261, 0.0375711, 0.0353505, 0.0347993, 0.0347064, 0.0342409, 0.0333405, 0.0265436, 0.0244138, 0.0247579, 0.0240965, 0.0237878, 0.0222354, 0.0183208, 0.0119633, 0.0100662, 0.00792217, 0.00597991, 0.00478725, 0.00334858, 0.00214961, 0.00131368, 0.000501317, 0.000170528, 8.41909e-06" \
             );
           }
           vector (ccs_template) {
@@ -15795,7 +15795,7 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.17006");
-            index_3 ("0.641646, 0.818331, 1.03954, 1.06649, 1.13194, 1.69696, 2.28145, 2.66117, 2.83372, 2.99461, 3.08808, 3.12306, 3.16105, 3.19834, 3.46473, 3.65773, 4.49929, 5.08906, 5.37459, 5.77776, 6.12971, 6.28327, 6.59038, 7.06267, 7.39093, 8.02353, 8.86571, 9.96464, 11.0636");
+            index_3 ("0.641646, 0.818331, 1.03954, 1.06649, 1.13194, 1.69696, 2.28145, 2.66117, 2.83372, 2.99461, 3.08808, 3.12306, 3.16105, 3.19834, 3.46473, 3.65773, 4.49929, 5.08906, 5.37459, 5.77776, 6.12971, 6.28327, 6.59038, 7.06267, 7.39093, 8.02352, 8.86571, 9.96464, 11.0636");
             values ( \
               "0.044028, 0.0495074, 0.0826885, 0.0833445, 0.0826296, 0.0795028, 0.0755911, 0.0715923, 0.0685949, 0.0671176, 0.0656598, 0.0661102, 0.0660576, 0.0655879, 0.0606066, 0.0564361, 0.0370259, 0.0253628, 0.0209463, 0.0157436, 0.0121149, 0.0108127, 0.00848797, 0.00585364, 0.00447355, 0.00266684, 0.00132097, 0.000480318, 0.000198616" \
             );
@@ -15824,7 +15824,7 @@
             index_2 ("0.00425947");
             index_3 ("1.62814, 1.9782, 2.08414, 2.14913, 2.2175, 2.36257, 2.46826, 2.58479, 2.68737, 2.78753, 2.79059, 2.80284, 2.88252, 2.90297, 2.92429, 2.94107, 2.96259, 2.99948, 3.0131, 3.0299, 3.04416, 3.07142, 3.21441, 3.29237, 3.36789, 3.45515, 3.51636, 3.58823, 3.71788, 3.72068");
             values ( \
-              "0.000141348, 0.000735376, 0.00117665, 0.00162386, 0.00236008, 0.00454203, 0.00595442, 0.00721505, 0.00773952, 0.00745071, 0.00761385, 0.00750944, 0.0059847, 0.00584436, 0.00618736, 0.00674839, 0.0067929, 0.00813279, 0.00815387, 0.00843848, 0.00908264, 0.00922955, 0.00509343, 0.00315795, 0.00184816, 0.000939491, 0.000569542, 0.000340277, 7.82461e-05, 7.76645e-05" \
+              "0.000141348, 0.000735376, 0.00117665, 0.00162386, 0.00236008, 0.00454203, 0.00595442, 0.00721505, 0.00773952, 0.00745071, 0.00761385, 0.00750944, 0.0059847, 0.00584436, 0.00618736, 0.00674839, 0.0067929, 0.00813279, 0.00815387, 0.00843848, 0.00908264, 0.00922955, 0.00509343, 0.00315795, 0.00184816, 0.000939491, 0.000569542, 0.000340278, 7.82462e-05, 7.76645e-05" \
             );
           }
           vector (ccs_template) {
@@ -15842,7 +15842,7 @@
             index_2 ("0.0497569");
             index_3 ("1.66572, 2.14891, 2.28184, 2.5883, 2.97755, 3.22724, 3.44123, 3.63611, 3.77431, 3.83369, 3.87582, 3.92548, 3.94544, 3.96546, 3.98833, 4.01542, 4.19467, 4.42958, 4.55622, 4.7331, 4.85819, 5.02748, 5.27282, 5.44932, 5.68465, 6.06699, 6.58106");
             values ( \
-              "0.000760888, 0.00665667, 0.0100258, 0.0205655, 0.0323897, 0.0393807, 0.0444195, 0.047233, 0.0466249, 0.0480333, 0.0478253, 0.0464855, 0.0474898, 0.0475776, 0.0475515, 0.0467305, 0.0384641, 0.0250974, 0.0198497, 0.0137718, 0.0104258, 0.00703996, 0.00393027, 0.0025611, 0.00145771, 0.000501636, 0.000139283" \
+              "0.000760888, 0.00665667, 0.0100258, 0.0205655, 0.0323897, 0.0393807, 0.0444195, 0.047233, 0.0466249, 0.0480333, 0.0478253, 0.0464855, 0.0474898, 0.0475776, 0.0475515, 0.0467305, 0.0384641, 0.0250974, 0.0198497, 0.0137718, 0.0104258, 0.00703996, 0.00393027, 0.0025611, 0.00145771, 0.000501635, 0.000139283" \
             );
           }
           vector (ccs_template) {
@@ -15878,7 +15878,7 @@
             index_2 ("0.00425947");
             index_3 ("6.41495, 7.71261, 7.86242, 8.10071, 8.339, 8.45421, 8.5771, 8.71636, 9.05332, 9.29883, 9.40707, 9.62356, 9.72705, 9.79815, 9.8609, 9.9349, 10.0589, 10.108, 10.1526, 10.2015, 10.2454, 10.3404, 10.4252, 10.5171, 10.5564, 10.626, 10.9123, 11.0487, 11.1362, 11.2529, 11.4214");
             values ( \
-              "5.96468e-05, 0.0002084, 0.000252587, 0.00035157, 0.000537814, 0.000686679, 0.000915397, 0.00128946, 0.00233739, 0.00297519, 0.00319296, 0.00342693, 0.00326166, 0.00327699, 0.00323317, 0.00269071, 0.00232563, 0.00284648, 0.0037614, 0.00415657, 0.00404596, 0.00428154, 0.0043606, 0.00433804, 0.00425522, 0.00391618, 0.00145856, 0.000758727, 0.000489979, 0.000273821, 0.000123633" \
+              "5.96469e-05, 0.0002084, 0.000252587, 0.00035157, 0.000537814, 0.000686679, 0.000915397, 0.00128946, 0.00233739, 0.00297519, 0.00319296, 0.00342693, 0.00326166, 0.00327699, 0.00323317, 0.00269071, 0.00232563, 0.00284648, 0.0037614, 0.00415657, 0.00404596, 0.00428154, 0.0043606, 0.00433804, 0.00425522, 0.00391618, 0.00145856, 0.000758727, 0.000489979, 0.000273821, 0.000123633" \
             );
           }
           vector (ccs_template) {
@@ -15896,7 +15896,7 @@
             index_2 ("0.0497569");
             index_3 ("6.43054, 7.89276, 8.1138, 8.33484, 8.61764, 8.91463, 9.85975, 10.3001, 10.5166, 10.9497, 11.3186, 11.4605, 11.5351, 11.6047, 11.7273, 11.7496, 11.8008, 11.8329, 11.8711, 11.9241, 11.9944, 12.115, 12.1293, 12.1578, 12.2149, 12.3865, 12.5581, 13.2882, 13.5404, 13.7967, 13.9477, 14.2498, 14.7231, 15.3038, 16.0153");
             values ( \
-              "0.000442282, 0.00200955, 0.002525, 0.00321038, 0.00445256, 0.00645545, 0.0147155, 0.0178754, 0.0192301, 0.0214035, 0.0223297, 0.0218788, 0.0214672, 0.0215538, 0.023122, 0.0240975, 0.0246618, 0.024603, 0.0249046, 0.0248683, 0.0251707, 0.0251773, 0.0253185, 0.0251982, 0.0252463, 0.0243288, 0.0226219, 0.00909832, 0.00582849, 0.00355382, 0.00260034, 0.00137829, 0.000433317, 0.000106179, 1.45453e-07" \
+              "0.000442282, 0.00200955, 0.002525, 0.00321038, 0.00445256, 0.00645545, 0.0147155, 0.0178754, 0.0192301, 0.0214035, 0.0223297, 0.0218788, 0.0214672, 0.0215538, 0.023122, 0.0240975, 0.0246618, 0.024603, 0.0249046, 0.0248683, 0.0251707, 0.0251773, 0.0253185, 0.0251982, 0.0252463, 0.0243288, 0.0226219, 0.00909832, 0.00582849, 0.00355382, 0.00260034, 0.00137829, 0.000433317, 0.000106179, 1.45456e-07" \
             );
           }
           vector (ccs_template) {
@@ -15924,8 +15924,8 @@
           values ( \
             "0.00632804, 0.00635092, 0.00638173, 0.00640295, 0.0064118, 0.00641471", \
             "0.00730438, 0.00732417, 0.00735868, 0.00738889, 0.00740327, 0.00740825", \
-            "0.0077061, 0.00770947, 0.00772048, 0.00773539, 0.00774782, 0.00775223", \
-            "0.00795189, 0.00793552, 0.0079068, 0.0078833, 0.00787556, 0.00787407", \
+            "0.00770482, 0.00770947, 0.00772048, 0.00773649, 0.00774675, 0.00775223", \
+            "0.00795189, 0.00793547, 0.0079068, 0.0078833, 0.00787556, 0.00787407", \
             "0.00812167, 0.00809422, 0.0080443, 0.00798164, 0.00793073, 0.00790943", \
             "0.00840358, 0.00833581, 0.00821827, 0.00809769, 0.00801151, 0.00794849" \
           );
@@ -15936,8 +15936,8 @@
           values ( \
             "0.00784829, 0.00785615, 0.00786937, 0.00787918, 0.00788337, 0.00788477", \
             "0.0078977, 0.00786263, 0.00781024, 0.00776905, 0.0077505, 0.00774423", \
-            "0.00791029, 0.0078291, 0.00770232, 0.00759781, 0.00754145, 0.00752158", \
-            "0.00866048, 0.00842828, 0.00800873, 0.00766634, 0.00750057, 0.00743604", \
+            "0.00791157, 0.0078291, 0.00770232, 0.00759723, 0.00754373, 0.00752158", \
+            "0.00866048, 0.00842667, 0.00800873, 0.00766634, 0.00750057, 0.00743604", \
             "0.00824892, 0.00829769, 0.0083767, 0.00820092, 0.00771061, 0.00749685", \
             "0.00759589, 0.0077231, 0.00796874, 0.00826424, 0.00797972, 0.00777386" \
           );
@@ -15949,7 +15949,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0211476, 0.024418, 0.0278916, 0.0282124, 0.0288542, 0.0301377, 0.0323986, 0.034675, 0.0392279, 0.0477061, 0.0583386, 0.0609013, 0.0660269, 0.0743357, 0.0876319, 0.100768, 0.112539, 0.114893, 0.132516, 0.133056, 0.140617, 0.149258, 0.155228, 0.161747, 0.166625, 0.174653, 0.192515, 0.198497, 0.208187, 0.215856, 0.225837, 0.233072, 0.2348, 0.238257, 0.244426, 0.251354, 0.259188, 0.265392, 0.272267, 0.296871, 0.307435, 0.324827, 0.351796, 0.388997, 0.429982, 0.462421");
             values ( \
-              "-0.00944466, -0.0180457, -0.0174497, -0.017533, -0.0174078, -0.0174195, -0.017288, -0.0173188, -0.017211, -0.0172096, -0.0168803, -0.0168661, -0.016676, -0.0166567, -0.0170957, -0.017098, -0.0150473, -0.014774, -0.010069, -0.0101271, -0.00831929, -0.00675958, -0.00535194, -0.00454848, -0.00427805, -0.00394451, -0.00303854, -0.002642, -0.00222569, -0.00180992, -0.00148862, -0.00117399, -0.00119871, -0.00100353, -0.000892637, -0.000603294, -0.000371097, -7.29974e-05, -1e-22, -2e-22, -9.7722e-05, -9.3315e-05, -0.000176078, -0.000106806, -0.000120915, -5.80465e-05" \
+              "-0.00944466, -0.0180457, -0.0174497, -0.017533, -0.0174078, -0.0174195, -0.017288, -0.0173188, -0.017211, -0.0172096, -0.0168803, -0.0168661, -0.016676, -0.0166567, -0.0170957, -0.017098, -0.0150473, -0.014774, -0.010069, -0.0101271, -0.00831929, -0.00675958, -0.00535194, -0.00454848, -0.00427805, -0.00394451, -0.00303854, -0.002642, -0.00222569, -0.00180992, -0.00148862, -0.00117399, -0.00119871, -0.00100353, -0.000892637, -0.000603294, -0.000371097, -7.29974e-05, -1e-22, -2e-22, -9.77219e-05, -9.3315e-05, -0.000176078, -0.000106806, -0.000120915, -5.80465e-05" \
             );
           }
           vector (ccs_template) {
@@ -15958,7 +15958,7 @@
             index_2 ("0.00425947");
             index_3 ("0.0211392, 0.0257122, 0.0287064, 0.0344328, 0.0465182, 0.0556741, 0.0798703, 0.09004, 0.10735, 0.124524, 0.141689, 0.142903, 0.145332, 0.171553, 0.179198, 0.19413, 0.204577, 0.217854, 0.228784, 0.237389, 0.245744, 0.250246, 0.25925, 0.266703, 0.272819, 0.289737, 0.309751, 0.319524, 0.33004, 0.339727, 0.355129, 0.393286, 0.491148, 0.607218");
             values ( \
-              "-0.0196805, -0.0475065, -0.0467265, -0.0461393, -0.0457721, -0.0455768, -0.044177, -0.0439277, -0.0446973, -0.044661, -0.0391567, -0.0391166, -0.0376799, -0.0250196, -0.0217607, -0.0161399, -0.0130142, -0.00943465, -0.00745281, -0.00686599, -0.00615569, -0.0059759, -0.0052795, -0.00482992, -0.00425891, -0.00309461, -0.0022094, -0.00187026, -0.00127794, -0.000932957, -0.000637321, -0.000580376, -0.000262392, -5.85668e-05" \
+              "-0.0196805, -0.0475065, -0.0467265, -0.0461393, -0.0457721, -0.0455768, -0.044177, -0.0439277, -0.0446973, -0.044661, -0.0391567, -0.0391166, -0.0376799, -0.0250196, -0.0217607, -0.0161399, -0.0130142, -0.00943465, -0.00745281, -0.00686599, -0.00615569, -0.0059759, -0.0052795, -0.00482992, -0.00425891, -0.00309461, -0.0022094, -0.00187026, -0.00127794, -0.000932957, -0.000637321, -0.000580376, -0.000262392, -5.85669e-05" \
             );
           }
           vector (ccs_template) {
@@ -16055,9 +16055,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.140804, 0.15843, 0.170172, 0.185233, 0.208357, 0.227089, 0.243412, 0.257794, 0.262624, 0.266534, 0.269541, 0.273069, 0.277773, 0.284742, 0.301724, 0.310372, 0.324951, 0.331323, 0.336498, 0.344376, 0.352062, 0.377634, 0.392378, 0.401121, 0.416626, 0.423691, 0.431405, 0.437213, 0.445236, 0.459548, 0.497118, 0.52321, 0.559642, 0.599956, 0.699213, 0.76378");
+            index_3 ("0.146093, 0.158487, 0.170221, 0.185257, 0.208353, 0.227076, 0.243407, 0.257792, 0.262621, 0.26653, 0.269536, 0.273071, 0.277784, 0.28474, 0.301721, 0.310371, 0.324948, 0.33132, 0.336495, 0.344374, 0.352061, 0.377631, 0.392376, 0.401119, 0.416623, 0.423689, 0.431402, 0.437211, 0.445233, 0.459545, 0.497115, 0.523208, 0.55964, 0.599954, 0.699211, 0.763778");
             values ( \
-              "-0.000156196, -0.00493906, -0.00652497, -0.00838615, -0.0110346, -0.0129501, -0.014527, -0.0166842, -0.0176125, -0.0174873, -0.0170885, -0.0167927, -0.0160782, -0.0146904, -0.0103537, -0.00839387, -0.00544521, -0.00451771, -0.00431481, -0.00391072, -0.00358074, -0.00221247, -0.00157898, -0.00126604, -0.000785524, -0.000543353, -0.000229504, -4.73108e-05, -1e-22, -2e-22, -0.000133302, -0.000142708, -0.000139156, -8.69486e-05, -2.19271e-05, -1.99173e-05" \
+              "-0.00231534, -0.00493476, -0.0065537, -0.00838358, -0.0110388, -0.0129519, -0.0145254, -0.0166801, -0.0176133, -0.0174865, -0.0170895, -0.0167924, -0.0160759, -0.0146902, -0.0103539, -0.00839351, -0.00544532, -0.00451784, -0.00431478, -0.00391076, -0.00358067, -0.00221251, -0.00157902, -0.00126602, -0.000785537, -0.000543337, -0.000229517, -4.72992e-05, -1e-22, -2e-22, -0.000133294, -0.000142717, -0.000139147, -8.6957e-05, -2.19357e-05, -1.99084e-05" \
             );
           }
           vector (ccs_template) {
@@ -16075,25 +16075,25 @@
             index_2 ("0.0145581");
             index_3 ("0.151041, 0.172887, 0.191897, 0.215844, 0.252148, 0.264566, 0.270782, 0.276063, 0.282367, 0.292883, 0.313021, 0.322787, 0.343993, 0.374125, 0.381321, 0.389546, 0.405994, 0.466615, 0.483183, 0.5029, 0.540076, 0.557007, 0.567642, 0.581712, 0.599526, 0.623278, 0.696567, 0.719128, 0.742945, 0.766881, 0.822916, 0.861568, 0.913387, 0.989431, 1.09522");
             values ( \
-              "-0.0128332, -0.0352026, -0.0474863, -0.0619278, -0.0822664, -0.0882095, -0.0875906, -0.0867939, -0.0866321, -0.0856796, -0.0847359, -0.0846252, -0.0848973, -0.0833588, -0.0819676, -0.0798837, -0.0738654, -0.0456035, -0.0388966, -0.0319978, -0.0207653, -0.0165197, -0.0144797, -0.012169, -0.0098952, -0.00792996, -0.00455667, -0.00370175, -0.00301516, -0.00246936, -0.00153221, -0.00107498, -0.000648455, -0.000287669, -8.48588e-05" \
+              "-0.0128332, -0.0352026, -0.0474863, -0.0619278, -0.0822664, -0.0882095, -0.0875906, -0.0867939, -0.0866321, -0.0856796, -0.0847359, -0.0846252, -0.0848973, -0.0833588, -0.0819676, -0.0798837, -0.0738654, -0.0456035, -0.0388966, -0.0319978, -0.0207653, -0.0165197, -0.0144797, -0.012169, -0.00989519, -0.00792996, -0.00455667, -0.00370175, -0.00301516, -0.00246936, -0.00153221, -0.00107498, -0.000648455, -0.000287669, -8.48588e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0497569");
-            index_3 ("0.171654, 0.208125, 0.263719, 0.267093, 0.271988, 0.281776, 0.291177, 0.307491, 0.397888, 0.490147, 0.583311, 0.601372, 0.646462, 0.666379, 0.697378, 0.732303, 0.825722, 0.902654, 0.961754, 1.01549, 1.07654, 1.16098, 1.25873, 1.32009, 1.36942, 1.43559, 1.50327, 1.68373, 1.80105, 2.09417");
+            index_3 ("0.168353, 0.213873, 0.263782, 0.267152, 0.272041, 0.28182, 0.291189, 0.307482, 0.397941, 0.490206, 0.583368, 0.601427, 0.64652, 0.666444, 0.697439, 0.732361, 0.82578, 0.902712, 0.961813, 1.01554, 1.0766, 1.16104, 1.25879, 1.32015, 1.36948, 1.43564, 1.50332, 1.68378, 1.8011, 2.09422");
             values ( \
-              "-0.0762374, -0.0797974, -0.124254, -0.12449, -0.123576, -0.123598, -0.123084, -0.122862, -0.120087, -0.116758, -0.114573, -0.113871, -0.110709, -0.108457, -0.103669, -0.0967041, -0.0754418, -0.056012, -0.0454665, -0.0372954, -0.0292188, -0.0202864, -0.0126836, -0.00929875, -0.00738027, -0.00550606, -0.00413651, -0.00197218, -0.00121192, -0.000334758" \
+              "-0.0610115, -0.0846062, -0.124244, -0.124494, -0.123574, -0.1236, -0.123084, -0.122865, -0.120088, -0.116758, -0.114573, -0.113871, -0.110709, -0.108456, -0.103668, -0.0967041, -0.0754419, -0.056012, -0.0454664, -0.0372955, -0.0292189, -0.0202864, -0.0126835, -0.00929868, -0.00738028, -0.00550613, -0.0041366, -0.00197223, -0.00121196, -0.000334771" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.17006");
-            index_3 ("0.159392, 0.261543, 0.280596, 0.859499, 1.32509, 1.48519, 1.5683, 1.76241, 2.44261, 2.74215, 3.05173, 3.24459, 3.47865, 3.83619, 4.40992, 4.84767");
+            index_3 ("0.187383, 0.265751, 0.861009, 1.32663, 1.52901, 1.65026, 1.76864, 2.32546, 2.65323, 2.93691, 3.33597, 3.53651, 3.80549, 4.28987, 4.7453");
             values ( \
-              "-0.0418464, -0.141898, -0.140792, -0.134552, -0.128393, -0.125185, -0.122497, -0.113015, -0.0586079, -0.0395015, -0.0253697, -0.0190431, -0.013281, -0.00755549, -0.00311859, -0.00191083" \
+              "-0.109797, -0.141206, -0.134648, -0.128397, -0.123937, -0.11917, -0.112708, -0.0676112, -0.0446912, -0.0300651, -0.016618, -0.012163, -0.00796324, -0.00376171, -0.00222631" \
             );
           }
           vector (ccs_template) {
@@ -16111,16 +16111,16 @@
             index_2 ("0.00124625");
             index_3 ("0.44148, 0.450831, 0.485844, 0.500245, 0.520858, 0.590988, 0.622254, 0.663637, 0.69919, 0.729996, 0.745379, 0.76974, 0.781801, 0.803648, 0.808533, 0.818302, 0.826537, 0.833981, 0.84608, 0.848315, 0.852784, 0.86137, 0.868963, 0.877188, 0.880156, 0.886094, 0.894512, 0.901782, 0.907876, 0.915376, 0.927261, 0.940662, 0.947589, 0.954864, 0.964309, 0.977021, 0.996484, 1.0278, 1.04101, 1.05312, 1.08248, 1.13343");
             values ( \
-              "-0.000629621, -0.000721404, -0.00122897, -0.00150955, -0.00199698, -0.00408431, -0.00492175, -0.00592451, -0.00671411, -0.00786013, -0.00852058, -0.0090912, -0.0089104, -0.00814873, -0.00740461, -0.00657461, -0.0051198, -0.00531285, -0.00435293, -0.00457747, -0.004095, -0.00378494, -0.00313913, -0.00285449, -0.00252594, -0.0023442, -0.00180896, -0.00160268, -0.00124224, -0.00103617, -0.000385088, -9.26926e-05, -0.000137044, -8.96063e-05, -0.000166219, -0.000138096, -0.000209251, -0.000151467, -0.000188222, -0.000304864, -0.000168834, -8.27808e-05" \
+              "-0.000629621, -0.000721404, -0.00122897, -0.00150955, -0.00199698, -0.00408431, -0.00492175, -0.00592451, -0.00671411, -0.00786013, -0.00852058, -0.0090912, -0.0089104, -0.00814873, -0.00740461, -0.00657461, -0.0051198, -0.00531285, -0.00435293, -0.00457747, -0.004095, -0.00378494, -0.00313913, -0.00285449, -0.00252594, -0.0023442, -0.00180896, -0.00160268, -0.00124224, -0.00103617, -0.000385088, -9.26926e-05, -0.000137044, -8.96063e-05, -0.000166219, -0.000138097, -0.000209251, -0.000151467, -0.000188222, -0.000304864, -0.000168834, -8.27808e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00425947");
-            index_3 ("0.441488, 0.520743, 0.542483, 0.586039, 0.64609, 0.685543, 0.732622, 0.76719, 0.768205, 0.777673, 0.798034, 0.812785, 0.826371, 0.853544, 0.900421, 0.906574, 0.913855, 0.963593, 0.983276, 0.994745, 1.0184, 1.03004, 1.0409, 1.05231, 1.07735, 1.13365, 1.2366, 1.30056");
+            index_3 ("0.457336, 0.501076, 0.525629, 0.542472, 0.586096, 0.646116, 0.692733, 0.732733, 0.800751, 0.809233, 0.817963, 0.826535, 0.840548, 0.856621, 0.896089, 0.901306, 0.909401, 0.916513, 0.941385, 0.957866, 0.976468, 1.01635, 1.03002, 1.0409, 1.05306, 1.07887, 1.13702, 1.24085, 1.30555");
             values ( \
-              "-0.000886408, -0.00543248, -0.00702647, -0.0105663, -0.0149479, -0.017536, -0.020452, -0.0234952, -0.0237706, -0.0247373, -0.0263902, -0.0273636, -0.0272181, -0.0235134, -0.0114978, -0.0102176, -0.0091714, -0.00458211, -0.00326867, -0.00273148, -0.00140958, -0.00105215, -0.000942799, -0.00126987, -0.000921158, -0.000480544, -0.000127531, -7.61953e-05" \
+              "-0.00277037, -0.00424754, -0.00576091, -0.00702236, -0.0105743, -0.0149529, -0.0179802, -0.0204135, -0.0267121, -0.0271906, -0.0274065, -0.0272991, -0.0260487, -0.0224953, -0.012771, -0.0111989, -0.00975567, -0.00881283, -0.00668475, -0.00509726, -0.00367002, -0.00146936, -0.00106933, -0.000918998, -0.00126117, -0.000907437, -0.000462131, -0.000120305, -7.18008e-05" \
             );
           }
           vector (ccs_template) {
@@ -16156,7 +16156,7 @@
             index_2 ("0.581233");
             index_3 ("0.646928, 0.857038, 1.05792, 2.18687, 2.92857, 3.90749, 4.35269, 4.67441, 4.84983, 5.30673, 5.5034, 5.68968, 5.77563, 6.00277, 6.56095, 7.65515, 8.43172, 8.74815, 9.27945, 9.88034, 10.3505, 10.9016, 11.6365, 12.307, 12.65, 13.3362, 14.1185, 14.9009, 15.6832, 17.2478, 18.8125");
             values ( \
-              "-0.0961192, -0.103148, -0.146212, -0.142471, -0.139764, -0.135803, -0.133682, -0.131767, -0.13051, -0.126532, -0.123767, -0.120327, -0.119361, -0.115081, -0.101254, -0.0722939, -0.0542148, -0.0478195, -0.0384384, -0.0296813, -0.0241218, -0.0188167, -0.0133799, -0.00976162, -0.00829931, -0.00598853, -0.00412573, -0.00284183, -0.00195914, -0.000930589, -0.000442185" \
+              "-0.0961192, -0.103148, -0.146212, -0.142471, -0.139764, -0.135803, -0.133682, -0.131767, -0.13051, -0.126532, -0.123767, -0.120327, -0.119361, -0.115081, -0.101254, -0.0722939, -0.0542148, -0.0478195, -0.0384384, -0.0296813, -0.0241218, -0.0188167, -0.0133799, -0.00976162, -0.00829931, -0.00598852, -0.00412573, -0.00284183, -0.00195914, -0.000930589, -0.000442185" \
             );
           }
           vector (ccs_template) {
@@ -16165,7 +16165,7 @@
             index_2 ("0.00124625");
             index_3 ("1.55921, 1.71348, 1.75316, 1.82456, 1.85246, 1.90827, 1.9726, 2.07074, 2.15239, 2.28167, 2.33562, 2.35106, 2.40537, 2.41246, 2.42664, 2.44965, 2.4729, 2.48823, 2.49976, 2.53231, 2.54709, 2.55802, 2.56868, 2.5808, 2.61748, 2.632, 2.66404, 2.6836, 2.73421, 2.76479, 2.8115, 2.8648, 2.92626, 3.09246, 3.67087");
             values ( \
-              "-0.000249793, -0.00033232, -0.000405542, -0.000581848, -0.000669669, -0.000890444, -0.00125046, -0.00187813, -0.00235499, -0.00299824, -0.00335028, -0.00350841, -0.00380401, -0.00369489, -0.00375898, -0.00365458, -0.003739, -0.00366167, -0.00346102, -0.00430633, -0.00449364, -0.00447578, -0.00427521, -0.00384798, -0.00171842, -0.00125856, -0.000832093, -0.000675392, -0.000396656, -0.000282605, -0.000161828, -8.49257e-05, -3.98652e-05, -6.84616e-06, -1.30646e-06" \
+              "-0.000249793, -0.00033232, -0.000405542, -0.000581848, -0.000669669, -0.000890444, -0.00125046, -0.00187813, -0.00235499, -0.00299824, -0.00335028, -0.00350841, -0.00380401, -0.00369489, -0.00375898, -0.00365458, -0.003739, -0.00366167, -0.00346102, -0.00430633, -0.00449364, -0.00447578, -0.00427521, -0.00384798, -0.00171842, -0.00125856, -0.000832093, -0.000675392, -0.000396656, -0.000282605, -0.000161828, -8.49257e-05, -3.98652e-05, -6.84616e-06, -1.30647e-06" \
             );
           }
           vector (ccs_template) {
@@ -16174,7 +16174,7 @@
             index_2 ("0.00425947");
             index_3 ("1.46533, 1.73292, 1.82042, 1.87744, 1.97728, 2.08345, 2.15091, 2.25638, 2.34509, 2.42159, 2.42412, 2.46977, 2.49004, 2.52272, 2.55457, 2.59866, 2.61834, 2.62953, 2.64323, 2.65346, 2.66041, 2.66691, 2.68084, 2.73477, 2.74563, 2.7637, 2.79045, 2.80638, 2.83288, 2.86157, 2.89186, 2.94799, 3.01002, 3.08614, 3.29905, 3.4704, 3.7394");
             values ( \
-              "-6.11152e-05, -0.00108541, -0.00166705, -0.00217625, -0.00348113, -0.0053513, -0.00648046, -0.00805581, -0.00924542, -0.0105809, -0.0107416, -0.0116037, -0.0118685, -0.0119063, -0.011993, -0.012002, -0.0119913, -0.0112925, -0.0111246, -0.0110594, -0.0109304, -0.0106507, -0.00969446, -0.00511512, -0.00434381, -0.00349188, -0.00262787, -0.00220902, -0.00164985, -0.00118653, -0.000843999, -0.00040268, -0.000196785, -6.26598e-05, -8.0956e-08, -1.41528e-05, -1e-22" \
+              "-6.11152e-05, -0.00108541, -0.00166705, -0.00217625, -0.00348113, -0.0053513, -0.00648046, -0.00805581, -0.00924542, -0.0105809, -0.0107416, -0.0116037, -0.0118685, -0.0119063, -0.011993, -0.012002, -0.0119913, -0.0112925, -0.0111246, -0.0110594, -0.0109304, -0.0106507, -0.00969446, -0.00511512, -0.00434381, -0.00349188, -0.00262787, -0.00220902, -0.00164985, -0.00118653, -0.000843999, -0.00040268, -0.000196785, -6.26598e-05, -8.09592e-08, -1.41528e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -16217,9 +16217,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("6.11024, 6.74875, 6.96275, 7.0425, 7.14884, 7.31595, 7.38609, 7.52635, 7.75197, 7.98946, 8.23449, 8.33756, 8.35443, 8.36184, 8.40631, 8.43769, 8.4729, 8.52581, 8.54288, 8.56565, 8.60564, 8.62801, 8.68884, 8.7026, 8.73322, 8.76655, 8.8153, 8.841, 8.91061, 8.94408, 8.97664, 9.10937, 9.16487, 9.22355, 9.26896, 9.31081, 9.39451, 9.66703, 10.4542, 11.0849, 11.4916");
+            index_3 ("6.11024, 6.74875, 6.96275, 7.0425, 7.14884, 7.31595, 7.38609, 7.52635, 7.75197, 7.98946, 8.23449, 8.33756, 8.35443, 8.36184, 8.40631, 8.43769, 8.4729, 8.52581, 8.54288, 8.56565, 8.60564, 8.62801, 8.68884, 8.7026, 8.73322, 8.76655, 8.8153, 8.841, 8.91062, 8.94408, 8.97664, 9.10937, 9.16487, 9.22355, 9.26896, 9.31081, 9.39451, 9.66703, 10.4542, 11.0849, 11.4916");
             values ( \
-              "-0.000102584, -0.000129118, -0.000181806, -0.000208492, -0.000252837, -0.000350508, -0.000411861, -0.000558688, -0.00082901, -0.00105852, -0.00125, -0.00134232, -0.00134492, -0.0013555, -0.00136258, -0.00134787, -0.00130318, -0.00125024, -0.00124243, -0.001242, -0.00127152, -0.00120145, -0.0019277, -0.00201676, -0.00208768, -0.0016576, -0.0014032, -0.00135872, -0.00147258, -0.00147584, -0.00138922, -0.00055644, -0.000314718, -0.000176406, -0.000117446, -8.97075e-05, -5.67744e-05, -3.3046e-05, -1.11149e-05, -3.48502e-06, -3.56413e-06" \
+              "-0.000102584, -0.000129118, -0.000181806, -0.000208492, -0.000252837, -0.000350508, -0.000411861, -0.000558688, -0.00082901, -0.00105852, -0.00125, -0.00134232, -0.00134492, -0.0013555, -0.00136258, -0.00134787, -0.00130318, -0.00125024, -0.00124243, -0.001242, -0.00127152, -0.00120145, -0.00192771, -0.00201676, -0.00208768, -0.0016576, -0.0014032, -0.00135872, -0.00147258, -0.00147584, -0.00138922, -0.000556439, -0.000314717, -0.000176406, -0.000117446, -8.97073e-05, -5.67746e-05, -3.30462e-05, -1.11151e-05, -3.48522e-06, -3.56394e-06" \
             );
           }
           vector (ccs_template) {
@@ -16275,7 +16275,7 @@
             "0.00627838, 0.00629801, 0.00632367, 0.0063411, 0.00634834, 0.00635073", \
             "0.00712075, 0.00713293, 0.00715402, 0.00717224, 0.00718125, 0.00718438", \
             "0.00746432, 0.00746413, 0.00746646, 0.00747279, 0.00747789, 0.00748019", \
-            "0.00762213, 0.00761734, 0.00761398, 0.00760692, 0.00760441, 0.00760403", \
+            "0.0076221, 0.00761771, 0.00761398, 0.00760692, 0.00760441, 0.00760403", \
             "0.00772849, 0.00772375, 0.00771267, 0.00769634, 0.00768272, 0.00767612", \
             "0.00777062, 0.00776759, 0.00776011, 0.00774578, 0.00772794, 0.00771223" \
           );
@@ -16287,7 +16287,7 @@
             "0.00788855, 0.00790357, 0.00792326, 0.00793792, 0.00794356, 0.00794537", \
             "0.00823769, 0.00822546, 0.00821054, 0.00820045, 0.00819668, 0.00819551", \
             "0.00857862, 0.00851628, 0.00843124, 0.00836798, 0.0083391, 0.00832894", \
-            "0.00923154, 0.0091424, 0.0088685, 0.00864965, 0.00855437, 0.00851984", \
+            "0.00923161, 0.00914798, 0.0088685, 0.00864965, 0.00855437, 0.00851984", \
             "0.00865704, 0.0085334, 0.00783809, 0.00898884, 0.00868887, 0.00856523", \
             "0.00857064, 0.00857415, 0.00858843, 0.00840114, 0.00878176, 0.00870162" \
           );
@@ -16306,7 +16306,7 @@
             "0.135913, 0.17212, 0.29452, 0.708534, 2.11925, 6.93619", \
             "0.144426, 0.181004, 0.304133, 0.718853, 2.1299, 6.94697", \
             "0.18576, 0.222007, 0.345243, 0.76087, 2.17287, 6.99027", \
-            "0.342207, 0.390626, 0.525492, 0.933325, 2.34559, 7.15958", \
+            "0.342207, 0.39061, 0.525492, 0.933325, 2.34559, 7.15958", \
             "0.674062, 0.761356, 1.01578, 1.63021, 3.06593, 7.87167", \
             "1.51476, 1.65164, 2.07696, 3.18012, 5.64208, 10.7762" \
           );
@@ -16318,7 +16318,7 @@
             "0.1787, 0.230996, 0.399424, 0.978074, 2.96719, 9.76986", \
             "0.178679, 0.230973, 0.399421, 0.97807, 2.96712, 9.76968", \
             "0.179561, 0.230309, 0.399348, 0.978049, 2.96719, 9.76977", \
-            "0.269689, 0.3116, 0.441127, 0.978037, 2.96714, 9.76993", \
+            "0.269689, 0.311609, 0.441127, 0.978037, 2.96714, 9.76993", \
             "0.528404, 0.601488, 0.800897, 1.28096, 2.99413, 9.77004", \
             "1.16963, 1.28495, 1.62704, 2.51124, 4.41452, 10.0212" \
           );
@@ -16329,7 +16329,7 @@
           values ( \
             "0.0618343, 0.0799511, 0.140795, 0.346944, 1.04881, 3.44357", \
             "0.070984, 0.0893895, 0.15057, 0.357012, 1.059, 3.45382", \
-            "0.107807, 0.128024, 0.189278, 0.395831, 1.09839, 3.4933", \
+            "0.107807, 0.128024, 0.189262, 0.395831, 1.09839, 3.49334", \
             "0.169382, 0.209056, 0.31485, 0.555066, 1.25586, 3.65056", \
             "0.193039, 0.267476, 0.471603, 0.941715, 1.89175, 4.28451", \
             "-0.120567, 0.0154379, 0.395264, 1.2895, 3.15176, 6.74673" \
@@ -16341,7 +16341,7 @@
           values ( \
             "0.0752836, 0.0980213, 0.178245, 0.453832, 1.39463, 4.59481", \
             "0.0752568, 0.0980052, 0.17824, 0.453784, 1.39466, 4.59486", \
-            "0.0909639, 0.108336, 0.179864, 0.45377, 1.39459, 4.59477", \
+            "0.0909639, 0.108336, 0.179866, 0.45377, 1.39459, 4.59478", \
             "0.188097, 0.208971, 0.276287, 0.488551, 1.39464, 4.59479", \
             "0.438907, 0.473404, 0.589725, 0.890371, 1.61471, 4.59476", \
             "1.13421, 1.20634, 1.40742, 1.94385, 3.14026, 5.71121" \
@@ -16480,7 +16480,7 @@
             index_2 ("0.0145581");
             index_3 ("0.153682, 0.192907, 0.264777, 0.271802, 0.277111, 0.296313, 0.37829, 0.415807, 0.475552, 0.506132, 0.530667, 0.586994, 0.643579, 0.689455, 0.750153, 0.772554, 0.842685, 0.894318, 0.978942, 1.04488, 1.13163, 1.18339, 1.28691, 1.4475, 1.65091");
             values ( \
-              "0.00301449, 0.0237285, 0.0516858, 0.0513952, 0.0500302, 0.0491823, 0.0469155, 0.0454095, 0.0424318, 0.0422378, 0.0404778, 0.0354453, 0.028071, 0.0257941, 0.0202281, 0.0192314, 0.0140693, 0.0107896, 0.00684833, 0.00474149, 0.00289238, 0.00219322, 0.00115494, 0.000457599, 9.17213e-05" \
+              "0.00301449, 0.0237285, 0.0516858, 0.0513952, 0.0500302, 0.0491823, 0.0469155, 0.0454095, 0.0424318, 0.0422378, 0.0404778, 0.0354453, 0.028071, 0.0257941, 0.0202281, 0.0192314, 0.0140693, 0.0107896, 0.00684833, 0.00474149, 0.00289238, 0.00219322, 0.00115494, 0.000457599, 9.17212e-05" \
             );
           }
           vector (ccs_template) {
@@ -16498,7 +16498,7 @@
             index_2 ("0.17006");
             index_3 ("0.224342, 0.224362, 0.796408, 1.37737, 1.8554, 2.18063, 2.40028, 2.61585, 2.66838, 2.71009, 2.7813, 3.05877, 4.33099, 4.72001, 5.312, 5.98328, 6.56658, 7.22956, 8.39274, 9.4372");
             values ( \
-              "1e-22, 0.0833501, 0.0753968, 0.0726436, 0.0698601, 0.0676999, 0.065813, 0.0631803, 0.0628133, 0.0630498, 0.0622573, 0.0577534, 0.0321412, 0.0254989, 0.0175938, 0.0110686, 0.00727525, 0.0044486, 0.00181288, 0.000861529" \
+              "1e-22, 0.0833501, 0.0753968, 0.0726436, 0.0698601, 0.0676999, 0.065813, 0.0631803, 0.0628133, 0.0630498, 0.0622573, 0.0577534, 0.0321412, 0.0254989, 0.0175938, 0.0110686, 0.00727526, 0.0044486, 0.00181288, 0.000861529" \
             );
           }
           vector (ccs_template) {
@@ -16523,9 +16523,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00425947");
-            index_3 ("0.470105, 0.555214, 0.567653, 0.670936, 0.749307, 0.809896, 0.862404, 0.888643, 0.911114, 0.935222, 0.955152, 0.976612, 0.999555, 1.00162, 1.01637, 1.03743, 1.04512, 1.05217, 1.05877, 1.06548, 1.0873, 1.13586, 1.16761, 1.21534, 1.25522, 1.3003, 1.32533, 1.3754, 1.47087, 1.58342, 1.7251");
+            index_3 ("0.487003, 0.555251, 0.565299, 0.670944, 0.749262, 0.809928, 0.86244, 0.88868, 0.911152, 0.935261, 0.955192, 0.976653, 0.999596, 1.00166, 1.01641, 1.03747, 1.04518, 1.05224, 1.05884, 1.06547, 1.08716, 1.1359, 1.16771, 1.21528, 1.25504, 1.30034, 1.32558, 1.37606, 1.47168, 1.50492");
             values ( \
-              "0.00094333, 0.00266229, 0.00311102, 0.00809951, 0.0114487, 0.0138439, 0.0153847, 0.0158089, 0.0160148, 0.0181083, 0.0176262, 0.0169161, 0.014778, 0.0148151, 0.0138227, 0.0118249, 0.0124316, 0.0122768, 0.0122944, 0.0121539, 0.0112096, 0.00743145, 0.00545277, 0.00346305, 0.00234633, 0.00149166, 0.00117559, 0.000684906, 0.000251434, 5.67281e-05, 2.23647e-05" \
+              "0.00183154, 0.00266476, 0.00301637, 0.00809763, 0.0114455, 0.0138417, 0.0153851, 0.0158065, 0.016016, 0.0181056, 0.0176277, 0.0169137, 0.0147799, 0.014813, 0.0138239, 0.0118238, 0.0124313, 0.0122766, 0.0122944, 0.012155, 0.0112206, 0.00743111, 0.00544891, 0.00346615, 0.00235111, 0.00149151, 0.00117331, 0.000680075, 0.000249552, 0.000192427" \
             );
           }
           vector (ccs_template) {
@@ -16534,7 +16534,7 @@
             index_2 ("0.0145581");
             index_3 ("0.471374, 0.581229, 0.728912, 0.833215, 0.913391, 0.982415, 1.0406, 1.04584, 1.05632, 1.07687, 1.09167, 1.10842, 1.13581, 1.15707, 1.21554, 1.22994, 1.26807, 1.30962, 1.33011, 1.38822, 1.41228, 1.47699, 1.538, 1.61559, 1.67637, 1.7013, 1.8509, 2.01095, 2.21218");
             values ( \
-              "0.00200934, 0.00754273, 0.0207448, 0.0295233, 0.0358319, 0.0401728, 0.0421687, 0.0439709, 0.0424313, 0.042507, 0.0409426, 0.0400155, 0.0369231, 0.0354398, 0.0279191, 0.027618, 0.0251971, 0.0209542, 0.0199748, 0.0160525, 0.0140507, 0.0100308, 0.00719119, 0.00464623, 0.00345367, 0.00282791, 0.00113383, 0.000537548, 3.59513e-05" \
+              "0.00200934, 0.00754273, 0.0207448, 0.0295233, 0.0358319, 0.0401728, 0.0421687, 0.0439709, 0.0424313, 0.042507, 0.0409426, 0.0400155, 0.0369231, 0.0354398, 0.0279191, 0.027618, 0.0251971, 0.0209542, 0.0199748, 0.0160525, 0.0140507, 0.0100308, 0.00719119, 0.00464623, 0.00345367, 0.00282791, 0.00113383, 0.000537548, 3.59514e-05" \
             );
           }
           vector (ccs_template) {
@@ -16606,7 +16606,7 @@
             index_2 ("0.17006");
             index_3 ("2.18768, 2.63729, 3.45938, 3.8255, 4.16431, 4.21667, 4.46126, 4.94134, 5.1867, 5.24317, 5.51023, 5.55564, 5.61111, 5.62583, 5.91034, 6.15614, 6.91199, 7.38462, 7.67283, 7.99962, 8.42735, 8.71774, 9.05126, 9.38662, 9.86686, 10.0741, 10.4885, 11.3174, 12.4729, 13.6285, 14.784");
             values ( \
-              "0.0207815, 0.0234294, 0.0508427, 0.0626705, 0.0728005, 0.0727107, 0.0713468, 0.0682012, 0.0660134, 0.0658025, 0.0627112, 0.0630985, 0.0621671, 0.0622951, 0.0576537, 0.0529345, 0.0372065, 0.0283767, 0.0238736, 0.019465, 0.0146791, 0.011985, 0.00946879, 0.0074637, 0.00521088, 0.00450169, 0.00327416, 0.00174829, 0.000664837, 0.000282184, 8.83862e-05" \
+              "0.0207815, 0.0234294, 0.0508427, 0.0626705, 0.0728005, 0.0727107, 0.0713468, 0.0682012, 0.0660134, 0.0658025, 0.0627112, 0.0630985, 0.0621671, 0.0622951, 0.0576537, 0.0529345, 0.0372065, 0.0283767, 0.0238736, 0.019465, 0.0146791, 0.011985, 0.00946879, 0.0074637, 0.00521088, 0.00450169, 0.00327416, 0.00174829, 0.000664836, 0.000282184, 8.83862e-05" \
             );
           }
           vector (ccs_template) {
@@ -16624,7 +16624,7 @@
             index_2 ("0.00124625");
             index_3 ("6.95283, 8.02328, 8.336, 8.54549, 8.76012, 9.15687, 9.40559, 9.57713, 9.62295, 9.71459, 9.78038, 9.82834, 9.84718, 9.88486, 9.92597, 9.94391, 9.97198, 10.0071, 10.0683, 10.1173, 10.1943, 10.2249, 10.2891, 10.3266, 10.3922, 10.5591, 10.6584, 10.7167, 10.7936, 10.9538, 11.1286, 11.3364, 11.5769, 11.8538, 12.1981, 12.6551, 13.3572");
             values ( \
-              "4.14797e-05, 8.29498e-05, 0.000140512, 0.000228145, 0.000403492, 0.000804355, 0.000998673, 0.00105902, 0.00103921, 0.000971542, 0.00105107, 0.000943219, 0.000960147, 0.00089424, 0.000947956, 0.000939237, 0.00106607, 0.00144731, 0.00168951, 0.00134515, 0.00163385, 0.00168961, 0.00171944, 0.00170187, 0.00159869, 0.000780879, 0.000431183, 0.000288669, 0.000176191, 6.7553e-05, 3.80135e-05, 1.42345e-05, 1.52562e-05, 8.31213e-07, 6.77948e-06, 1e-22, 4.71648e-06" \
+              "4.14797e-05, 8.29498e-05, 0.000140512, 0.000228145, 0.000403492, 0.000804355, 0.000998673, 0.00105902, 0.00103921, 0.000971542, 0.00105107, 0.000943219, 0.000960147, 0.00089424, 0.000947956, 0.000939237, 0.00106607, 0.00144731, 0.00168951, 0.00134515, 0.00163385, 0.00168961, 0.00171944, 0.00170187, 0.00159869, 0.000780879, 0.000431183, 0.000288669, 0.000176191, 6.7553e-05, 3.80135e-05, 1.42345e-05, 1.52562e-05, 8.3121e-07, 6.77949e-06, 1e-22, 4.71648e-06" \
             );
           }
           vector (ccs_template) {
@@ -16642,7 +16642,7 @@
             index_2 ("0.0145581");
             index_3 ("6.94883, 7.79386, 8.12846, 8.33787, 8.53699, 8.63873, 8.84221, 9.34563, 9.49171, 9.78389, 9.94181, 10.1331, 10.2791, 10.348, 10.4113, 10.4805, 10.4986, 10.5316, 10.5456, 10.5694, 10.7126, 10.7446, 10.7777, 10.8077, 10.8404, 10.9067, 10.9483, 10.9933, 11.038, 11.0784, 11.1187, 11.1994, 11.3078, 11.5651, 11.6716, 11.8274, 11.9391, 12.088, 12.301");
             values ( \
-              "0.00061786, 0.0006231, 0.000942815, 0.00126276, 0.00174211, 0.00208595, 0.00303983, 0.00601786, 0.00679561, 0.00816248, 0.00876312, 0.00920828, 0.00928032, 0.00980395, 0.00965498, 0.00981937, 0.00976878, 0.0100991, 0.0101391, 0.0100342, 0.010554, 0.0114727, 0.0114077, 0.011738, 0.0112788, 0.0117417, 0.0122675, 0.0123479, 0.0125381, 0.0123656, 0.0123273, 0.0114441, 0.00968179, 0.00474163, 0.00326861, 0.00180833, 0.00115171, 0.000654085, 0.000246783" \
+              "0.00061786, 0.0006231, 0.000942815, 0.00126276, 0.00174211, 0.00208595, 0.00303983, 0.00601786, 0.00679561, 0.00816248, 0.00876312, 0.00920828, 0.00928032, 0.00980395, 0.00965498, 0.00981937, 0.00976878, 0.0100991, 0.0101391, 0.0100342, 0.010554, 0.0114727, 0.0114077, 0.011738, 0.0112788, 0.0117417, 0.0122675, 0.0123479, 0.0125381, 0.0123656, 0.0123273, 0.0114441, 0.00968179, 0.00474163, 0.00326861, 0.00180833, 0.00115171, 0.000654086, 0.000246783" \
             );
           }
           vector (ccs_template) {
@@ -16667,9 +16667,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.581233");
-            index_3 ("8.55121, 10.3034, 13.4428, 14.59, 15.5644, 16.6641, 17.2878, 18.3655, 18.611, 18.8518, 18.9216, 19.0102, 19.5244, 19.6372, 20.1629, 20.6692, 21.2406, 22.0024, 22.4225, 24.6197, 26.1704, 27.326, 28.222, 28.6415, 29.4307, 30.1201, 31.0345, 31.5276, 32.185, 33.2475, 34.4031, 35.1477, 35.6871, 36.4063, 37.5618, 38.7173, 39.8729, 41.0284, 42.1839, 43.3394, 45.6505, 49.1171");
+            index_3 ("8.55121, 10.3034, 13.4428, 14.59, 15.5644, 16.6641, 17.2878, 18.3655, 18.611, 18.8518, 18.9216, 19.0102, 19.5244, 19.6372, 20.1629, 20.6692, 21.2406, 22.0025, 22.4225, 24.6197, 26.1704, 27.326, 28.222, 28.6415, 29.4307, 30.1201, 31.0345, 31.5276, 32.185, 33.2476, 34.4031, 35.1477, 35.6871, 36.4063, 37.5618, 38.7173, 39.8728, 41.0284, 42.1839, 43.3394, 45.6505, 49.1171");
             values ( \
-              "0.0186729, 0.0221989, 0.0489648, 0.0585068, 0.0662313, 0.0742499, 0.0732129, 0.0710873, 0.0704029, 0.0698806, 0.0697996, 0.0694861, 0.0681581, 0.0681499, 0.0664187, 0.0643272, 0.0615509, 0.0572513, 0.0547205, 0.0405433, 0.0312594, 0.0252738, 0.0212837, 0.0195999, 0.0167173, 0.0145046, 0.0119605, 0.0107663, 0.00934279, 0.00740113, 0.00572583, 0.00484787, 0.00429638, 0.00365333, 0.00281029, 0.00215828, 0.0016569, 0.00127001, 0.00097411, 0.000745539, 0.00043697, 0.000196881" \
+              "0.0186729, 0.0221988, 0.0489648, 0.0585068, 0.0662313, 0.0742499, 0.0732129, 0.0710873, 0.0704029, 0.0698806, 0.0697996, 0.0694861, 0.0681581, 0.0681499, 0.0664187, 0.0643272, 0.0615509, 0.0572513, 0.0547205, 0.0405433, 0.0312594, 0.0252737, 0.0212837, 0.0195999, 0.0167174, 0.0145046, 0.0119605, 0.0107663, 0.00934276, 0.00740111, 0.00572581, 0.00484787, 0.00429638, 0.00365334, 0.0028103, 0.00215828, 0.00165691, 0.00127002, 0.000974113, 0.000745541, 0.000436971, 0.000196881" \
             );
           }
         }
@@ -16679,7 +16679,7 @@
           values ( \
             "0.00672503, 0.00675716, 0.00679763, 0.00682402, 0.00683474, 0.00683823", \
             "0.00797744, 0.0080106, 0.00806124, 0.00810018, 0.00811733, 0.00812308", \
-            "0.00860213, 0.00860528, 0.00862002, 0.00864104, 0.008654, 0.00865844", \
+            "0.00860213, 0.00860528, 0.00862052, 0.00864104, 0.008654, 0.00865884", \
             "0.00896709, 0.00894176, 0.00889981, 0.00886953, 0.00886049, 0.00885872", \
             "0.00919084, 0.00914401, 0.00907274, 0.00899904, 0.00894217, 0.00891874", \
             "0.00938438, 0.00939681, 0.00927899, 0.00912982, 0.00903357, 0.008966" \
@@ -16691,7 +16691,7 @@
           values ( \
             "0.00844199, 0.00846497, 0.00849, 0.00850309, 0.0085078, 0.00850928", \
             "0.00851598, 0.0084608, 0.00838606, 0.00833213, 0.00830928, 0.00830172", \
-            "0.00857131, 0.00842878, 0.00823135, 0.00808934, 0.00802441, 0.00800139", \
+            "0.00857131, 0.00842878, 0.00823068, 0.00808934, 0.00802441, 0.00800155", \
             "0.00835973, 0.00853258, 0.00853918, 0.00813503, 0.00793975, 0.00787029", \
             "0.00781192, 0.00788782, 0.0080053, 0.00779939, 0.00816481, 0.00792212", \
             "0.00748196, 0.00745339, 0.00765432, 0.00790297, 0.00777626, 0.00822482" \
@@ -16828,9 +16828,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0145581");
-            index_3 ("0.151214, 0.169253, 0.177607, 0.198887, 0.223772, 0.239763, 0.263281, 0.266296, 0.270348, 0.278453, 0.285122, 0.292037, 0.30512, 0.316981, 0.332645, 0.337475, 0.3437, 0.348618, 0.349869, 0.352372, 0.357378, 0.364781, 0.372666, 0.381286, 0.397719, 0.437614, 0.461413, 0.480333, 0.504734, 0.525118, 0.540837, 0.571903, 0.591107, 0.612295, 0.63994, 0.66965, 0.717618, 0.767035, 0.833889, 0.955751");
+            index_3 ("0.155114, 0.169122, 0.185805, 0.219072, 0.23507, 0.263188, 0.266191, 0.270385, 0.278773, 0.285234, 0.291932, 0.305017, 0.316794, 0.332724, 0.337571, 0.343699, 0.348628, 0.349763, 0.352032, 0.35657, 0.363858, 0.372132, 0.38118, 0.397596, 0.437523, 0.461339, 0.480229, 0.504611, 0.524993, 0.540724, 0.571827, 0.591061, 0.612228, 0.639847, 0.669521, 0.71747, 0.766884, 0.833711, 0.955507");
             values ( \
-              "-0.0177801, -0.0403966, -0.0473558, -0.0634729, -0.080933, -0.0911102, -0.104676, -0.104465, -0.103243, -0.102066, -0.100746, -0.0996567, -0.0965802, -0.0923712, -0.0848148, -0.0831855, -0.0840785, -0.0861498, -0.0861177, -0.0864147, -0.0859332, -0.084376, -0.0816083, -0.077972, -0.0697532, -0.0487348, -0.0376919, -0.0301888, -0.0219563, -0.015553, -0.0124672, -0.00913431, -0.00799674, -0.0068691, -0.00575537, -0.00414575, -0.00242732, -0.00149814, -0.000736421, -0.000139163" \
+              "-0.0345474, -0.0403601, -0.0537309, -0.0777556, -0.0883138, -0.104675, -0.104466, -0.103214, -0.101996, -0.100707, -0.0996577, -0.0965787, -0.0924078, -0.0847324, -0.0831509, -0.0841313, -0.0861735, -0.08613, -0.086406, -0.0860348, -0.084599, -0.0817834, -0.077968, -0.0697667, -0.0487295, -0.0376774, -0.0301881, -0.0219616, -0.0155596, -0.0124673, -0.009132, -0.00799359, -0.00686744, -0.00575492, -0.0041466, -0.00242803, -0.00149843, -0.000736619, -0.000139054" \
             );
           }
           vector (ccs_template) {
@@ -16855,9 +16855,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.581233");
-            index_3 ("0.158711, 0.265119, 0.325069, 0.354599, 0.401666, 0.462142, 0.522777, 0.644047, 0.830722, 0.854491, 0.902027, 0.997101, 1.1266, 1.32501, 2.47435, 2.90572, 3.16859, 3.42896, 3.7749, 4.06885, 4.36332, 4.48047, 4.67794, 4.87291, 5.16279, 5.60281, 6.79171, 7.62644, 8.31445, 8.79409, 9.17408, 9.65729, 10.3356, 10.7785, 11.6098, 12.3604, 13.1111, 13.8617, 16.1136");
+            index_3 ("0.155469, 0.268555, 0.274374, 0.286011, 0.299667, 0.322175, 0.359854, 0.411748, 0.49817, 0.519936, 0.563467, 0.650531, 0.796647, 0.851523, 0.961275, 1.18078, 2.19746, 2.77948, 3.25723, 3.37622, 3.54532, 3.95069, 4.29309, 4.58573, 4.71876, 4.78187, 4.93073, 5.23429, 5.65409, 6.82831, 7.38361, 7.5702, 7.95455, 8.32109, 8.90031, 9.11192, 9.53516, 10.2858, 10.5458, 11.1512, 11.8431, 12.5937, 13.3443, 14.8456, 16.3468");
             values ( \
-              "-0.0477224, -0.159911, -0.158918, -0.159234, -0.158643, -0.158819, -0.158218, -0.158137, -0.157082, -0.157328, -0.156842, -0.156774, -0.155992, -0.155499, -0.150603, -0.148306, -0.146353, -0.145459, -0.143197, -0.140761, -0.137673, -0.13598, -0.132326, -0.129967, -0.123132, -0.11033, -0.0731877, -0.0511526, -0.0370511, -0.0291931, -0.0240841, -0.0187793, -0.0130511, -0.0103128, -0.00655234, -0.00430592, -0.00287443, -0.00187911, -0.000569038" \
+              "-0.0473065, -0.160101, -0.159313, -0.159652, -0.159112, -0.159308, -0.158842, -0.158936, -0.15835, -0.158518, -0.158136, -0.158025, -0.157275, -0.157266, -0.156662, -0.156005, -0.151811, -0.148905, -0.145855, -0.145729, -0.1447, -0.141771, -0.138442, -0.134281, -0.131826, -0.131432, -0.128788, -0.121192, -0.108709, -0.0720723, -0.0569171, -0.0523904, -0.0439221, -0.0368393, -0.02764, -0.0248471, -0.0199572, -0.0133952, -0.0116333, -0.00839172, -0.0057206, -0.00379464, -0.00249979, -0.00109544, -0.000480028" \
             );
           }
           vector (ccs_template) {
@@ -16882,7 +16882,7 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0145581");
-            index_3 ("0.468873, 0.519651, 0.648967, 0.742074, 0.790429, 0.808494, 0.82209, 0.835224, 0.851349, 0.858448, 0.872353, 0.87925, 0.8879, 0.897792, 0.912765, 0.931879, 0.957364, 1.01946, 1.05698, 1.07804, 1.09503, 1.11713, 1.14105, 1.20763, 1.25562, 1.30617, 1.36885");
+            index_3 ("0.468873, 0.519651, 0.648967, 0.742074, 0.790429, 0.808494, 0.82209, 0.835224, 0.851349, 0.858448, 0.872353, 0.87925, 0.8879, 0.897792, 0.912765, 0.931879, 0.957364, 1.01946, 1.05698, 1.07804, 1.09503, 1.11713, 1.14105, 1.20763, 1.25562, 1.30617, 1.36886");
             values ( \
               "-0.00734718, -0.0133243, -0.0367509, -0.0512921, -0.0570381, -0.0587851, -0.0590366, -0.0585534, -0.0573292, -0.0578268, -0.0631672, -0.0643921, -0.0654737, -0.0660028, -0.0661115, -0.0633918, -0.0552187, -0.0313914, -0.0194295, -0.0150565, -0.0125358, -0.0100303, -0.00826754, -0.00434417, -0.00261249, -0.00159635, -0.000854445" \
             );
@@ -16929,7 +16929,7 @@
             index_2 ("0.00425947");
             index_3 ("1.55761, 1.70836, 1.76629, 1.82447, 1.88419, 1.96195, 2.06767, 2.1575, 2.25471, 2.3057, 2.33727, 2.3719, 2.392, 2.41634, 2.4287, 2.4468, 2.48299, 2.49857, 2.52972, 2.56439, 2.56809, 2.57549, 2.58984, 2.60299, 2.66013, 2.70447, 2.77433, 2.8167, 2.8622, 2.91987, 2.92237");
             values ( \
-              "-0.000880194, -0.00115532, -0.00157366, -0.00210967, -0.00286934, -0.00432205, -0.00667047, -0.00835473, -0.00973753, -0.0100123, -0.00949776, -0.00871336, -0.0100864, -0.0108251, -0.0107829, -0.0109997, -0.0109606, -0.011107, -0.0109792, -0.0110266, -0.0103983, -0.0104768, -0.0100825, -0.0103914, -0.00764521, -0.00445124, -0.00212596, -0.00125267, -0.000618047, -0.000277082, -0.000269738" \
+              "-0.000880193, -0.00115532, -0.00157366, -0.00210967, -0.00286934, -0.00432205, -0.00667047, -0.00835473, -0.00973753, -0.0100123, -0.00949776, -0.00871336, -0.0100864, -0.0108251, -0.0107829, -0.0109997, -0.0109606, -0.011107, -0.0109792, -0.0110266, -0.0103983, -0.0104768, -0.0100825, -0.0103914, -0.00764521, -0.00445124, -0.00212596, -0.00125267, -0.000618048, -0.000277082, -0.000269738" \
             );
           }
           vector (ccs_template) {
@@ -16983,7 +16983,7 @@
             index_2 ("0.00425947");
             index_3 ("5.52696, 6.44497, 6.69825, 6.88345, 7.07386, 7.26668, 7.33744, 7.47896, 7.70279, 7.94231, 8.14, 8.15679, 8.17917, 8.22056, 8.3377, 8.34935, 8.37265, 8.39436, 8.42102, 8.44796, 8.48306, 8.5395, 8.54223, 8.5967, 8.6548, 8.69427, 8.73145, 8.78396, 8.79606, 8.82468, 8.8466, 8.88949, 8.94306, 8.98401, 9.02659, 9.07209, 9.20869, 9.31209, 9.36269, 9.4713, 9.57749, 9.75754, 9.99656, 10.3717, 10.8025");
             values ( \
-              "-3.86694e-05, -0.000295544, -0.000443882, -0.000597195, -0.00082916, -0.00120498, -0.00141302, -0.00191955, -0.00282425, -0.00357989, -0.00396976, -0.0039833, -0.00397893, -0.00390958, -0.0034085, -0.00343564, -0.00388081, -0.0040651, -0.00415294, -0.00409455, -0.00411108, -0.00396467, -0.00399105, -0.0039504, -0.00398706, -0.00414424, -0.00405064, -0.00471989, -0.00473447, -0.00400447, -0.00390328, -0.00443781, -0.00482219, -0.00484379, -0.00475859, -0.00431649, -0.00200029, -0.000821135, -0.000531378, -0.000229866, -0.000153524, -7.93939e-05, -8.25205e-05, -2.95067e-05, -2.69993e-05" \
+              "-3.86694e-05, -0.000295544, -0.000443882, -0.000597195, -0.00082916, -0.00120498, -0.00141302, -0.00191955, -0.00282425, -0.00357989, -0.00396976, -0.0039833, -0.00397893, -0.00390958, -0.0034085, -0.00343564, -0.00388081, -0.0040651, -0.00415294, -0.00409455, -0.00411108, -0.00396467, -0.00399105, -0.0039504, -0.00398706, -0.00414424, -0.00405064, -0.00471989, -0.00473447, -0.00400447, -0.00390328, -0.00443781, -0.00482219, -0.00484379, -0.00475859, -0.00431649, -0.00200029, -0.000821135, -0.000531378, -0.000229866, -0.000153524, -7.93939e-05, -8.25206e-05, -2.95067e-05, -2.69993e-05" \
             );
           }
           vector (ccs_template) {
@@ -16992,7 +16992,7 @@
             index_2 ("0.0145581");
             index_3 ("5.527, 6.54595, 6.83744, 7.07571, 7.1973, 7.41715, 7.50727, 7.6875, 7.94744, 8.24508, 8.49331, 8.55022, 8.60554, 8.66522, 8.71797, 8.75247, 8.80577, 8.83555, 8.87881, 8.94456, 8.99759, 9.06927, 9.08526, 9.11724, 9.17317, 9.19011, 9.21879, 9.24459, 9.27533, 9.30164, 9.34437, 9.37741, 9.40034, 9.46435, 9.51021, 9.55888, 9.69511, 9.8047, 9.8644, 9.9741, 10.0887, 10.2709, 10.7984, 11.2666, 12.0172");
             values ( \
-              "-5.48775e-05, -0.00097437, -0.00150138, -0.00212532, -0.00254537, -0.0036029, -0.00420212, -0.00560693, -0.00774815, -0.00987019, -0.0112053, -0.011303, -0.0112401, -0.0109317, -0.0108228, -0.0118185, -0.0122767, -0.0122778, -0.0125028, -0.0124204, -0.0125706, -0.0126, -0.0127476, -0.0127393, -0.0129346, -0.0128909, -0.0125359, -0.0125962, -0.0131632, -0.0132189, -0.0127509, -0.0127121, -0.0129264, -0.0132775, -0.0129473, -0.0120082, -0.00709262, -0.00375118, -0.00255884, -0.0012349, -0.000628503, -0.000210748, -6.10181e-05, -6.31661e-05, -1e-22" \
+              "-5.48775e-05, -0.00097437, -0.00150138, -0.00212532, -0.00254537, -0.0036029, -0.00420212, -0.00560693, -0.00774815, -0.00987019, -0.0112053, -0.011303, -0.0112401, -0.0109317, -0.0108228, -0.0118185, -0.0122767, -0.0122778, -0.0125028, -0.0124204, -0.0125706, -0.0126, -0.0127476, -0.0127393, -0.0129346, -0.0128909, -0.0125359, -0.0125962, -0.0131632, -0.0132189, -0.0127509, -0.0127121, -0.0129264, -0.0132775, -0.0129473, -0.0120082, -0.00709262, -0.00375118, -0.00255884, -0.0012349, -0.000628503, -0.000210748, -6.10182e-05, -6.3166e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -17030,7 +17030,7 @@
             "0.00601379, 0.00602846, 0.00604926, 0.00606431, 0.00607079, 0.00607295", \
             "0.00675185, 0.00676057, 0.00677627, 0.00679084, 0.00679851, 0.00680124", \
             "0.00705176, 0.00705183, 0.00705388, 0.00705901, 0.00706331, 0.00706531", \
-            "0.00718785, 0.00718384, 0.00718825, 0.00718138, 0.00717823, 0.0071776", \
+            "0.00718785, 0.0071844, 0.00718825, 0.00718138, 0.00717823, 0.0071776", \
             "0.00727769, 0.00727418, 0.00726548, 0.00725267, 0.00724102, 0.00723525", \
             "0.00731037, 0.00730817, 0.00730217, 0.0072906, 0.00727482, 0.00726109" \
           );
@@ -17042,7 +17042,7 @@
             "0.00758039, 0.00758983, 0.00760287, 0.00761448, 0.00761942, 0.00762102", \
             "0.00802613, 0.00801681, 0.00800417, 0.00799458, 0.00798999, 0.00798879", \
             "0.0083992, 0.00835706, 0.00829615, 0.00824503, 0.00822184, 0.00821349", \
-            "0.00892839, 0.00880327, 0.00857741, 0.00840968, 0.00832997, 0.00830201", \
+            "0.00892839, 0.00880223, 0.00857741, 0.00840968, 0.00832997, 0.00830201", \
             "0.00964146, 0.0095102, 0.00852665, 0.00870356, 0.00842364, 0.00831915", \
             "0.00939202, 0.00942807, 0.00954319, 0.00938046, 0.0088033, 0.00844376" \
           );
@@ -17059,7 +17059,7 @@
             "0.135913, 0.17212, 0.29452, 0.708534, 2.11925, 6.93619", \
             "0.144426, 0.181004, 0.304133, 0.718853, 2.1299, 6.94697", \
             "0.18576, 0.222007, 0.345243, 0.76087, 2.17287, 6.99027", \
-            "0.342207, 0.390626, 0.525492, 0.933325, 2.34559, 7.15958", \
+            "0.342207, 0.39061, 0.525492, 0.933325, 2.34559, 7.15958", \
             "0.674062, 0.761356, 1.01578, 1.63021, 3.06593, 7.87167", \
             "1.51476, 1.65164, 2.07696, 3.18012, 5.64208, 10.7762" \
           );
@@ -17071,7 +17071,7 @@
             "0.1787, 0.230996, 0.399424, 0.978074, 2.96719, 9.76986", \
             "0.178679, 0.230973, 0.399421, 0.97807, 2.96712, 9.76968", \
             "0.179561, 0.230309, 0.399348, 0.978049, 2.96719, 9.76977", \
-            "0.269689, 0.3116, 0.441127, 0.978037, 2.96714, 9.76993", \
+            "0.269689, 0.311609, 0.441127, 0.978037, 2.96714, 9.76993", \
             "0.528404, 0.601488, 0.800897, 1.28096, 2.99413, 9.77004", \
             "1.16963, 1.28495, 1.62704, 2.51124, 4.41452, 10.0212" \
           );
@@ -17082,8 +17082,8 @@
           values ( \
             "0.0794758, 0.0991935, 0.165211, 0.38781, 1.14555, 3.73345", \
             "0.0889065, 0.108761, 0.175071, 0.397909, 1.1558, 3.74371", \
-            "0.127697, 0.147179, 0.214009, 0.437393, 1.19555, 3.78372", \
-            "0.209286, 0.246939, 0.350678, 0.59675, 1.35431, 3.94208", \
+            "0.127699, 0.147179, 0.214009, 0.437382, 1.19562, 3.78372", \
+            "0.209286, 0.247018, 0.350678, 0.59675, 1.35431, 3.94208", \
             "0.268679, 0.339791, 0.540851, 1.01788, 1.99982, 4.58107", \
             "0.0279931, 0.159638, 0.533424, 1.43858, 3.36378, 7.10527" \
           );
@@ -17094,8 +17094,8 @@
           values ( \
             "0.0852963, 0.110123, 0.197582, 0.497841, 1.52235, 5.00972", \
             "0.0852349, 0.110079, 0.197587, 0.49777, 1.52236, 5.00968", \
-            "0.0945524, 0.115407, 0.197831, 0.497784, 1.52234, 5.00974", \
-            "0.186222, 0.210766, 0.285457, 0.524679, 1.52243, 5.00974", \
+            "0.0945448, 0.115407, 0.197831, 0.497784, 1.52243, 5.00974", \
+            "0.186222, 0.210497, 0.285457, 0.524679, 1.52243, 5.00974", \
             "0.425862, 0.467196, 0.598322, 0.921599, 1.71687, 5.00966", \
             "1.06713, 1.16155, 1.39856, 1.98572, 3.26175, 6.03422" \
           );
@@ -17233,7 +17233,7 @@
             index_2 ("0.0145581");
             index_3 ("0.153682, 0.192907, 0.264777, 0.271802, 0.277111, 0.296313, 0.37829, 0.415807, 0.475552, 0.506132, 0.530667, 0.586994, 0.643579, 0.689455, 0.750153, 0.772554, 0.842685, 0.894318, 0.978942, 1.04488, 1.13163, 1.18339, 1.28691, 1.4475, 1.65091");
             values ( \
-              "0.00301449, 0.0237285, 0.0516858, 0.0513952, 0.0500302, 0.0491823, 0.0469155, 0.0454095, 0.0424318, 0.0422378, 0.0404778, 0.0354453, 0.028071, 0.0257941, 0.0202281, 0.0192314, 0.0140693, 0.0107896, 0.00684833, 0.00474149, 0.00289238, 0.00219322, 0.00115494, 0.000457599, 9.17213e-05" \
+              "0.00301449, 0.0237285, 0.0516858, 0.0513952, 0.0500302, 0.0491823, 0.0469155, 0.0454095, 0.0424318, 0.0422378, 0.0404778, 0.0354453, 0.028071, 0.0257941, 0.0202281, 0.0192314, 0.0140693, 0.0107896, 0.00684833, 0.00474149, 0.00289238, 0.00219322, 0.00115494, 0.000457599, 9.17212e-05" \
             );
           }
           vector (ccs_template) {
@@ -17251,7 +17251,7 @@
             index_2 ("0.17006");
             index_3 ("0.224342, 0.224362, 0.796408, 1.37737, 1.8554, 2.18063, 2.40028, 2.61585, 2.66838, 2.71009, 2.7813, 3.05877, 4.33099, 4.72001, 5.312, 5.98328, 6.56658, 7.22956, 8.39274, 9.4372");
             values ( \
-              "1e-22, 0.0833501, 0.0753968, 0.0726436, 0.0698601, 0.0676999, 0.065813, 0.0631803, 0.0628133, 0.0630498, 0.0622573, 0.0577534, 0.0321412, 0.0254989, 0.0175938, 0.0110686, 0.00727525, 0.0044486, 0.00181288, 0.000861529" \
+              "1e-22, 0.0833501, 0.0753968, 0.0726436, 0.0698601, 0.0676999, 0.065813, 0.0631803, 0.0628133, 0.0630498, 0.0622573, 0.0577534, 0.0321412, 0.0254989, 0.0175938, 0.0110686, 0.00727526, 0.0044486, 0.00181288, 0.000861529" \
             );
           }
           vector (ccs_template) {
@@ -17276,9 +17276,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00425947");
-            index_3 ("0.470105, 0.555214, 0.567653, 0.670936, 0.749307, 0.809896, 0.862404, 0.888643, 0.911114, 0.935222, 0.955152, 0.976612, 0.999555, 1.00162, 1.01637, 1.03743, 1.04512, 1.05217, 1.05877, 1.06548, 1.0873, 1.13586, 1.16761, 1.21534, 1.25522, 1.3003, 1.32533, 1.3754, 1.47087, 1.58342, 1.7251");
+            index_3 ("0.487003, 0.555251, 0.565299, 0.670944, 0.749262, 0.809928, 0.86244, 0.88868, 0.911152, 0.935261, 0.955192, 0.976653, 0.999596, 1.00166, 1.01641, 1.03747, 1.04518, 1.05224, 1.05884, 1.06547, 1.08716, 1.1359, 1.16771, 1.21528, 1.25504, 1.30034, 1.32558, 1.37606, 1.47168, 1.50492");
             values ( \
-              "0.00094333, 0.00266229, 0.00311102, 0.00809951, 0.0114487, 0.0138439, 0.0153847, 0.0158089, 0.0160148, 0.0181083, 0.0176262, 0.0169161, 0.014778, 0.0148151, 0.0138227, 0.0118249, 0.0124316, 0.0122768, 0.0122944, 0.0121539, 0.0112096, 0.00743145, 0.00545277, 0.00346305, 0.00234633, 0.00149166, 0.00117559, 0.000684906, 0.000251434, 5.67281e-05, 2.23647e-05" \
+              "0.00183154, 0.00266476, 0.00301637, 0.00809763, 0.0114455, 0.0138417, 0.0153851, 0.0158065, 0.016016, 0.0181056, 0.0176277, 0.0169137, 0.0147799, 0.014813, 0.0138239, 0.0118238, 0.0124313, 0.0122766, 0.0122944, 0.012155, 0.0112206, 0.00743111, 0.00544891, 0.00346615, 0.00235111, 0.00149151, 0.00117331, 0.000680075, 0.000249552, 0.000192427" \
             );
           }
           vector (ccs_template) {
@@ -17287,7 +17287,7 @@
             index_2 ("0.0145581");
             index_3 ("0.471374, 0.581229, 0.728912, 0.833215, 0.913391, 0.982415, 1.0406, 1.04584, 1.05632, 1.07687, 1.09167, 1.10842, 1.13581, 1.15707, 1.21554, 1.22994, 1.26807, 1.30962, 1.33011, 1.38822, 1.41228, 1.47699, 1.538, 1.61559, 1.67637, 1.7013, 1.8509, 2.01095, 2.21218");
             values ( \
-              "0.00200934, 0.00754273, 0.0207448, 0.0295233, 0.0358319, 0.0401728, 0.0421687, 0.0439709, 0.0424313, 0.042507, 0.0409426, 0.0400155, 0.0369231, 0.0354398, 0.0279191, 0.027618, 0.0251971, 0.0209542, 0.0199748, 0.0160525, 0.0140507, 0.0100308, 0.00719119, 0.00464623, 0.00345367, 0.00282791, 0.00113383, 0.000537548, 3.59513e-05" \
+              "0.00200934, 0.00754273, 0.0207448, 0.0295233, 0.0358319, 0.0401728, 0.0421687, 0.0439709, 0.0424313, 0.042507, 0.0409426, 0.0400155, 0.0369231, 0.0354398, 0.0279191, 0.027618, 0.0251971, 0.0209542, 0.0199748, 0.0160525, 0.0140507, 0.0100308, 0.00719119, 0.00464623, 0.00345367, 0.00282791, 0.00113383, 0.000537548, 3.59514e-05" \
             );
           }
           vector (ccs_template) {
@@ -17359,7 +17359,7 @@
             index_2 ("0.17006");
             index_3 ("2.18768, 2.63729, 3.45938, 3.8255, 4.16431, 4.21667, 4.46126, 4.94134, 5.1867, 5.24317, 5.51023, 5.55564, 5.61111, 5.62583, 5.91034, 6.15614, 6.91199, 7.38462, 7.67283, 7.99962, 8.42735, 8.71774, 9.05126, 9.38662, 9.86686, 10.0741, 10.4885, 11.3174, 12.4729, 13.6285, 14.784");
             values ( \
-              "0.0207815, 0.0234294, 0.0508427, 0.0626705, 0.0728005, 0.0727107, 0.0713468, 0.0682012, 0.0660134, 0.0658025, 0.0627112, 0.0630985, 0.0621671, 0.0622951, 0.0576537, 0.0529345, 0.0372065, 0.0283767, 0.0238736, 0.019465, 0.0146791, 0.011985, 0.00946879, 0.0074637, 0.00521088, 0.00450169, 0.00327416, 0.00174829, 0.000664837, 0.000282184, 8.83862e-05" \
+              "0.0207815, 0.0234294, 0.0508427, 0.0626705, 0.0728005, 0.0727107, 0.0713468, 0.0682012, 0.0660134, 0.0658025, 0.0627112, 0.0630985, 0.0621671, 0.0622951, 0.0576537, 0.0529345, 0.0372065, 0.0283767, 0.0238736, 0.019465, 0.0146791, 0.011985, 0.00946879, 0.0074637, 0.00521088, 0.00450169, 0.00327416, 0.00174829, 0.000664836, 0.000282184, 8.83862e-05" \
             );
           }
           vector (ccs_template) {
@@ -17377,7 +17377,7 @@
             index_2 ("0.00124625");
             index_3 ("6.95283, 8.02328, 8.336, 8.54549, 8.76012, 9.15687, 9.40559, 9.57713, 9.62295, 9.71459, 9.78038, 9.82834, 9.84718, 9.88486, 9.92597, 9.94391, 9.97198, 10.0071, 10.0683, 10.1173, 10.1943, 10.2249, 10.2891, 10.3266, 10.3922, 10.5591, 10.6584, 10.7167, 10.7936, 10.9538, 11.1286, 11.3364, 11.5769, 11.8538, 12.1981, 12.6551, 13.3572");
             values ( \
-              "4.14797e-05, 8.29498e-05, 0.000140512, 0.000228145, 0.000403492, 0.000804355, 0.000998673, 0.00105902, 0.00103921, 0.000971542, 0.00105107, 0.000943219, 0.000960147, 0.00089424, 0.000947956, 0.000939237, 0.00106607, 0.00144731, 0.00168951, 0.00134515, 0.00163385, 0.00168961, 0.00171944, 0.00170187, 0.00159869, 0.000780879, 0.000431183, 0.000288669, 0.000176191, 6.7553e-05, 3.80135e-05, 1.42345e-05, 1.52562e-05, 8.31213e-07, 6.77948e-06, 1e-22, 4.71648e-06" \
+              "4.14797e-05, 8.29498e-05, 0.000140512, 0.000228145, 0.000403492, 0.000804355, 0.000998673, 0.00105902, 0.00103921, 0.000971542, 0.00105107, 0.000943219, 0.000960147, 0.00089424, 0.000947956, 0.000939237, 0.00106607, 0.00144731, 0.00168951, 0.00134515, 0.00163385, 0.00168961, 0.00171944, 0.00170187, 0.00159869, 0.000780879, 0.000431183, 0.000288669, 0.000176191, 6.7553e-05, 3.80135e-05, 1.42345e-05, 1.52562e-05, 8.3121e-07, 6.77949e-06, 1e-22, 4.71648e-06" \
             );
           }
           vector (ccs_template) {
@@ -17395,7 +17395,7 @@
             index_2 ("0.0145581");
             index_3 ("6.94883, 7.79386, 8.12846, 8.33787, 8.53699, 8.63873, 8.84221, 9.34563, 9.49171, 9.78389, 9.94181, 10.1331, 10.2791, 10.348, 10.4113, 10.4805, 10.4986, 10.5316, 10.5456, 10.5694, 10.7126, 10.7446, 10.7777, 10.8077, 10.8404, 10.9067, 10.9483, 10.9933, 11.038, 11.0784, 11.1187, 11.1994, 11.3078, 11.5651, 11.6716, 11.8274, 11.9391, 12.088, 12.301");
             values ( \
-              "0.00061786, 0.0006231, 0.000942815, 0.00126276, 0.00174211, 0.00208595, 0.00303983, 0.00601786, 0.00679561, 0.00816248, 0.00876312, 0.00920828, 0.00928032, 0.00980395, 0.00965498, 0.00981937, 0.00976878, 0.0100991, 0.0101391, 0.0100342, 0.010554, 0.0114727, 0.0114077, 0.011738, 0.0112788, 0.0117417, 0.0122675, 0.0123479, 0.0125381, 0.0123656, 0.0123273, 0.0114441, 0.00968179, 0.00474163, 0.00326861, 0.00180833, 0.00115171, 0.000654085, 0.000246783" \
+              "0.00061786, 0.0006231, 0.000942815, 0.00126276, 0.00174211, 0.00208595, 0.00303983, 0.00601786, 0.00679561, 0.00816248, 0.00876312, 0.00920828, 0.00928032, 0.00980395, 0.00965498, 0.00981937, 0.00976878, 0.0100991, 0.0101391, 0.0100342, 0.010554, 0.0114727, 0.0114077, 0.011738, 0.0112788, 0.0117417, 0.0122675, 0.0123479, 0.0125381, 0.0123656, 0.0123273, 0.0114441, 0.00968179, 0.00474163, 0.00326861, 0.00180833, 0.00115171, 0.000654086, 0.000246783" \
             );
           }
           vector (ccs_template) {
@@ -17420,9 +17420,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.581233");
-            index_3 ("8.55121, 10.3034, 13.4428, 14.59, 15.5644, 16.6641, 17.2878, 18.3655, 18.611, 18.8518, 18.9216, 19.0102, 19.5244, 19.6372, 20.1629, 20.6692, 21.2406, 22.0024, 22.4225, 24.6197, 26.1704, 27.326, 28.222, 28.6415, 29.4307, 30.1201, 31.0345, 31.5276, 32.185, 33.2475, 34.4031, 35.1477, 35.6871, 36.4063, 37.5618, 38.7173, 39.8729, 41.0284, 42.1839, 43.3394, 45.6505, 49.1171");
+            index_3 ("8.55121, 10.3034, 13.4428, 14.59, 15.5644, 16.6641, 17.2878, 18.3655, 18.611, 18.8518, 18.9216, 19.0102, 19.5244, 19.6372, 20.1629, 20.6692, 21.2406, 22.0025, 22.4225, 24.6197, 26.1704, 27.326, 28.222, 28.6415, 29.4307, 30.1201, 31.0345, 31.5276, 32.185, 33.2476, 34.4031, 35.1477, 35.6871, 36.4063, 37.5618, 38.7173, 39.8728, 41.0284, 42.1839, 43.3394, 45.6505, 49.1171");
             values ( \
-              "0.0186729, 0.0221989, 0.0489648, 0.0585068, 0.0662313, 0.0742499, 0.0732129, 0.0710873, 0.0704029, 0.0698806, 0.0697996, 0.0694861, 0.0681581, 0.0681499, 0.0664187, 0.0643272, 0.0615509, 0.0572513, 0.0547205, 0.0405433, 0.0312594, 0.0252738, 0.0212837, 0.0195999, 0.0167173, 0.0145046, 0.0119605, 0.0107663, 0.00934279, 0.00740113, 0.00572583, 0.00484787, 0.00429638, 0.00365333, 0.00281029, 0.00215828, 0.0016569, 0.00127001, 0.00097411, 0.000745539, 0.00043697, 0.000196881" \
+              "0.0186729, 0.0221988, 0.0489648, 0.0585068, 0.0662313, 0.0742499, 0.0732129, 0.0710873, 0.0704029, 0.0698806, 0.0697996, 0.0694861, 0.0681581, 0.0681499, 0.0664187, 0.0643272, 0.0615509, 0.0572513, 0.0547205, 0.0405433, 0.0312594, 0.0252737, 0.0212837, 0.0195999, 0.0167174, 0.0145046, 0.0119605, 0.0107663, 0.00934276, 0.00740111, 0.00572581, 0.00484787, 0.00429638, 0.00365334, 0.0028103, 0.00215828, 0.00165691, 0.00127002, 0.000974113, 0.000745541, 0.000436971, 0.000196881" \
             );
           }
         }
@@ -17432,8 +17432,8 @@
           values ( \
             "0.00632804, 0.00635092, 0.00638173, 0.00640295, 0.0064118, 0.00641471", \
             "0.00730438, 0.00732417, 0.00735868, 0.00738889, 0.00740327, 0.00740825", \
-            "0.0077061, 0.00770947, 0.00772048, 0.00773539, 0.00774782, 0.00775223", \
-            "0.00795189, 0.00793552, 0.0079068, 0.0078833, 0.00787556, 0.00787407", \
+            "0.00770482, 0.00770947, 0.00772048, 0.00773649, 0.00774675, 0.00775223", \
+            "0.00795189, 0.00793547, 0.0079068, 0.0078833, 0.00787556, 0.00787407", \
             "0.00812167, 0.00809422, 0.0080443, 0.00798164, 0.00793073, 0.00790943", \
             "0.00840358, 0.00833581, 0.00821827, 0.00809769, 0.00801151, 0.00794849" \
           );
@@ -17444,8 +17444,8 @@
           values ( \
             "0.00784829, 0.00785615, 0.00786937, 0.00787918, 0.00788337, 0.00788477", \
             "0.0078977, 0.00786263, 0.00781024, 0.00776905, 0.0077505, 0.00774423", \
-            "0.00791029, 0.0078291, 0.00770232, 0.00759781, 0.00754145, 0.00752158", \
-            "0.00866048, 0.00842828, 0.00800873, 0.00766634, 0.00750057, 0.00743604", \
+            "0.00791157, 0.0078291, 0.00770232, 0.00759723, 0.00754373, 0.00752158", \
+            "0.00866048, 0.00842667, 0.00800873, 0.00766634, 0.00750057, 0.00743604", \
             "0.00824892, 0.00829769, 0.0083767, 0.00820092, 0.00771061, 0.00749685", \
             "0.00759589, 0.0077231, 0.00796874, 0.00826424, 0.00797972, 0.00777386" \
           );
@@ -17457,7 +17457,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0211476, 0.024418, 0.0278916, 0.0282124, 0.0288542, 0.0301377, 0.0323986, 0.034675, 0.0392279, 0.0477061, 0.0583386, 0.0609013, 0.0660269, 0.0743357, 0.0876319, 0.100768, 0.112539, 0.114893, 0.132516, 0.133056, 0.140617, 0.149258, 0.155228, 0.161747, 0.166625, 0.174653, 0.192515, 0.198497, 0.208187, 0.215856, 0.225837, 0.233072, 0.2348, 0.238257, 0.244426, 0.251354, 0.259188, 0.265392, 0.272267, 0.296871, 0.307435, 0.324827, 0.351796, 0.388997, 0.429982, 0.462421");
             values ( \
-              "-0.00944466, -0.0180457, -0.0174497, -0.017533, -0.0174078, -0.0174195, -0.017288, -0.0173188, -0.017211, -0.0172096, -0.0168803, -0.0168661, -0.016676, -0.0166567, -0.0170957, -0.017098, -0.0150473, -0.014774, -0.010069, -0.0101271, -0.00831929, -0.00675958, -0.00535194, -0.00454848, -0.00427805, -0.00394451, -0.00303854, -0.002642, -0.00222569, -0.00180992, -0.00148862, -0.00117399, -0.00119871, -0.00100353, -0.000892637, -0.000603294, -0.000371097, -7.29974e-05, -1e-22, -2e-22, -9.7722e-05, -9.3315e-05, -0.000176078, -0.000106806, -0.000120915, -5.80465e-05" \
+              "-0.00944466, -0.0180457, -0.0174497, -0.017533, -0.0174078, -0.0174195, -0.017288, -0.0173188, -0.017211, -0.0172096, -0.0168803, -0.0168661, -0.016676, -0.0166567, -0.0170957, -0.017098, -0.0150473, -0.014774, -0.010069, -0.0101271, -0.00831929, -0.00675958, -0.00535194, -0.00454848, -0.00427805, -0.00394451, -0.00303854, -0.002642, -0.00222569, -0.00180992, -0.00148862, -0.00117399, -0.00119871, -0.00100353, -0.000892637, -0.000603294, -0.000371097, -7.29974e-05, -1e-22, -2e-22, -9.77219e-05, -9.3315e-05, -0.000176078, -0.000106806, -0.000120915, -5.80465e-05" \
             );
           }
           vector (ccs_template) {
@@ -17466,7 +17466,7 @@
             index_2 ("0.00425947");
             index_3 ("0.0211392, 0.0257122, 0.0287064, 0.0344328, 0.0465182, 0.0556741, 0.0798703, 0.09004, 0.10735, 0.124524, 0.141689, 0.142903, 0.145332, 0.171553, 0.179198, 0.19413, 0.204577, 0.217854, 0.228784, 0.237389, 0.245744, 0.250246, 0.25925, 0.266703, 0.272819, 0.289737, 0.309751, 0.319524, 0.33004, 0.339727, 0.355129, 0.393286, 0.491148, 0.607218");
             values ( \
-              "-0.0196805, -0.0475065, -0.0467265, -0.0461393, -0.0457721, -0.0455768, -0.044177, -0.0439277, -0.0446973, -0.044661, -0.0391567, -0.0391166, -0.0376799, -0.0250196, -0.0217607, -0.0161399, -0.0130142, -0.00943465, -0.00745281, -0.00686599, -0.00615569, -0.0059759, -0.0052795, -0.00482992, -0.00425891, -0.00309461, -0.0022094, -0.00187026, -0.00127794, -0.000932957, -0.000637321, -0.000580376, -0.000262392, -5.85668e-05" \
+              "-0.0196805, -0.0475065, -0.0467265, -0.0461393, -0.0457721, -0.0455768, -0.044177, -0.0439277, -0.0446973, -0.044661, -0.0391567, -0.0391166, -0.0376799, -0.0250196, -0.0217607, -0.0161399, -0.0130142, -0.00943465, -0.00745281, -0.00686599, -0.00615569, -0.0059759, -0.0052795, -0.00482992, -0.00425891, -0.00309461, -0.0022094, -0.00187026, -0.00127794, -0.000932957, -0.000637321, -0.000580376, -0.000262392, -5.85669e-05" \
             );
           }
           vector (ccs_template) {
@@ -17563,9 +17563,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.140804, 0.15843, 0.170172, 0.185233, 0.208357, 0.227089, 0.243412, 0.257794, 0.262624, 0.266534, 0.269541, 0.273069, 0.277773, 0.284742, 0.301724, 0.310372, 0.324951, 0.331323, 0.336498, 0.344376, 0.352062, 0.377634, 0.392378, 0.401121, 0.416626, 0.423691, 0.431405, 0.437213, 0.445236, 0.459548, 0.497118, 0.52321, 0.559642, 0.599956, 0.699213, 0.76378");
+            index_3 ("0.146093, 0.158487, 0.170221, 0.185257, 0.208353, 0.227076, 0.243407, 0.257792, 0.262621, 0.26653, 0.269536, 0.273071, 0.277784, 0.28474, 0.301721, 0.310371, 0.324948, 0.33132, 0.336495, 0.344374, 0.352061, 0.377631, 0.392376, 0.401119, 0.416623, 0.423689, 0.431402, 0.437211, 0.445233, 0.459545, 0.497115, 0.523208, 0.55964, 0.599954, 0.699211, 0.763778");
             values ( \
-              "-0.000156196, -0.00493906, -0.00652497, -0.00838615, -0.0110346, -0.0129501, -0.014527, -0.0166842, -0.0176125, -0.0174873, -0.0170885, -0.0167927, -0.0160782, -0.0146904, -0.0103537, -0.00839387, -0.00544521, -0.00451771, -0.00431481, -0.00391072, -0.00358074, -0.00221247, -0.00157898, -0.00126604, -0.000785524, -0.000543353, -0.000229504, -4.73108e-05, -1e-22, -2e-22, -0.000133302, -0.000142708, -0.000139156, -8.69486e-05, -2.19271e-05, -1.99173e-05" \
+              "-0.00231534, -0.00493476, -0.0065537, -0.00838358, -0.0110388, -0.0129519, -0.0145254, -0.0166801, -0.0176133, -0.0174865, -0.0170895, -0.0167924, -0.0160759, -0.0146902, -0.0103539, -0.00839351, -0.00544532, -0.00451784, -0.00431478, -0.00391076, -0.00358067, -0.00221251, -0.00157902, -0.00126602, -0.000785537, -0.000543337, -0.000229517, -4.72992e-05, -1e-22, -2e-22, -0.000133294, -0.000142717, -0.000139147, -8.6957e-05, -2.19357e-05, -1.99084e-05" \
             );
           }
           vector (ccs_template) {
@@ -17583,25 +17583,25 @@
             index_2 ("0.0145581");
             index_3 ("0.151041, 0.172887, 0.191897, 0.215844, 0.252148, 0.264566, 0.270782, 0.276063, 0.282367, 0.292883, 0.313021, 0.322787, 0.343993, 0.374125, 0.381321, 0.389546, 0.405994, 0.466615, 0.483183, 0.5029, 0.540076, 0.557007, 0.567642, 0.581712, 0.599526, 0.623278, 0.696567, 0.719128, 0.742945, 0.766881, 0.822916, 0.861568, 0.913387, 0.989431, 1.09522");
             values ( \
-              "-0.0128332, -0.0352026, -0.0474863, -0.0619278, -0.0822664, -0.0882095, -0.0875906, -0.0867939, -0.0866321, -0.0856796, -0.0847359, -0.0846252, -0.0848973, -0.0833588, -0.0819676, -0.0798837, -0.0738654, -0.0456035, -0.0388966, -0.0319978, -0.0207653, -0.0165197, -0.0144797, -0.012169, -0.0098952, -0.00792996, -0.00455667, -0.00370175, -0.00301516, -0.00246936, -0.00153221, -0.00107498, -0.000648455, -0.000287669, -8.48588e-05" \
+              "-0.0128332, -0.0352026, -0.0474863, -0.0619278, -0.0822664, -0.0882095, -0.0875906, -0.0867939, -0.0866321, -0.0856796, -0.0847359, -0.0846252, -0.0848973, -0.0833588, -0.0819676, -0.0798837, -0.0738654, -0.0456035, -0.0388966, -0.0319978, -0.0207653, -0.0165197, -0.0144797, -0.012169, -0.00989519, -0.00792996, -0.00455667, -0.00370175, -0.00301516, -0.00246936, -0.00153221, -0.00107498, -0.000648455, -0.000287669, -8.48588e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0497569");
-            index_3 ("0.171654, 0.208125, 0.263719, 0.267093, 0.271988, 0.281776, 0.291177, 0.307491, 0.397888, 0.490147, 0.583311, 0.601372, 0.646462, 0.666379, 0.697378, 0.732303, 0.825722, 0.902654, 0.961754, 1.01549, 1.07654, 1.16098, 1.25873, 1.32009, 1.36942, 1.43559, 1.50327, 1.68373, 1.80105, 2.09417");
+            index_3 ("0.168353, 0.213873, 0.263782, 0.267152, 0.272041, 0.28182, 0.291189, 0.307482, 0.397941, 0.490206, 0.583368, 0.601427, 0.64652, 0.666444, 0.697439, 0.732361, 0.82578, 0.902712, 0.961813, 1.01554, 1.0766, 1.16104, 1.25879, 1.32015, 1.36948, 1.43564, 1.50332, 1.68378, 1.8011, 2.09422");
             values ( \
-              "-0.0762374, -0.0797974, -0.124254, -0.12449, -0.123576, -0.123598, -0.123084, -0.122862, -0.120087, -0.116758, -0.114573, -0.113871, -0.110709, -0.108457, -0.103669, -0.0967041, -0.0754418, -0.056012, -0.0454665, -0.0372954, -0.0292188, -0.0202864, -0.0126836, -0.00929875, -0.00738027, -0.00550606, -0.00413651, -0.00197218, -0.00121192, -0.000334758" \
+              "-0.0610115, -0.0846062, -0.124244, -0.124494, -0.123574, -0.1236, -0.123084, -0.122865, -0.120088, -0.116758, -0.114573, -0.113871, -0.110709, -0.108456, -0.103668, -0.0967041, -0.0754419, -0.056012, -0.0454664, -0.0372955, -0.0292189, -0.0202864, -0.0126835, -0.00929868, -0.00738028, -0.00550613, -0.0041366, -0.00197223, -0.00121196, -0.000334771" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.17006");
-            index_3 ("0.159392, 0.261543, 0.280596, 0.859499, 1.32509, 1.48519, 1.5683, 1.76241, 2.44261, 2.74215, 3.05173, 3.24459, 3.47865, 3.83619, 4.40992, 4.84767");
+            index_3 ("0.187383, 0.265751, 0.861009, 1.32663, 1.52901, 1.65026, 1.76864, 2.32546, 2.65323, 2.93691, 3.33597, 3.53651, 3.80549, 4.28987, 4.7453");
             values ( \
-              "-0.0418464, -0.141898, -0.140792, -0.134552, -0.128393, -0.125185, -0.122497, -0.113015, -0.0586079, -0.0395015, -0.0253697, -0.0190431, -0.013281, -0.00755549, -0.00311859, -0.00191083" \
+              "-0.109797, -0.141206, -0.134648, -0.128397, -0.123937, -0.11917, -0.112708, -0.0676112, -0.0446912, -0.0300651, -0.016618, -0.012163, -0.00796324, -0.00376171, -0.00222631" \
             );
           }
           vector (ccs_template) {
@@ -17619,16 +17619,16 @@
             index_2 ("0.00124625");
             index_3 ("0.44148, 0.450831, 0.485844, 0.500245, 0.520858, 0.590988, 0.622254, 0.663637, 0.69919, 0.729996, 0.745379, 0.76974, 0.781801, 0.803648, 0.808533, 0.818302, 0.826537, 0.833981, 0.84608, 0.848315, 0.852784, 0.86137, 0.868963, 0.877188, 0.880156, 0.886094, 0.894512, 0.901782, 0.907876, 0.915376, 0.927261, 0.940662, 0.947589, 0.954864, 0.964309, 0.977021, 0.996484, 1.0278, 1.04101, 1.05312, 1.08248, 1.13343");
             values ( \
-              "-0.000629621, -0.000721404, -0.00122897, -0.00150955, -0.00199698, -0.00408431, -0.00492175, -0.00592451, -0.00671411, -0.00786013, -0.00852058, -0.0090912, -0.0089104, -0.00814873, -0.00740461, -0.00657461, -0.0051198, -0.00531285, -0.00435293, -0.00457747, -0.004095, -0.00378494, -0.00313913, -0.00285449, -0.00252594, -0.0023442, -0.00180896, -0.00160268, -0.00124224, -0.00103617, -0.000385088, -9.26926e-05, -0.000137044, -8.96063e-05, -0.000166219, -0.000138096, -0.000209251, -0.000151467, -0.000188222, -0.000304864, -0.000168834, -8.27808e-05" \
+              "-0.000629621, -0.000721404, -0.00122897, -0.00150955, -0.00199698, -0.00408431, -0.00492175, -0.00592451, -0.00671411, -0.00786013, -0.00852058, -0.0090912, -0.0089104, -0.00814873, -0.00740461, -0.00657461, -0.0051198, -0.00531285, -0.00435293, -0.00457747, -0.004095, -0.00378494, -0.00313913, -0.00285449, -0.00252594, -0.0023442, -0.00180896, -0.00160268, -0.00124224, -0.00103617, -0.000385088, -9.26926e-05, -0.000137044, -8.96063e-05, -0.000166219, -0.000138097, -0.000209251, -0.000151467, -0.000188222, -0.000304864, -0.000168834, -8.27808e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00425947");
-            index_3 ("0.441488, 0.520743, 0.542483, 0.586039, 0.64609, 0.685543, 0.732622, 0.76719, 0.768205, 0.777673, 0.798034, 0.812785, 0.826371, 0.853544, 0.900421, 0.906574, 0.913855, 0.963593, 0.983276, 0.994745, 1.0184, 1.03004, 1.0409, 1.05231, 1.07735, 1.13365, 1.2366, 1.30056");
+            index_3 ("0.457336, 0.501076, 0.525629, 0.542472, 0.586096, 0.646116, 0.692733, 0.732733, 0.800751, 0.809233, 0.817963, 0.826535, 0.840548, 0.856621, 0.896089, 0.901306, 0.909401, 0.916513, 0.941385, 0.957866, 0.976468, 1.01635, 1.03002, 1.0409, 1.05306, 1.07887, 1.13702, 1.24085, 1.30555");
             values ( \
-              "-0.000886408, -0.00543248, -0.00702647, -0.0105663, -0.0149479, -0.017536, -0.020452, -0.0234952, -0.0237706, -0.0247373, -0.0263902, -0.0273636, -0.0272181, -0.0235134, -0.0114978, -0.0102176, -0.0091714, -0.00458211, -0.00326867, -0.00273148, -0.00140958, -0.00105215, -0.000942799, -0.00126987, -0.000921158, -0.000480544, -0.000127531, -7.61953e-05" \
+              "-0.00277037, -0.00424754, -0.00576091, -0.00702236, -0.0105743, -0.0149529, -0.0179802, -0.0204135, -0.0267121, -0.0271906, -0.0274065, -0.0272991, -0.0260487, -0.0224953, -0.012771, -0.0111989, -0.00975567, -0.00881283, -0.00668475, -0.00509726, -0.00367002, -0.00146936, -0.00106933, -0.000918998, -0.00126117, -0.000907437, -0.000462131, -0.000120305, -7.18008e-05" \
             );
           }
           vector (ccs_template) {
@@ -17664,7 +17664,7 @@
             index_2 ("0.581233");
             index_3 ("0.646928, 0.857038, 1.05792, 2.18687, 2.92857, 3.90749, 4.35269, 4.67441, 4.84983, 5.30673, 5.5034, 5.68968, 5.77563, 6.00277, 6.56095, 7.65515, 8.43172, 8.74815, 9.27945, 9.88034, 10.3505, 10.9016, 11.6365, 12.307, 12.65, 13.3362, 14.1185, 14.9009, 15.6832, 17.2478, 18.8125");
             values ( \
-              "-0.0961192, -0.103148, -0.146212, -0.142471, -0.139764, -0.135803, -0.133682, -0.131767, -0.13051, -0.126532, -0.123767, -0.120327, -0.119361, -0.115081, -0.101254, -0.0722939, -0.0542148, -0.0478195, -0.0384384, -0.0296813, -0.0241218, -0.0188167, -0.0133799, -0.00976162, -0.00829931, -0.00598853, -0.00412573, -0.00284183, -0.00195914, -0.000930589, -0.000442185" \
+              "-0.0961192, -0.103148, -0.146212, -0.142471, -0.139764, -0.135803, -0.133682, -0.131767, -0.13051, -0.126532, -0.123767, -0.120327, -0.119361, -0.115081, -0.101254, -0.0722939, -0.0542148, -0.0478195, -0.0384384, -0.0296813, -0.0241218, -0.0188167, -0.0133799, -0.00976162, -0.00829931, -0.00598852, -0.00412573, -0.00284183, -0.00195914, -0.000930589, -0.000442185" \
             );
           }
           vector (ccs_template) {
@@ -17673,7 +17673,7 @@
             index_2 ("0.00124625");
             index_3 ("1.55921, 1.71348, 1.75316, 1.82456, 1.85246, 1.90827, 1.9726, 2.07074, 2.15239, 2.28167, 2.33562, 2.35106, 2.40537, 2.41246, 2.42664, 2.44965, 2.4729, 2.48823, 2.49976, 2.53231, 2.54709, 2.55802, 2.56868, 2.5808, 2.61748, 2.632, 2.66404, 2.6836, 2.73421, 2.76479, 2.8115, 2.8648, 2.92626, 3.09246, 3.67087");
             values ( \
-              "-0.000249793, -0.00033232, -0.000405542, -0.000581848, -0.000669669, -0.000890444, -0.00125046, -0.00187813, -0.00235499, -0.00299824, -0.00335028, -0.00350841, -0.00380401, -0.00369489, -0.00375898, -0.00365458, -0.003739, -0.00366167, -0.00346102, -0.00430633, -0.00449364, -0.00447578, -0.00427521, -0.00384798, -0.00171842, -0.00125856, -0.000832093, -0.000675392, -0.000396656, -0.000282605, -0.000161828, -8.49257e-05, -3.98652e-05, -6.84616e-06, -1.30646e-06" \
+              "-0.000249793, -0.00033232, -0.000405542, -0.000581848, -0.000669669, -0.000890444, -0.00125046, -0.00187813, -0.00235499, -0.00299824, -0.00335028, -0.00350841, -0.00380401, -0.00369489, -0.00375898, -0.00365458, -0.003739, -0.00366167, -0.00346102, -0.00430633, -0.00449364, -0.00447578, -0.00427521, -0.00384798, -0.00171842, -0.00125856, -0.000832093, -0.000675392, -0.000396656, -0.000282605, -0.000161828, -8.49257e-05, -3.98652e-05, -6.84616e-06, -1.30647e-06" \
             );
           }
           vector (ccs_template) {
@@ -17682,7 +17682,7 @@
             index_2 ("0.00425947");
             index_3 ("1.46533, 1.73292, 1.82042, 1.87744, 1.97728, 2.08345, 2.15091, 2.25638, 2.34509, 2.42159, 2.42412, 2.46977, 2.49004, 2.52272, 2.55457, 2.59866, 2.61834, 2.62953, 2.64323, 2.65346, 2.66041, 2.66691, 2.68084, 2.73477, 2.74563, 2.7637, 2.79045, 2.80638, 2.83288, 2.86157, 2.89186, 2.94799, 3.01002, 3.08614, 3.29905, 3.4704, 3.7394");
             values ( \
-              "-6.11152e-05, -0.00108541, -0.00166705, -0.00217625, -0.00348113, -0.0053513, -0.00648046, -0.00805581, -0.00924542, -0.0105809, -0.0107416, -0.0116037, -0.0118685, -0.0119063, -0.011993, -0.012002, -0.0119913, -0.0112925, -0.0111246, -0.0110594, -0.0109304, -0.0106507, -0.00969446, -0.00511512, -0.00434381, -0.00349188, -0.00262787, -0.00220902, -0.00164985, -0.00118653, -0.000843999, -0.00040268, -0.000196785, -6.26598e-05, -8.0956e-08, -1.41528e-05, -1e-22" \
+              "-6.11152e-05, -0.00108541, -0.00166705, -0.00217625, -0.00348113, -0.0053513, -0.00648046, -0.00805581, -0.00924542, -0.0105809, -0.0107416, -0.0116037, -0.0118685, -0.0119063, -0.011993, -0.012002, -0.0119913, -0.0112925, -0.0111246, -0.0110594, -0.0109304, -0.0106507, -0.00969446, -0.00511512, -0.00434381, -0.00349188, -0.00262787, -0.00220902, -0.00164985, -0.00118653, -0.000843999, -0.00040268, -0.000196785, -6.26598e-05, -8.09592e-08, -1.41528e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -17725,9 +17725,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("6.11024, 6.74875, 6.96275, 7.0425, 7.14884, 7.31595, 7.38609, 7.52635, 7.75197, 7.98946, 8.23449, 8.33756, 8.35443, 8.36184, 8.40631, 8.43769, 8.4729, 8.52581, 8.54288, 8.56565, 8.60564, 8.62801, 8.68884, 8.7026, 8.73322, 8.76655, 8.8153, 8.841, 8.91061, 8.94408, 8.97664, 9.10937, 9.16487, 9.22355, 9.26896, 9.31081, 9.39451, 9.66703, 10.4542, 11.0849, 11.4916");
+            index_3 ("6.11024, 6.74875, 6.96275, 7.0425, 7.14884, 7.31595, 7.38609, 7.52635, 7.75197, 7.98946, 8.23449, 8.33756, 8.35443, 8.36184, 8.40631, 8.43769, 8.4729, 8.52581, 8.54288, 8.56565, 8.60564, 8.62801, 8.68884, 8.7026, 8.73322, 8.76655, 8.8153, 8.841, 8.91062, 8.94408, 8.97664, 9.10937, 9.16487, 9.22355, 9.26896, 9.31081, 9.39451, 9.66703, 10.4542, 11.0849, 11.4916");
             values ( \
-              "-0.000102584, -0.000129118, -0.000181806, -0.000208492, -0.000252837, -0.000350508, -0.000411861, -0.000558688, -0.00082901, -0.00105852, -0.00125, -0.00134232, -0.00134492, -0.0013555, -0.00136258, -0.00134787, -0.00130318, -0.00125024, -0.00124243, -0.001242, -0.00127152, -0.00120145, -0.0019277, -0.00201676, -0.00208768, -0.0016576, -0.0014032, -0.00135872, -0.00147258, -0.00147584, -0.00138922, -0.00055644, -0.000314718, -0.000176406, -0.000117446, -8.97075e-05, -5.67744e-05, -3.3046e-05, -1.11149e-05, -3.48502e-06, -3.56413e-06" \
+              "-0.000102584, -0.000129118, -0.000181806, -0.000208492, -0.000252837, -0.000350508, -0.000411861, -0.000558688, -0.00082901, -0.00105852, -0.00125, -0.00134232, -0.00134492, -0.0013555, -0.00136258, -0.00134787, -0.00130318, -0.00125024, -0.00124243, -0.001242, -0.00127152, -0.00120145, -0.00192771, -0.00201676, -0.00208768, -0.0016576, -0.0014032, -0.00135872, -0.00147258, -0.00147584, -0.00138922, -0.000556439, -0.000314717, -0.000176406, -0.000117446, -8.97073e-05, -5.67746e-05, -3.30462e-05, -1.11151e-05, -3.48522e-06, -3.56394e-06" \
             );
           }
           vector (ccs_template) {
@@ -17783,7 +17783,7 @@
             "0.00601379, 0.00602846, 0.00604926, 0.00606431, 0.00607079, 0.00607295", \
             "0.00675185, 0.00676057, 0.00677627, 0.00679084, 0.00679851, 0.00680124", \
             "0.00705176, 0.00705183, 0.00705388, 0.00705901, 0.00706331, 0.00706531", \
-            "0.00718785, 0.00718384, 0.00718825, 0.00718138, 0.00717823, 0.0071776", \
+            "0.00718785, 0.0071844, 0.00718825, 0.00718138, 0.00717823, 0.0071776", \
             "0.00727769, 0.00727418, 0.00726548, 0.00725267, 0.00724102, 0.00723525", \
             "0.00731037, 0.00730817, 0.00730217, 0.0072906, 0.00727482, 0.00726109" \
           );
@@ -17795,7 +17795,7 @@
             "0.00758039, 0.00758983, 0.00760287, 0.00761448, 0.00761942, 0.00762102", \
             "0.00802613, 0.00801681, 0.00800417, 0.00799458, 0.00798999, 0.00798879", \
             "0.0083992, 0.00835706, 0.00829615, 0.00824503, 0.00822184, 0.00821349", \
-            "0.00892839, 0.00880327, 0.00857741, 0.00840968, 0.00832997, 0.00830201", \
+            "0.00892839, 0.00880223, 0.00857741, 0.00840968, 0.00832997, 0.00830201", \
             "0.00964146, 0.0095102, 0.00852665, 0.00870356, 0.00842364, 0.00831915", \
             "0.00939202, 0.00942807, 0.00954319, 0.00938046, 0.0088033, 0.00844376" \
           );
@@ -18010,8 +18010,8 @@
           values ( \
             "0.00354004, 0.00359432, 0.00367052, 0.00383681, 0.00439229, 0.00674827", \
             "0.00347488, 0.00353769, 0.00361847, 0.00380841, 0.0043815, 0.00675336", \
-            "0.00326287, 0.00336333, 0.00351688, 0.00373334, 0.00439461, 0.00672264", \
-            "0.00305518, 0.0031152, 0.00329943, 0.00362616, 0.00433498, 0.00672776", \
+            "0.00326287, 0.00336333, 0.00351688, 0.00373334, 0.00435876, 0.00673718", \
+            "0.00305518, 0.0031152, 0.00329943, 0.00362616, 0.0043058, 0.00672776", \
             "0.00391329, 0.00389495, 0.00386579, 0.00403796, 0.0044726, 0.00673745", \
             "0.0093497, 0.00912514, 0.00864402, 0.00793463, 0.0075082, 0.00817799" \
           );
@@ -18057,9 +18057,9 @@
             "0.00921666, 0.0092929, 0.00942075, 0.00967116, 0.0107535, 0.0152566", \
             "0.00911843, 0.00921417, 0.0093662, 0.00964346, 0.0107338, 0.0151682", \
             "0.0089599, 0.00904101, 0.00926173, 0.00959557, 0.0107708, 0.0152679", \
-            "0.00891975, 0.00897664, 0.00914287, 0.00950294, 0.0107314, 0.0152106", \
-            "0.0102287, 0.0101663, 0.0100664, 0.0100614, 0.0108263, 0.0154083", \
-            "0.0165871, 0.016277, 0.0158086, 0.0154363, 0.0152114, 0.0166548" \
+            "0.00892291, 0.00897664, 0.00914287, 0.00950368, 0.0107309, 0.0152083", \
+            "0.0102287, 0.0101508, 0.0100664, 0.0101379, 0.0108228, 0.0154112", \
+            "0.0165871, 0.016277, 0.0158086, 0.0154363, 0.0152077, 0.0166611" \
           );
         }
         fall_power (power_template) {
@@ -18099,9 +18099,9 @@
             "0.00921666, 0.0092929, 0.00942075, 0.00967116, 0.0107535, 0.0152566", \
             "0.00911843, 0.00921417, 0.0093662, 0.00964346, 0.0107338, 0.0151682", \
             "0.0089599, 0.00904101, 0.00926173, 0.00959557, 0.0107708, 0.0152679", \
-            "0.00891975, 0.00897664, 0.00914287, 0.00950294, 0.0107314, 0.0152106", \
-            "0.0102287, 0.0101663, 0.0100664, 0.0100614, 0.0108263, 0.0154083", \
-            "0.0165871, 0.016277, 0.0158086, 0.0154363, 0.0152114, 0.0166548" \
+            "0.00892291, 0.00897664, 0.00914287, 0.00950368, 0.0107309, 0.0152083", \
+            "0.0102287, 0.0101508, 0.0100664, 0.0101379, 0.0108228, 0.0154112", \
+            "0.0165871, 0.016277, 0.0158086, 0.0154363, 0.0152077, 0.0166611" \
           );
         }
         fall_power (power_template) {
@@ -18110,8 +18110,8 @@
           values ( \
             "0.00354004, 0.00359432, 0.00367052, 0.00383681, 0.00439229, 0.00674827", \
             "0.00347488, 0.00353769, 0.00361847, 0.00380841, 0.0043815, 0.00675336", \
-            "0.00326287, 0.00336333, 0.00351688, 0.00373334, 0.00439461, 0.00672264", \
-            "0.00305518, 0.0031152, 0.00329943, 0.00362616, 0.00433498, 0.00672776", \
+            "0.00326287, 0.00336333, 0.00351688, 0.00373334, 0.00435876, 0.00673718", \
+            "0.00305518, 0.0031152, 0.00329943, 0.00362616, 0.0043058, 0.00672776", \
             "0.00391329, 0.00389495, 0.00386579, 0.00403796, 0.0044726, 0.00673745", \
             "0.0093497, 0.00912514, 0.00864402, 0.00793463, 0.0075082, 0.00817799" \
           );
@@ -18157,7 +18157,7 @@
             "0.00817503, 0.00828899, 0.00848795, 0.00878785, 0.00992012, 0.0149846", \
             "0.00811016, 0.00824067, 0.00846043, 0.00877709, 0.00991236, 0.0151151", \
             "0.00802957, 0.0081548, 0.00839643, 0.00873375, 0.00990952, 0.0149757", \
-            "0.00813798, 0.00816683, 0.00834106, 0.00872404, 0.00998509, 0.0149749", \
+            "0.00813594, 0.00815291, 0.00834106, 0.00872404, 0.00998509, 0.0149749", \
             "0.00947334, 0.00938142, 0.00931772, 0.00936854, 0.00982824, 0.0149968", \
             "0.0160454, 0.0156251, 0.0149572, 0.0143909, 0.0140604, 0.0163548" \
           );
@@ -18168,8 +18168,8 @@
           values ( \
             "0.0035726, 0.00362888, 0.00372877, 0.00392315, 0.00464504, 0.00747238", \
             "0.00355508, 0.00362314, 0.00372402, 0.00393619, 0.00468063, 0.00749475", \
-            "0.00348257, 0.00354558, 0.00367563, 0.00394244, 0.00468485, 0.00751419", \
-            "0.00351821, 0.00356458, 0.00366731, 0.0039004, 0.00468043, 0.0075013", \
+            "0.00348222, 0.00354558, 0.00367563, 0.0039425, 0.00469067, 0.00751419", \
+            "0.00351821, 0.00356435, 0.00366731, 0.0039004, 0.00468043, 0.0075013", \
             "0.00455533, 0.00454518, 0.00442231, 0.00449683, 0.00489875, 0.00760792", \
             "0.0107654, 0.0103606, 0.00956827, 0.00848121, 0.00811031, 0.00896243" \
           );
@@ -18215,7 +18215,7 @@
             "0.00752795, 0.00758498, 0.00771281, 0.00808622, 0.00955649, 0.0154985", \
             "0.00746612, 0.00754387, 0.00769497, 0.00804931, 0.00957692, 0.0154914", \
             "0.00739328, 0.00745998, 0.00761534, 0.00801612, 0.00955543, 0.0154794", \
-            "0.00756542, 0.00757093, 0.00769104, 0.00797761, 0.0095873, 0.0154498", \
+            "0.00756542, 0.00757183, 0.00769104, 0.00797761, 0.0095873, 0.0154498", \
             "0.00895452, 0.0088849, 0.00882341, 0.00886434, 0.00975341, 0.0156682", \
             "0.0153687, 0.0149305, 0.014431, 0.0139117, 0.0140094, 0.0168638" \
           );
@@ -18226,7 +18226,7 @@
           values ( \
             "0.00322249, 0.00329043, 0.00340315, 0.00360261, 0.00425548, 0.00675721", \
             "0.0031949, 0.00327254, 0.0033869, 0.00357302, 0.00421917, 0.00671855", \
-            "0.00305377, 0.00316135, 0.00333737, 0.00356581, 0.00420247, 0.00667074", \
+            "0.00305377, 0.00316135, 0.00333743, 0.00356581, 0.00420247, 0.00668233", \
             "0.00304273, 0.00308881, 0.00322064, 0.00351364, 0.00416651, 0.00666193", \
             "0.00414047, 0.00403315, 0.00390626, 0.00396773, 0.00429495, 0.00668854", \
             "0.0105695, 0.0101123, 0.00920356, 0.00788974, 0.00731156, 0.00818742" \
@@ -18257,7 +18257,7 @@
             "0.00752795, 0.00758498, 0.00771281, 0.00808622, 0.00955649, 0.0154985", \
             "0.00746612, 0.00754387, 0.00769497, 0.00804931, 0.00957692, 0.0154914", \
             "0.00739328, 0.00745998, 0.00761534, 0.00801612, 0.00955543, 0.0154794", \
-            "0.00756542, 0.00757093, 0.00769104, 0.00797761, 0.0095873, 0.0154498", \
+            "0.00756542, 0.00757183, 0.00769104, 0.00797761, 0.0095873, 0.0154498", \
             "0.00895452, 0.0088849, 0.00882341, 0.00886434, 0.00975341, 0.0156682", \
             "0.0153687, 0.0149305, 0.014431, 0.0139117, 0.0140094, 0.0168638" \
           );
@@ -18268,8 +18268,8 @@
           values ( \
             "0.0035726, 0.00362888, 0.00372877, 0.00392315, 0.00464504, 0.00747238", \
             "0.00355508, 0.00362314, 0.00372402, 0.00393619, 0.00468063, 0.00749475", \
-            "0.00348257, 0.00354558, 0.00367563, 0.00394244, 0.00468485, 0.00751419", \
-            "0.00351821, 0.00356458, 0.00366731, 0.0039004, 0.00468043, 0.0075013", \
+            "0.00348222, 0.00354558, 0.00367563, 0.0039425, 0.00469067, 0.00751419", \
+            "0.00351821, 0.00356435, 0.00366731, 0.0039004, 0.00468043, 0.0075013", \
             "0.00455533, 0.00454518, 0.00442231, 0.00449683, 0.00489875, 0.00760792", \
             "0.0107654, 0.0103606, 0.00956827, 0.00848121, 0.00811031, 0.00896243" \
           );
@@ -18320,8 +18320,8 @@
             "0.159283, 0.183283, 0.249801, 0.470045, 1.31924, 4.65859", \
             "0.170855, 0.194688, 0.261292, 0.481221, 1.33033, 4.6696", \
             "0.21175, 0.236044, 0.30314, 0.523005, 1.37191, 4.71116", \
-            "0.333326, 0.360688, 0.433092, 0.656589, 1.50546, 4.84457", \
-            "0.614023, 0.651967, 0.742273, 0.978487, 1.82991, 5.16975", \
+            "0.333323, 0.360688, 0.433131, 0.656589, 1.50546, 4.84449", \
+            "0.614136, 0.651977, 0.742638, 0.975523, 1.82991, 5.1667", \
             "1.37646, 1.43007, 1.55748, 1.8364, 2.69624, 6.03735" \
           );
         }
@@ -18332,8 +18332,8 @@
             "0.0305672, 0.0505665, 0.119606, 0.396183, 1.52015, 5.95416", \
             "0.0304596, 0.0506745, 0.119616, 0.396308, 1.52032, 5.95414", \
             "0.030491, 0.0506179, 0.119531, 0.396306, 1.52032, 5.95273", \
-            "0.0368176, 0.0575366, 0.125547, 0.398269, 1.52022, 5.95411", \
-            "0.0586232, 0.0835252, 0.151499, 0.409188, 1.52462, 5.95435", \
+            "0.0367819, 0.0575366, 0.125545, 0.398269, 1.52022, 5.95405", \
+            "0.0585231, 0.0835294, 0.151399, 0.409741, 1.52462, 5.95435", \
             "0.0989362, 0.13168, 0.21583, 0.454374, 1.53132, 5.95993" \
           );
         }
@@ -18614,7 +18614,7 @@
             index_2 ("0.00124625");
             index_3 ("8.16453, 8.23207, 8.25567, 8.26979, 8.2791, 8.29152, 8.319, 8.33661, 8.34592, 8.35345, 8.36853, 8.38157, 8.39062, 8.40741, 8.42375, 8.44008, 8.44875, 8.4577, 8.46592, 8.4715, 8.47959, 8.48711, 8.49715, 8.50593, 8.51108, 8.51832, 8.52796, 8.54726, 8.57797, 8.61567, 8.66586, 8.72933, 8.89841");
             values ( \
-              "0.000384787, 0.000944046, 0.00166324, 0.00240727, 0.0031491, 0.00451395, 0.00780705, 0.00952404, 0.0103285, 0.0109046, 0.0119371, 0.0126615, 0.0130672, 0.0136483, 0.0138449, 0.0131852, 0.0112479, 0.00859187, 0.00662242, 0.00549238, 0.00414095, 0.00315486, 0.00215345, 0.00151218, 0.00122796, 0.000910648, 0.000607167, 0.000258449, 7.80448e-05, 4.44081e-05, 6.46594e-05, 6.85552e-05, 3.18988e-06" \
+              "0.000384787, 0.000944046, 0.00166324, 0.00240727, 0.0031491, 0.00451395, 0.00780705, 0.00952403, 0.0103285, 0.0109046, 0.0119371, 0.0126615, 0.0130672, 0.0136483, 0.0138449, 0.0131852, 0.0112479, 0.00859187, 0.00662242, 0.00549238, 0.00414095, 0.00315486, 0.00215345, 0.00151218, 0.00122796, 0.000910648, 0.000607167, 0.000258449, 7.80448e-05, 4.44081e-05, 6.46594e-05, 6.85552e-05, 3.18988e-06" \
             );
           }
           vector (ccs_template) {
@@ -18854,9 +18854,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.797578, 0.808649, 0.814356, 0.830081, 0.843207, 0.853571, 0.859199, 0.864644, 0.870083, 0.880225, 0.886233, 0.890396, 0.896184, 0.902359, 0.911224, 0.993085");
+            index_3 ("0.805024, 0.814026, 0.814814, 0.815257, 0.816585, 0.819243, 0.821063, 0.822931, 0.823865, 0.825503, 0.82714, 0.828778, 0.831228, 0.833668, 0.83692, 0.841287, 0.843515, 0.845694, 0.847873, 0.850873, 0.853874, 0.856686, 0.859498, 0.860859, 0.862219, 0.86358, 0.864941, 0.8663, 0.867659, 0.869018, 0.870377, 0.870459, 0.870625, 0.871618, 0.872943, 0.875592, 0.878802, 0.879649, 0.880497, 0.881041, 0.88213, 0.883258, 0.884425, 0.885479, 0.886533, 0.887288, 0.888042, 0.888797, 0.889552, 0.890976");
             values ( \
-              "-0.00141886, -0.00669429, -0.0101115, -0.0241827, -0.033423, -0.0388272, -0.0408259, -0.0417083, -0.0395992, -0.0182358, -0.00894622, -0.00516082, -0.00233631, -0.000980683, -0.000301988, -2.27123e-05" \
+              "-0.00902019, -0.00982277, -0.0104036, -0.0107609, -0.0119076, -0.0146396, -0.016406, -0.0181393, -0.0189783, -0.0203737, -0.0217332, -0.0230568, -0.0249679, -0.0267956, -0.0291001, -0.0321206, -0.0335889, -0.0349218, -0.0361648, -0.037685, -0.0391233, -0.0403968, -0.0415985, -0.0415289, -0.0414026, -0.0412194, -0.0409793, -0.0406829, -0.0403297, -0.0399198, -0.0394533, -0.0393653, -0.0390675, -0.0374884, -0.0349012, -0.0290589, -0.0216699, -0.0199123, -0.0182368, -0.0172261, -0.0152615, -0.0133068, -0.0114496, -0.0100869, -0.00884972, -0.00805417, -0.00731437, -0.00663033, -0.00600204, -0.00495417" \
             );
           }
           vector (ccs_template) {
@@ -18872,9 +18872,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0193093");
-            index_3 ("0.813006, 0.827951, 0.846405, 0.863813, 0.890495, 0.913054, 0.933751, 0.953699, 0.973405, 0.993104, 1.00028, 1.01607, 1.04098, 1.05746, 1.07842, 1.08951, 1.1043, 1.11577, 1.13318, 1.1564, 1.17506");
+            index_3 ("0.81257, 0.829804, 0.854611, 0.880215, 0.890571, 0.913124, 0.93383, 0.953778, 0.973484, 0.993182, 0.996537, 1.00763, 1.04102, 1.05759, 1.07851, 1.10405, 1.11582, 1.13369, 1.1575, 1.17114");
             values ( \
-              "-0.0258126, -0.048253, -0.0855115, -0.113747, -0.145545, -0.162774, -0.172558, -0.176642, -0.176396, -0.168257, -0.161569, -0.137128, -0.0911508, -0.0661465, -0.0418015, -0.0323517, -0.0226865, -0.0171583, -0.0111364, -0.00611774, -0.00422497" \
+              "-0.0253986, -0.0516798, -0.0994592, -0.13478, -0.145307, -0.162996, -0.172347, -0.176848, -0.176202, -0.168446, -0.165487, -0.151778, -0.091208, -0.0660704, -0.0417959, -0.0228716, -0.0171698, -0.0110182, -0.00595292, -0.00460881" \
             );
           }
           vector (ccs_template) {
@@ -18899,45 +18899,45 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.17762");
-            index_3 ("0.814521, 0.882598, 0.935396, 0.972942, 1.038, 1.10824, 1.14509, 1.20873, 1.4324, 2.0405, 3.50606, 4.42247, 5.36446, 6.11772, 6.78566, 7.37249, 8.12575, 9.19435, 9.88521, 10.2567, 10.7884, 11.394, 11.9605, 12.6188, 13.3721, 14.0379, 14.3638, 15.0155, 15.7688, 16.5221, 17.2753, 18.7819, 21.0417");
+            index_3 ("0.815511, 0.882622, 0.934637, 1.00723, 1.0623, 1.09751, 1.16427, 1.21993, 1.30477, 1.42684, 1.5808, 1.73614, 2.04682, 2.46787, 2.61175, 2.89951, 3.44505, 3.50598, 3.62783, 3.87153, 4.14414, 4.42278, 4.88506, 6.11804, 6.78595, 7.37279, 8.12606, 9.45088, 10.0369, 10.5914, 11.1522, 11.9744, 12.5063, 13.2596, 14.0267, 15.1699, 16.6764, 18.183, 18.9362, 19.6895, 20.4428, 21.196");
             values ( \
-              "-0.0354827, -0.160898, -0.211343, -0.229176, -0.241188, -0.245909, -0.246737, -0.247124, -0.246289, -0.242981, -0.234336, -0.228458, -0.221646, -0.215042, -0.207169, -0.196549, -0.173196, -0.129311, -0.102771, -0.0899125, -0.0736985, -0.0579837, -0.0460012, -0.0348758, -0.0252121, -0.0188316, -0.0162512, -0.0121869, -0.0086186, -0.00616404, -0.00431937, -0.00214808, -0.000795713" \
+              "-0.0359945, -0.160375, -0.210594, -0.236838, -0.243557, -0.245763, -0.247177, -0.246872, -0.247127, -0.246091, -0.245753, -0.244448, -0.243171, -0.240306, -0.239924, -0.237779, -0.234943, -0.234122, -0.23381, -0.231841, -0.230524, -0.228247, -0.22547, -0.215251, -0.207378, -0.196341, -0.173403, -0.119351, -0.0971796, -0.0792306, -0.0637344, -0.045587, -0.0368391, -0.026244, -0.0186879, -0.0111246, -0.00550537, -0.0026555, -0.00223476, -0.00122302, -0.00122009, -0.000506286" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.56701, 2.60367, 2.60973, 2.61377, 2.62184, 2.63069, 2.63178, 2.63396, 2.63832, 2.64677, 2.65991, 2.67152, 2.67679, 2.68751, 2.69708, 2.70594, 2.71439, 2.72274, 2.72899, 2.73868, 2.74293, 2.74643, 2.74873, 2.75123, 2.75457, 2.76063");
+            index_3 ("2.58829, 2.61424, 2.62034, 2.62807, 2.63858, 2.66357, 2.6853, 2.69647, 2.70533, 2.71378, 2.72211, 2.72836, 2.73805, 2.74579, 2.7506, 2.75395, 2.76063, 2.77105, 2.78354, 2.92572");
             values ( \
-              "-0.00061313, -0.00148003, -0.00197443, -0.0024994, -0.00344772, -0.00505468, -0.00529253, -0.00582718, -0.00704696, -0.010028, -0.0144936, -0.0180489, -0.0195182, -0.0223731, -0.0244878, -0.0261028, -0.0270457, -0.0268121, -0.0229645, -0.011758, -0.00794209, -0.00557142, -0.00435056, -0.00331172, -0.00228817, -0.00114389" \
+              "-0.000820917, -0.00263792, -0.00341193, -0.00466426, -0.00730419, -0.0158441, -0.0219947, -0.0245234, -0.0261137, -0.0270741, -0.0268167, -0.0229835, -0.0117587, -0.00558044, -0.00331154, -0.00228526, -0.00102613, -0.000300257, -0.000107857, -1.32931e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00490553");
-            index_3 ("2.58081, 2.60386, 2.62129, 2.62591, 2.63515, 2.64334, 2.64613, 2.65172, 2.67371, 2.68835, 2.70613, 2.7213, 2.73494, 2.7476, 2.75973, 2.77184, 2.77543, 2.77908, 2.78905, 2.79284, 2.79773, 2.80172, 2.80593, 2.81191, 2.81576, 2.81817, 2.823, 2.83265, 2.83762");
+            index_3 ("2.58793, 2.62461, 2.63279, 2.64503, 2.70509, 2.73391, 2.74657, 2.7587, 2.77082, 2.80068, 2.81088, 2.822, 2.83168, 2.84891, 2.93097");
             values ( \
-              "-0.00231841, -0.00372292, -0.00760678, -0.00925202, -0.012379, -0.0163217, -0.0177612, -0.0212221, -0.0357397, -0.044726, -0.0546269, -0.0619227, -0.0675673, -0.0717297, -0.0741433, -0.0710702, -0.0666948, -0.0608816, -0.0420963, -0.0353408, -0.0275537, -0.0221754, -0.0173991, -0.0121047, -0.00951828, -0.00817632, -0.00597546, -0.00312105, -0.00247513" \
+              "-0.000637453, -0.00899601, -0.0119006, -0.0177306, -0.0546133, -0.0675665, -0.0716898, -0.0741476, -0.0710395, -0.022193, -0.0121085, -0.00596666, -0.00311126, -0.000878178, -8.9325e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0193093");
-            index_3 ("2.62269, 2.64969, 2.66982, 2.70492, 2.7303, 2.74375, 2.7811, 2.79504, 2.81142, 2.82469, 2.84776, 2.8706, 2.89342, 2.92796, 2.94558, 2.9658, 2.97653, 2.99159, 3.00699, 3.01874, 3.04225, 3.08528, 3.13654");
+            index_3 ("2.61278, 2.65358, 2.71956, 2.74437, 2.7747, 2.80105, 2.82518, 2.84828, 2.87111, 2.89392, 2.92846, 2.94603, 2.96632, 2.97704, 2.99209, 3.00745, 3.01918, 3.04264, 3.07434");
             values ( \
-              "-0.0239798, -0.0275115, -0.0431598, -0.0743866, -0.0943089, -0.104332, -0.128517, -0.136142, -0.143561, -0.148225, -0.153254, -0.151366, -0.131731, -0.0778478, -0.0550293, -0.0355178, -0.0277846, -0.0194779, -0.0134608, -0.0100877, -0.00556745, -0.00168232, -0.000386308" \
+              "-0.00690808, -0.0302441, -0.0861486, -0.104615, -0.124803, -0.138892, -0.148472, -0.153278, -0.151541, -0.131685, -0.0778801, -0.0550948, -0.0354889, -0.0277814, -0.0194675, -0.0134659, -0.0101003, -0.00557974, -0.00272487" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0760057");
-            index_3 ("2.6407, 2.6916, 2.76159, 2.7882, 2.82653, 2.86551, 2.93266, 2.99683, 3.06101, 3.12643, 3.16835, 3.19533, 3.2493, 3.35574, 3.40374, 3.47732, 3.5254, 3.55974, 3.61429, 3.66805, 3.70921, 3.79153, 3.94687, 4.12976");
+            index_3 ("2.53656, 2.63901, 2.78439, 2.86276, 2.93022, 2.99462, 3.05876, 3.12288, 3.19321, 3.24597, 3.4228, 3.49151, 3.56711, 3.63539, 3.75007, 3.78273");
             values ( \
-              "-0.0384579, -0.0690524, -0.133283, -0.154079, -0.178813, -0.196016, -0.21168, -0.214877, -0.212359, -0.205278, -0.19768, -0.190335, -0.166102, -0.103661, -0.0798506, -0.0515578, -0.0381406, -0.0305573, -0.0212788, -0.0148473, -0.0112192, -0.00629913, -0.00190367, -0.000427283" \
+              "-0.00390133, -0.0228383, -0.150467, -0.194538, -0.21101, -0.214655, -0.212225, -0.205418, -0.190316, -0.16676, -0.0706982, -0.0466166, -0.0287319, -0.0182469, -0.0082885, -0.00704674" \
             );
           }
           vector (ccs_template) {
@@ -18953,9 +18953,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.17762");
-            index_3 ("2.62362, 2.79375, 2.87499, 2.99184, 3.05508, 3.1232, 3.18958, 3.29646, 4.16363, 4.36842, 4.92416, 6.31114, 7.25315, 8.00642, 8.67448, 9.26123, 10.0145, 11.1131, 11.8639, 12.3458, 13.0691, 13.6873, 14.2109, 14.7824, 15.5357, 16.1225, 16.4787, 17.191, 17.9443, 18.6975, 20.2041, 22.4638");
+            index_3 ("2.53865, 2.63558, 2.7951, 2.87732, 2.98702, 3.04924, 3.1867, 3.42717, 4.16382, 4.37414, 4.95398, 6.30828, 7.2503, 8.00356, 8.67162, 9.25837, 10.0116, 11.1102, 11.861, 12.3429, 13.0663, 13.6845, 14.2081, 14.7796, 15.5329, 16.1197, 17.1881, 17.9414, 18.6947, 20.2012, 22.461");
             values ( \
-              "-0.0159706, -0.167324, -0.214594, -0.240012, -0.243804, -0.245375, -0.245622, -0.24553, -0.241052, -0.240428, -0.237166, -0.228456, -0.221648, -0.215035, -0.20716, -0.196555, -0.173187, -0.128107, -0.0995418, -0.0834961, -0.0631721, -0.049211, -0.0395836, -0.0309872, -0.0223342, -0.0172176, -0.0147354, -0.0106621, -0.00760843, -0.00536273, -0.00267763, -0.000971213" \
+              "-0.00443953, -0.0234259, -0.167914, -0.215197, -0.239306, -0.243695, -0.245751, -0.245004, -0.240895, -0.240382, -0.236972, -0.228455, -0.221647, -0.215036, -0.207161, -0.196554, -0.173188, -0.128105, -0.0995428, -0.083495, -0.0631711, -0.049212, -0.0395846, -0.0309861, -0.0223351, -0.0172166, -0.0106612, -0.00760937, -0.00536179, -0.0026767, -0.000972139" \
             );
           }
           vector (ccs_template) {
@@ -19020,8 +19020,8 @@
             "0.00779124, 0.00780793, 0.00782984, 0.00784343, 0.00784824, 0.00784959", \
             "0.00894639, 0.00895136, 0.00896342, 0.00897547, 0.00898088, 0.00898252", \
             "0.00937055, 0.00937098, 0.00937224, 0.00937623, 0.00938, 0.00938152", \
-            "0.00954119, 0.00954118, 0.00954158, 0.00954125, 0.00954215, 0.00954311", \
-            "0.00975916, 0.0097592, 0.00975909, 0.00975883, 0.00975826, 0.00975816", \
+            "0.00954158, 0.00954118, 0.00954118, 0.00954125, 0.00954215, 0.0095435", \
+            "0.00975897, 0.00975902, 0.00975928, 0.00975903, 0.00975826, 0.00975836", \
             "0.00984228, 0.00984227, 0.00984227, 0.00984225, 0.00984223, 0.00984219" \
           );
         }
@@ -19032,8 +19032,8 @@
             "0.00980178, 0.00980513, 0.00982814, 0.00984409, 0.00985012, 0.00985183", \
             "0.0105371, 0.0105382, 0.0105424, 0.0105483, 0.0105515, 0.0105524", \
             "0.0114971, 0.0114902, 0.0114797, 0.011471, 0.0114677, 0.011467", \
-            "0.0122581, 0.012277, 0.012286, 0.0122849, 0.012281, 0.0122789", \
-            "0.012656, 0.0126559, 0.0126568, 0.0126664, 0.0127281, 0.012743", \
+            "0.0122577, 0.012277, 0.0122866, 0.0122849, 0.012281, 0.0122781", \
+            "0.0126564, 0.0126563, 0.0126563, 0.0126653, 0.0127281, 0.0127425", \
             "0.0125568, 0.0125567, 0.0125591, 0.0125584, 0.012562, 0.0126198" \
           );
         }
@@ -19053,7 +19053,7 @@
             "0.154287, 0.180654, 0.268137, 0.597261, 1.88484, 6.94746", \
             "0.228717, 0.257527, 0.345499, 0.67549, 1.9638, 7.02682", \
             "0.285989, 0.325823, 0.423172, 0.752554, 2.04135, 7.10383", \
-            "0.04306, 0.102774, 0.237948, 0.577805, 1.86742, 6.9316" \
+            "0.04306, 0.102787, 0.237961, 0.577805, 1.86742, 6.9316" \
           );
         }
         rise_transition (delay_template) {
@@ -19065,7 +19065,7 @@
             "0.0337057, 0.0629923, 0.180806, 0.658514, 2.54473, 9.96827", \
             "0.0402101, 0.0677747, 0.182648, 0.659179, 2.54458, 9.96859", \
             "0.0621528, 0.0902828, 0.192259, 0.660391, 2.54563, 9.96888", \
-            "0.102362, 0.14412, 0.243177, 0.671211, 2.55024, 9.96955" \
+            "0.102362, 0.144123, 0.243159, 0.671211, 2.55024, 9.96955" \
           );
         }
         cell_fall (delay_template) {
@@ -19324,7 +19324,7 @@
             index_2 ("0.00490553");
             index_3 ("2.26518, 2.2981, 2.30611, 2.34713, 2.37871, 2.3943, 2.40832, 2.42154, 2.43478, 2.43946, 2.4633, 2.47738, 2.48712, 2.49539, 2.50229, 2.51046, 2.52209, 2.52744, 2.53489, 2.54481, 2.56466, 2.59866, 2.63951");
             values ( \
-              "0.00189239, 0.00884173, 0.012224, 0.0357716, 0.0530222, 0.0604039, 0.0655752, 0.0679502, 0.0640772, 0.0597306, 0.0354341, 0.0245489, 0.0185832, 0.0145232, 0.0117738, 0.00912955, 0.00631632, 0.00533676, 0.00421843, 0.00307498, 0.00161943, 0.000510431, 0.00013536" \
+              "0.0018924, 0.00884173, 0.012224, 0.0357718, 0.0530222, 0.0604039, 0.0655752, 0.0679502, 0.0640772, 0.0597306, 0.0354341, 0.0245489, 0.0185832, 0.0145232, 0.0117738, 0.00912955, 0.00631632, 0.00533676, 0.00421843, 0.00307498, 0.00161943, 0.000510431, 0.00013536" \
             );
           }
           vector (ccs_template) {
@@ -19376,18 +19376,18 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00490553");
-            index_3 ("8.18261, 8.24397, 8.26106, 8.28455, 8.31685, 8.35693, 8.38716, 8.413, 8.43624, 8.45788, 8.47885, 8.49977, 8.5295, 8.55765, 8.56812, 8.58208, 8.59453, 8.61543, 8.6433, 8.68284, 8.70183");
+            index_3 ("8.2083, 8.26015, 8.28187, 8.33744, 8.3568, 8.38703, 8.41288, 8.43612, 8.45775, 8.47873, 8.49965, 8.52938, 8.54618, 8.55752, 8.568, 8.58196, 8.5944, 8.60137, 8.6153, 8.64318, 8.68306, 8.73238, 8.74691");
             values ( \
-              "0.00175107, 0.00400494, 0.00584741, 0.00984226, 0.0176439, 0.0263547, 0.0320317, 0.0363853, 0.0396114, 0.0419128, 0.0425039, 0.0373248, 0.0218949, 0.0115262, 0.00884076, 0.00610917, 0.00432043, 0.00243877, 0.00118724, 0.000503043, 0.000406455" \
+              "0.00408603, 0.00574868, 0.00925061, 0.0223016, 0.0263176, 0.0320651, 0.0363509, 0.0396439, 0.0418805, 0.0425352, 0.0372938, 0.021909, 0.0152111, 0.0115351, 0.00884739, 0.00610296, 0.0043264, 0.0035871, 0.00244377, 0.00118239, 0.00050386, 0.000246409, 0.000210225" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0193093");
-            index_3 ("8.18282, 8.27636, 8.28071, 8.30679, 8.3299, 8.48531, 8.50963, 8.53438, 8.57143, 8.60696, 8.6424, 8.72529, 8.76771, 8.7865, 8.81153, 8.8342, 8.86442, 8.90206, 8.92603, 8.97398, 9.06037, 9.07793");
+            index_3 ("8.18267, 8.2764, 8.2816, 8.31277, 8.37949, 8.48511, 8.50966, 8.53425, 8.5713, 8.60683, 8.64226, 8.72508, 8.74949, 8.76762, 8.7864, 8.81141, 8.83404, 8.86421, 8.8899, 8.90192, 8.92595, 8.974, 9.06041, 9.16181, 9.28956");
             values ( \
-              "0.00226116, 0.012607, 0.0135497, 0.0202081, 0.0272218, 0.0766604, 0.0837835, 0.0902355, 0.0973721, 0.0988563, 0.0899591, 0.0494536, 0.0329636, 0.0271754, 0.0208131, 0.0162448, 0.0115535, 0.00750576, 0.00568165, 0.00320217, 0.00105504, 0.000921813" \
+              "0.0021827, 0.0126554, 0.0137885, 0.0219998, 0.0428084, 0.0766547, 0.0838428, 0.0902364, 0.0973846, 0.0988529, 0.0899675, 0.0494874, 0.0394014, 0.0329459, 0.0271665, 0.0208097, 0.0162495, 0.0115646, 0.00861786, 0.00750608, 0.00567797, 0.00319614, 0.00105253, 0.000285473, 6.95821e-05" \
             );
           }
           vector (ccs_template) {
@@ -19439,7 +19439,7 @@
             "0.0110697, 0.0110612, 0.0110395, 0.0110185, 0.0110097, 0.011007", \
             "0.0112076, 0.0112158, 0.0113065, 0.0113287, 0.0113293, 0.0113274", \
             "0.0109462, 0.0109493, 0.0109492, 0.0109805, 0.0110528, 0.0110661", \
-            "0.0105539, 0.0105347, 0.010523, 0.0105215, 0.0105387, 0.0106189" \
+            "0.0105539, 0.0105348, 0.0105229, 0.0105215, 0.0105387, 0.0106189" \
           );
         }
         output_current_fall () {
@@ -19665,7 +19665,7 @@
             index_2 ("0.00124625");
             index_3 ("2.49788, 2.62082, 2.63354, 2.63966, 2.6519, 2.66639, 2.69241, 2.70594, 2.71737, 2.72756, 2.73698, 2.74599, 2.75486, 2.7615, 2.77335, 2.7809, 2.78379, 2.7897, 2.79757, 2.80884, 2.81027");
             values ( \
-              "-1e-22, -0.000567148, -0.00158506, -0.00220609, -0.0036614, -0.00665927, -0.0147849, -0.0183567, -0.0209793, -0.0230361, -0.024489, -0.02543, -0.0252092, -0.0218916, -0.00976856, -0.00474562, -0.00349944, -0.00184133, -0.000754005, -0.000199699, -0.000189267" \
+              "-1e-22, -0.000567148, -0.00158506, -0.00220609, -0.0036614, -0.00665928, -0.0147849, -0.0183567, -0.0209793, -0.0230361, -0.024489, -0.02543, -0.0252092, -0.0218916, -0.00976856, -0.00474562, -0.00349944, -0.00184133, -0.000754005, -0.000199699, -0.000189267" \
             );
           }
           vector (ccs_template) {
@@ -21254,7 +21254,7 @@
             index_2 ("0.0760057");
             index_3 ("10.5081, 10.5514, 10.6157, 10.6308, 10.6594, 10.7003, 10.7149, 10.7396, 10.7633, 10.8107, 10.8551, 10.8888, 10.9523, 10.9638, 10.9991, 11.0228, 11.0701, 11.1486, 11.2017, 11.2371, 11.2609, 11.3084, 11.334, 11.3752, 11.43, 11.4642, 11.5141, 11.5808, 11.714, 11.8845");
             values ( \
-              "-0.0727912, -0.0818747, -0.160259, -0.175352, -0.196246, -0.212498, -0.215519, -0.218939, -0.220141, -0.219764, -0.216879, -0.21373, -0.206064, -0.20416, -0.197066, -0.19038, -0.169687, -0.123141, -0.0939117, -0.0771299, -0.0672011, -0.0503865, -0.0429303, -0.0330155, -0.0229939, -0.0183166, -0.0130769, -0.00824462, -0.00307497, -0.000778568" \
+              "-0.0727912, -0.0818747, -0.160259, -0.175352, -0.196246, -0.212498, -0.215519, -0.218939, -0.220141, -0.219764, -0.216879, -0.21373, -0.206064, -0.20416, -0.197066, -0.19038, -0.169687, -0.123141, -0.0939117, -0.0771299, -0.0672011, -0.0503865, -0.0429303, -0.0330155, -0.0229939, -0.0183166, -0.0130769, -0.00824462, -0.00307497, -0.000778569" \
             );
           }
           vector (ccs_template) {
@@ -21263,7 +21263,7 @@
             index_2 ("0.299176");
             index_3 ("13.15, 13.243, 13.3042, 13.3388, 13.3823, 13.4391, 13.5006, 13.5564, 13.6216, 13.8001, 14.1474, 14.3929, 14.6361, 14.7366, 14.819, 14.907, 15.0427, 15.456, 15.6232, 15.7469, 15.912, 16.0768, 16.2823, 16.5092, 16.6625, 16.8568, 17.0476, 17.429, 18.0935, 18.8417");
             values ( \
-              "-0.102271, -0.129287, -0.181522, -0.203939, -0.221172, -0.232738, -0.237104, -0.238045, -0.237815, -0.23463, -0.226569, -0.219822, -0.21137, -0.206691, -0.201866, -0.195129, -0.180015, -0.115696, -0.0921069, -0.076934, -0.0597357, -0.045917, -0.0326968, -0.0221672, -0.0170135, -0.0121336, -0.0086538, -0.00428528, -0.00109475, -0.000217663" \
+              "-0.102271, -0.129287, -0.181522, -0.203939, -0.221172, -0.232738, -0.237104, -0.238045, -0.237815, -0.23463, -0.226569, -0.219822, -0.21137, -0.206691, -0.201866, -0.195129, -0.180015, -0.115696, -0.0921069, -0.076934, -0.0597357, -0.045917, -0.0326968, -0.0221672, -0.0170135, -0.0121336, -0.0086538, -0.00428529, -0.00109476, -0.000217664" \
             );
           }
           vector (ccs_template) {
@@ -21425,7 +21425,7 @@
             index_2 ("0.00490553");
             index_3 ("0.350628, 0.36259, 0.366796, 0.370376, 0.372166, 0.374252, 0.376339, 0.378425, 0.380511, 0.382597, 0.385986, 0.38794, 0.389893, 0.392498, 0.393801, 0.394442, 0.396368, 0.398935, 0.401502, 0.402785, 0.404114, 0.406771, 0.409428, 0.412085, 0.41353, 0.415698, 0.417866, 0.420756, 0.423624, 0.429787, 0.43358, 0.435476, 0.441758, 0.442884, 0.446263, 0.448516, 0.453021, 0.455274, 0.45985, 0.463906, 0.467772, 0.470827, 0.472823, 0.479974, 0.4823, 0.48579, 0.491418, 0.495976, 0.501341, 0.508237");
             values ( \
-              "0.0592493, 0.0625786, 0.0719323, 0.078792, 0.0818418, 0.0847021, 0.0872916, 0.0895243, 0.0915435, 0.0933491, 0.0958266, 0.0966775, 0.0973412, 0.097935, 0.0981071, 0.0981194, 0.098057, 0.0977878, 0.0973174, 0.0970068, 0.0965806, 0.0952301, 0.0935859, 0.0916479, 0.0903403, 0.0877848, 0.0849935, 0.0809048, 0.0763987, 0.0659445, 0.059877, 0.0569574, 0.047905, 0.0464219, 0.0421965, 0.0396051, 0.0347922, 0.0325707, 0.0283929, 0.0249034, 0.0221162, 0.020124, 0.0189351, 0.0149969, 0.0138373, 0.0122711, 0.010261, 0.00885662, 0.00742543, 0.00590089" \
+              "0.0592493, 0.0625786, 0.0719323, 0.078792, 0.0818418, 0.0847021, 0.0872916, 0.0895243, 0.0915435, 0.0933491, 0.0958266, 0.0966775, 0.0973412, 0.097935, 0.0981071, 0.0981194, 0.098057, 0.0977878, 0.0973174, 0.0970068, 0.0965806, 0.0952301, 0.0935859, 0.0916479, 0.0903403, 0.0877848, 0.0849935, 0.0809048, 0.0763988, 0.0659442, 0.0598771, 0.0569579, 0.047905, 0.0464219, 0.0421967, 0.039605, 0.0347919, 0.0325704, 0.0283929, 0.0249034, 0.0221162, 0.020124, 0.0189351, 0.0149969, 0.0138373, 0.012271, 0.010261, 0.00885664, 0.00742544, 0.00590089" \
             );
           }
           vector (ccs_template) {
@@ -21596,7 +21596,7 @@
             index_2 ("0.0193093");
             index_3 ("3.26849, 3.29417, 3.31593, 3.32829, 3.33862, 3.3536, 3.36007, 3.36868, 3.3811, 3.40211, 3.41585, 3.43344, 3.46046, 3.48287, 3.53666, 3.57034, 3.5954, 3.61582, 3.63149, 3.6566, 3.67805, 3.70665, 3.73198, 3.76648, 3.81248, 3.8964, 3.99464, 4.11815");
             values ( \
-              "0.00683035, 0.0473347, 0.0918952, 0.11332, 0.125478, 0.135039, 0.136836, 0.137962, 0.137678, 0.134475, 0.131223, 0.126208, 0.115965, 0.10386, 0.070402, 0.0521833, 0.0408845, 0.0332044, 0.0281448, 0.0214038, 0.0168562, 0.0121529, 0.00905433, 0.00603933, 0.00346057, 0.00115034, 0.000298838, 4.23867e-05" \
+              "0.00683035, 0.0473347, 0.0918952, 0.11332, 0.125478, 0.135039, 0.136836, 0.137962, 0.137678, 0.134475, 0.131223, 0.126208, 0.115965, 0.10386, 0.070402, 0.0521833, 0.0408845, 0.0332044, 0.0281448, 0.0214038, 0.0168562, 0.0121529, 0.00905433, 0.00603933, 0.00346057, 0.00115033, 0.000298838, 4.23867e-05" \
             );
           }
           vector (ccs_template) {
@@ -21605,7 +21605,7 @@
             index_2 ("0.0760057");
             index_3 ("4.06363, 4.10203, 4.1368, 4.1556, 4.18551, 4.20052, 4.22894, 4.29983, 4.45543, 4.54992, 4.60911, 4.66001, 4.76179, 4.99006, 5.14522, 5.21425, 5.30629, 5.42088, 5.48892, 5.61441, 5.75876, 5.87456, 6.10616, 6.28721");
             values ( \
-              "0.0601678, 0.0824848, 0.131338, 0.146796, 0.156265, 0.157811, 0.158026, 0.154134, 0.143032, 0.135105, 0.129065, 0.122737, 0.106874, 0.0669508, 0.0446756, 0.0367633, 0.0279974, 0.0197298, 0.0159237, 0.0106285, 0.00665033, 0.00452124, 0.0020266, 0.00124222" \
+              "0.0601678, 0.0824848, 0.131338, 0.146796, 0.156265, 0.157811, 0.158026, 0.154134, 0.143032, 0.135105, 0.129065, 0.122737, 0.106874, 0.0669508, 0.0446756, 0.0367633, 0.0279974, 0.0197298, 0.0159237, 0.0106285, 0.00665033, 0.00452123, 0.0020266, 0.00124222" \
             );
           }
           vector (ccs_template) {
@@ -22728,7 +22728,7 @@
             index_2 ("1.17762");
             index_3 ("2.54379, 2.7323, 2.81606, 2.98347, 3.04295, 3.12526, 3.21, 3.30684, 3.44007, 4.1603, 4.33989, 4.4335, 5.95421, 6.88788, 7.84652, 8.18307, 8.85616, 9.21552, 9.93424, 11.1104, 11.8617, 12.4931, 12.9135, 13.6117, 14.2185, 14.9699, 15.6175, 16.3136, 17.065, 17.8164, 18.5677, 20.0704, 21.5731, 23.0758");
             values ( \
-              "-0.0164086, -0.163973, -0.200403, -0.233249, -0.238358, -0.241774, -0.243166, -0.243422, -0.243196, -0.239537, -0.240226, -0.239835, -0.23054, -0.224102, -0.216155, -0.212717, -0.203649, -0.196548, -0.174465, -0.126339, -0.0979766, -0.0776486, -0.0659579, -0.0497806, -0.0386714, -0.0279658, -0.0210918, -0.0155088, -0.0110329, -0.00787654, -0.00556291, -0.00278478, -0.0013859, -0.000684544" \
+              "-0.0164086, -0.163973, -0.200403, -0.233249, -0.238358, -0.241774, -0.243166, -0.243422, -0.243196, -0.239537, -0.240226, -0.239835, -0.23054, -0.224102, -0.216155, -0.212717, -0.203649, -0.196548, -0.174465, -0.126339, -0.0979766, -0.0776486, -0.0659579, -0.0497806, -0.0386714, -0.0279658, -0.0210918, -0.0155088, -0.0110329, -0.00787654, -0.00556291, -0.00278478, -0.0013859, -0.000684543" \
             );
           }
           vector (ccs_template) {
@@ -23142,7 +23142,7 @@
             index_2 ("0.00124625");
             index_3 ("7.79692, 7.81735, 7.89739, 7.91374, 7.93484, 7.95371, 7.96007, 7.96855, 8.01185, 8.03588, 8.04671, 8.06914, 8.08964, 8.1091, 8.12807, 8.14703, 8.15069, 8.1752, 8.19124, 8.20054, 8.21295, 8.22845, 8.2405, 8.26458, 8.29738, 8.33733, 8.39004, 8.43081, 8.5181, 8.5494, 8.55905, 8.57837, 8.62389, 8.65021, 8.79731, 9.01303, 9.93927");
             values ( \
-              "7.9686e-05, 0.000126189, 0.000630653, 0.000973711, 0.0015152, 0.00230809, 0.00269725, 0.00333332, 0.00722789, 0.00888538, 0.0095024, 0.0105727, 0.0112889, 0.0117553, 0.0119225, 0.0114544, 0.0109969, 0.00603715, 0.00365806, 0.00269382, 0.00175765, 0.00100175, 0.000626121, 0.000242136, 6.17568e-05, 3.67117e-05, 2.29048e-05, 4.21022e-05, 4.99029e-05, 2.69369e-05, 2.88848e-05, 1e-22, 4.50727e-06, 2.9316e-05, 5.24169e-06, 7.02708e-07, 4.96477e-06" \
+              "7.9686e-05, 0.000126189, 0.000630653, 0.000973711, 0.0015152, 0.00230809, 0.00269724, 0.00333332, 0.00722789, 0.00888538, 0.0095024, 0.0105727, 0.0112889, 0.0117553, 0.0119225, 0.0114544, 0.0109969, 0.00603715, 0.00365806, 0.00269382, 0.00175765, 0.00100175, 0.000626121, 0.000242136, 6.17568e-05, 3.67117e-05, 2.29048e-05, 4.21022e-05, 4.99029e-05, 2.69369e-05, 2.88848e-05, 1e-22, 4.50727e-06, 2.9316e-05, 5.24169e-06, 7.02709e-07, 4.96477e-06" \
             );
           }
           vector (ccs_template) {
@@ -23169,7 +23169,7 @@
             index_2 ("0.0760057");
             index_3 ("7.77427, 7.96836, 8.03563, 8.11982, 8.37241, 8.41971, 8.45049, 8.51555, 8.53646, 8.55911, 8.58154, 8.62639, 8.68439, 8.77534, 8.8306, 8.90428, 9.12814, 9.28923, 9.3602, 9.45483, 9.57246, 9.64274, 9.77257, 9.92727, 10.0523, 10.3023, 10.4327");
             values ( \
-              "0.000910519, 0.0139868, 0.0274749, 0.0483614, 0.120665, 0.128139, 0.130509, 0.133842, 0.134782, 0.135206, 0.135091, 0.133701, 0.130247, 0.121866, 0.114798, 0.103492, 0.065975, 0.0436764, 0.0358567, 0.0272203, 0.0191051, 0.0153709, 0.0101851, 0.00622808, 0.00415368, 0.00179449, 0.00132373" \
+              "0.000910519, 0.0139868, 0.0274749, 0.0483614, 0.120665, 0.128139, 0.130509, 0.133842, 0.134782, 0.135206, 0.135091, 0.133701, 0.130247, 0.121866, 0.114798, 0.103492, 0.0659751, 0.0436764, 0.0358567, 0.0272202, 0.0191051, 0.015371, 0.0101851, 0.00622807, 0.00415365, 0.00179446, 0.00132372" \
             );
           }
           vector (ccs_template) {
@@ -23519,7 +23519,7 @@
             index_2 ("0.0760057");
             index_3 ("0.000605961, 0.00760596, 0.031606, 0.127606, 3.29549, 4.81008, 5.56737, 6.32467, 7.71003, 8.33811, 8.52743, 8.90608, 9.21369, 9.47468, 9.71878, 9.74962, 9.77204, 9.81689, 9.87807, 9.89568, 9.91915, 9.95755, 10.101, 10.1909, 10.2683, 10.3407, 10.4119, 10.4831, 10.4964, 10.5116, 10.537, 10.5671, 10.6272, 10.672, 10.6905, 10.715, 10.7458, 10.7853, 10.7958, 10.8168, 10.8556, 10.9101, 10.9342, 10.945, 10.9666, 11.0098, 11.0962, 11.1997");
             values ( \
-              "-1e-22, -1.78032e-05, -7.41778e-06, -5.18728e-07, -4.75728e-07, -6.03731e-06, -2.02329e-05, -5.51774e-05, -0.000164519, -0.000187567, -0.000168113, -3.74173e-05, -1e-22, -2e-22, -0.0127379, -0.0178815, -0.0222546, -0.0326919, -0.0511975, -0.0574343, -0.0661238, -0.0807288, -0.136763, -0.167882, -0.185687, -0.192485, -0.191967, -0.182474, -0.178949, -0.173922, -0.163063, -0.147345, -0.113798, -0.0907798, -0.0821897, -0.0717485, -0.0600267, -0.0473029, -0.0443504, -0.0389185, -0.0303847, -0.0212497, -0.0181293, -0.0168746, -0.0146092, -0.010908, -0.0059632, -0.00321688" \
+              "-1e-22, -1.78032e-05, -7.41778e-06, -5.18728e-07, -4.75729e-07, -6.03731e-06, -2.02329e-05, -5.51774e-05, -0.000164519, -0.000187567, -0.000168113, -3.74173e-05, -1e-22, -2e-22, -0.0127379, -0.0178815, -0.0222546, -0.0326919, -0.0511975, -0.0574343, -0.0661238, -0.0807288, -0.136763, -0.167882, -0.185687, -0.192485, -0.191967, -0.182474, -0.178949, -0.173922, -0.163063, -0.147345, -0.113798, -0.0907798, -0.0821897, -0.0717485, -0.0600267, -0.0473029, -0.0443504, -0.0389185, -0.0303847, -0.0212497, -0.0181293, -0.0168746, -0.0146092, -0.010908, -0.0059632, -0.00321688" \
             );
           }
           vector (ccs_template) {
@@ -23600,8 +23600,8 @@
           values ( \
             "0.231, 0.287361, 0.478375, 1.14103, 3.55074, 12.7225", \
             "0.240006, 0.296631, 0.488575, 1.15065, 3.56089, 12.7342", \
-            "0.275769, 0.333814, 0.526998, 1.19031, 3.60057, 12.7746", \
-            "0.37416, 0.448849, 0.675472, 1.34772, 3.75791, 12.9289", \
+            "0.27575, 0.333759, 0.526998, 1.19012, 3.60057, 12.7746", \
+            "0.37416, 0.44895, 0.675472, 1.34758, 3.75791, 12.9313", \
             "0.489279, 0.604754, 0.960111, 1.89545, 4.39601, 13.5638", \
             "0.372083, 0.556512, 1.13927, 2.68025, 6.44269, 16.1221" \
           );
@@ -23612,8 +23612,8 @@
           values ( \
             "0.0289377, 0.0491572, 0.119322, 0.398823, 1.52516, 5.96128", \
             "0.0289401, 0.0491293, 0.119196, 0.398822, 1.52472, 5.96153", \
-            "0.0289452, 0.0491277, 0.119198, 0.398805, 1.52472, 5.96084", \
-            "0.0288192, 0.0492427, 0.119511, 0.398953, 1.52472, 5.96085", \
+            "0.0289496, 0.0491126, 0.119198, 0.398944, 1.52472, 5.96084", \
+            "0.0288192, 0.0491955, 0.119511, 0.39891, 1.52472, 5.96152", \
             "0.0322385, 0.0522749, 0.122301, 0.400375, 1.5247, 5.96153", \
             "0.0449684, 0.065073, 0.132508, 0.404218, 1.52529, 5.96153" \
           );
@@ -23940,7 +23940,7 @@
             index_2 ("1.17762");
             index_3 ("24.2126, 24.4111, 24.5456, 25.2012, 25.3194, 25.6149, 25.7975, 26.1626, 26.7624, 27.5443, 28.3623, 30.0085, 30.9715, 31.8223, 33.2565, 34.4658, 35.2565, 38.2319, 39.1456, 40.5798, 42.2012, 43.4572, 44.7486, 45.451, 46.5445, 47.9786, 50.1012, 51.5353, 52.9695, 55.8378, 58.7061, 63.0086");
             values ( \
-              "0.00108943, 0.00828961, 0.0213221, 0.143347, 0.150475, 0.154721, 0.156051, 0.157655, 0.158346, 0.157322, 0.1549, 0.147767, 0.142728, 0.137692, 0.127075, 0.115105, 0.106187, 0.070922, 0.061107, 0.0474306, 0.0349614, 0.0272324, 0.0209548, 0.018091, 0.014388, 0.0105576, 0.00665347, 0.00487379, 0.00352978, 0.0018605, 0.000975577, 0.000388396" \
+              "0.00108944, 0.00828961, 0.0213221, 0.143347, 0.150475, 0.154721, 0.156051, 0.157655, 0.158346, 0.157322, 0.1549, 0.147767, 0.142728, 0.137692, 0.127075, 0.115105, 0.106187, 0.070922, 0.061107, 0.0474306, 0.0349614, 0.0272324, 0.0209548, 0.018091, 0.014388, 0.0105576, 0.00665347, 0.00487379, 0.00352978, 0.0018605, 0.000975577, 0.000388396" \
             );
           }
         }
@@ -23950,8 +23950,8 @@
           values ( \
             "0.00809052, 0.00813285, 0.00818613, 0.00821652, 0.00822679, 0.00822962", \
             "0.00950396, 0.00956157, 0.0096561, 0.00972451, 0.00975025, 0.00975759", \
-            "0.0101326, 0.0101577, 0.0102192, 0.0102838, 0.0103146, 0.0103238", \
-            "0.0106, 0.0105693, 0.0105271, 0.0105132, 0.0105191, 0.0105229", \
+            "0.0101334, 0.0101583, 0.0102192, 0.0102843, 0.0103146, 0.0103238", \
+            "0.0106, 0.0105693, 0.0105271, 0.010513, 0.0105191, 0.0105229", \
             "0.0109852, 0.0109371, 0.0108314, 0.010685, 0.0106053, 0.0105821", \
             "0.0113937, 0.011322, 0.0111508, 0.0109431, 0.0107385, 0.0106321" \
           );
@@ -23962,8 +23962,8 @@
           values ( \
             "0.0101789, 0.010212, 0.0102636, 0.0102966, 0.0103084, 0.0103118", \
             "0.0103415, 0.010298, 0.0102352, 0.0101965, 0.0101827, 0.0101787", \
-            "0.0105397, 0.0104, 0.0101732, 0.00999686, 0.00992315, 0.00990312", \
-            "0.0105663, 0.0108277, 0.0105321, 0.0100555, 0.00984936, 0.00978898", \
+            "0.0105333, 0.0104008, 0.0101732, 0.0099949, 0.00992315, 0.00990312", \
+            "0.0105663, 0.0108306, 0.0105321, 0.0100481, 0.00984936, 0.00979371", \
             "0.00930678, 0.00938733, 0.00953217, 0.0105678, 0.0100343, 0.00981284", \
             "0.00855873, 0.0086926, 0.00901277, 0.0093834, 0.00984537, 0.0100472" \
           );
@@ -24081,18 +24081,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.370764, 0.3748, 0.383381, 0.396026, 0.405778, 0.410274, 0.414683, 0.419089, 0.426747, 0.431711, 0.436418, 0.442805, 0.448497, 0.456984, 0.463485");
+            index_3 ("0.368454, 0.381448, 0.390173, 0.395868, 0.405625, 0.410122, 0.414532, 0.418937, 0.426587, 0.431566, 0.43627, 0.442647, 0.44833, 0.456817, 0.488113");
             values ( \
-              "-0.0103777, -0.0131745, -0.0282449, -0.0424632, -0.0492528, -0.0507257, -0.0511532, -0.0466202, -0.0238788, -0.0128049, -0.00658824, -0.00258209, -0.00109199, -0.000334922, -0.000307851" \
+              "-0.000904823, -0.0255006, -0.0365157, -0.0423307, -0.0491569, -0.0507932, -0.05108, -0.046679, -0.0239048, -0.0127958, -0.00658596, -0.00258507, -0.00109501, -0.000335473, -0.000204861" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00490553");
-            index_3 ("0.404264, 0.419875, 0.433431, 0.447332, 0.455714, 0.463615, 0.471285, 0.478947, 0.486592, 0.503915, 0.510636, 0.519258, 0.52889, 0.53729, 0.552593, 0.57077");
+            index_3 ("0.402968, 0.426426, 0.437498, 0.447456, 0.455856, 0.463758, 0.471428, 0.479092, 0.486737, 0.504063, 0.51078, 0.519403, 0.52904, 0.537445, 0.543592");
             values ( \
-              "-0.00162169, -0.0534447, -0.0819028, -0.101393, -0.109239, -0.114135, -0.116463, -0.114481, -0.100094, -0.0421252, -0.02704, -0.0146194, -0.0071499, -0.00374084, -0.0010367, -0.000275289" \
+              "-0.00262, -0.0682573, -0.0883124, -0.101333, -0.109205, -0.11413, -0.116439, -0.114484, -0.100078, -0.0421106, -0.02705, -0.0146264, -0.00713901, -0.00374663, -0.00264897" \
             );
           }
           vector (ccs_template) {
@@ -24108,9 +24108,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0760057");
-            index_3 ("0.957538, 1.03127, 1.05006, 1.06968, 1.09802, 1.12777, 1.14843, 1.19626, 1.2578, 1.32085, 1.39598, 1.43127, 1.45447, 1.48971, 1.59464, 1.67323, 1.71841, 1.75737, 1.80608, 1.86734, 1.93725, 1.99339, 2.10565, 2.16589");
+            index_3 ("0.949321, 1.03053, 1.04994, 1.06902, 1.09642, 1.1262, 1.14966, 1.1956, 1.25726, 1.3521, 1.38521, 1.45082, 1.47264, 1.49757, 1.59662, 1.67686, 1.75161, 1.79131, 1.82654, 1.89525, 1.93394, 2.01131, 2.16272, 2.33903");
             values ( \
-              "-0.0310365, -0.156663, -0.17921, -0.196399, -0.210913, -0.219483, -0.222067, -0.223356, -0.220297, -0.214671, -0.204708, -0.197544, -0.190941, -0.176296, -0.114811, -0.0750873, -0.0574215, -0.0451354, -0.0330746, -0.0220219, -0.0137748, -0.00937132, -0.00415951, -0.00306928" \
+              "-0.0122056, -0.156227, -0.179516, -0.196233, -0.210428, -0.219264, -0.222204, -0.223366, -0.22027, -0.210909, -0.206371, -0.192003, -0.183975, -0.171982, -0.113333, -0.0733001, -0.0465901, -0.0362251, -0.0288192, -0.0182422, -0.0140426, -0.00819952, -0.00258072, -0.000625825" \
             );
           }
           vector (ccs_template) {
@@ -24144,9 +24144,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490553");
-            index_3 ("0.91132, 0.919282, 0.932712, 0.943872, 0.953176, 0.961585, 0.969502, 0.977185, 0.984861, 0.992516, 1.00988, 1.01654, 1.02518, 1.03487, 1.04028");
+            index_3 ("0.912882, 0.917447, 0.932529, 0.943652, 0.952938, 0.961337, 0.969247, 0.976925, 0.984595, 0.992247, 1.00959, 1.01628, 1.02491, 1.03036, 1.0407, 1.0513");
             values ( \
-              "-0.0204012, -0.034807, -0.0686059, -0.0888417, -0.101109, -0.108948, -0.113951, -0.116246, -0.114355, -0.0999777, -0.042002, -0.0270987, -0.0146449, -0.007101, -0.00480721" \
+              "-0.0228545, -0.0305214, -0.0690391, -0.0891166, -0.101191, -0.109115, -0.113991, -0.116358, -0.11437, -0.100046, -0.0420659, -0.0270609, -0.0146208, -0.00979393, -0.00448256, -0.002592" \
             );
           }
           vector (ccs_template) {
@@ -24162,9 +24162,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0760057");
-            index_3 ("1.51585, 1.54641, 1.57836, 1.59712, 1.61683, 1.64406, 1.67333, 1.69883, 1.73283, 1.76456, 1.80508, 1.88367, 1.93303, 1.99867, 2.02027, 2.04497, 2.14439, 2.2247, 2.29944, 2.33905, 2.37431, 2.44307, 2.4818, 2.55927, 2.70832, 2.88183");
+            index_3 ("1.51389, 1.54631, 1.57825, 1.59693, 1.61672, 1.64382, 1.67306, 1.68171, 1.69902, 1.73362, 1.76261, 1.80494, 1.88388, 1.93291, 1.99454, 2.01603, 2.04468, 2.14421, 2.18432, 2.22456, 2.2782, 2.2993, 2.33886, 2.37412, 2.44291, 2.48167, 2.55919, 2.70774, 2.88123");
             values ( \
-              "-0.0801191, -0.0990692, -0.155919, -0.179344, -0.195883, -0.210746, -0.218771, -0.222015, -0.223416, -0.222579, -0.220038, -0.212837, -0.20635, -0.192011, -0.184051, -0.172205, -0.113359, -0.0732916, -0.0465864, -0.0362426, -0.0288297, -0.018243, -0.0140376, -0.00819133, -0.00263539, -0.000659638" \
+              "-0.0697836, -0.0991406, -0.155913, -0.179306, -0.195873, -0.210722, -0.218724, -0.220369, -0.222024, -0.223433, -0.22267, -0.220034, -0.2128, -0.206348, -0.193228, -0.185729, -0.172258, -0.113358, -0.0919086, -0.0732972, -0.0530666, -0.0465937, -0.0362442, -0.0288415, -0.0182495, -0.0140286, -0.00819315, -0.00264, -0.000668754" \
             );
           }
           vector (ccs_template) {
@@ -24180,9 +24180,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.17762");
-            index_3 ("8.83946, 9.09048, 9.16441, 9.26297, 9.36196, 9.56845, 9.80766, 10.0454, 10.4826, 11.1042, 13.0018, 13.449, 14.4, 14.7929, 15.1156, 15.4596, 15.9905, 17.6095, 18.264, 18.7485, 19.3944, 19.9068, 20.3102, 20.848, 21.7365, 22.0425, 22.7286, 23.5126, 24.4879, 25.4633, 27.4139, 29.3645");
+            index_3 ("8.87552, 9.17797, 9.26518, 9.36666, 9.57989, 9.81228, 10.0433, 10.3685, 11.3485, 12.0442, 13.4337, 14.4306, 15.1975, 15.4648, 15.9975, 17.6141, 18.269, 18.7541, 19.401, 19.9124, 20.315, 20.8518, 21.7412, 22.0474, 22.7349, 23.5206, 24.4959, 25.4712, 27.4218, 29.3725");
             values ( \
-              "-0.182354, -0.189692, -0.211715, -0.227305, -0.233887, -0.238511, -0.240279, -0.240721, -0.239726, -0.236693, -0.224687, -0.221343, -0.212739, -0.208019, -0.203148, -0.196344, -0.181071, -0.116228, -0.0925284, -0.0772895, -0.0600212, -0.0487471, -0.0412368, -0.0328212, -0.022262, -0.0194598, -0.0143669, -0.0100844, -0.00645962, -0.00411955, -0.00166947, -0.000673797" \
+              "-0.205318, -0.213953, -0.227008, -0.234094, -0.238743, -0.240404, -0.240636, -0.240238, -0.235434, -0.231215, -0.221593, -0.212445, -0.201806, -0.19634, -0.181014, -0.116249, -0.0925407, -0.0772801, -0.0599831, -0.0487445, -0.0412484, -0.0328379, -0.0222707, -0.0194577, -0.0143635, -0.0100677, -0.00645545, -0.00411026, -0.0016633, -0.000668909" \
             );
           }
           vector (ccs_template) {
@@ -24207,9 +24207,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0193093");
-            index_3 ("2.89841, 2.92623, 2.93616, 2.94679, 2.9541, 2.96047, 2.9644, 2.96834, 2.97227, 2.97971, 2.98714, 2.9921, 2.99706, 3.00202, 3.00638, 3.01424, 3.01899, 3.02373, 3.02858, 3.03342, 3.03827, 3.04311, 3.04793, 3.05275, 3.05757, 3.0624, 3.06722, 3.07204, 3.07686, 3.08225, 3.08397, 3.08683, 3.09026, 3.09713, 3.10455, 3.11862, 3.13003, 3.13918, 3.14279, 3.14611, 3.15034, 3.15519, 3.16065, 3.16636, 3.1723, 3.1801, 3.18636, 3.19368, 3.19734, 3.20304");
+            index_3 ("2.89841, 2.92623, 2.9352, 2.94679, 2.9541, 2.96047, 2.9644, 2.96834, 2.97227, 2.97971, 2.98714, 2.9921, 2.99706, 3.00202, 3.00638, 3.01424, 3.01899, 3.02373, 3.02858, 3.03342, 3.03827, 3.04311, 3.04793, 3.05275, 3.05757, 3.0624, 3.06722, 3.07204, 3.07686, 3.08225, 3.08397, 3.08683, 3.09026, 3.09713, 3.10455, 3.11862, 3.13003, 3.13918, 3.14279, 3.14611, 3.15034, 3.15519, 3.16065, 3.16636, 3.1723, 3.1801, 3.18636, 3.19368, 3.19734, 3.20304");
             values ( \
-              "-0.00373817, -0.0569874, -0.0768404, -0.0988007, -0.112937, -0.12421, -0.130565, -0.136492, -0.141991, -0.150094, -0.15719, -0.161387, -0.165168, -0.168533, -0.171164, -0.175211, -0.17728, -0.179068, -0.179562, -0.179866, -0.179981, -0.179905, -0.179642, -0.17919, -0.17855, -0.177723, -0.176351, -0.174648, -0.172614, -0.169944, -0.168546, -0.16543, -0.161058, -0.150829, -0.137834, -0.110766, -0.0901672, -0.075112, -0.0695185, -0.0648712, -0.0594026, -0.053602, -0.0474834, -0.0414617, -0.0358294, -0.0299988, -0.025926, -0.0217683, -0.019916, -0.0173226" \
+              "-0.00373817, -0.0569875, -0.0748764, -0.0987969, -0.112934, -0.124211, -0.130565, -0.136492, -0.141991, -0.150094, -0.15719, -0.161387, -0.165168, -0.168533, -0.171163, -0.175211, -0.17728, -0.179068, -0.179562, -0.179866, -0.179981, -0.179905, -0.179642, -0.17919, -0.17855, -0.177723, -0.176351, -0.174648, -0.172614, -0.169944, -0.168546, -0.16543, -0.161058, -0.150829, -0.137834, -0.110766, -0.0901672, -0.075112, -0.0695185, -0.0648712, -0.0594026, -0.053602, -0.0474834, -0.0414617, -0.0358294, -0.0299988, -0.025926, -0.0217683, -0.019916, -0.0173226" \
             );
           }
           vector (ccs_template) {
@@ -24252,9 +24252,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00490553");
-            index_3 ("8.79546, 8.80996, 8.81177, 8.81267, 8.81448, 8.81539, 8.819, 8.82261, 8.82919, 8.83577, 8.84029, 8.84482, 8.84935, 8.85387, 8.86082, 8.86776, 8.87368, 8.87959, 8.88487, 8.89015, 8.89504, 8.89992, 8.90227, 8.90461, 8.90696, 8.90931, 8.91165, 8.91399, 8.91634, 8.91868, 8.91896, 8.91951, 8.92034, 8.92283, 8.92635, 8.93312, 8.93479, 8.93647, 8.93873, 8.94099, 8.94231, 8.94298, 8.9443, 8.94497, 8.94629, 8.94762, 8.94895, 8.95027, 8.95328, 8.95578");
+            index_3 ("8.79546, 8.80996, 8.81177, 8.81358, 8.81448, 8.81539, 8.819, 8.82261, 8.82919, 8.83577, 8.84029, 8.84482, 8.84935, 8.85387, 8.86082, 8.86776, 8.87368, 8.87959, 8.88487, 8.89015, 8.89504, 8.89992, 8.90227, 8.90461, 8.90696, 8.90931, 8.91165, 8.91399, 8.91634, 8.91868, 8.91896, 8.91951, 8.92034, 8.92283, 8.92635, 8.93312, 8.93479, 8.93647, 8.93873, 8.94099, 8.94231, 8.94298, 8.9443, 8.94497, 8.94629, 8.94762, 8.94895, 8.95027, 8.95328, 8.95578");
             values ( \
-              "-0.00844943, -0.0159367, -0.0172274, -0.0179126, -0.01936, -0.0201221, -0.0235916, -0.0271174, -0.0336878, -0.0404451, -0.0447974, -0.0490993, -0.0533506, -0.0575514, -0.0639006, -0.0701309, -0.075197, -0.0800911, -0.0842094, -0.0882688, -0.0919676, -0.095616, -0.0952293, -0.0946486, -0.0938739, -0.0929052, -0.0917452, -0.0903921, -0.0888458, -0.0871063, -0.0867782, -0.085748, -0.0837975, -0.0774815, -0.0678789, -0.0489515, -0.0448148, -0.0408863, -0.0360249, -0.0313462, -0.028683, -0.027474, -0.0251913, -0.0241175, -0.0222093, -0.020412, -0.0187258, -0.0171505, -0.0140484, -0.0116417" \
+              "-0.00844943, -0.0159367, -0.0172274, -0.0186236, -0.0193601, -0.0201222, -0.0235917, -0.0271174, -0.0336878, -0.0404451, -0.0447974, -0.0490993, -0.0533506, -0.0575514, -0.0639006, -0.0701309, -0.075197, -0.0800911, -0.0842094, -0.0882688, -0.0919676, -0.095616, -0.0952293, -0.0946486, -0.0938739, -0.0929052, -0.0917452, -0.0903921, -0.0888458, -0.0871063, -0.0867782, -0.085748, -0.0837975, -0.0774815, -0.0678789, -0.0489515, -0.0448148, -0.0408863, -0.0360249, -0.0313462, -0.028683, -0.027474, -0.0251913, -0.0241175, -0.0222093, -0.020412, -0.0187258, -0.0171505, -0.0140484, -0.0116417" \
             );
           }
           vector (ccs_template) {
@@ -24263,7 +24263,7 @@
             index_2 ("0.0193093");
             index_3 ("9.28304, 9.32227, 9.33291, 9.40522, 9.43058, 9.45282, 9.47371, 9.49414, 9.51455, 9.52415, 9.53406, 9.56847, 9.58341, 9.6004, 9.62413, 9.63751, 9.65926, 9.67195");
             values ( \
-              "-0.00935222, -0.0299679, -0.0406251, -0.125095, -0.149286, -0.163063, -0.169657, -0.17104, -0.164549, -0.155835, -0.141615, -0.0812627, -0.0600352, -0.0413394, -0.0237069, -0.0171604, -0.0100195, -0.00769074" \
+              "-0.00935222, -0.0299679, -0.0406251, -0.125095, -0.149286, -0.163063, -0.169657, -0.17104, -0.164549, -0.155835, -0.141615, -0.0812626, -0.0600353, -0.0413394, -0.0237068, -0.0171603, -0.0100195, -0.00769074" \
             );
           }
           vector (ccs_template) {
@@ -24279,7 +24279,7 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.299176");
-            index_3 ("13.5377, 13.6396, 13.718, 13.7617, 13.805, 13.8413, 13.8994, 13.9633, 14.0694, 14.2994, 14.5345, 14.7759, 15.0259, 15.1208, 15.2028, 15.2902, 15.4259, 15.5775, 15.8392, 16.0064, 16.1302, 16.2952, 16.4257, 16.5285, 16.6654, 16.738, 16.8113, 16.9577, 17.0643, 17.2065, 17.4908, 18.0595, 18.7317");
+            index_3 ("13.5377, 13.6396, 13.718, 13.7617, 13.805, 13.8413, 13.8994, 13.9633, 14.0694, 14.2994, 14.5345, 14.7759, 15.0259, 15.1208, 15.2028, 15.2902, 15.4259, 15.5775, 15.8392, 16.0064, 16.1302, 16.2952, 16.4257, 16.5285, 16.6654, 16.738, 16.8113, 16.9577, 17.0643, 17.2065, 17.4908, 18.0594, 18.7317");
             values ( \
               "-0.12542, -0.141006, -0.197228, -0.216001, -0.226901, -0.232028, -0.236072, -0.237228, -0.236437, -0.231971, -0.226383, -0.219781, -0.21103, -0.206588, -0.201785, -0.195092, -0.179987, -0.157239, -0.115698, -0.0921087, -0.0769363, -0.0597386, -0.0485366, -0.0410743, -0.0327094, -0.0289503, -0.0255695, -0.0198795, -0.0165333, -0.0128931, -0.0077422, -0.00254739, -0.000636333" \
             );
@@ -24355,8 +24355,8 @@
           values ( \
             "0.231, 0.287361, 0.478375, 1.14103, 3.55074, 12.7225", \
             "0.240006, 0.296631, 0.488575, 1.15065, 3.56089, 12.7342", \
-            "0.275769, 0.333814, 0.526998, 1.19031, 3.60057, 12.7746", \
-            "0.37416, 0.448849, 0.675472, 1.34772, 3.75791, 12.9289", \
+            "0.27575, 0.333759, 0.526998, 1.19012, 3.60057, 12.7746", \
+            "0.37416, 0.44895, 0.675472, 1.34758, 3.75791, 12.9313", \
             "0.489279, 0.604754, 0.960111, 1.89545, 4.39601, 13.5638", \
             "0.372083, 0.556512, 1.13927, 2.68025, 6.44269, 16.1221" \
           );
@@ -24367,8 +24367,8 @@
           values ( \
             "0.0289377, 0.0491572, 0.119322, 0.398823, 1.52516, 5.96128", \
             "0.0289401, 0.0491293, 0.119196, 0.398822, 1.52472, 5.96153", \
-            "0.0289452, 0.0491277, 0.119198, 0.398805, 1.52472, 5.96084", \
-            "0.0288192, 0.0492427, 0.119511, 0.398953, 1.52472, 5.96085", \
+            "0.0289496, 0.0491126, 0.119198, 0.398944, 1.52472, 5.96084", \
+            "0.0288192, 0.0491955, 0.119511, 0.39891, 1.52472, 5.96152", \
             "0.0322385, 0.0522749, 0.122301, 0.400375, 1.5247, 5.96153", \
             "0.0449684, 0.065073, 0.132508, 0.404218, 1.52529, 5.96153" \
           );
@@ -24695,7 +24695,7 @@
             index_2 ("1.17762");
             index_3 ("24.2126, 24.4111, 24.5456, 25.2012, 25.3194, 25.6149, 25.7975, 26.1626, 26.7624, 27.5443, 28.3623, 30.0085, 30.9715, 31.8223, 33.2565, 34.4658, 35.2565, 38.2319, 39.1456, 40.5798, 42.2012, 43.4572, 44.7486, 45.451, 46.5445, 47.9786, 50.1012, 51.5353, 52.9695, 55.8378, 58.7061, 63.0086");
             values ( \
-              "0.00108943, 0.00828961, 0.0213221, 0.143347, 0.150475, 0.154721, 0.156051, 0.157655, 0.158346, 0.157322, 0.1549, 0.147767, 0.142728, 0.137692, 0.127075, 0.115105, 0.106187, 0.070922, 0.061107, 0.0474306, 0.0349614, 0.0272324, 0.0209548, 0.018091, 0.014388, 0.0105576, 0.00665347, 0.00487379, 0.00352978, 0.0018605, 0.000975577, 0.000388396" \
+              "0.00108944, 0.00828961, 0.0213221, 0.143347, 0.150475, 0.154721, 0.156051, 0.157655, 0.158346, 0.157322, 0.1549, 0.147767, 0.142728, 0.137692, 0.127075, 0.115105, 0.106187, 0.070922, 0.061107, 0.0474306, 0.0349614, 0.0272324, 0.0209548, 0.018091, 0.014388, 0.0105576, 0.00665347, 0.00487379, 0.00352978, 0.0018605, 0.000975577, 0.000388396" \
             );
           }
         }
@@ -24705,8 +24705,8 @@
           values ( \
             "0.00809052, 0.00813285, 0.00818613, 0.00821652, 0.00822679, 0.00822962", \
             "0.00950396, 0.00956157, 0.0096561, 0.00972451, 0.00975025, 0.00975759", \
-            "0.0101326, 0.0101577, 0.0102192, 0.0102838, 0.0103146, 0.0103238", \
-            "0.0106, 0.0105693, 0.0105271, 0.0105132, 0.0105191, 0.0105229", \
+            "0.0101334, 0.0101583, 0.0102192, 0.0102843, 0.0103146, 0.0103238", \
+            "0.0106, 0.0105693, 0.0105271, 0.010513, 0.0105191, 0.0105229", \
             "0.0109852, 0.0109371, 0.0108314, 0.010685, 0.0106053, 0.0105821", \
             "0.0113937, 0.011322, 0.0111508, 0.0109431, 0.0107385, 0.0106321" \
           );
@@ -24717,8 +24717,8 @@
           values ( \
             "0.0101789, 0.010212, 0.0102636, 0.0102966, 0.0103084, 0.0103118", \
             "0.0103415, 0.010298, 0.0102352, 0.0101965, 0.0101827, 0.0101787", \
-            "0.0105397, 0.0104, 0.0101732, 0.00999686, 0.00992315, 0.00990312", \
-            "0.0105663, 0.0108277, 0.0105321, 0.0100555, 0.00984936, 0.00978898", \
+            "0.0105333, 0.0104008, 0.0101732, 0.0099949, 0.00992315, 0.00990312", \
+            "0.0105663, 0.0108306, 0.0105321, 0.0100481, 0.00984936, 0.00979371", \
             "0.00930678, 0.00938733, 0.00953217, 0.0105678, 0.0100343, 0.00981284", \
             "0.00855873, 0.0086926, 0.00901277, 0.0093834, 0.00984537, 0.0100472" \
           );
@@ -24836,18 +24836,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.370764, 0.3748, 0.383381, 0.396026, 0.405778, 0.410274, 0.414683, 0.419089, 0.426747, 0.431711, 0.436418, 0.442805, 0.448497, 0.456984, 0.463485");
+            index_3 ("0.368454, 0.381448, 0.390173, 0.395868, 0.405625, 0.410122, 0.414532, 0.418937, 0.426587, 0.431566, 0.43627, 0.442647, 0.44833, 0.456817, 0.488113");
             values ( \
-              "-0.0103777, -0.0131745, -0.0282449, -0.0424632, -0.0492528, -0.0507257, -0.0511532, -0.0466202, -0.0238788, -0.0128049, -0.00658824, -0.00258209, -0.00109199, -0.000334922, -0.000307851" \
+              "-0.000904823, -0.0255006, -0.0365157, -0.0423307, -0.0491569, -0.0507932, -0.05108, -0.046679, -0.0239048, -0.0127958, -0.00658596, -0.00258507, -0.00109501, -0.000335473, -0.000204861" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00490553");
-            index_3 ("0.404264, 0.419875, 0.433431, 0.447332, 0.455714, 0.463615, 0.471285, 0.478947, 0.486592, 0.503915, 0.510636, 0.519258, 0.52889, 0.53729, 0.552593, 0.57077");
+            index_3 ("0.402968, 0.426426, 0.437498, 0.447456, 0.455856, 0.463758, 0.471428, 0.479092, 0.486737, 0.504063, 0.51078, 0.519403, 0.52904, 0.537445, 0.543592");
             values ( \
-              "-0.00162169, -0.0534447, -0.0819028, -0.101393, -0.109239, -0.114135, -0.116463, -0.114481, -0.100094, -0.0421252, -0.02704, -0.0146194, -0.0071499, -0.00374084, -0.0010367, -0.000275289" \
+              "-0.00262, -0.0682573, -0.0883124, -0.101333, -0.109205, -0.11413, -0.116439, -0.114484, -0.100078, -0.0421106, -0.02705, -0.0146264, -0.00713901, -0.00374663, -0.00264897" \
             );
           }
           vector (ccs_template) {
@@ -24863,9 +24863,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0760057");
-            index_3 ("0.957538, 1.03127, 1.05006, 1.06968, 1.09802, 1.12777, 1.14843, 1.19626, 1.2578, 1.32085, 1.39598, 1.43127, 1.45447, 1.48971, 1.59464, 1.67323, 1.71841, 1.75737, 1.80608, 1.86734, 1.93725, 1.99339, 2.10565, 2.16589");
+            index_3 ("0.949321, 1.03053, 1.04994, 1.06902, 1.09642, 1.1262, 1.14966, 1.1956, 1.25726, 1.3521, 1.38521, 1.45082, 1.47264, 1.49757, 1.59662, 1.67686, 1.75161, 1.79131, 1.82654, 1.89525, 1.93394, 2.01131, 2.16272, 2.33903");
             values ( \
-              "-0.0310365, -0.156663, -0.17921, -0.196399, -0.210913, -0.219483, -0.222067, -0.223356, -0.220297, -0.214671, -0.204708, -0.197544, -0.190941, -0.176296, -0.114811, -0.0750873, -0.0574215, -0.0451354, -0.0330746, -0.0220219, -0.0137748, -0.00937132, -0.00415951, -0.00306928" \
+              "-0.0122056, -0.156227, -0.179516, -0.196233, -0.210428, -0.219264, -0.222204, -0.223366, -0.22027, -0.210909, -0.206371, -0.192003, -0.183975, -0.171982, -0.113333, -0.0733001, -0.0465901, -0.0362251, -0.0288192, -0.0182422, -0.0140426, -0.00819952, -0.00258072, -0.000625825" \
             );
           }
           vector (ccs_template) {
@@ -24899,9 +24899,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490553");
-            index_3 ("0.91132, 0.919282, 0.932712, 0.943872, 0.953176, 0.961585, 0.969502, 0.977185, 0.984861, 0.992516, 1.00988, 1.01654, 1.02518, 1.03487, 1.04028");
+            index_3 ("0.912882, 0.917447, 0.932529, 0.943652, 0.952938, 0.961337, 0.969247, 0.976925, 0.984595, 0.992247, 1.00959, 1.01628, 1.02491, 1.03036, 1.0407, 1.0513");
             values ( \
-              "-0.0204012, -0.034807, -0.0686059, -0.0888417, -0.101109, -0.108948, -0.113951, -0.116246, -0.114355, -0.0999777, -0.042002, -0.0270987, -0.0146449, -0.007101, -0.00480721" \
+              "-0.0228545, -0.0305214, -0.0690391, -0.0891166, -0.101191, -0.109115, -0.113991, -0.116358, -0.11437, -0.100046, -0.0420659, -0.0270609, -0.0146208, -0.00979393, -0.00448256, -0.002592" \
             );
           }
           vector (ccs_template) {
@@ -24917,9 +24917,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0760057");
-            index_3 ("1.51585, 1.54641, 1.57836, 1.59712, 1.61683, 1.64406, 1.67333, 1.69883, 1.73283, 1.76456, 1.80508, 1.88367, 1.93303, 1.99867, 2.02027, 2.04497, 2.14439, 2.2247, 2.29944, 2.33905, 2.37431, 2.44307, 2.4818, 2.55927, 2.70832, 2.88183");
+            index_3 ("1.51389, 1.54631, 1.57825, 1.59693, 1.61672, 1.64382, 1.67306, 1.68171, 1.69902, 1.73362, 1.76261, 1.80494, 1.88388, 1.93291, 1.99454, 2.01603, 2.04468, 2.14421, 2.18432, 2.22456, 2.2782, 2.2993, 2.33886, 2.37412, 2.44291, 2.48167, 2.55919, 2.70774, 2.88123");
             values ( \
-              "-0.0801191, -0.0990692, -0.155919, -0.179344, -0.195883, -0.210746, -0.218771, -0.222015, -0.223416, -0.222579, -0.220038, -0.212837, -0.20635, -0.192011, -0.184051, -0.172205, -0.113359, -0.0732916, -0.0465864, -0.0362426, -0.0288297, -0.018243, -0.0140376, -0.00819133, -0.00263539, -0.000659638" \
+              "-0.0697836, -0.0991406, -0.155913, -0.179306, -0.195873, -0.210722, -0.218724, -0.220369, -0.222024, -0.223433, -0.22267, -0.220034, -0.2128, -0.206348, -0.193228, -0.185729, -0.172258, -0.113358, -0.0919086, -0.0732972, -0.0530666, -0.0465937, -0.0362442, -0.0288415, -0.0182495, -0.0140286, -0.00819315, -0.00264, -0.000668754" \
             );
           }
           vector (ccs_template) {
@@ -24935,9 +24935,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.17762");
-            index_3 ("8.83946, 9.09048, 9.16441, 9.26297, 9.36196, 9.56845, 9.80766, 10.0454, 10.4826, 11.1042, 13.0018, 13.449, 14.4, 14.7929, 15.1156, 15.4596, 15.9905, 17.6095, 18.264, 18.7485, 19.3944, 19.9068, 20.3102, 20.848, 21.7365, 22.0425, 22.7286, 23.5126, 24.4879, 25.4633, 27.4139, 29.3645");
+            index_3 ("8.87552, 9.17797, 9.26518, 9.36666, 9.57989, 9.81228, 10.0433, 10.3685, 11.3485, 12.0442, 13.4337, 14.4306, 15.1975, 15.4648, 15.9975, 17.6141, 18.269, 18.7541, 19.401, 19.9124, 20.315, 20.8518, 21.7412, 22.0474, 22.7349, 23.5206, 24.4959, 25.4712, 27.4218, 29.3725");
             values ( \
-              "-0.182354, -0.189692, -0.211715, -0.227305, -0.233887, -0.238511, -0.240279, -0.240721, -0.239726, -0.236693, -0.224687, -0.221343, -0.212739, -0.208019, -0.203148, -0.196344, -0.181071, -0.116228, -0.0925284, -0.0772895, -0.0600212, -0.0487471, -0.0412368, -0.0328212, -0.022262, -0.0194598, -0.0143669, -0.0100844, -0.00645962, -0.00411955, -0.00166947, -0.000673797" \
+              "-0.205318, -0.213953, -0.227008, -0.234094, -0.238743, -0.240404, -0.240636, -0.240238, -0.235434, -0.231215, -0.221593, -0.212445, -0.201806, -0.19634, -0.181014, -0.116249, -0.0925407, -0.0772801, -0.0599831, -0.0487445, -0.0412484, -0.0328379, -0.0222707, -0.0194577, -0.0143635, -0.0100677, -0.00645545, -0.00411026, -0.0016633, -0.000668909" \
             );
           }
           vector (ccs_template) {
@@ -24962,9 +24962,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0193093");
-            index_3 ("2.89841, 2.92623, 2.93616, 2.94679, 2.9541, 2.96047, 2.9644, 2.96834, 2.97227, 2.97971, 2.98714, 2.9921, 2.99706, 3.00202, 3.00638, 3.01424, 3.01899, 3.02373, 3.02858, 3.03342, 3.03827, 3.04311, 3.04793, 3.05275, 3.05757, 3.0624, 3.06722, 3.07204, 3.07686, 3.08225, 3.08397, 3.08683, 3.09026, 3.09713, 3.10455, 3.11862, 3.13003, 3.13918, 3.14279, 3.14611, 3.15034, 3.15519, 3.16065, 3.16636, 3.1723, 3.1801, 3.18636, 3.19368, 3.19734, 3.20304");
+            index_3 ("2.89841, 2.92623, 2.9352, 2.94679, 2.9541, 2.96047, 2.9644, 2.96834, 2.97227, 2.97971, 2.98714, 2.9921, 2.99706, 3.00202, 3.00638, 3.01424, 3.01899, 3.02373, 3.02858, 3.03342, 3.03827, 3.04311, 3.04793, 3.05275, 3.05757, 3.0624, 3.06722, 3.07204, 3.07686, 3.08225, 3.08397, 3.08683, 3.09026, 3.09713, 3.10455, 3.11862, 3.13003, 3.13918, 3.14279, 3.14611, 3.15034, 3.15519, 3.16065, 3.16636, 3.1723, 3.1801, 3.18636, 3.19368, 3.19734, 3.20304");
             values ( \
-              "-0.00373817, -0.0569874, -0.0768404, -0.0988007, -0.112937, -0.12421, -0.130565, -0.136492, -0.141991, -0.150094, -0.15719, -0.161387, -0.165168, -0.168533, -0.171164, -0.175211, -0.17728, -0.179068, -0.179562, -0.179866, -0.179981, -0.179905, -0.179642, -0.17919, -0.17855, -0.177723, -0.176351, -0.174648, -0.172614, -0.169944, -0.168546, -0.16543, -0.161058, -0.150829, -0.137834, -0.110766, -0.0901672, -0.075112, -0.0695185, -0.0648712, -0.0594026, -0.053602, -0.0474834, -0.0414617, -0.0358294, -0.0299988, -0.025926, -0.0217683, -0.019916, -0.0173226" \
+              "-0.00373817, -0.0569875, -0.0748764, -0.0987969, -0.112934, -0.124211, -0.130565, -0.136492, -0.141991, -0.150094, -0.15719, -0.161387, -0.165168, -0.168533, -0.171163, -0.175211, -0.17728, -0.179068, -0.179562, -0.179866, -0.179981, -0.179905, -0.179642, -0.17919, -0.17855, -0.177723, -0.176351, -0.174648, -0.172614, -0.169944, -0.168546, -0.16543, -0.161058, -0.150829, -0.137834, -0.110766, -0.0901672, -0.075112, -0.0695185, -0.0648712, -0.0594026, -0.053602, -0.0474834, -0.0414617, -0.0358294, -0.0299988, -0.025926, -0.0217683, -0.019916, -0.0173226" \
             );
           }
           vector (ccs_template) {
@@ -25007,9 +25007,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00490553");
-            index_3 ("8.79546, 8.80996, 8.81177, 8.81267, 8.81448, 8.81539, 8.819, 8.82261, 8.82919, 8.83577, 8.84029, 8.84482, 8.84935, 8.85387, 8.86082, 8.86776, 8.87368, 8.87959, 8.88487, 8.89015, 8.89504, 8.89992, 8.90227, 8.90461, 8.90696, 8.90931, 8.91165, 8.91399, 8.91634, 8.91868, 8.91896, 8.91951, 8.92034, 8.92283, 8.92635, 8.93312, 8.93479, 8.93647, 8.93873, 8.94099, 8.94231, 8.94298, 8.9443, 8.94497, 8.94629, 8.94762, 8.94895, 8.95027, 8.95328, 8.95578");
+            index_3 ("8.79546, 8.80996, 8.81177, 8.81358, 8.81448, 8.81539, 8.819, 8.82261, 8.82919, 8.83577, 8.84029, 8.84482, 8.84935, 8.85387, 8.86082, 8.86776, 8.87368, 8.87959, 8.88487, 8.89015, 8.89504, 8.89992, 8.90227, 8.90461, 8.90696, 8.90931, 8.91165, 8.91399, 8.91634, 8.91868, 8.91896, 8.91951, 8.92034, 8.92283, 8.92635, 8.93312, 8.93479, 8.93647, 8.93873, 8.94099, 8.94231, 8.94298, 8.9443, 8.94497, 8.94629, 8.94762, 8.94895, 8.95027, 8.95328, 8.95578");
             values ( \
-              "-0.00844943, -0.0159367, -0.0172274, -0.0179126, -0.01936, -0.0201221, -0.0235916, -0.0271174, -0.0336878, -0.0404451, -0.0447974, -0.0490993, -0.0533506, -0.0575514, -0.0639006, -0.0701309, -0.075197, -0.0800911, -0.0842094, -0.0882688, -0.0919676, -0.095616, -0.0952293, -0.0946486, -0.0938739, -0.0929052, -0.0917452, -0.0903921, -0.0888458, -0.0871063, -0.0867782, -0.085748, -0.0837975, -0.0774815, -0.0678789, -0.0489515, -0.0448148, -0.0408863, -0.0360249, -0.0313462, -0.028683, -0.027474, -0.0251913, -0.0241175, -0.0222093, -0.020412, -0.0187258, -0.0171505, -0.0140484, -0.0116417" \
+              "-0.00844943, -0.0159367, -0.0172274, -0.0186236, -0.0193601, -0.0201222, -0.0235917, -0.0271174, -0.0336878, -0.0404451, -0.0447974, -0.0490993, -0.0533506, -0.0575514, -0.0639006, -0.0701309, -0.075197, -0.0800911, -0.0842094, -0.0882688, -0.0919676, -0.095616, -0.0952293, -0.0946486, -0.0938739, -0.0929052, -0.0917452, -0.0903921, -0.0888458, -0.0871063, -0.0867782, -0.085748, -0.0837975, -0.0774815, -0.0678789, -0.0489515, -0.0448148, -0.0408863, -0.0360249, -0.0313462, -0.028683, -0.027474, -0.0251913, -0.0241175, -0.0222093, -0.020412, -0.0187258, -0.0171505, -0.0140484, -0.0116417" \
             );
           }
           vector (ccs_template) {
@@ -25018,7 +25018,7 @@
             index_2 ("0.0193093");
             index_3 ("9.28304, 9.32227, 9.33291, 9.40522, 9.43058, 9.45282, 9.47371, 9.49414, 9.51455, 9.52415, 9.53406, 9.56847, 9.58341, 9.6004, 9.62413, 9.63751, 9.65926, 9.67195");
             values ( \
-              "-0.00935222, -0.0299679, -0.0406251, -0.125095, -0.149286, -0.163063, -0.169657, -0.17104, -0.164549, -0.155835, -0.141615, -0.0812627, -0.0600352, -0.0413394, -0.0237069, -0.0171604, -0.0100195, -0.00769074" \
+              "-0.00935222, -0.0299679, -0.0406251, -0.125095, -0.149286, -0.163063, -0.169657, -0.17104, -0.164549, -0.155835, -0.141615, -0.0812626, -0.0600353, -0.0413394, -0.0237068, -0.0171603, -0.0100195, -0.00769074" \
             );
           }
           vector (ccs_template) {
@@ -25034,7 +25034,7 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.299176");
-            index_3 ("13.5377, 13.6396, 13.718, 13.7617, 13.805, 13.8413, 13.8994, 13.9633, 14.0694, 14.2994, 14.5345, 14.7759, 15.0259, 15.1208, 15.2028, 15.2902, 15.4259, 15.5775, 15.8392, 16.0064, 16.1302, 16.2952, 16.4257, 16.5285, 16.6654, 16.738, 16.8113, 16.9577, 17.0643, 17.2065, 17.4908, 18.0595, 18.7317");
+            index_3 ("13.5377, 13.6396, 13.718, 13.7617, 13.805, 13.8413, 13.8994, 13.9633, 14.0694, 14.2994, 14.5345, 14.7759, 15.0259, 15.1208, 15.2028, 15.2902, 15.4259, 15.5775, 15.8392, 16.0064, 16.1302, 16.2952, 16.4257, 16.5285, 16.6654, 16.738, 16.8113, 16.9577, 17.0643, 17.2065, 17.4908, 18.0594, 18.7317");
             values ( \
               "-0.12542, -0.141006, -0.197228, -0.216001, -0.226901, -0.232028, -0.236072, -0.237228, -0.236437, -0.231971, -0.226383, -0.219781, -0.21103, -0.206588, -0.201785, -0.195092, -0.179987, -0.157239, -0.115698, -0.0921087, -0.0769363, -0.0597386, -0.0485366, -0.0410743, -0.0327094, -0.0289503, -0.0255695, -0.0198795, -0.0165333, -0.0128931, -0.0077422, -0.00254739, -0.000636333" \
             );
@@ -25087,8 +25087,8 @@
             "0.274879, 0.350568, 0.620628, 1.59522, 5.21817, 19.1184", \
             "0.282505, 0.358797, 0.630172, 1.60602, 5.22808, 19.1288", \
             "0.32188, 0.398237, 0.670979, 1.64835, 5.27221, 19.1718", \
-            "0.479698, 0.565138, 0.846815, 1.82343, 5.44812, 19.3513", \
-            "0.834305, 0.962696, 1.37937, 2.54331, 6.16577, 20.0566", \
+            "0.47992, 0.565582, 0.847199, 1.8244, 5.44812, 19.3516", \
+            "0.834626, 0.962696, 1.38001, 2.54426, 6.16457, 20.0566", \
             "1.69843, 1.88597, 2.51099, 4.32075, 9.01921, 22.9447" \
           );
         }
@@ -25099,8 +25099,8 @@
             "0.0323203, 0.0615273, 0.18021, 0.659883, 2.55178, 10.0038", \
             "0.0323142, 0.061499, 0.1802, 0.659836, 2.55196, 10.0038", \
             "0.0323468, 0.0615527, 0.180188, 0.659836, 2.55178, 10.0043", \
-            "0.0329682, 0.0622009, 0.180277, 0.659878, 2.55176, 10.0039", \
-            "0.038463, 0.0659294, 0.181466, 0.65993, 2.55175, 10.003", \
+            "0.0329648, 0.0621193, 0.180266, 0.659834, 2.55176, 10.0037", \
+            "0.0384563, 0.0659294, 0.181458, 0.659979, 2.55161, 10.003", \
             "0.0530518, 0.0792585, 0.188306, 0.663509, 2.5538, 10.0039" \
           );
         }
@@ -25113,7 +25113,7 @@
             "0.276468, 0.337455, 0.536012, 1.2117, 3.65667, 12.9434", \
             "0.347445, 0.424296, 0.656098, 1.35117, 3.79842, 13.0866", \
             "0.422859, 0.539764, 0.892779, 1.80151, 4.36099, 13.6541", \
-            "0.229352, 0.423443, 1.0203, 2.52611, 6.09494, 15.8663" \
+            "0.229381, 0.423443, 1.0203, 2.52611, 6.09494, 15.8663" \
           );
         }
         fall_transition (delay_template) {
@@ -25125,7 +25125,7 @@
             "0.0308552, 0.0512647, 0.121281, 0.400034, 1.52546, 5.96184", \
             "0.0305875, 0.0514223, 0.121865, 0.400159, 1.52724, 5.96123", \
             "0.0332583, 0.0536715, 0.123818, 0.401364, 1.52546, 5.96123", \
-            "0.0461097, 0.0658163, 0.132164, 0.404827, 1.52683, 5.96123" \
+            "0.0461133, 0.0658163, 0.132164, 0.404827, 1.52683, 5.96123" \
           );
         }
         output_current_rise () {
@@ -25295,36 +25295,36 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.961447, 0.966884, 0.984142, 0.989946, 0.995133, 1.00006, 1.00498, 1.00989, 1.01546, 1.02335, 1.03156, 1.04077, 1.05291, 1.06979, 1.07842");
+            index_3 ("0.961658, 0.967121, 0.984366, 0.99017, 0.995356, 1.00029, 1.0052, 1.01011, 1.01568, 1.02357, 1.03179, 1.04077, 1.05431, 1.06662, 1.07917");
             values ( \
-              "0.00596093, 0.0107292, 0.0357148, 0.0416348, 0.0448607, 0.0461532, 0.0452451, 0.0401436, 0.029143, 0.0182406, 0.0112309, 0.00644516, 0.00314808, 0.00116009, 0.00076497" \
+              "0.00593109, 0.0107355, 0.035724, 0.0416396, 0.0448682, 0.0461564, 0.0452501, 0.0401444, 0.0291412, 0.0182446, 0.0112322, 0.00652876, 0.0028816, 0.00139495, 0.000905815" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490553");
-            index_3 ("1.01494, 1.01991, 1.02543, 1.04578, 1.05318, 1.05721, 1.06529, 1.06716, 1.07089, 1.07642, 1.08562, 1.09479, 1.09934, 1.10419, 1.11484, 1.12728, 1.13625, 1.14342, 1.15305, 1.16284, 1.17472, 1.18557, 1.19718, 1.20612, 1.224, 1.24247");
+            index_3 ("1.03072, 1.04381, 1.04522, 1.04851, 1.05208, 1.05434, 1.05604, 1.05775, 1.06045, 1.06181, 1.06428, 1.0654, 1.06764, 1.06996, 1.07228, 1.0746, 1.07692, 1.07921, 1.0815, 1.08379, 1.08608, 1.08837, 1.09067, 1.09296, 1.09525, 1.0962, 1.09748, 1.10003, 1.10387, 1.10618, 1.11135, 1.11565, 1.12198, 1.12505, 1.12608, 1.13018, 1.13428, 1.14163, 1.14529, 1.15016, 1.15396, 1.15831, 1.16187, 1.16327, 1.16605, 1.16884, 1.17162, 1.17719, 1.18187, 1.1904");
             values ( \
-              "0.00634904, 0.00796711, 0.0166987, 0.0636036, 0.0777139, 0.0837947, 0.0921182, 0.0934474, 0.0952741, 0.0967329, 0.0957182, 0.0903609, 0.085113, 0.0780008, 0.0603738, 0.0431497, 0.0332136, 0.0268027, 0.0198445, 0.0144987, 0.00982089, 0.00683287, 0.0046388, 0.00342761, 0.00184481, 0.00109525" \
+              "0.0573536, 0.0586178, 0.0615418, 0.0688705, 0.0757134, 0.0795252, 0.0821523, 0.0845644, 0.0878924, 0.0893997, 0.0918849, 0.0928957, 0.0947025, 0.0951963, 0.0955507, 0.0957658, 0.0958414, 0.0957793, 0.0955812, 0.0952472, 0.0947772, 0.0939012, 0.0928428, 0.0916019, 0.0901787, 0.0894006, 0.0879517, 0.0846459, 0.07914, 0.0755111, 0.0667257, 0.0598905, 0.050433, 0.0462094, 0.0448797, 0.0400718, 0.0356501, 0.0286153, 0.025404, 0.0219221, 0.0195318, 0.0170148, 0.0150616, 0.0143261, 0.0130564, 0.0118935, 0.010901, 0.00910951, 0.00783106, 0.00581963" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0193093");
-            index_3 ("1.21071, 1.26343, 1.2754, 1.29006, 1.30295, 1.31511, 1.34059, 1.36729, 1.39436, 1.50289, 1.55009, 1.59695, 1.66237, 1.71752, 1.77437");
+            index_3 ("1.20945, 1.23269, 1.24736, 1.25725, 1.26387, 1.2771, 1.29045, 1.3033, 1.31551, 1.341, 1.36769, 1.39475, 1.41676, 1.47073, 1.50341, 1.52909, 1.55039, 1.56684, 1.59735, 1.61071, 1.6322, 1.66281, 1.69398, 1.71775, 1.76529, 1.85194, 1.95709");
             values ( \
-              "0.0126118, 0.1194, 0.131402, 0.138253, 0.139357, 0.138683, 0.133868, 0.126511, 0.11602, 0.0528615, 0.0330574, 0.0198991, 0.00942291, 0.00491804, 0.00321424" \
+              "0.00665969, 0.0531971, 0.0892804, 0.109295, 0.11969, 0.132411, 0.138326, 0.139347, 0.138735, 0.133909, 0.126544, 0.116, 0.104158, 0.0705118, 0.0528047, 0.0410735, 0.0330878, 0.0277909, 0.0199059, 0.0171494, 0.0134278, 0.00941153, 0.00653604, 0.00493643, 0.00276087, 0.000884262, 0.000189524" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0760057");
-            index_3 ("1.81557, 1.97246, 1.982, 2.01333, 2.06248, 2.24531, 2.33609, 2.38951, 2.44987, 2.55817, 2.77773, 2.93519, 3.00404, 3.09585, 3.21089, 3.27918, 3.40443, 3.54836, 3.66375, 3.89453, 4.01938");
+            index_3 ("1.8499, 1.89417, 1.91857, 1.93099, 1.95158, 1.97688, 1.99947, 2.02376, 2.06364, 2.1188, 2.22197, 2.29413, 2.34507, 2.44501, 2.48738, 2.52661, 2.7236, 2.77274, 2.83827, 2.93375, 3.01366, 3.07584, 3.15767, 3.18034, 3.22569, 3.30405, 3.415, 3.464, 3.53007, 3.61817, 3.79438, 4.11751, 4.49959");
             values ( \
-              "0.0110769, 0.157049, 0.157983, 0.158448, 0.15603, 0.143048, 0.135445, 0.130129, 0.122745, 0.105768, 0.0672971, 0.0446524, 0.0367608, 0.0280425, 0.0197107, 0.0159184, 0.0106121, 0.00664685, 0.00454224, 0.00202781, 0.00149126" \
+              "0.0386691, 0.0849444, 0.121103, 0.135749, 0.150365, 0.157499, 0.158581, 0.158118, 0.155963, 0.15237, 0.14498, 0.139265, 0.134687, 0.123653, 0.11754, 0.111291, 0.0765617, 0.068304, 0.0580694, 0.0449716, 0.0358896, 0.0298915, 0.0233324, 0.0217593, 0.0189081, 0.0147601, 0.0103202, 0.00880763, 0.00710354, 0.00531207, 0.00292453, 0.000880791, 0.0001983" \
             );
           }
           vector (ccs_template) {
@@ -25340,18 +25340,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.17762");
-            index_3 ("12.797, 13.1442, 13.3471, 13.4778, 13.718, 13.882, 14.2577, 14.5438, 14.9254, 15.5954, 16.9609, 18.3789, 19.8008, 20.2991, 20.867, 21.4824, 22.7131, 25.9724, 26.7003, 28.1222, 28.949, 30.2389, 31.6608, 32.776, 33.3979, 34.5318, 35.9537, 36.7561, 38.178, 39.5999, 41.0218, 43.8656, 46.7094, 50.9751");
+            index_3 ("12.75, 13.3462, 13.4809, 13.7242, 14.2639, 14.6088, 15.6014, 16.9667, 18.3848, 19.8779, 20.8727, 21.4883, 22.7194, 25.9782, 28.128, 28.9549, 30.2448, 31.6667, 32.4709, 33.4037, 34.5376, 35.9595, 38.1838, 39.6057, 41.0276, 43.8714, 46.7152, 50.9809");
             values ( \
-              "0.119398, 0.125002, 0.149006, 0.1534, 0.155929, 0.157071, 0.15849, 0.158894, 0.158802, 0.157668, 0.152846, 0.146097, 0.138045, 0.134823, 0.130595, 0.125405, 0.112861, 0.0744297, 0.066297, 0.0520152, 0.0447366, 0.0350656, 0.0264081, 0.0210716, 0.0184978, 0.0146018, 0.0107443, 0.00907, 0.00662804, 0.00487862, 0.00353921, 0.00187789, 0.000991097, 0.000404987" \
+              "0.0866136, 0.14867, 0.153367, 0.155913, 0.158516, 0.158948, 0.157686, 0.152863, 0.146113, 0.137653, 0.130583, 0.125418, 0.112844, 0.0744419, 0.0520274, 0.0447247, 0.035077, 0.0263965, 0.0224159, 0.018487, 0.0146126, 0.0107335, 0.00661735, 0.00488928, 0.00352857, 0.00186732, 0.000980588, 0.000415404" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.86236, 2.8668, 2.8712, 2.87602, 2.89696, 2.90452, 2.91097, 2.9168, 2.92242, 2.92802, 2.93587, 2.94183, 2.95056, 2.95381, 2.96004, 2.96739, 2.97389, 2.98132, 2.98714, 2.99878, 3.00664");
+            index_3 ("2.8627, 2.86709, 2.8715, 2.87641, 2.89729, 2.90484, 2.91129, 2.91712, 2.92274, 2.92834, 2.93618, 2.94212, 2.9509, 2.95418, 2.96035, 2.96769, 2.97419, 2.98166, 2.9875, 2.99919, 3.00718");
             values ( \
-              "0.00291001, 0.00411422, 0.00588538, 0.00910807, 0.0267196, 0.0326642, 0.0369861, 0.0397109, 0.040403, 0.037188, 0.0247084, 0.0176295, 0.0107807, 0.00892784, 0.00620971, 0.00404166, 0.00277069, 0.00182821, 0.00132653, 0.000700326, 0.000513548" \
+              "0.00291401, 0.00410135, 0.00587184, 0.00915789, 0.0267239, 0.0326701, 0.0369965, 0.0397161, 0.0404105, 0.0371898, 0.0247119, 0.0176535, 0.0107667, 0.008902, 0.00620989, 0.00404598, 0.00277259, 0.00182629, 0.00132313, 0.000696416, 0.000508021" \
             );
           }
           vector (ccs_template) {
@@ -25367,27 +25367,27 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0193093");
-            index_3 ("3.28302, 3.31091, 3.35557, 3.36975, 3.38435, 3.40348, 3.4228, 3.43582, 3.4752, 3.50217, 3.57356, 3.61904, 3.66169, 3.69253, 3.72772, 3.75822, 3.79779, 3.85054, 3.87601");
+            index_3 ("3.27868, 3.30448, 3.36858, 3.38484, 3.40349, 3.42329, 3.43631, 3.47569, 3.50259, 3.57397, 3.61898, 3.66315, 3.69303, 3.75853, 3.81365, 3.86136, 3.87018");
             values ( \
-              "0.00670676, 0.0313801, 0.105224, 0.122637, 0.132412, 0.136762, 0.135413, 0.13301, 0.121857, 0.109495, 0.0659645, 0.0431918, 0.0278635, 0.0198952, 0.0133731, 0.00937948, 0.00589193, 0.00309361, 0.00246737" \
+              "0.00697062, 0.0224039, 0.121086, 0.13243, 0.136785, 0.135449, 0.132971, 0.12185, 0.109528, 0.06601, 0.0434309, 0.0275776, 0.0198942, 0.0093999, 0.00492055, 0.00275088, 0.0025619" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0760057");
-            index_3 ("4.09882, 4.17115, 4.21053, 4.22837, 4.25293, 4.25727, 4.26596, 4.28332, 4.30606, 4.33985, 4.34429, 4.35316, 4.37092, 4.40183, 4.43382, 4.52725, 4.62573, 4.72569, 4.76805, 4.80729, 4.85256, 5.0043, 5.05343, 5.11895, 5.21445, 5.24773, 5.29438, 5.35659, 5.43839, 5.46106, 5.50639, 5.58476, 5.69572, 5.74473, 5.81083, 5.89896, 6.07521, 6.39829, 6.78028");
+            index_3 ("4.12971, 4.21943, 4.25429, 4.29171, 4.43616, 4.57178, 4.67557, 4.84252, 5.06243, 5.21957, 5.37956, 5.49538, 5.68896, 5.94687, 6.16014");
             values ( \
-              "0.000309852, 0.0753828, 0.130991, 0.145555, 0.155731, 0.156088, 0.157417, 0.157936, 0.157864, 0.155952, 0.15592, 0.155176, 0.154237, 0.152016, 0.149987, 0.143101, 0.134795, 0.123521, 0.117531, 0.111288, 0.103539, 0.0765601, 0.0683042, 0.0580666, 0.0449724, 0.040996, 0.0358839, 0.0298884, 0.0233286, 0.0217599, 0.0189064, 0.0147616, 0.010318, 0.00880532, 0.00710103, 0.00531267, 0.0029219, 0.000882433, 0.000196841" \
+              "0.0395293, 0.138508, 0.155518, 0.158173, 0.149927, 0.139647, 0.129915, 0.105743, 0.067228, 0.0446413, 0.0280872, 0.0197012, 0.0106075, 0.00455759, 0.0022263" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.299176");
-            index_3 ("6.45812, 6.57357, 6.64561, 6.69972, 6.74986, 6.86634, 7.04125, 7.50416, 7.86567, 8.23138, 8.46015, 8.65744, 9.05202, 9.93711, 10.5071, 11.0672, 11.3805, 11.6407, 11.9426, 12.3699, 12.8141, 13.1543, 13.8348, 14.7705");
+            index_3 ("6.47527, 6.61291, 6.65392, 6.70518, 6.79969, 6.9508, 7.22626, 7.50478, 7.86637, 8.24743, 8.63343, 8.95271, 9.90078, 10.4686, 10.9196, 11.266, 11.4649, 11.6871, 11.9818, 12.3897, 12.9032, 13.5879, 14.6528, 16.0209");
             values ( \
-              "0.125513, 0.134832, 0.157817, 0.160997, 0.161539, 0.161196, 0.159589, 0.152455, 0.145501, 0.137394, 0.131268, 0.12484, 0.108829, 0.0682033, 0.0464032, 0.0305393, 0.0238526, 0.0193509, 0.0151113, 0.0105515, 0.00725313, 0.00542683, 0.00297313, 0.00141115" \
+              "0.14796, 0.151105, 0.158617, 0.161283, 0.16155, 0.160516, 0.157136, 0.152483, 0.145526, 0.137054, 0.125698, 0.113202, 0.06978, 0.0477072, 0.0342199, 0.0261277, 0.0223074, 0.0186454, 0.0146361, 0.0103893, 0.00672054, 0.00368494, 0.0013667, 0.000353904" \
             );
           }
           vector (ccs_template) {
@@ -25463,7 +25463,7 @@
             "0.0101783, 0.0101957, 0.0102309, 0.010261, 0.0102748, 0.0102789", \
             "0.0105232, 0.0105083, 0.0104904, 0.0104892, 0.0104937, 0.010496", \
             "0.0107953, 0.0107442, 0.0106664, 0.0105908, 0.0105547, 0.0105449", \
-            "0.0110677, 0.0110511, 0.0108605, 0.0107108, 0.0106177, 0.0105711" \
+            "0.0110681, 0.0110511, 0.0108605, 0.0107108, 0.0106177, 0.0105711" \
           );
         }
         receiver_capacitance2_rise (delay_template) {
@@ -25475,7 +25475,7 @@
             "0.0104541, 0.0102792, 0.0100536, 0.00992299, 0.00987407, 0.00985988", \
             "0.0107793, 0.0109075, 0.0103957, 0.00990176, 0.00972929, 0.0096775", \
             "0.00982303, 0.0099148, 0.0100341, 0.0102346, 0.00985975, 0.00967287", \
-            "0.00915257, 0.00918327, 0.00954986, 0.00992329, 0.0089989, 0.009866" \
+            "0.00915323, 0.00918327, 0.00954986, 0.00992329, 0.0089989, 0.009866" \
           );
         }
         output_current_fall () {
@@ -25710,7 +25710,7 @@
             index_2 ("0.00490553");
             index_3 ("2.55175, 2.55424, 2.56136, 2.56605, 2.58526, 2.59389, 2.60416, 2.6128, 2.61905, 2.62235, 2.62893, 2.6341, 2.6388, 2.64701, 2.65932, 2.66433, 2.67214, 2.677, 2.68108, 2.68667, 2.69412, 2.70789, 2.71177");
             values ( \
-              "-0.0126509, -0.0139154, -0.0215939, -0.0291577, -0.0635919, -0.076815, -0.0902966, -0.0986748, -0.103184, -0.105058, -0.107638, -0.1083, -0.107124, -0.0953134, -0.0564306, -0.0423591, -0.0257419, -0.0184213, -0.0138057, -0.00921966, -0.00525696, -0.001735, -0.00143492" \
+              "-0.0126509, -0.0139154, -0.0215939, -0.0291577, -0.0635919, -0.076815, -0.0902966, -0.0986749, -0.103184, -0.105058, -0.107638, -0.1083, -0.107124, -0.0953134, -0.0564306, -0.0423591, -0.0257419, -0.0184213, -0.0138057, -0.00921966, -0.00525696, -0.001735, -0.00143493" \
             );
           }
           vector (ccs_template) {
@@ -25753,9 +25753,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("8.48982, 8.50068, 8.50353, 8.50924, 8.51386, 8.53684, 8.54674, 8.55519, 8.56276, 8.56974, 8.57639, 8.58297, 8.5879, 8.5939, 8.59888, 8.60461, 8.60874, 8.61424, 8.62287, 8.63319, 8.63719");
+            index_3 ("8.49348, 8.50361, 8.50542, 8.50722, 8.50993, 8.51095, 8.51299, 8.514, 8.51586, 8.51957, 8.52208, 8.52405, 8.52536, 8.52799, 8.53122, 8.53508, 8.53948, 8.54443, 8.5469, 8.55113, 8.55536, 8.55914, 8.56293, 8.56642, 8.56991, 8.57157, 8.57323, 8.5749, 8.57656, 8.5782, 8.57985, 8.58149, 8.58314, 8.58437, 8.5856, 8.58683, 8.58807, 8.58866, 8.58925, 8.59044, 8.592, 8.59407, 8.59749, 8.59827, 8.59905, 8.59983, 8.60139, 8.60217, 8.60413, 8.60616");
             values ( \
-              "-0.00265124, -0.00401863, -0.00508462, -0.006409, -0.00851677, -0.0205451, -0.024815, -0.0283373, -0.0309565, -0.0331629, -0.0344404, -0.0338552, -0.0275726, -0.0172602, -0.0102678, -0.00515856, -0.00305356, -0.00151505, -0.000495061, -0.000246542, -0.000207133" \
+              "-0.00398143, -0.00488413, -0.00537797, -0.0059144, -0.00682672, -0.00723732, -0.00811478, -0.00858166, -0.00952101, -0.0115048, -0.0129269, -0.0140862, -0.0147955, -0.0161784, -0.0178057, -0.0196641, -0.0217042, -0.0238987, -0.0249577, -0.0267075, -0.0283831, -0.0297938, -0.0311709, -0.0324115, -0.0336236, -0.0338931, -0.0340417, -0.0340691, -0.0339755, -0.0337272, -0.033346, -0.0328319, -0.0321848, -0.0312478, -0.030121, -0.0288044, -0.027298, -0.026456, -0.0255595, -0.0236035, -0.0206847, -0.0172092, -0.0120836, -0.0110497, -0.0100943, -0.00926226, -0.00774445, -0.00705874, -0.00557978, -0.00419071" \
             );
           }
           vector (ccs_template) {
@@ -25811,8 +25811,8 @@
             "0.00766385, 0.00769801, 0.00774242, 0.00776903, 0.00777825, 0.00778081", \
             "0.00872157, 0.00874696, 0.00879304, 0.0088294, 0.00884396, 0.00884821", \
             "0.00920476, 0.00920711, 0.00921834, 0.0092374, 0.00924873, 0.00925252", \
-            "0.00944383, 0.00943734, 0.00942534, 0.00941706, 0.009417, 0.00941837", \
-            "0.00958652, 0.00957943, 0.00956104, 0.0095342, 0.00951538, 0.00950858", \
+            "0.00944333, 0.00943692, 0.00942485, 0.0094166, 0.009417, 0.00941879", \
+            "0.00958685, 0.00957943, 0.00956118, 0.0095339, 0.00951492, 0.00950858", \
             "0.00964687, 0.00964242, 0.00962902, 0.0096008, 0.00956614, 0.00954405" \
           );
         }
@@ -25823,8 +25823,8 @@
             "0.00978076, 0.00981682, 0.00987261, 0.00990851, 0.0099212, 0.00992475", \
             "0.010517, 0.0105108, 0.0105059, 0.0105044, 0.0105038, 0.0105035", \
             "0.0110812, 0.011015, 0.0109136, 0.0108403, 0.0108047, 0.0107962", \
-            "0.0119224, 0.0117465, 0.0113827, 0.0111225, 0.01102, 0.0109887", \
-            "0.0108181, 0.0107059, 0.0100987, 0.0114722, 0.0111193, 0.0110036", \
+            "0.0119269, 0.0117434, 0.0113858, 0.0111231, 0.01102, 0.0109885", \
+            "0.0108178, 0.0107059, 0.0101053, 0.0114739, 0.0111143, 0.0110036", \
             "0.0105662, 0.0106114, 0.0107115, 0.0100157, 0.011521, 0.0111296" \
           );
         }
@@ -27229,7 +27229,7 @@
             index_2 ("0.0193093");
             index_3 ("2.65857, 2.7095, 2.71386, 2.73126, 2.79485, 2.85338, 2.88213, 2.9082, 2.93279, 2.95695, 2.98109, 3.03261, 3.05602, 3.08254, 3.11276, 3.1473, 3.14994");
             values ( \
-              "-0.00630077, -0.0209956, -0.0231667, -0.0330936, -0.0777653, -0.113849, -0.128225, -0.138477, -0.144118, -0.143982, -0.126793, -0.0558456, -0.0340235, -0.0185158, -0.00894393, -0.00364515, -0.00349296" \
+              "-0.00630077, -0.0209956, -0.0231666, -0.0330936, -0.0777653, -0.113849, -0.128225, -0.138477, -0.144118, -0.143982, -0.126793, -0.0558456, -0.0340235, -0.0185158, -0.00894393, -0.00364515, -0.00349296" \
             );
           }
           vector (ccs_template) {
@@ -27283,7 +27283,7 @@
             index_2 ("0.0193093");
             index_3 ("0.000630373, 6.53646, 8.47152, 8.7383, 8.98278, 9.49163, 9.73779, 9.75397, 9.77095, 9.8049, 9.83818, 9.84546, 9.86, 9.97353, 10.0251, 10.0686, 10.1073, 10.143, 10.1771, 10.211, 10.225, 10.2683, 10.2842, 10.2977, 10.3156, 10.3314, 10.3398, 10.3566, 10.3901, 10.4062");
             values ( \
-              "-1e-22, -6.02953e-06, -4.91507e-05, -4.03566e-05, -2.60001e-06, -1e-22, -0.00391247, -0.0055974, -0.00769944, -0.0129157, -0.0197397, -0.0215607, -0.0254128, -0.0603145, -0.0746377, -0.0853765, -0.093946, -0.10041, -0.104311, -0.0991243, -0.089698, -0.0508188, -0.0385326, -0.0299559, -0.020908, -0.0150334, -0.0125576, -0.00867219, -0.00393488, -0.00301203" \
+              "-1e-22, -6.02952e-06, -4.91507e-05, -4.03566e-05, -2.60001e-06, -1e-22, -0.00391247, -0.0055974, -0.00769944, -0.0129157, -0.0197397, -0.0215607, -0.0254128, -0.0603145, -0.0746377, -0.0853765, -0.093946, -0.10041, -0.104311, -0.0991243, -0.089698, -0.0508188, -0.0385326, -0.0299559, -0.020908, -0.0150334, -0.0125576, -0.00867219, -0.00393488, -0.00301203" \
             );
           }
           vector (ccs_template) {
@@ -27350,7 +27350,7 @@
             "0.272382, 0.353237, 0.641422, 1.68948, 5.62405, 20.8049", \
             "0.28085, 0.362109, 0.651232, 1.69999, 5.6346, 20.8154", \
             "0.321882, 0.403042, 0.692348, 1.74137, 5.67811, 20.8588", \
-            "0.485999, 0.57703, 0.869936, 1.9158, 5.85098, 21.0322", \
+            "0.485782, 0.576551, 0.869936, 1.9158, 5.85098, 21.0322", \
             "0.852049, 0.990632, 1.43187, 2.64539, 6.56453, 21.7365", \
             "1.72121, 1.92587, 2.59794, 4.52468, 9.4664, 24.5996" \
           );
@@ -27362,7 +27362,7 @@
             "0.0365735, 0.0655873, 0.182224, 0.659824, 2.55189, 10.008", \
             "0.0365906, 0.0655385, 0.182215, 0.659824, 2.5519, 10.0074", \
             "0.0365514, 0.0656063, 0.18222, 0.659846, 2.55172, 10.0058", \
-            "0.0376086, 0.0660814, 0.182246, 0.659828, 2.55186, 10.0082", \
+            "0.0376709, 0.0660876, 0.182246, 0.659828, 2.55186, 10.0082", \
             "0.0453609, 0.0725546, 0.184721, 0.659878, 2.55172, 10.0081", \
             "0.0624618, 0.0903362, 0.195381, 0.664607, 2.55244, 10.0079" \
           );
@@ -27373,7 +27373,7 @@
           values ( \
             "0.227668, 0.291947, 0.502995, 1.21469, 3.78615, 13.5816", \
             "0.23709, 0.301555, 0.512818, 1.22402, 3.79598, 13.595", \
-            "0.275166, 0.340181, 0.552006, 1.26404, 3.83566, 13.6349", \
+            "0.275168, 0.340181, 0.552006, 1.26413, 3.83403, 13.6349", \
             "0.378588, 0.462206, 0.706936, 1.42326, 3.99388, 13.7918", \
             "0.49691, 0.625002, 1.01151, 1.99811, 4.63684, 14.4306", \
             "0.374796, 0.579323, 1.21494, 2.85976, 6.79771, 17.0051" \
@@ -27385,7 +27385,7 @@
           values ( \
             "0.0352923, 0.0601392, 0.133555, 0.40792, 1.52581, 5.96471", \
             "0.035292, 0.0601255, 0.133554, 0.407885, 1.52582, 5.96252", \
-            "0.0353073, 0.0601263, 0.133591, 0.407661, 1.52579, 5.96253", \
+            "0.0353091, 0.0601263, 0.133591, 0.407876, 1.52622, 5.96253", \
             "0.0359568, 0.0609824, 0.133801, 0.408027, 1.52577, 5.96182", \
             "0.0408919, 0.0666106, 0.139722, 0.409506, 1.52584, 5.96185", \
             "0.0572915, 0.0877602, 0.159496, 0.419543, 1.52672, 5.96185" \
@@ -27558,18 +27558,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.956917, 0.969516, 0.98738, 0.994517, 1.00068, 1.00539, 1.0084, 1.01195, 1.01748, 1.02614, 1.0329, 1.03643, 1.04336, 1.04723, 1.05342, 1.05764, 1.06474, 1.07369, 1.08092, 1.09539, 1.10349");
+            index_3 ("0.957844, 0.967852, 0.987146, 0.994301, 1.00049, 1.0062, 1.01177, 1.01733, 1.02605, 1.03329, 1.04083, 1.04871, 1.05328, 1.05789, 1.06474, 1.07338, 1.08029, 1.0941, 1.09868");
             values ( \
-              "0.00203446, 0.0118712, 0.028482, 0.0344156, 0.0381917, 0.0400484, 0.0405875, 0.0404452, 0.0371141, 0.023513, 0.015984, 0.0131819, 0.00899861, 0.00714201, 0.00498857, 0.00390048, 0.00258803, 0.00158819, 0.00108536, 0.000522271, 0.00039687" \
+              "0.00380871, 0.0106274, 0.0283991, 0.0343306, 0.0382242, 0.0402428, 0.0405006, 0.0370681, 0.0234036, 0.0154858, 0.0101238, 0.00651912, 0.00500499, 0.00383491, 0.00257522, 0.00160876, 0.00111803, 0.000554592, 0.000478618" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490553");
-            index_3 ("1.02422, 1.03612, 1.05983, 1.06631, 1.07752, 1.08766, 1.0975, 1.10733, 1.11213, 1.1375, 1.15255, 1.16532, 1.17657, 1.19184, 1.2009, 1.21346, 1.2302, 1.25294");
+            index_3 ("1.02602, 1.03636, 1.06073, 1.0658, 1.07595, 1.08325, 1.08714, 1.09492, 1.10108, 1.10693, 1.11698, 1.1308, 1.14789, 1.16159, 1.17786, 1.18906, 1.19987, 1.21393, 1.23267, 1.25276");
             values ( \
-              "0.014619, 0.0264845, 0.0644899, 0.073349, 0.0843755, 0.0894583, 0.0903353, 0.0866558, 0.0821768, 0.046, 0.0299028, 0.0202497, 0.0141706, 0.00862449, 0.00640736, 0.00424654, 0.00243089, 0.00122807" \
+              "0.0230833, 0.0277319, 0.0665043, 0.0732422, 0.0835114, 0.0878913, 0.0893503, 0.0903509, 0.08931, 0.0864063, 0.0754091, 0.054116, 0.0337416, 0.022372, 0.0133524, 0.00928336, 0.00653647, 0.00412985, 0.00217458, 0.00124399" \
             );
           }
           vector (ccs_template) {
@@ -27723,7 +27723,7 @@
           values ( \
             "0.00632804, 0.00635437, 0.00638789, 0.00640707, 0.00641356, 0.00641534", \
             "0.00730438, 0.00732749, 0.00736687, 0.00739544, 0.00740626, 0.00740935", \
-            "0.00770482, 0.00771026, 0.00772414, 0.00774141, 0.00774938, 0.00775324", \
+            "0.0077061, 0.00771026, 0.00772414, 0.00774032, 0.00775043, 0.00775324", \
             "0.00795227, 0.00793296, 0.00790002, 0.00787927, 0.00787457, 0.00787386", \
             "0.00812297, 0.00808989, 0.00803088, 0.00796088, 0.00791824, 0.00790473", \
             "0.00840472, 0.00832591, 0.00819004, 0.00806633, 0.00797865, 0.00792861" \
@@ -27735,7 +27735,7 @@
           values ( \
             "0.00784829, 0.00785754, 0.0078722, 0.00788112, 0.00788421, 0.00788507", \
             "0.00789771, 0.00785711, 0.00779873, 0.00776052, 0.00774673, 0.00774285", \
-            "0.00791163, 0.00781731, 0.00767404, 0.00757132, 0.00753186, 0.00751701", \
+            "0.00791023, 0.00781731, 0.00767404, 0.00757355, 0.00752969, 0.00751701", \
             "0.00865918, 0.00838293, 0.00791316, 0.00758239, 0.00745681, 0.00742018", \
             "0.00824773, 0.00830536, 0.00837417, 0.00803953, 0.00756771, 0.007429", \
             "0.00758974, 0.00773931, 0.00803492, 0.00833688, 0.00807497, 0.0075866" \
@@ -27854,9 +27854,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.365295, 0.368494, 0.378302, 0.390217, 0.399332, 0.405097, 0.410695, 0.416225, 0.421752, 0.436635, 0.44316, 0.450121, 0.458254, 0.467865, 0.469013");
+            index_3 ("0.365345, 0.369532, 0.376005, 0.383627, 0.393379, 0.399467, 0.405231, 0.410828, 0.416356, 0.421883, 0.422952, 0.431888, 0.436761, 0.443289, 0.450266, 0.458399, 0.468015, 0.474435");
             values ( \
-              "-0.0104212, -0.0112604, -0.0249856, -0.0340145, -0.0381086, -0.0396648, -0.0405672, -0.0406384, -0.0383747, -0.0108597, -0.00476434, -0.00186728, -0.000597777, -0.00019813, -0.000195962" \
+              "-0.0103639, -0.0121243, -0.0221404, -0.0295733, -0.0357061, -0.0380434, -0.0397567, -0.0405054, -0.0407128, -0.0383187, -0.0368131, -0.0186697, -0.0108644, -0.00476466, -0.00186273, -0.000596793, -0.000197561, -0.000185459" \
             );
           }
           vector (ccs_template) {
@@ -27881,18 +27881,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0760057");
-            index_3 ("1.00437, 1.05096, 1.12541, 1.15209, 1.19665, 1.22087, 1.24405, 1.26601, 1.30992, 1.36175, 1.39389, 1.45813, 1.49944, 1.52837, 1.58622, 1.67371, 1.74475, 1.82027, 1.85843, 1.90932, 1.9517, 1.99793, 2.05958, 2.18288, 2.3442, 2.5323");
+            index_3 ("1.00797, 1.06276, 1.12556, 1.15375, 1.1986, 1.22203, 1.24459, 1.26604, 1.29839, 1.32989, 1.39397, 1.43847, 1.45946, 1.4926, 1.52837, 1.5986, 1.66518, 1.71256, 1.75177, 1.782, 1.82231, 1.85528, 1.89333, 1.94706, 2.00215, 2.04423, 2.12839, 2.28499, 2.46665");
             values ( \
-              "-0.0857866, -0.0923308, -0.162019, -0.179663, -0.199374, -0.205916, -0.210214, -0.212832, -0.215093, -0.214068, -0.212082, -0.205412, -0.19812, -0.190374, -0.164001, -0.11202, -0.0763009, -0.0484929, -0.0381308, -0.0273797, -0.0206592, -0.0151503, -0.00992692, -0.00403036, -0.0011396, -0.000243697" \
+              "-0.0891557, -0.103311, -0.162299, -0.180698, -0.200085, -0.206249, -0.210319, -0.212885, -0.2148, -0.215056, -0.212172, -0.207891, -0.205182, -0.199565, -0.190377, -0.156967, -0.116971, -0.0914979, -0.0733697, -0.0614333, -0.0479544, -0.038968, -0.0305064, -0.0213363, -0.0147544, -0.0110964, -0.00612831, -0.00184279, -0.000407354" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.299176");
-            index_3 ("2.70365, 2.83904, 2.89406, 2.95069, 3.02698, 3.06225, 3.1328, 3.1972, 3.25916, 3.3611, 3.48941, 3.72453, 3.96601, 4.20922, 4.25687, 4.39313, 4.48031, 4.61611, 5.02941, 5.19667, 5.32044, 5.48547, 5.61602, 5.71875, 5.85571, 6.08258, 6.16069, 6.33596, 6.53627, 6.93689, 7.56438, 8.3097");
+            index_3 ("2.69033, 2.81384, 2.89165, 2.94741, 3.02477, 3.09221, 3.16898, 3.25712, 3.35312, 3.64195, 3.88349, 4.08592, 4.21389, 4.46636, 4.55178, 4.64939, 5.02931, 5.18401, 5.3399, 5.54775, 5.62919, 5.78222, 5.91893, 6.1857, 6.33618, 6.63714, 7.20196, 7.85935");
             values ( \
-              "-0.124758, -0.143397, -0.176295, -0.20187, -0.222209, -0.227505, -0.233487, -0.235366, -0.235612, -0.234437, -0.231918, -0.226342, -0.219746, -0.211291, -0.209209, -0.20175, -0.195072, -0.179964, -0.115682, -0.0920978, -0.0769295, -0.0597352, -0.0485335, -0.0410715, -0.0327063, -0.0221759, -0.019384, -0.0143036, -0.0100348, -0.00479305, -0.00134875, -0.000275099" \
+              "-0.116951, -0.127729, -0.17556, -0.201071, -0.221971, -0.23083, -0.234902, -0.235642, -0.234569, -0.228305, -0.222056, -0.215835, -0.211007, -0.196182, -0.187744, -0.175129, -0.115403, -0.093517, -0.074513, -0.0539239, -0.0473304, -0.0368398, -0.0292912, -0.0185216, -0.0142458, -0.00830037, -0.00275806, -0.000718533" \
             );
           }
           vector (ccs_template) {
@@ -27964,7 +27964,7 @@
             index_2 ("0.00124625");
             index_3 ("2.52273, 2.53778, 2.54708, 2.55719, 2.57256, 2.57922, 2.58559, 2.5918, 2.598, 2.61339, 2.61898, 2.62654, 2.63329, 2.64291, 2.73175");
             values ( \
-              "-0.000860179, -0.0104767, -0.018813, -0.0254415, -0.0326019, -0.0346073, -0.0359503, -0.0364013, -0.0349283, -0.0108152, -0.00557508, -0.00214238, -0.000883368, -0.00026341, -2.09699e-05" \
+              "-0.000860176, -0.0104767, -0.018813, -0.0254415, -0.0326019, -0.0346073, -0.0359503, -0.0364013, -0.0349283, -0.0108152, -0.00557508, -0.00214238, -0.000883368, -0.00026341, -2.09699e-05" \
             );
           }
           vector (ccs_template) {
@@ -27973,7 +27973,7 @@
             index_2 ("0.00490553");
             index_3 ("2.61871, 2.65022, 2.66507, 2.67843, 2.70465, 2.71771, 2.72763, 2.73759, 2.76082, 2.77057, 2.77921, 2.78532, 2.79754, 2.81103");
             values ( \
-              "-8.19444e-05, -0.0419607, -0.0569121, -0.0669376, -0.0821692, -0.0879016, -0.089719, -0.0831119, -0.0303878, -0.016548, -0.00928697, -0.0060635, -0.0024531, -0.00100028" \
+              "-8.19417e-05, -0.0419607, -0.0569121, -0.0669376, -0.0821692, -0.0879016, -0.089719, -0.0831119, -0.0303878, -0.016548, -0.00928697, -0.0060635, -0.0024531, -0.00100028" \
             );
           }
           vector (ccs_template) {
@@ -28027,7 +28027,7 @@
             index_2 ("0.00490553");
             index_3 ("8.78228, 8.81619, 8.84513, 8.86415, 8.88495, 8.93061, 8.93929, 8.95194, 8.9796, 8.98808, 8.99961, 9.00848, 9.02464, 9.04339, 9.09781");
             values ( \
-              "-0.000778367, -0.015351, -0.035253, -0.0454738, -0.0536685, -0.0683765, -0.0705617, -0.0690631, -0.0254806, -0.0157717, -0.00774333, -0.00433546, -0.0013448, -0.000406671, -0.00010854" \
+              "-0.000778366, -0.015351, -0.035253, -0.0454738, -0.0536685, -0.0683765, -0.0705617, -0.0690631, -0.0254806, -0.0157717, -0.00774333, -0.00433546, -0.0013448, -0.000406671, -0.00010854" \
             );
           }
           vector (ccs_template) {
@@ -28036,7 +28036,7 @@
             index_2 ("0.0193093");
             index_3 ("9.31993, 9.34001, 9.36112, 9.38876, 9.40751, 9.45027, 9.49569, 9.52043, 9.53082, 9.54917, 9.55816, 9.57295, 9.59635, 9.61975, 9.65414, 9.6654, 9.68495, 9.69583, 9.70587, 9.72534, 9.73631, 9.75826, 9.77373");
             values ( \
-              "-0.00991555, -0.0163446, -0.0295031, -0.0499196, -0.0621115, -0.0847047, -0.114453, -0.12974, -0.135183, -0.142944, -0.145774, -0.14902, -0.148218, -0.129681, -0.0776988, -0.0627401, -0.0417679, -0.0328412, -0.0261323, -0.0165229, -0.0126831, -0.00735685, -0.00548588" \
+              "-0.00991555, -0.0163446, -0.0295031, -0.0499196, -0.0621114, -0.0847047, -0.114453, -0.12974, -0.135183, -0.142944, -0.145774, -0.14902, -0.148218, -0.129681, -0.0776988, -0.0627401, -0.0417679, -0.0328412, -0.0261323, -0.0165229, -0.0126831, -0.00735685, -0.00548588" \
             );
           }
           vector (ccs_template) {
@@ -28054,7 +28054,7 @@
             index_2 ("0.299176");
             index_3 ("13.8275, 13.9748, 14.0997, 14.1506, 14.1873, 14.2606, 14.345, 14.4236, 14.5212, 14.6367, 14.8895, 15.131, 15.2834, 15.381, 15.5762, 15.6456, 15.7818, 16.1946, 16.362, 16.486, 16.6512, 16.8097, 16.9857, 17.1735, 17.3485, 17.6042, 17.9452, 18.5381, 19.2395");
             values ( \
-              "-0.107301, -0.122248, -0.185865, -0.204433, -0.214089, -0.226507, -0.232737, -0.234469, -0.234028, -0.232159, -0.226317, -0.219702, -0.214734, -0.210956, -0.200506, -0.195029, -0.179881, -0.115684, -0.092094, -0.0769065, -0.059687, -0.0464575, -0.034788, -0.0252995, -0.0187276, -0.0119774, -0.00644915, -0.00199519, -0.00045891" \
+              "-0.107301, -0.122248, -0.185865, -0.204433, -0.214089, -0.226507, -0.232737, -0.234469, -0.234028, -0.232159, -0.226317, -0.219702, -0.214734, -0.210956, -0.200506, -0.195029, -0.179881, -0.115684, -0.092094, -0.0769065, -0.059687, -0.0464575, -0.034788, -0.0252995, -0.0187276, -0.0119774, -0.00644915, -0.00199519, -0.000458909" \
             );
           }
           vector (ccs_template) {
@@ -28074,7 +28074,7 @@
             "0.00601379, 0.00603073, 0.00605358, 0.00606731, 0.00607209, 0.00607342", \
             "0.00675185, 0.00676208, 0.00678014, 0.0067943, 0.00680015, 0.00680185", \
             "0.00705176, 0.00705201, 0.00705488, 0.00706079, 0.00706448, 0.00706579", \
-            "0.0071873, 0.00718379, 0.00718653, 0.00717981, 0.00717779, 0.00717754", \
+            "0.00718785, 0.00718324, 0.00718653, 0.00717981, 0.00717779, 0.00717754", \
             "0.00727698, 0.00727297, 0.00726284, 0.00724813, 0.00723766, 0.0072339", \
             "0.00731076, 0.00730813, 0.00730056, 0.00728557, 0.00726768, 0.00725627" \
           );
@@ -28086,7 +28086,7 @@
             "0.00758039, 0.00759121, 0.00760605, 0.00761678, 0.00762038, 0.00762138", \
             "0.00802613, 0.00801543, 0.00800158, 0.00799204, 0.00798928, 0.00798852", \
             "0.0083973, 0.00834545, 0.00827963, 0.00823453, 0.00821687, 0.00821162", \
-            "0.00892987, 0.00878046, 0.00853347, 0.00837265, 0.00831345, 0.00829538", \
+            "0.00892182, 0.00878, 0.00853347, 0.00837265, 0.00831345, 0.00829538", \
             "0.00964422, 0.00946248, 0.00885004, 0.00858711, 0.00836274, 0.00829731", \
             "0.00940394, 0.00942614, 0.00957852, 0.0086673, 0.00860596, 0.00835773" \
           );
@@ -28128,7 +28128,7 @@
           values ( \
             "0.227668, 0.291947, 0.502995, 1.21469, 3.78615, 13.5816", \
             "0.23709, 0.301555, 0.512818, 1.22402, 3.79598, 13.595", \
-            "0.275166, 0.340181, 0.552006, 1.26404, 3.83566, 13.6349", \
+            "0.275168, 0.340181, 0.552006, 1.26413, 3.83403, 13.6349", \
             "0.378588, 0.462206, 0.706936, 1.42326, 3.99388, 13.7918", \
             "0.49691, 0.625002, 1.01151, 1.99811, 4.63684, 14.4306", \
             "0.374796, 0.579323, 1.21494, 2.85976, 6.79771, 17.0051" \
@@ -28140,7 +28140,7 @@
           values ( \
             "0.0352923, 0.0601392, 0.133555, 0.40792, 1.52581, 5.96471", \
             "0.035292, 0.0601255, 0.133554, 0.407885, 1.52582, 5.96252", \
-            "0.0353073, 0.0601263, 0.133591, 0.407661, 1.52579, 5.96253", \
+            "0.0353091, 0.0601263, 0.133591, 0.407876, 1.52622, 5.96253", \
             "0.0359568, 0.0609824, 0.133801, 0.408027, 1.52577, 5.96182", \
             "0.0408919, 0.0666106, 0.139722, 0.409506, 1.52584, 5.96185", \
             "0.0572915, 0.0877602, 0.159496, 0.419543, 1.52672, 5.96185" \
@@ -28396,7 +28396,7 @@
             index_2 ("0.0760057");
             index_3 ("4.20636, 4.24744, 4.26621, 4.29336, 4.3174, 4.33249, 4.35758, 4.38371, 4.42017, 4.50997, 4.64526, 4.70202, 4.75634, 4.80826, 4.91211, 5.1135, 5.24378, 5.31491, 5.39046, 5.49119, 5.55343, 5.658, 5.7714, 5.88506, 5.97256, 6.14757, 6.46948, 6.84996");
             values ( \
-              "0.051886, 0.0814061, 0.108372, 0.138167, 0.150906, 0.154522, 0.156809, 0.156704, 0.155163, 0.149573, 0.139541, 0.134623, 0.129111, 0.122708, 0.106551, 0.0710608, 0.0511673, 0.0421059, 0.0339061, 0.025072, 0.0206985, 0.0148963, 0.0103296, 0.00713732, 0.0053404, 0.0029507, 0.00088596, 0.000201049" \
+              "0.051886, 0.0814061, 0.108372, 0.138167, 0.150906, 0.154522, 0.156809, 0.156704, 0.155163, 0.149573, 0.139541, 0.134623, 0.129111, 0.122708, 0.106551, 0.0710608, 0.0511673, 0.0421059, 0.0339061, 0.025072, 0.0206985, 0.0148963, 0.0103296, 0.00713732, 0.0053404, 0.00295069, 0.000885958, 0.000201049" \
             );
           }
           vector (ccs_template) {
@@ -28478,7 +28478,7 @@
           values ( \
             "0.00632804, 0.00635437, 0.00638789, 0.00640707, 0.00641356, 0.00641534", \
             "0.00730438, 0.00732749, 0.00736687, 0.00739544, 0.00740626, 0.00740935", \
-            "0.00770482, 0.00771026, 0.00772414, 0.00774141, 0.00774938, 0.00775324", \
+            "0.0077061, 0.00771026, 0.00772414, 0.00774032, 0.00775043, 0.00775324", \
             "0.00795227, 0.00793296, 0.00790002, 0.00787927, 0.00787457, 0.00787386", \
             "0.00812297, 0.00808989, 0.00803088, 0.00796088, 0.00791824, 0.00790473", \
             "0.00840472, 0.00832591, 0.00819004, 0.00806633, 0.00797865, 0.00792861" \
@@ -28490,7 +28490,7 @@
           values ( \
             "0.00784829, 0.00785754, 0.0078722, 0.00788112, 0.00788421, 0.00788507", \
             "0.00789771, 0.00785711, 0.00779873, 0.00776052, 0.00774673, 0.00774285", \
-            "0.00791163, 0.00781731, 0.00767404, 0.00757132, 0.00753186, 0.00751701", \
+            "0.00791023, 0.00781731, 0.00767404, 0.00757355, 0.00752969, 0.00751701", \
             "0.00865918, 0.00838293, 0.00791316, 0.00758239, 0.00745681, 0.00742018", \
             "0.00824773, 0.00830536, 0.00837417, 0.00803953, 0.00756771, 0.007429", \
             "0.00758974, 0.00773931, 0.00803492, 0.00833688, 0.00807497, 0.0075866" \
@@ -28609,9 +28609,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.365295, 0.368494, 0.378302, 0.390217, 0.399332, 0.405097, 0.410695, 0.416225, 0.421752, 0.436635, 0.44316, 0.450121, 0.458254, 0.467865, 0.469013");
+            index_3 ("0.365345, 0.369532, 0.376005, 0.383627, 0.393379, 0.399467, 0.405231, 0.410828, 0.416356, 0.421883, 0.422952, 0.431888, 0.436761, 0.443289, 0.450266, 0.458399, 0.468015, 0.474435");
             values ( \
-              "-0.0104212, -0.0112604, -0.0249856, -0.0340145, -0.0381086, -0.0396648, -0.0405672, -0.0406384, -0.0383747, -0.0108597, -0.00476434, -0.00186728, -0.000597777, -0.00019813, -0.000195962" \
+              "-0.0103639, -0.0121243, -0.0221404, -0.0295733, -0.0357061, -0.0380434, -0.0397567, -0.0405054, -0.0407128, -0.0383187, -0.0368131, -0.0186697, -0.0108644, -0.00476466, -0.00186273, -0.000596793, -0.000197561, -0.000185459" \
             );
           }
           vector (ccs_template) {
@@ -28636,18 +28636,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0760057");
-            index_3 ("1.00437, 1.05096, 1.12541, 1.15209, 1.19665, 1.22087, 1.24405, 1.26601, 1.30992, 1.36175, 1.39389, 1.45813, 1.49944, 1.52837, 1.58622, 1.67371, 1.74475, 1.82027, 1.85843, 1.90932, 1.9517, 1.99793, 2.05958, 2.18288, 2.3442, 2.5323");
+            index_3 ("1.00797, 1.06276, 1.12556, 1.15375, 1.1986, 1.22203, 1.24459, 1.26604, 1.29839, 1.32989, 1.39397, 1.43847, 1.45946, 1.4926, 1.52837, 1.5986, 1.66518, 1.71256, 1.75177, 1.782, 1.82231, 1.85528, 1.89333, 1.94706, 2.00215, 2.04423, 2.12839, 2.28499, 2.46665");
             values ( \
-              "-0.0857866, -0.0923308, -0.162019, -0.179663, -0.199374, -0.205916, -0.210214, -0.212832, -0.215093, -0.214068, -0.212082, -0.205412, -0.19812, -0.190374, -0.164001, -0.11202, -0.0763009, -0.0484929, -0.0381308, -0.0273797, -0.0206592, -0.0151503, -0.00992692, -0.00403036, -0.0011396, -0.000243697" \
+              "-0.0891557, -0.103311, -0.162299, -0.180698, -0.200085, -0.206249, -0.210319, -0.212885, -0.2148, -0.215056, -0.212172, -0.207891, -0.205182, -0.199565, -0.190377, -0.156967, -0.116971, -0.0914979, -0.0733697, -0.0614333, -0.0479544, -0.038968, -0.0305064, -0.0213363, -0.0147544, -0.0110964, -0.00612831, -0.00184279, -0.000407354" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.299176");
-            index_3 ("2.70365, 2.83904, 2.89406, 2.95069, 3.02698, 3.06225, 3.1328, 3.1972, 3.25916, 3.3611, 3.48941, 3.72453, 3.96601, 4.20922, 4.25687, 4.39313, 4.48031, 4.61611, 5.02941, 5.19667, 5.32044, 5.48547, 5.61602, 5.71875, 5.85571, 6.08258, 6.16069, 6.33596, 6.53627, 6.93689, 7.56438, 8.3097");
+            index_3 ("2.69033, 2.81384, 2.89165, 2.94741, 3.02477, 3.09221, 3.16898, 3.25712, 3.35312, 3.64195, 3.88349, 4.08592, 4.21389, 4.46636, 4.55178, 4.64939, 5.02931, 5.18401, 5.3399, 5.54775, 5.62919, 5.78222, 5.91893, 6.1857, 6.33618, 6.63714, 7.20196, 7.85935");
             values ( \
-              "-0.124758, -0.143397, -0.176295, -0.20187, -0.222209, -0.227505, -0.233487, -0.235366, -0.235612, -0.234437, -0.231918, -0.226342, -0.219746, -0.211291, -0.209209, -0.20175, -0.195072, -0.179964, -0.115682, -0.0920978, -0.0769295, -0.0597352, -0.0485335, -0.0410715, -0.0327063, -0.0221759, -0.019384, -0.0143036, -0.0100348, -0.00479305, -0.00134875, -0.000275099" \
+              "-0.116951, -0.127729, -0.17556, -0.201071, -0.221971, -0.23083, -0.234902, -0.235642, -0.234569, -0.228305, -0.222056, -0.215835, -0.211007, -0.196182, -0.187744, -0.175129, -0.115403, -0.093517, -0.074513, -0.0539239, -0.0473304, -0.0368398, -0.0292912, -0.0185216, -0.0142458, -0.00830037, -0.00275806, -0.000718533" \
             );
           }
           vector (ccs_template) {
@@ -28719,7 +28719,7 @@
             index_2 ("0.00124625");
             index_3 ("2.52273, 2.53778, 2.54708, 2.55719, 2.57256, 2.57922, 2.58559, 2.5918, 2.598, 2.61339, 2.61898, 2.62654, 2.63329, 2.64291, 2.73175");
             values ( \
-              "-0.000860179, -0.0104767, -0.018813, -0.0254415, -0.0326019, -0.0346073, -0.0359503, -0.0364013, -0.0349283, -0.0108152, -0.00557508, -0.00214238, -0.000883368, -0.00026341, -2.09699e-05" \
+              "-0.000860176, -0.0104767, -0.018813, -0.0254415, -0.0326019, -0.0346073, -0.0359503, -0.0364013, -0.0349283, -0.0108152, -0.00557508, -0.00214238, -0.000883368, -0.00026341, -2.09699e-05" \
             );
           }
           vector (ccs_template) {
@@ -28728,7 +28728,7 @@
             index_2 ("0.00490553");
             index_3 ("2.61871, 2.65022, 2.66507, 2.67843, 2.70465, 2.71771, 2.72763, 2.73759, 2.76082, 2.77057, 2.77921, 2.78532, 2.79754, 2.81103");
             values ( \
-              "-8.19444e-05, -0.0419607, -0.0569121, -0.0669376, -0.0821692, -0.0879016, -0.089719, -0.0831119, -0.0303878, -0.016548, -0.00928697, -0.0060635, -0.0024531, -0.00100028" \
+              "-8.19417e-05, -0.0419607, -0.0569121, -0.0669376, -0.0821692, -0.0879016, -0.089719, -0.0831119, -0.0303878, -0.016548, -0.00928697, -0.0060635, -0.0024531, -0.00100028" \
             );
           }
           vector (ccs_template) {
@@ -28782,7 +28782,7 @@
             index_2 ("0.00490553");
             index_3 ("8.78228, 8.81619, 8.84513, 8.86415, 8.88495, 8.93061, 8.93929, 8.95194, 8.9796, 8.98808, 8.99961, 9.00848, 9.02464, 9.04339, 9.09781");
             values ( \
-              "-0.000778367, -0.015351, -0.035253, -0.0454738, -0.0536685, -0.0683765, -0.0705617, -0.0690631, -0.0254806, -0.0157717, -0.00774333, -0.00433546, -0.0013448, -0.000406671, -0.00010854" \
+              "-0.000778366, -0.015351, -0.035253, -0.0454738, -0.0536685, -0.0683765, -0.0705617, -0.0690631, -0.0254806, -0.0157717, -0.00774333, -0.00433546, -0.0013448, -0.000406671, -0.00010854" \
             );
           }
           vector (ccs_template) {
@@ -28791,7 +28791,7 @@
             index_2 ("0.0193093");
             index_3 ("9.31993, 9.34001, 9.36112, 9.38876, 9.40751, 9.45027, 9.49569, 9.52043, 9.53082, 9.54917, 9.55816, 9.57295, 9.59635, 9.61975, 9.65414, 9.6654, 9.68495, 9.69583, 9.70587, 9.72534, 9.73631, 9.75826, 9.77373");
             values ( \
-              "-0.00991555, -0.0163446, -0.0295031, -0.0499196, -0.0621115, -0.0847047, -0.114453, -0.12974, -0.135183, -0.142944, -0.145774, -0.14902, -0.148218, -0.129681, -0.0776988, -0.0627401, -0.0417679, -0.0328412, -0.0261323, -0.0165229, -0.0126831, -0.00735685, -0.00548588" \
+              "-0.00991555, -0.0163446, -0.0295031, -0.0499196, -0.0621114, -0.0847047, -0.114453, -0.12974, -0.135183, -0.142944, -0.145774, -0.14902, -0.148218, -0.129681, -0.0776988, -0.0627401, -0.0417679, -0.0328412, -0.0261323, -0.0165229, -0.0126831, -0.00735685, -0.00548588" \
             );
           }
           vector (ccs_template) {
@@ -28809,7 +28809,7 @@
             index_2 ("0.299176");
             index_3 ("13.8275, 13.9748, 14.0997, 14.1506, 14.1873, 14.2606, 14.345, 14.4236, 14.5212, 14.6367, 14.8895, 15.131, 15.2834, 15.381, 15.5762, 15.6456, 15.7818, 16.1946, 16.362, 16.486, 16.6512, 16.8097, 16.9857, 17.1735, 17.3485, 17.6042, 17.9452, 18.5381, 19.2395");
             values ( \
-              "-0.107301, -0.122248, -0.185865, -0.204433, -0.214089, -0.226507, -0.232737, -0.234469, -0.234028, -0.232159, -0.226317, -0.219702, -0.214734, -0.210956, -0.200506, -0.195029, -0.179881, -0.115684, -0.092094, -0.0769065, -0.059687, -0.0464575, -0.034788, -0.0252995, -0.0187276, -0.0119774, -0.00644915, -0.00199519, -0.00045891" \
+              "-0.107301, -0.122248, -0.185865, -0.204433, -0.214089, -0.226507, -0.232737, -0.234469, -0.234028, -0.232159, -0.226317, -0.219702, -0.214734, -0.210956, -0.200506, -0.195029, -0.179881, -0.115684, -0.092094, -0.0769065, -0.059687, -0.0464575, -0.034788, -0.0252995, -0.0187276, -0.0119774, -0.00644915, -0.00199519, -0.000458909" \
             );
           }
           vector (ccs_template) {
@@ -28860,7 +28860,7 @@
             "0.272382, 0.353237, 0.641422, 1.68948, 5.62405, 20.8049", \
             "0.28085, 0.362109, 0.651232, 1.69999, 5.6346, 20.8154", \
             "0.321882, 0.403042, 0.692348, 1.74137, 5.67811, 20.8588", \
-            "0.485999, 0.57703, 0.869936, 1.9158, 5.85098, 21.0322", \
+            "0.485782, 0.576551, 0.869936, 1.9158, 5.85098, 21.0322", \
             "0.852049, 0.990632, 1.43187, 2.64539, 6.56453, 21.7365", \
             "1.72121, 1.92587, 2.59794, 4.52468, 9.4664, 24.5996" \
           );
@@ -28872,7 +28872,7 @@
             "0.0365735, 0.0655873, 0.182224, 0.659824, 2.55189, 10.008", \
             "0.0365906, 0.0655385, 0.182215, 0.659824, 2.5519, 10.0074", \
             "0.0365514, 0.0656063, 0.18222, 0.659846, 2.55172, 10.0058", \
-            "0.0376086, 0.0660814, 0.182246, 0.659828, 2.55186, 10.0082", \
+            "0.0376709, 0.0660876, 0.182246, 0.659828, 2.55186, 10.0082", \
             "0.0453609, 0.0725546, 0.184721, 0.659878, 2.55172, 10.0081", \
             "0.0624618, 0.0903362, 0.195381, 0.664607, 2.55244, 10.0079" \
           );
@@ -28883,7 +28883,7 @@
           values ( \
             "0.228819, 0.287051, 0.482136, 1.1561, 3.60081, 12.8907", \
             "0.23917, 0.297665, 0.49342, 1.1671, 3.61177, 12.9004", \
-            "0.274417, 0.334692, 0.532032, 1.20705, 3.65231, 12.9392", \
+            "0.274436, 0.334692, 0.532032, 1.20705, 3.65146, 12.9366", \
             "0.363342, 0.443123, 0.679062, 1.36483, 3.80997, 13.0961", \
             "0.456944, 0.577823, 0.95062, 1.9114, 4.4495, 13.7308", \
             "0.273749, 0.467532, 1.08005, 2.67332, 6.49459, 16.2817" \
@@ -28895,7 +28895,7 @@
           values ( \
             "0.0307248, 0.0511893, 0.121423, 0.400045, 1.5254, 5.96114", \
             "0.0308, 0.0512329, 0.12123, 0.400054, 1.52541, 5.96115", \
-            "0.0308323, 0.0512747, 0.121492, 0.400549, 1.52545, 5.96116", \
+            "0.0308279, 0.0512747, 0.121492, 0.400549, 1.52557, 5.96151", \
             "0.0305954, 0.0511651, 0.121576, 0.400198, 1.52545, 5.96121", \
             "0.0343076, 0.0543368, 0.123665, 0.400424, 1.52546, 5.96123", \
             "0.0494693, 0.0690623, 0.133124, 0.404085, 1.52611, 5.96183" \
@@ -29068,18 +29068,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.956917, 0.969516, 0.98738, 0.994517, 1.00068, 1.00539, 1.0084, 1.01195, 1.01748, 1.02614, 1.0329, 1.03643, 1.04336, 1.04723, 1.05342, 1.05764, 1.06474, 1.07369, 1.08092, 1.09539, 1.10349");
+            index_3 ("0.957844, 0.967852, 0.987146, 0.994301, 1.00049, 1.0062, 1.01177, 1.01733, 1.02605, 1.03329, 1.04083, 1.04871, 1.05328, 1.05789, 1.06474, 1.07338, 1.08029, 1.0941, 1.09868");
             values ( \
-              "0.00203446, 0.0118712, 0.028482, 0.0344156, 0.0381917, 0.0400484, 0.0405875, 0.0404452, 0.0371141, 0.023513, 0.015984, 0.0131819, 0.00899861, 0.00714201, 0.00498857, 0.00390048, 0.00258803, 0.00158819, 0.00108536, 0.000522271, 0.00039687" \
+              "0.00380871, 0.0106274, 0.0283991, 0.0343306, 0.0382242, 0.0402428, 0.0405006, 0.0370681, 0.0234036, 0.0154858, 0.0101238, 0.00651912, 0.00500499, 0.00383491, 0.00257522, 0.00160876, 0.00111803, 0.000554592, 0.000478618" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490553");
-            index_3 ("1.02422, 1.03612, 1.05983, 1.06631, 1.07752, 1.08766, 1.0975, 1.10733, 1.11213, 1.1375, 1.15255, 1.16532, 1.17657, 1.19184, 1.2009, 1.21346, 1.2302, 1.25294");
+            index_3 ("1.02602, 1.03636, 1.06073, 1.0658, 1.07595, 1.08325, 1.08714, 1.09492, 1.10108, 1.10693, 1.11698, 1.1308, 1.14789, 1.16159, 1.17786, 1.18906, 1.19987, 1.21393, 1.23267, 1.25276");
             values ( \
-              "0.014619, 0.0264845, 0.0644899, 0.073349, 0.0843755, 0.0894583, 0.0903353, 0.0866558, 0.0821768, 0.046, 0.0299028, 0.0202497, 0.0141706, 0.00862449, 0.00640736, 0.00424654, 0.00243089, 0.00122807" \
+              "0.0230833, 0.0277319, 0.0665043, 0.0732422, 0.0835114, 0.0878913, 0.0893503, 0.0903509, 0.08931, 0.0864063, 0.0754091, 0.054116, 0.0337416, 0.022372, 0.0133524, 0.00928336, 0.00653647, 0.00412985, 0.00217458, 0.00124399" \
             );
           }
           vector (ccs_template) {
@@ -29233,7 +29233,7 @@
           values ( \
             "0.00672503, 0.00676184, 0.00680541, 0.00682903, 0.00683684, 0.00683898", \
             "0.00797744, 0.00801589, 0.00807223, 0.0081081, 0.00812079, 0.00812434", \
-            "0.00860154, 0.00860635, 0.00862473, 0.00864677, 0.00865689, 0.00865953", \
+            "0.00860213, 0.00860635, 0.00862473, 0.00864677, 0.00865649, 0.00865993", \
             "0.00896715, 0.00893777, 0.00889079, 0.00886499, 0.00885927, 0.00885848", \
             "0.00919098, 0.0091372, 0.00905624, 0.00897554, 0.00892821, 0.00891352", \
             "0.00938397, 0.0093923, 0.0092429, 0.00909324, 0.00899833, 0.00894449" \
@@ -29245,7 +29245,7 @@
           values ( \
             "0.00844199, 0.00846812, 0.00849412, 0.00850532, 0.0085087, 0.0085096", \
             "0.00851598, 0.00845263, 0.00837061, 0.00832152, 0.00830472, 0.00830008", \
-            "0.00857196, 0.00840898, 0.00819274, 0.00805934, 0.0080108, 0.00799621", \
+            "0.00857653, 0.00840898, 0.00819274, 0.00805934, 0.00801064, 0.00799638", \
             "0.00835993, 0.00855497, 0.00844198, 0.00804548, 0.00789197, 0.00785058", \
             "0.00781096, 0.00789972, 0.00801748, 0.00842508, 0.00801427, 0.00785954", \
             "0.00747607, 0.007462, 0.00771061, 0.00795964, 0.00791044, 0.00802624" \
@@ -29364,9 +29364,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.363873, 0.38024, 0.387844, 0.394001, 0.404432, 0.409206, 0.413864, 0.418519, 0.426207, 0.431547, 0.436299, 0.439808, 0.442619, 0.44824, 0.456805, 0.46938");
+            index_3 ("0.365214, 0.369432, 0.370521, 0.3727, 0.375223, 0.380412, 0.388035, 0.394201, 0.404625, 0.409397, 0.414056, 0.41871, 0.419822, 0.421093, 0.423634, 0.425537, 0.426435, 0.428011, 0.430043, 0.430601, 0.431718, 0.433671, 0.43648, 0.437534, 0.437888, 0.438595, 0.44001, 0.44284, 0.448498, 0.452828");
             values ( \
-              "-0.00241977, -0.0251761, -0.0336132, -0.039315, -0.0462081, -0.0479805, -0.0484505, -0.0447646, -0.0237647, -0.0124119, -0.00643245, -0.00389234, -0.00257969, -0.00111552, -0.000335156, -0.000283685" \
+              "-0.00804276, -0.0105737, -0.0113111, -0.0139012, -0.0176769, -0.0255463, -0.0333417, -0.0395714, -0.0464033, -0.0478252, -0.0486019, -0.0446375, -0.0421065, -0.0387827, -0.0313796, -0.0260562, -0.0236655, -0.0197773, -0.0154329, -0.0143804, -0.0124424, -0.00956284, -0.00643899, -0.00554199, -0.00527089, -0.00476184, -0.00388442, -0.00256803, -0.00110318, -0.00071411" \
             );
           }
           vector (ccs_template) {
@@ -29400,18 +29400,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.299176");
-            index_3 ("2.58133, 2.775, 2.81576, 2.90796, 3.11679, 3.66441, 4.02864, 4.21158, 4.43533, 5.13952, 5.46927, 5.90147, 6.35508, 6.57421");
+            index_3 ("2.57052, 2.67073, 2.72944, 2.77406, 2.81333, 2.84813, 2.90289, 2.97009, 3.1196, 3.54, 3.78149, 4.04582, 4.1318, 4.24645, 4.3945, 4.57951, 4.91046, 5.10083, 5.20086, 5.40094, 5.54875, 5.65427, 5.8653, 5.99946, 6.19578, 6.45755, 6.98109, 7.66903");
             values ( \
-              "-0.154197, -0.22594, -0.233222, -0.238314, -0.236017, -0.223161, -0.211315, -0.201838, -0.180003, -0.0769472, -0.0459321, -0.0221813, -0.0100373, -0.00716865" \
+              "-0.139195, -0.171838, -0.211373, -0.226266, -0.233152, -0.23612, -0.238224, -0.238159, -0.235871, -0.226373, -0.21982, -0.210419, -0.206312, -0.199126, -0.184723, -0.157844, -0.106056, -0.0810391, -0.0697757, -0.0509406, -0.040076, -0.0336418, -0.0234649, -0.0186278, -0.0132313, -0.00829181, -0.00302976, -0.000726177" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.17762");
-            index_3 ("8.52546, 8.95962, 9.03293, 9.2813, 9.72423, 10.3118, 11.2095, 12.3711, 13.0712, 14.0458, 14.3081, 14.8326, 15.0818, 15.5801, 17.2437, 17.8903, 18.3622, 18.8488, 19.4936, 20.0605, 20.8164, 21.7701, 22.358, 23.3372, 24.3164, 25.2956, 26.2748, 28.2332");
+            index_3 ("8.52798, 8.96658, 9.1846, 9.42629, 9.76842, 10.3092, 10.8171, 11.9858, 13.0669, 13.6691, 14.0451, 14.797, 15.0792, 15.6116, 17.2286, 17.8833, 18.3683, 19.015, 19.5266, 19.9293, 20.4662, 21.3554, 21.6616, 22.3487, 23.134, 24.1132, 25.0924, 27.0508, 29.0091");
             values ( \
-              "-0.22835, -0.233766, -0.236089, -0.239619, -0.24077, -0.238868, -0.233953, -0.226444, -0.221333, -0.212472, -0.209404, -0.201471, -0.196342, -0.182182, -0.115766, -0.0923921, -0.0775382, -0.0641924, -0.0494638, -0.0390738, -0.0282379, -0.0185911, -0.0143172, -0.00917457, -0.00586189, -0.00372934, -0.00237508, -0.000959143" \
+              "-0.233958, -0.234057, -0.238638, -0.240349, -0.240641, -0.23882, -0.236169, -0.229045, -0.221383, -0.216267, -0.212402, -0.202114, -0.196302, -0.181069, -0.11621, -0.0925825, -0.0773255, -0.0599546, -0.0487872, -0.0412876, -0.0327978, -0.0223092, -0.0194207, -0.0144031, -0.0100338, -0.00648261, -0.00405918, -0.00161438, -0.000624666" \
             );
           }
           vector (ccs_template) {
@@ -29528,7 +29528,7 @@
             index_2 ("0.00124625");
             index_3 ("8.5224, 8.54779, 8.56158, 8.57897, 8.599, 8.61453, 8.62155, 8.62846, 8.64493, 8.65082, 8.65494, 8.66044, 8.66925, 8.67971, 8.72688");
             values ( \
-              "-0.00112817, -0.00541465, -0.0107139, -0.0185535, -0.0263372, -0.0313159, -0.0326791, -0.0324206, -0.00993718, -0.00496013, -0.00296144, -0.00147968, -0.000483491, -0.000251049, -0.000150367" \
+              "-0.0011282, -0.00541465, -0.0107138, -0.0185535, -0.0263372, -0.0313159, -0.0326791, -0.0324206, -0.00993718, -0.00496013, -0.00296144, -0.00147968, -0.000483491, -0.000251049, -0.000150367" \
             );
           }
           vector (ccs_template) {
@@ -29537,16 +29537,16 @@
             index_2 ("0.00490553");
             index_3 ("8.68974, 8.69724, 8.70709, 8.7221, 8.73728, 8.76226, 8.77725, 8.7899, 8.80112, 8.81143, 8.82129, 8.83112, 8.83413, 8.84644, 8.85112, 8.85507, 8.85985, 8.86616, 8.86833, 8.87064, 8.87372, 8.87988, 8.89221, 8.89391");
             values ( \
-              "-0.00577495, -0.00728602, -0.0105736, -0.0186618, -0.0313545, -0.0527398, -0.0649705, -0.0748703, -0.0825346, -0.0884258, -0.0912376, -0.084845, -0.07844, -0.0468375, -0.0362458, -0.028687, -0.0212566, -0.01394, -0.0120252, -0.0102621, -0.00829089, -0.00534408, -0.00212972, -0.00197468" \
+              "-0.00577495, -0.00728604, -0.0105736, -0.0186617, -0.0313546, -0.0527398, -0.0649705, -0.0748703, -0.0825346, -0.0884258, -0.0912376, -0.084845, -0.07844, -0.0468375, -0.0362458, -0.028687, -0.0212566, -0.01394, -0.0120252, -0.0102621, -0.00829089, -0.00534408, -0.00212972, -0.0019747" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0193093");
-            index_3 ("9.22799, 9.25318, 9.26245, 9.27223, 9.2872, 9.34486, 9.36645, 9.37041, 9.37833, 9.39279, 9.41383, 9.43435, 9.45484, 9.46339, 9.47015, 9.47802, 9.50518, 9.51644, 9.52396, 9.54062, 9.54802, 9.56282, 9.57754, 9.58543, 9.60122, 9.63279, 9.6359");
+            index_3 ("9.22799, 9.25318, 9.26245, 9.27223, 9.2872, 9.34486, 9.36645, 9.37041, 9.37833, 9.3928, 9.41383, 9.43435, 9.45485, 9.4634, 9.47015, 9.47802, 9.50518, 9.51644, 9.52396, 9.54062, 9.54802, 9.56282, 9.57754, 9.58543, 9.60122, 9.63279, 9.6359");
             values ( \
-              "-0.0114371, -0.0248439, -0.0321016, -0.040997, -0.0571684, -0.123608, -0.144703, -0.147933, -0.153665, -0.161774, -0.168822, -0.170446, -0.164303, -0.156858, -0.148128, -0.135298, -0.0870169, -0.0697974, -0.0597079, -0.0413911, -0.0349507, -0.0246237, -0.0172493, -0.0142165, -0.00958035, -0.00416116, -0.00395059" \
+              "-0.0114371, -0.0248438, -0.0321016, -0.0409972, -0.0571684, -0.123608, -0.144703, -0.147933, -0.153665, -0.161774, -0.168822, -0.170446, -0.164303, -0.156858, -0.148128, -0.135298, -0.0870169, -0.0697974, -0.0597079, -0.0413911, -0.0349507, -0.0246237, -0.0172493, -0.0142165, -0.00958035, -0.00416116, -0.00395059" \
             );
           }
           vector (ccs_template) {
@@ -29584,7 +29584,7 @@
             "0.00601379, 0.00603073, 0.00605358, 0.00606731, 0.00607209, 0.00607342", \
             "0.00675185, 0.00676208, 0.00678014, 0.0067943, 0.00680015, 0.00680185", \
             "0.00705176, 0.00705201, 0.00705488, 0.00706079, 0.00706448, 0.00706579", \
-            "0.0071873, 0.00718379, 0.00718653, 0.00717981, 0.00717779, 0.00717754", \
+            "0.00718785, 0.00718324, 0.00718653, 0.00717981, 0.00717779, 0.00717754", \
             "0.00727698, 0.00727297, 0.00726284, 0.00724813, 0.00723766, 0.0072339", \
             "0.00731076, 0.00730813, 0.00730056, 0.00728557, 0.00726768, 0.00725627" \
           );
@@ -29596,7 +29596,7 @@
             "0.00758039, 0.00759121, 0.00760605, 0.00761678, 0.00762038, 0.00762138", \
             "0.00802613, 0.00801543, 0.00800158, 0.00799204, 0.00798928, 0.00798852", \
             "0.0083973, 0.00834545, 0.00827963, 0.00823453, 0.00821687, 0.00821162", \
-            "0.00892987, 0.00878046, 0.00853347, 0.00837265, 0.00831345, 0.00829538", \
+            "0.00892182, 0.00878, 0.00853347, 0.00837265, 0.00831345, 0.00829538", \
             "0.00964422, 0.00946248, 0.00885004, 0.00858711, 0.00836274, 0.00829731", \
             "0.00940394, 0.00942614, 0.00957852, 0.0086673, 0.00860596, 0.00835773" \
           );
@@ -29615,7 +29615,7 @@
             "0.0778711, 0.103518, 0.190181, 0.524601, 1.84037, 7.01991", \
             "0.0875539, 0.113137, 0.199928, 0.534357, 1.85008, 7.02962", \
             "0.123063, 0.148826, 0.235986, 0.570838, 1.88662, 7.06616", \
-            "0.181731, 0.212719, 0.301914, 0.637517, 1.9537, 7.13309", \
+            "0.181731, 0.212719, 0.301922, 0.637517, 1.9537, 7.13309", \
             "0.211305, 0.258455, 0.366435, 0.703734, 2.02029, 7.19912", \
             "-0.037426, 0.0384998, 0.219007, 0.612669, 1.95794, 7.13695" \
           );
@@ -29627,7 +29627,7 @@
             "0.0307627, 0.06001, 0.181243, 0.669828, 2.5938, 10.1666", \
             "0.030774, 0.0600379, 0.181225, 0.669786, 2.5936, 10.1666", \
             "0.0333045, 0.061515, 0.181448, 0.669778, 2.59337, 10.1666", \
-            "0.0472478, 0.0715923, 0.184228, 0.670408, 2.59395, 10.1666", \
+            "0.0472478, 0.0715923, 0.184232, 0.670408, 2.59395, 10.1666", \
             "0.0794769, 0.110458, 0.205303, 0.673403, 2.59448, 10.1669", \
             "0.146328, 0.200815, 0.325084, 0.733115, 2.62291, 10.1654" \
           );
@@ -29684,7 +29684,7 @@
             index_2 ("1.17762");
             index_3 ("0.0612946, 0.0982285, 0.12305, 0.157836, 0.218034, 4.06142, 5.50587, 7.03051, 7.80941, 8.66986, 9.50854, 10.5248, 13.4136, 14.4995, 15.5854, 16.677, 18.1566, 19.7905, 21.9253, 23.8864, 26.4052, 28.577, 30.7488, 35.0924, 38.3501");
             values ( \
-              "0.059812, 0.152855, 0.164925, 0.167988, 0.168118, 0.150418, 0.143314, 0.134977, 0.129755, 0.123275, 0.115275, 0.104655, 0.0715809, 0.0599691, 0.0499273, 0.0407676, 0.0307085, 0.0221376, 0.0141969, 0.00933229, 0.00538134, 0.00331292, 0.00201912, 0.000713517, 0.000494364" \
+              "0.059812, 0.152855, 0.164925, 0.167988, 0.168118, 0.150418, 0.143314, 0.134977, 0.129755, 0.123275, 0.115275, 0.104655, 0.0715809, 0.0599691, 0.0499273, 0.0407676, 0.0307085, 0.0221376, 0.0141969, 0.00933229, 0.00538133, 0.00331292, 0.00201912, 0.000713517, 0.000494364" \
             );
           }
           vector (ccs_template) {
@@ -29727,7 +29727,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.299176");
-            index_3 ("0.139459, 0.139479, 0.600516, 1.1883, 1.6047, 1.99774, 2.22726, 2.44917, 2.77347, 3.63878, 4.06912, 4.52149, 4.8432, 5.07684, 5.47431, 5.92856, 6.23168, 6.69672, 7.31677, 8.40267, 8.53579");
+            index_3 ("0.139459, 0.139479, 0.600516, 1.1883, 1.6047, 1.99774, 2.22726, 2.44917, 2.77347, 3.63878, 4.06912, 4.52149, 4.8432, 5.07684, 5.47431, 5.92856, 6.23168, 6.69672, 7.31677, 8.40266, 8.53579");
             values ( \
               "1e-22, 0.189688, 0.159296, 0.148582, 0.140434, 0.131567, 0.125084, 0.117417, 0.104118, 0.06576, 0.0494281, 0.0356671, 0.0279023, 0.0232383, 0.0168972, 0.0115998, 0.00901041, 0.00608592, 0.00354955, 0.00129796, 0.00119652" \
             );
@@ -29817,9 +29817,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0193093");
-            index_3 ("0.65403, 0.672169, 0.712657, 0.727577, 0.742627, 0.763993, 0.779163, 0.795274, 0.821618, 0.835235, 0.84976, 0.863228, 0.935929, 0.953763, 0.983204, 1.01428, 1.04435, 1.05971, 1.09052, 1.11714, 1.14019, 1.18113, 1.23571, 1.28976");
+            index_3 ("0.654024, 0.672179, 0.712667, 0.727583, 0.742636, 0.763949, 0.779277, 0.795283, 0.821629, 0.83523, 0.863417, 0.940956, 0.968848, 0.992479, 1.02154, 1.06033, 1.09148, 1.11625, 1.15568, 1.18471, 1.24276, 1.27547");
             values ( \
-              "0.0381463, 0.0385532, 0.0999315, 0.117779, 0.128308, 0.133835, 0.133254, 0.130749, 0.12447, 0.120064, 0.114364, 0.107854, 0.0648544, 0.055475, 0.0418721, 0.0305753, 0.021872, 0.01853, 0.0131659, 0.00970067, 0.00742132, 0.00457936, 0.00234738, 0.00136772" \
+              "0.0380718, 0.0385576, 0.0999297, 0.117784, 0.128305, 0.133836, 0.133246, 0.130745, 0.124473, 0.120069, 0.107759, 0.0620976, 0.0481952, 0.0382585, 0.0283362, 0.0185149, 0.0131107, 0.00986439, 0.00623595, 0.00442161, 0.00215852, 0.00161668" \
             );
           }
           vector (ccs_template) {
@@ -29835,18 +29835,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299176");
-            index_3 ("0.717657, 0.717677, 1.27398, 1.71748, 2.2589, 2.47442, 2.78351, 3.10972, 3.36623, 4.11164, 4.31336, 4.71678, 5.0382, 5.25666, 5.73206, 5.94797, 6.37979, 6.80943, 7.0417, 7.50626, 8.43536, 9.52125, 10.6071");
+            index_3 ("0.71739, 0.71741, 1.27397, 1.71748, 2.2589, 2.47442, 2.78353, 3.10972, 3.36623, 4.11163, 4.31333, 4.71672, 5.03826, 5.25682, 5.73206, 5.94789, 6.37955, 6.8094, 7.04178, 7.50654, 8.43606, 9.52195, 10.6078");
             values ( \
-              "1e-22, 0.178, 0.157835, 0.149753, 0.139132, 0.134369, 0.126185, 0.114786, 0.104073, 0.0707652, 0.0624301, 0.0475559, 0.0377291, 0.0320346, 0.0221062, 0.0185908, 0.0130405, 0.00911898, 0.00749645, 0.00504381, 0.00217993, 0.000794472, 0.000287078" \
+              "1e-22, 0.177839, 0.157835, 0.149753, 0.139132, 0.134369, 0.126184, 0.114786, 0.104073, 0.0707657, 0.0624312, 0.0475581, 0.0377272, 0.0320307, 0.0221062, 0.018592, 0.0130431, 0.00911919, 0.00749596, 0.00504256, 0.00217848, 0.00079393, 0.000286889" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.17762");
-            index_3 ("0.816525, 0.816545, 2.78423, 5.36724, 7.21574, 8.43334, 9.29379, 10.1325, 11.1487, 14.0376, 15.1235, 16.2093, 17.8, 18.6212, 19.7071, 21.0757, 22.8373, 24.7334, 26.9051, 29.0769, 31.2487, 34.5064, 38.85");
+            index_3 ("0.8161, 0.81612, 2.78439, 5.3679, 7.21574, 8.43333, 9.29378, 10.1325, 11.1487, 14.0376, 15.1234, 16.2093, 17.8, 18.621, 19.7069, 21.0758, 22.8374, 24.7334, 26.9052, 29.0769, 31.2487, 34.5064, 38.85");
             values ( \
-              "1e-22, 0.188434, 0.159169, 0.147013, 0.13741, 0.129843, 0.123185, 0.115364, 0.104565, 0.0714898, 0.0600604, 0.049836, 0.0372209, 0.0317774, 0.0256512, 0.0194416, 0.0134749, 0.00900669, 0.00563708, 0.00351229, 0.00218275, 0.00105654, 0.000401219" \
+              "1e-22, 0.188359, 0.159168, 0.147009, 0.13741, 0.129843, 0.123185, 0.115364, 0.104565, 0.0714899, 0.0600603, 0.0498361, 0.037221, 0.0317782, 0.025652, 0.019441, 0.0134745, 0.00900679, 0.00563718, 0.00351239, 0.00218285, 0.00105644, 0.000401114" \
             );
           }
           vector (ccs_template) {
@@ -29909,7 +29909,7 @@
             index_2 ("0.00124625");
             index_3 ("7.99765, 8.10726, 8.12497, 8.14876, 8.19405, 8.2156, 8.2461, 8.27221, 8.29601, 8.31677, 8.32896, 8.34023, 8.3456, 8.3537, 8.36198, 8.37848, 8.39271, 8.40956, 8.41875, 8.431, 8.44072, 8.4567, 8.478, 8.51076, 8.60022, 8.67201, 8.88855");
             values ( \
-              "0.000626257, 0.00103855, 0.00132635, 0.00213838, 0.00527341, 0.00661048, 0.00810581, 0.00909648, 0.00975801, 0.0101843, 0.0103347, 0.0104018, 0.0103991, 0.0103299, 0.0100771, 0.00828109, 0.00563888, 0.00348374, 0.00265121, 0.00183872, 0.00130044, 0.00074898, 0.000364228, 8.25053e-05, 1.03399e-05, 3.57604e-05, 3.17693e-05" \
+              "0.000626252, 0.00103855, 0.00132635, 0.00213838, 0.0052734, 0.00661048, 0.00810581, 0.00909648, 0.00975801, 0.0101843, 0.0103347, 0.0104018, 0.0103991, 0.0103299, 0.0100771, 0.00828109, 0.00563888, 0.00348374, 0.00265121, 0.00183872, 0.00130044, 0.00074898, 0.000364228, 8.25053e-05, 1.03399e-05, 3.57604e-05, 3.17693e-05" \
             );
           }
           vector (ccs_template) {
@@ -29918,7 +29918,7 @@
             index_2 ("0.00490553");
             index_3 ("7.97136, 8.06377, 8.08528, 8.10702, 8.13408, 8.14777, 8.17516, 8.21584, 8.24178, 8.26077, 8.30313, 8.32466, 8.33926, 8.37184, 8.38772, 8.40235, 8.43171, 8.46108, 8.46926, 8.49546, 8.50954, 8.51889, 8.53869, 8.54917, 8.5682, 8.5778, 8.59353, 8.61451, 8.65648, 8.70825, 8.77348, 8.8506, 8.94215");
             values ( \
-              "0.00165071, 0.00217366, 0.00252443, 0.00300377, 0.00394562, 0.00486769, 0.00778233, 0.0133331, 0.0165369, 0.0187266, 0.0229515, 0.0248036, 0.0259453, 0.0281524, 0.0290253, 0.0297031, 0.0304701, 0.0286048, 0.026534, 0.0185517, 0.0147306, 0.0124204, 0.00838572, 0.00670316, 0.00433689, 0.00346082, 0.00236005, 0.00138305, 0.00042872, 0.000149477, 0.000173202, 0.000148507, 4.15951e-05" \
+              "0.00165072, 0.00217366, 0.00252443, 0.00300378, 0.00394562, 0.00486769, 0.00778232, 0.0133331, 0.0165369, 0.0187266, 0.0229515, 0.0248036, 0.0259453, 0.0281524, 0.0290253, 0.0297031, 0.0304701, 0.0286048, 0.026534, 0.0185517, 0.0147306, 0.0124204, 0.00838572, 0.00670316, 0.00433689, 0.00346082, 0.00236005, 0.00138305, 0.00042872, 0.000149477, 0.000173202, 0.000148507, 4.15951e-05" \
             );
           }
           vector (ccs_template) {
@@ -29927,25 +29927,25 @@
             index_2 ("0.0193093");
             index_3 ("8.04441, 8.16966, 8.20879, 8.29164, 8.37452, 8.44325, 8.50099, 8.55244, 8.60024, 8.64737, 8.69439, 8.76533, 8.79378, 8.81212, 8.83564, 8.85096, 8.87138, 8.90896, 8.92593, 8.95139, 8.98534, 9.05323, 9.15332, 9.27544");
             values ( \
-              "0.00740504, 0.0114254, 0.0173211, 0.0316401, 0.0451933, 0.0560512, 0.0643844, 0.0707088, 0.0746027, 0.0732148, 0.060967, 0.0371262, 0.0290608, 0.0245053, 0.0195077, 0.0167328, 0.0135549, 0.00906896, 0.00754718, 0.00570828, 0.00389757, 0.00174649, 0.00047463, 9.16156e-05" \
+              "0.007405, 0.0114254, 0.0173211, 0.0316401, 0.0451933, 0.0560512, 0.0643844, 0.0707088, 0.0746027, 0.0732148, 0.060967, 0.0371262, 0.0290608, 0.0245053, 0.0195077, 0.0167328, 0.0135549, 0.00906896, 0.00754718, 0.00570828, 0.00389757, 0.00174649, 0.00047463, 9.16156e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0760057");
-            index_3 ("8.12251, 8.26441, 8.39669, 8.61285, 8.70851, 8.73072, 8.77512, 8.83809, 8.93007, 8.94637, 8.97895, 9.06058, 9.09349, 9.1593, 9.42638, 9.53286, 9.60743, 9.70976, 9.79173, 9.85802, 9.93838, 10.0516, 10.1695, 10.26, 10.4408, 10.7692, 11.0077");
+            index_3 ("8.12251, 8.26441, 8.39669, 8.61285, 8.70851, 8.73072, 8.77512, 8.83809, 8.93007, 8.94637, 8.97895, 9.06058, 9.09349, 9.15931, 9.42638, 9.53287, 9.60743, 9.70975, 9.79173, 9.85802, 9.93838, 10.0516, 10.1695, 10.26, 10.4408, 10.7691, 11.0077");
             values ( \
-              "0.0232709, 0.0306478, 0.0577313, 0.106208, 0.122444, 0.124951, 0.127953, 0.128507, 0.125002, 0.124027, 0.121921, 0.115048, 0.111469, 0.102965, 0.0618474, 0.0474275, 0.0388895, 0.0291297, 0.0228438, 0.0186987, 0.014604, 0.0101849, 0.00698698, 0.00522193, 0.0028389, 0.000861127, 0.000368446" \
+              "0.0232706, 0.030648, 0.0577312, 0.106208, 0.122444, 0.124951, 0.127953, 0.128507, 0.125002, 0.124027, 0.121921, 0.115048, 0.111468, 0.102964, 0.0618466, 0.0474259, 0.0388899, 0.0291304, 0.022844, 0.0186984, 0.0146038, 0.0101848, 0.00698707, 0.00522209, 0.0028391, 0.000861202, 0.00036847" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.299176");
-            index_3 ("8.27831, 8.53813, 8.69489, 8.79532, 8.89544, 9.02702, 9.34258, 9.5212, 9.83599, 10.2902, 10.6869, 10.8553, 11.1864, 11.9675, 12.2184, 12.595, 12.911, 13.154, 13.4668, 13.7244, 14.0294, 14.46, 14.9077, 15.2506, 15.814, 16.4483, 17.338, 18.6709");
+            index_3 ("8.2783, 8.53813, 8.69489, 8.79532, 8.89544, 9.02701, 9.34258, 9.5212, 9.83599, 10.2902, 10.6869, 10.8553, 11.1864, 11.9675, 12.2184, 12.595, 12.911, 13.154, 13.4668, 13.7244, 14.0294, 14.46, 14.9077, 15.2506, 15.814, 16.4483, 17.338, 18.6709");
             values ( \
-              "0.0687443, 0.0969326, 0.134322, 0.146826, 0.149882, 0.149876, 0.147677, 0.145754, 0.141475, 0.133335, 0.123092, 0.117333, 0.103938, 0.0692331, 0.0590824, 0.0456484, 0.0362903, 0.0301945, 0.0236621, 0.019275, 0.0150366, 0.0105124, 0.00722702, 0.00539623, 0.00331484, 0.00189679, 0.000885387, 0.00026793" \
+              "0.0687435, 0.0969326, 0.134322, 0.146826, 0.149882, 0.149876, 0.147677, 0.145754, 0.141475, 0.133335, 0.123092, 0.117333, 0.103938, 0.0692331, 0.0590824, 0.0456484, 0.0362903, 0.0301945, 0.0236621, 0.019275, 0.0150366, 0.0105124, 0.00722702, 0.00539623, 0.00331484, 0.00189679, 0.000885387, 0.00026793" \
             );
           }
           vector (ccs_template) {
@@ -29954,7 +29954,7 @@
             index_2 ("1.17762");
             index_3 ("8.48061, 8.48063, 10.9391, 11.4893, 13.065, 15.0332, 16.4574, 17.4542, 18.1425, 18.9672, 21.8355, 22.913, 23.9989, 24.8678, 25.6473, 26.617, 27.7029, 28.232, 29.2902, 30.3489, 31.4348, 32.9492, 34.8708, 37.0426, 39.2144, 42.472, 46.8156");
             values ( \
-              "1e-22, 0.164302, 0.157331, 0.155061, 0.147682, 0.137431, 0.128338, 0.12011, 0.113394, 0.10452, 0.0716864, 0.060342, 0.0500711, 0.0428006, 0.0370011, 0.0306921, 0.0247384, 0.0222357, 0.0178941, 0.01435, 0.0114066, 0.00825857, 0.00545218, 0.00339452, 0.00210752, 0.00102675, 0.000392562" \
+              "1e-22, 0.164302, 0.157331, 0.155061, 0.147682, 0.137431, 0.128338, 0.12011, 0.113394, 0.10452, 0.0716864, 0.060342, 0.0500711, 0.0428006, 0.0370011, 0.0306921, 0.0247384, 0.0222357, 0.0178941, 0.01435, 0.0114066, 0.00825857, 0.00545218, 0.00339452, 0.00210753, 0.00102675, 0.000392562" \
             );
           }
         }
@@ -30050,7 +30050,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0927116, 0.10368, 0.108715, 0.11316, 0.117348, 0.121496, 0.125641, 0.129404, 0.136216, 0.141578, 0.149737, 0.158553, 0.165228, 0.171192, 0.182968, 0.195452");
             values ( \
-              "0.000721006, 0.040214, 0.0484235, 0.052689, 0.054306, 0.0539841, 0.0512169, 0.044848, 0.0293393, 0.0210637, 0.012655, 0.00719848, 0.00468375, 0.00318844, 0.00151037, 0.000941818" \
+              "0.000721007, 0.040214, 0.0484235, 0.052689, 0.054306, 0.0539841, 0.0512169, 0.044848, 0.0293393, 0.0210637, 0.012655, 0.00719848, 0.00468375, 0.00318844, 0.00151037, 0.000941818" \
             );
           }
           vector (ccs_template) {
@@ -30120,9 +30120,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0193093");
-            index_3 ("0.224386, 0.251342, 0.254873, 0.259581, 0.266777, 0.271253, 0.279232, 0.288637, 0.300538, 0.312744, 0.334156, 0.359629, 0.3733, 0.392608, 0.419431, 0.459738, 0.480434, 0.508027, 0.531342, 0.541547, 0.56016, 0.584708, 0.607365, 0.637574, 0.660474, 0.685854, 0.719693, 0.787371, 0.879437, 0.992703");
+            index_3 ("0.224386, 0.251342, 0.254873, 0.259581, 0.266777, 0.271253, 0.279232, 0.288637, 0.300538, 0.312744, 0.334156, 0.359629, 0.3733, 0.392608, 0.419431, 0.459739, 0.480434, 0.508027, 0.531342, 0.541547, 0.56016, 0.584708, 0.607364, 0.637574, 0.660474, 0.685854, 0.719693, 0.787371, 0.879437, 0.992703");
             values ( \
-              "0.00615149, 0.121632, 0.128427, 0.135022, 0.140815, 0.143486, 0.145842, 0.146041, 0.144514, 0.141942, 0.136625, 0.12918, 0.124472, 0.116187, 0.100889, 0.0750139, 0.0628897, 0.048694, 0.0386099, 0.03473, 0.0285245, 0.0217795, 0.0168964, 0.0119244, 0.00912172, 0.00676774, 0.00450588, 0.00191278, 0.000543352, 0.000106057" \
+              "0.00615149, 0.121632, 0.128427, 0.135022, 0.140815, 0.143486, 0.145842, 0.146041, 0.144514, 0.141942, 0.136625, 0.12918, 0.124472, 0.116187, 0.100889, 0.0750139, 0.0628897, 0.0486941, 0.0386099, 0.03473, 0.0285245, 0.0217795, 0.0168964, 0.0119244, 0.00912172, 0.00676774, 0.00450587, 0.00191278, 0.000543351, 0.000106057" \
             );
           }
           vector (ccs_template) {
@@ -30641,7 +30641,7 @@
             index_2 ("0.0193093");
             index_3 ("9.14366, 9.2437, 9.27033, 9.27808, 9.2936, 9.32464, 9.34777, 9.41535, 9.45801, 9.50671, 9.52396, 9.54177, 9.57032, 9.60359, 9.64319, 9.65616, 9.67346, 9.70805, 9.74845, 9.76587, 9.7933, 9.82016, 9.86712, 9.87206, 9.88195, 9.90172, 9.92559, 9.95002, 9.96847, 9.98262, 9.99705, 10.0171, 10.0252, 10.0413, 10.0735, 10.122");
             values ( \
-              "-0.00169047, -0.00696855, -0.0096328, -0.0104842, -0.0123097, -0.0164121, -0.0199507, -0.031489, -0.0377582, -0.0434383, -0.0451319, -0.0467372, -0.0491083, -0.0517828, -0.0548381, -0.0557576, -0.0568961, -0.0589357, -0.0610724, -0.0621111, -0.0640326, -0.0660536, -0.0679736, -0.0676689, -0.0667774, -0.0619444, -0.0518464, -0.0397634, -0.0311962, -0.0253748, -0.0202196, -0.0144234, -0.0125182, -0.00936063, -0.00499321, -0.00189304" \
+              "-0.00169047, -0.00696855, -0.0096328, -0.0104842, -0.0123097, -0.0164121, -0.0199507, -0.031489, -0.0377582, -0.0434383, -0.0451319, -0.0467372, -0.0491083, -0.0517828, -0.0548381, -0.0557576, -0.0568961, -0.0589357, -0.0610724, -0.0621111, -0.0640326, -0.0660536, -0.0679736, -0.0676689, -0.0667774, -0.0619444, -0.0518464, -0.0397635, -0.0311962, -0.0253748, -0.0202196, -0.0144234, -0.0125182, -0.00936063, -0.00499322, -0.00189304" \
             );
           }
           vector (ccs_template) {
@@ -30686,7 +30686,7 @@
             "0.0763468, 0.102668, 0.18799, 0.51501, 1.80179, 6.86734", \
             "0.0858611, 0.112145, 0.197595, 0.524641, 1.81144, 6.87692", \
             "0.119735, 0.146648, 0.232667, 0.560132, 1.84714, 6.91247", \
-            "0.172018, 0.206042, 0.295784, 0.624332, 1.91081, 6.97615", \
+            "0.172065, 0.206042, 0.295784, 0.624332, 1.91081, 6.97615", \
             "0.177693, 0.23069, 0.344237, 0.675521, 1.96342, 7.02893", \
             "-0.162062, -0.0840554, 0.103135, 0.499646, 1.81844, 6.88848" \
           );
@@ -30698,7 +30698,7 @@
             "0.032511, 0.0607775, 0.178398, 0.657151, 2.54421, 9.97224", \
             "0.0325676, 0.0608428, 0.178409, 0.657152, 2.5449, 9.97224", \
             "0.0359089, 0.0626835, 0.17867, 0.657153, 2.54475, 9.97224", \
-            "0.0542279, 0.0766101, 0.182739, 0.658255, 2.54453, 9.97217", \
+            "0.0542133, 0.0766101, 0.182739, 0.658255, 2.54453, 9.97217", \
             "0.0940886, 0.122458, 0.210556, 0.661834, 2.54527, 9.97265", \
             "0.166519, 0.215108, 0.337658, 0.72875, 2.57775, 9.97435" \
           );
@@ -30870,9 +30870,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.617387, 0.628112, 0.634144, 0.647208, 0.65724, 0.677, 0.692436, 0.700307, 0.707925, 0.729114, 0.737071, 0.747999, 0.760973, 0.769735, 0.781418, 0.78891");
+            index_3 ("0.619281, 0.62815, 0.651992, 0.673834, 0.69245, 0.700332, 0.707949, 0.729137, 0.737089, 0.74802, 0.760993, 0.769747, 0.78142, 0.789351");
             values ( \
-              "0.0030103, 0.00536173, 0.00726811, 0.0129122, 0.0164372, 0.0219483, 0.0273409, 0.029508, 0.029655, 0.0119141, 0.00800331, 0.00450674, 0.00228945, 0.00148074, 0.0008621, 0.000662546" \
+              "0.0048369, 0.00528848, 0.0146311, 0.0209605, 0.0273537, 0.0295108, 0.0296647, 0.0119214, 0.00799819, 0.00451327, 0.00229593, 0.00148755, 0.000856443, 0.000650183" \
             );
           }
           vector (ccs_template) {
@@ -31005,9 +31005,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0760057");
-            index_3 ("7.96004, 8.13172, 8.21173, 8.28693, 8.49792, 8.62852, 8.66029, 8.69407, 8.72655, 8.77943, 8.83312, 8.93074, 8.94537, 9.01927, 9.07066, 9.26904, 9.32537, 9.43445, 9.54172, 9.6279, 9.68898, 9.75724, 9.83137, 9.93508, 9.97642, 10.0591, 10.2244, 10.5273, 10.7704, 11.0264");
+            index_3 ("7.96004, 8.13172, 8.21173, 8.28693, 8.49792, 8.62852, 8.66028, 8.69406, 8.72655, 8.77943, 8.83312, 8.93074, 8.94537, 9.01927, 9.07066, 9.26904, 9.32537, 9.43445, 9.54171, 9.62789, 9.68898, 9.75725, 9.83138, 9.93509, 9.97642, 10.0591, 10.2244, 10.5273, 10.7704, 11.0263");
             values ( \
-              "0.0204481, 0.0271718, 0.0419148, 0.0569916, 0.102507, 0.124253, 0.127308, 0.12915, 0.129724, 0.128848, 0.126344, 0.118738, 0.117177, 0.107909, 0.100255, 0.0681406, 0.0596822, 0.0450525, 0.0334718, 0.0259852, 0.0216251, 0.0175395, 0.013915, 0.00997866, 0.00874798, 0.00668107, 0.00385219, 0.00128154, 0.000553871, 0.000219479" \
+              "0.0204481, 0.0271718, 0.0419148, 0.0569916, 0.102507, 0.124252, 0.127308, 0.12915, 0.129724, 0.128848, 0.126344, 0.118738, 0.117177, 0.107908, 0.100255, 0.0681404, 0.0596818, 0.0450522, 0.0334723, 0.025986, 0.0216251, 0.0175388, 0.0139146, 0.00997852, 0.00874798, 0.00668124, 0.00385252, 0.00128166, 0.000553904, 0.000219487" \
             );
           }
           vector (ccs_template) {
@@ -31044,7 +31044,7 @@
             "0.104848, 0.125584, 0.186806, 0.40383, 1.25337, 4.59651", \
             "0.150272, 0.170976, 0.232501, 0.449836, 1.29944, 4.64266", \
             "0.26649, 0.292211, 0.357727, 0.57781, 1.42836, 4.77155", \
-            "0.505531, 0.545021, 0.631798, 0.858126, 1.70891, 5.05306", \
+            "0.505432, 0.544636, 0.631587, 0.858126, 1.70945, 5.0528", \
             "1.11019, 1.17482, 1.32247, 1.62415, 2.50198, 5.84826" \
           );
         }
@@ -31056,7 +31056,7 @@
             "0.0240487, 0.0426605, 0.11149, 0.394096, 1.5208, 5.95987", \
             "0.024727, 0.0432302, 0.111758, 0.393643, 1.5208, 5.95855", \
             "0.0369519, 0.0538357, 0.118575, 0.396189, 1.52129, 5.95857", \
-            "0.064248, 0.0870226, 0.145423, 0.402659, 1.52446, 5.9586", \
+            "0.0643274, 0.0872388, 0.14545, 0.402659, 1.52432, 5.9586", \
             "0.130325, 0.167655, 0.254058, 0.481677, 1.5527, 5.96483" \
           );
         }
@@ -31281,27 +31281,27 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.48248, 2.50776, 2.51409, 2.52052, 2.55163, 2.56566, 2.57751, 2.58804, 2.59772, 2.60692, 2.6159, 2.62263, 2.63216, 2.63836, 2.64368, 2.65081, 2.65842, 2.66966, 2.70156, 2.79704");
+            index_3 ("2.48085, 2.50092, 2.50473, 2.50714, 2.50956, 2.51198, 2.51492, 2.5159, 2.51786, 2.51983, 2.52179, 2.52537, 2.527, 2.53025, 2.53678, 2.54114, 2.5455, 2.55162, 2.55775, 2.56568, 2.57293, 2.57655, 2.58807, 2.59051, 2.59294, 2.59537, 2.59781, 2.6001, 2.60239, 2.60468, 2.60698, 2.60922, 2.61147, 2.61372, 2.61597, 2.61765, 2.61934, 2.62102, 2.6227, 2.62413, 2.62556, 2.62867, 2.63134, 2.63258, 2.63589, 2.63781, 2.63943, 2.64138, 2.64236, 2.644");
             values ( \
-              "-0.00234445, -0.00365777, -0.00459466, -0.00581897, -0.0143057, -0.0176757, -0.0202703, -0.0223071, -0.0239171, -0.0249956, -0.0250315, -0.0217674, -0.0117914, -0.00675366, -0.00390545, -0.00177855, -0.000733231, -0.000189531, -7.15975e-05, -3.09431e-05" \
+              "-0.00270122, -0.00286732, -0.00330746, -0.00360697, -0.0039219, -0.00425225, -0.00473876, -0.00491258, -0.00531343, -0.00573805, -0.00618646, -0.0070673, -0.00749223, -0.00839092, -0.0103231, -0.0115379, -0.0127037, -0.0142589, -0.015764, -0.0176583, -0.0192527, -0.0200335, -0.02239, -0.022858, -0.0233074, -0.0237382, -0.0241503, -0.0243909, -0.0245653, -0.0246735, -0.0247154, -0.0246659, -0.0245423, -0.0243446, -0.0240729, -0.0235427, -0.0228833, -0.0220946, -0.0211767, -0.0200611, -0.0187702, -0.0153964, -0.012652, -0.0114531, -0.00847821, -0.00710386, -0.00608513, -0.00500701, -0.00452754, -0.00380559" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00490553");
-            index_3 ("2.48825, 2.51029, 2.51243, 2.51457, 2.51671, 2.51885, 2.52313, 2.52534, 2.52755, 2.53198, 2.5358, 2.53962, 2.54344, 2.54726, 2.55759, 2.56665, 2.57444, 2.58313, 2.59752, 2.60557, 2.61361, 2.64082, 2.6439, 2.64699, 2.65007, 2.65315, 2.65621, 2.65927, 2.66232, 2.66538, 2.66767, 2.66997, 2.67226, 2.67455, 2.6757, 2.67799, 2.67914, 2.68071, 2.68228, 2.68422, 2.68616, 2.69251, 2.6939, 2.6953, 2.69669, 2.69808, 2.69947, 2.70087, 2.70454, 2.70805");
+            index_3 ("2.47, 2.50828, 2.51069, 2.51311, 2.51472, 2.51794, 2.52119, 2.52443, 2.52767, 2.53092, 2.53479, 2.53866, 2.54253, 2.5464, 2.56237, 2.5701, 2.57782, 2.58746, 2.5971, 2.60113, 2.60517, 2.61324, 2.64054, 2.64362, 2.64669, 2.64977, 2.65284, 2.65591, 2.65897, 2.66203, 2.6651, 2.66739, 2.66968, 2.67198, 2.67427, 2.67542, 2.67772, 2.67887, 2.682, 2.68394, 2.68588, 2.69224, 2.69364, 2.69503, 2.69642, 2.69782, 2.69921, 2.7006, 2.70428, 2.70779");
             values ( \
-              "-0.00724125, -0.00878962, -0.00939525, -0.0100303, -0.0106948, -0.0113888, -0.012865, -0.0137408, -0.0146515, -0.0165774, -0.0184167, -0.0203172, -0.0222789, -0.0243018, -0.0299603, -0.0348816, -0.0390675, -0.0436856, -0.051184, -0.0552166, -0.0591462, -0.0716422, -0.0722042, -0.0724615, -0.0724143, -0.0720625, -0.0714118, -0.0704608, -0.0692094, -0.0676578, -0.0655678, -0.0630788, -0.0601907, -0.0569036, -0.0550761, -0.0511, -0.0489513, -0.0457339, -0.0426706, -0.0391063, -0.0357063, -0.0255602, -0.0235742, -0.0217287, -0.0201045, -0.0185669, -0.0171159, -0.0157514, -0.0126275, -0.00986689" \
+              "-0.00106913, -0.00816275, -0.00882977, -0.00954049, -0.0100386, -0.011093, -0.0122915, -0.0135579, -0.0148922, -0.0162946, -0.0180892, -0.0199595, -0.0219053, -0.0239268, -0.0326362, -0.0368039, -0.0409354, -0.0460129, -0.0510133, -0.0530481, -0.055057, -0.0589973, -0.0715309, -0.0720946, -0.0723552, -0.072313, -0.0719677, -0.0713228, -0.0703774, -0.0691315, -0.0675852, -0.0655002, -0.0630164, -0.0601337, -0.0568522, -0.0550281, -0.0510587, -0.0489134, -0.0426511, -0.0390872, -0.0356877, -0.0255478, -0.0235616, -0.0217161, -0.0200926, -0.0185557, -0.0171053, -0.0157414, -0.01262, -0.00986149" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0193093");
-            index_3 ("2.50306, 2.53381, 2.55554, 2.58303, 2.66575, 2.69124, 2.7142, 2.7359, 2.75747, 2.77901, 2.80892, 2.82617, 2.84327, 2.85399, 2.86358, 2.88183, 2.89186, 2.91192, 2.95148, 2.99741");
+            index_3 ("2.47937, 2.53218, 2.53857, 2.5471, 2.56074, 2.57462, 2.59596, 2.63628, 2.66643, 2.69195, 2.71491, 2.73662, 2.75819, 2.77974, 2.80964, 2.81654, 2.8269, 2.84072, 2.84399, 2.85468, 2.86428, 2.87753, 2.88256, 2.89261, 2.91272, 2.9307");
             values ( \
-              "-0.0212586, -0.0239508, -0.0374871, -0.0591698, -0.127444, -0.145433, -0.157334, -0.162949, -0.159774, -0.137026, -0.0857773, -0.0609104, -0.0419611, -0.0328606, -0.0262459, -0.0168968, -0.0132007, -0.00795639, -0.00267201, -0.000717947" \
+              "-0.00633757, -0.0227191, -0.0262651, -0.0313994, -0.0408907, -0.0517934, -0.0692842, -0.103219, -0.127392, -0.145401, -0.157301, -0.162928, -0.159757, -0.137017, -0.0857769, -0.0751446, -0.0608994, -0.0451471, -0.0419566, -0.0328873, -0.0262605, -0.0190789, -0.016896, -0.0131917, -0.00794065, -0.00553985" \
             );
           }
           vector (ccs_template) {
@@ -31317,18 +31317,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.299176");
-            index_3 ("2.60169, 2.69517, 2.72311, 2.78249, 2.82272, 2.85949, 2.909, 2.96945, 3.31476, 3.79128, 4.04139, 4.18145, 4.25294, 4.30457, 4.40782, 4.58904, 4.91547, 5.11945, 5.23353, 5.42087, 5.62366, 5.7572, 6.01223, 6.15201, 6.43156, 6.99066, 7.63673");
+            index_3 ("2.57945, 2.65613, 2.70444, 2.7417, 2.76995, 2.80008, 2.84463, 2.89104, 2.9481, 3.08582, 3.31541, 3.79189, 4.05543, 4.19911, 4.27304, 4.37147, 4.47906, 4.85608, 5.01044, 5.16619, 5.37385, 5.45533, 5.60849, 5.7451, 6.01166, 6.16199, 6.46263, 7.02507, 7.67957");
             values ( \
-              "-0.184608, -0.185036, -0.206455, -0.230108, -0.236046, -0.238792, -0.23939, -0.239328, -0.232258, -0.219718, -0.210893, -0.204045, -0.199708, -0.195495, -0.184517, -0.157945, -0.106683, -0.0799222, -0.067299, -0.0500695, -0.0359428, -0.0287156, -0.0185224, -0.0145188, -0.00880643, -0.00296927, -0.0007958" \
+              "-0.135482, -0.145516, -0.192197, -0.215859, -0.226254, -0.233032, -0.237842, -0.239339, -0.239412, -0.237142, -0.232117, -0.219712, -0.210319, -0.203143, -0.19823, -0.188893, -0.174984, -0.115461, -0.0935829, -0.0745625, -0.0539566, -0.0473569, -0.036843, -0.0292996, -0.0185307, -0.0142519, -0.00831052, -0.00277337, -0.000730146" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.17762");
-            index_3 ("2.48379, 2.56461, 2.73782, 2.80588, 2.84979, 2.89724, 2.95349, 3.06602, 4.1609, 4.29625, 5.6446, 6.93972, 7.52206, 8.11047, 8.8599, 9.14453, 9.6768, 10.2686, 11.2938, 11.9485, 12.4334, 13.08, 13.5916, 13.9943, 14.5313, 15.2807, 15.8209, 16.5706, 17.32, 18.0695, 18.8189, 19.5684, 21.0672, 22.5661");
+            index_3 ("2.49679, 2.53699, 2.72938, 2.80863, 2.90114, 3.0126, 3.50189, 4.16389, 4.29908, 5.64914, 6.94249, 7.52473, 8.1132, 8.86264, 9.14726, 9.67953, 10.2713, 11.2965, 11.9512, 12.4362, 13.0827, 13.5943, 13.997, 14.534, 15.2834, 15.8236, 16.5734, 17.3228, 18.0722, 18.8217, 19.5711, 21.07, 22.5688");
             values ( \
-              "-0.00718117, -0.0553016, -0.218114, -0.238427, -0.243154, -0.245004, -0.24587, -0.245867, -0.240092, -0.23997, -0.231773, -0.222908, -0.218201, -0.212485, -0.202143, -0.196362, -0.181047, -0.158118, -0.116254, -0.0925472, -0.0772918, -0.060001, -0.0487528, -0.0412526, -0.0328398, -0.0237149, -0.0187105, -0.013412, -0.009555, -0.00680554, -0.00482472, -0.00343066, -0.00172459, -0.000866637" \
+              "-0.01339, -0.0321222, -0.212933, -0.238924, -0.245289, -0.246051, -0.243852, -0.239883, -0.23997, -0.231761, -0.222907, -0.218201, -0.212486, -0.202142, -0.196363, -0.181046, -0.158119, -0.116255, -0.0925463, -0.0772909, -0.0600019, -0.0487518, -0.0412517, -0.0328406, -0.023714, -0.0187095, -0.013411, -0.00955585, -0.00680462, -0.00482559, -0.00342976, -0.00172369, -0.000865746" \
             );
           }
           vector (ccs_template) {
@@ -31400,8 +31400,8 @@
             "0.0991388, 0.12557, 0.195978, 0.421191, 1.28807, 4.7004", \
             "0.108895, 0.135333, 0.20581, 0.431026, 1.29792, 4.71022", \
             "0.154653, 0.180916, 0.252146, 0.477548, 1.34448, 4.75677", \
-            "0.273502, 0.308166, 0.389455, 0.620415, 1.48689, 4.89899", \
-            "0.531445, 0.582288, 0.698535, 0.949869, 1.81766, 5.23003", \
+            "0.273502, 0.308166, 0.389455, 0.620415, 1.48697, 4.89899", \
+            "0.531437, 0.582288, 0.698535, 0.949398, 1.81815, 5.23003", \
             "1.24224, 1.31851, 1.50936, 1.86872, 2.76893, 6.17671" \
           );
         }
@@ -31413,7 +31413,7 @@
             "0.0304398, 0.0528566, 0.123022, 0.403605, 1.55071, 6.07597", \
             "0.0313259, 0.0538004, 0.123408, 0.403637, 1.55086, 6.076", \
             "0.0470144, 0.0718168, 0.136298, 0.407639, 1.55073, 6.07746", \
-            "0.0774869, 0.115136, 0.187147, 0.424556, 1.55499, 6.07751", \
+            "0.0774359, 0.115136, 0.187147, 0.424686, 1.5545, 6.07751", \
             "0.151272, 0.208338, 0.326006, 0.541419, 1.57643, 6.07671" \
           );
         }
@@ -31532,7 +31532,7 @@
             index_2 ("0.00124625");
             index_3 ("0.24901, 0.253529, 0.255359, 0.25985, 0.260288, 0.261165, 0.263418, 0.265866, 0.268514, 0.273809, 0.27565, 0.2778, 0.2798, 0.283801, 0.287108, 0.289854, 0.294755, 0.299663, 0.300938, 0.302395, 0.305308, 0.308568, 0.311097, 0.312402, 0.314494, 0.317284, 0.319519, 0.320712, 0.323099, 0.327872, 0.331924");
             values ( \
-              "-0.0109236, -0.0150127, -0.0181152, -0.0263102, -0.0267578, -0.02739, -0.0302318, -0.0329989, -0.0356342, -0.0398479, -0.0409883, -0.0421403, -0.0430748, -0.0445179, -0.0453329, -0.0457539, -0.0457484, -0.0425151, -0.0399371, -0.0365345, -0.0288626, -0.0208718, -0.0156125, -0.0133259, -0.0102138, -0.00702727, -0.00517287, -0.00437932, -0.00313299, -0.0015673, -0.00102243" \
+              "-0.0109236, -0.0150127, -0.0181152, -0.0263102, -0.0267578, -0.02739, -0.0302318, -0.0329989, -0.0356342, -0.0398479, -0.0409883, -0.0421403, -0.0430748, -0.0445179, -0.0453329, -0.0457539, -0.0457484, -0.0425151, -0.0399371, -0.0365345, -0.0288626, -0.0208718, -0.0156125, -0.0133259, -0.0102138, -0.00702728, -0.00517287, -0.00437932, -0.00313299, -0.0015673, -0.00102243" \
             );
           }
           vector (ccs_template) {
@@ -31620,9 +31620,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299176");
-            index_3 ("0.816199, 0.941887, 0.980363, 1.02119, 1.08479, 1.11175, 1.16566, 1.28956, 1.52293, 1.78802, 2.00777, 2.25552, 2.35743, 2.44164, 2.5313, 2.66942, 3.07693, 3.25337, 3.38722, 3.56568, 3.71499, 3.9019, 4.14333, 4.25299, 4.4202, 4.64315, 5.08904, 5.73108, 6.45894");
+            index_3 ("0.819265, 0.980303, 1.02127, 1.08499, 1.11202, 1.1661, 1.28965, 1.52302, 1.78811, 2.00786, 2.25561, 2.35752, 2.44173, 2.53139, 2.6695, 3.07701, 3.25346, 3.3873, 3.56576, 3.71508, 3.90199, 4.14342, 4.25308, 4.42029, 4.64324, 5.08913, 5.73119, 6.45908");
             values ( \
-              "-0.211104, -0.217386, -0.226736, -0.231262, -0.234911, -0.235571, -0.235621, -0.233448, -0.228227, -0.221822, -0.215848, -0.207494, -0.202968, -0.19831, -0.191858, -0.177381, -0.116055, -0.0916075, -0.0755676, -0.0575838, -0.045462, -0.0334989, -0.0222325, -0.0184382, -0.0138192, -0.00933205, -0.00408664, -0.00111679, -0.000246568" \
+              "-0.213402, -0.226715, -0.231289, -0.234918, -0.235576, -0.235619, -0.233447, -0.228227, -0.221822, -0.215848, -0.207494, -0.202968, -0.19831, -0.191858, -0.177381, -0.116055, -0.0916075, -0.0755676, -0.0575838, -0.045462, -0.0334989, -0.0222325, -0.0184382, -0.0138192, -0.00933204, -0.00408663, -0.00111675, -0.000246535" \
             );
           }
           vector (ccs_template) {
@@ -31638,9 +31638,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.48088, 2.4937, 2.51171, 2.51498, 2.52152, 2.53408, 2.55043, 2.57213, 2.58782, 2.60149, 2.61406, 2.6261, 2.63785, 2.64959, 2.65626, 2.6774, 2.68563, 2.69029, 2.69651, 2.70894, 2.724, 2.76939, 2.91816");
+            index_3 ("2.4826, 2.50621, 2.51359, 2.53314, 2.53984, 2.5722, 2.58789, 2.60152, 2.61409, 2.62613, 2.63787, 2.64961, 2.65635, 2.67473, 2.68316, 2.68956, 2.69473, 2.70507, 2.71949, 2.73752, 2.90571");
             values ( \
-              "-0.000834471, -0.00115989, -0.00215811, -0.0026054, -0.00306402, -0.00469496, -0.00806668, -0.0130271, -0.0155768, -0.0172888, -0.0183245, -0.019013, -0.0192096, -0.0188433, -0.0175385, -0.00577861, -0.00294912, -0.00197565, -0.0011401, -0.00036251, -0.000122669, -3.84308e-05, -1.46123e-05" \
+              "-0.000730632, -0.00187915, -0.00237752, -0.0045021, -0.0057206, -0.0130392, -0.0156104, -0.017287, -0.0183451, -0.0190062, -0.0192256, -0.0188345, -0.0175171, -0.00706322, -0.00363414, -0.00211125, -0.00134127, -0.000521887, -0.000163199, -8.15426e-05, -1.62705e-05" \
             );
           }
           vector (ccs_template) {
@@ -31665,18 +31665,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0760057");
-            index_3 ("2.56175, 2.64164, 2.66501, 2.80271, 2.8269, 2.86758, 2.89971, 2.96398, 2.98657, 3.03311, 3.07964, 3.13412, 3.17053, 3.24209, 3.30901, 3.39678, 3.46791, 3.53937, 3.59354, 3.64899, 3.69133, 3.77602, 3.84104");
+            index_3 ("2.54511, 2.60035, 2.67287, 2.80002, 2.82589, 2.86677, 2.89879, 2.96284, 2.98724, 3.03223, 3.09937, 3.13323, 3.16965, 3.24122, 3.30814, 3.39591, 3.46704, 3.5385, 3.59267, 3.64813, 3.69047, 3.77516, 3.86279");
             values ( \
-              "-0.0583095, -0.069492, -0.0792464, -0.161924, -0.17451, -0.19049, -0.198831, -0.206977, -0.207604, -0.206654, -0.203222, -0.195655, -0.186986, -0.154809, -0.115844, -0.0726679, -0.0475416, -0.0302919, -0.0211938, -0.0146678, -0.0110379, -0.00610564, -0.00427586" \
+              "-0.0482806, -0.0508568, -0.0831945, -0.160624, -0.174223, -0.190334, -0.198703, -0.206892, -0.207578, -0.20663, -0.200858, -0.195647, -0.186985, -0.154805, -0.115847, -0.0726706, -0.0475447, -0.0302879, -0.0211973, -0.0146714, -0.0110332, -0.00610921, -0.00363846" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.299176");
-            index_3 ("2.61397, 2.72982, 2.842, 2.8736, 2.93679, 2.99576, 3.06315, 3.14128, 3.25308, 3.56059, 3.83717, 4.02392, 4.24195, 4.30183, 4.42402, 4.62487, 5.07548, 5.22892, 5.46315, 5.60917, 5.77874, 6.00483, 6.1453, 6.3603, 6.64697, 7.22031, 7.88496");
+            index_3 ("2.63662, 2.79101, 2.86487, 2.91053, 2.94632, 3.01621, 3.08462, 3.1644, 3.27169, 3.53176, 3.89534, 4.05739, 4.23669, 4.3795, 4.51654, 4.69925, 4.95195, 5.14062, 5.29984, 5.41904, 5.57203, 5.69554, 5.8453, 6.05597, 6.27461, 6.44201, 6.77682, 7.38754, 8.0576");
             values ( \
-              "-0.119352, -0.12368, -0.19384, -0.206623, -0.222745, -0.229535, -0.232462, -0.232642, -0.23087, -0.223969, -0.216855, -0.211208, -0.203164, -0.200175, -0.191853, -0.168983, -0.101047, -0.0814885, -0.057238, -0.0454192, -0.034445, -0.023512, -0.0185043, -0.0127603, -0.00765086, -0.00252349, -0.000644696" \
+              "-0.129055, -0.164934, -0.203288, -0.217175, -0.224076, -0.230931, -0.232787, -0.232404, -0.230535, -0.224702, -0.215248, -0.210118, -0.203458, -0.195371, -0.182948, -0.158007, -0.118859, -0.0924494, -0.0735041, -0.061366, -0.0482468, -0.0395326, -0.0308568, -0.0215728, -0.014827, -0.01107, -0.00605865, -0.00181105, -0.000462471" \
             );
           }
           vector (ccs_template) {
@@ -31755,7 +31755,7 @@
             "0.0778711, 0.103518, 0.190181, 0.524601, 1.84037, 7.01991", \
             "0.0875539, 0.113137, 0.199928, 0.534357, 1.85008, 7.02962", \
             "0.123063, 0.148826, 0.235986, 0.570838, 1.88662, 7.06616", \
-            "0.181731, 0.212719, 0.301914, 0.637517, 1.9537, 7.13309", \
+            "0.181731, 0.212719, 0.301922, 0.637517, 1.9537, 7.13309", \
             "0.211305, 0.258455, 0.366435, 0.703734, 2.02029, 7.19912", \
             "-0.037426, 0.0384998, 0.219007, 0.612669, 1.95794, 7.13695" \
           );
@@ -31767,7 +31767,7 @@
             "0.0307627, 0.06001, 0.181243, 0.669828, 2.5938, 10.1666", \
             "0.030774, 0.0600379, 0.181225, 0.669786, 2.5936, 10.1666", \
             "0.0333045, 0.061515, 0.181448, 0.669778, 2.59337, 10.1666", \
-            "0.0472478, 0.0715923, 0.184228, 0.670408, 2.59395, 10.1666", \
+            "0.0472478, 0.0715923, 0.184232, 0.670408, 2.59395, 10.1666", \
             "0.0794769, 0.110458, 0.205303, 0.673403, 2.59448, 10.1669", \
             "0.146328, 0.200815, 0.325084, 0.733115, 2.62291, 10.1654" \
           );
@@ -31779,8 +31779,8 @@
             "0.0991388, 0.12557, 0.195978, 0.421191, 1.28807, 4.7004", \
             "0.108895, 0.135333, 0.20581, 0.431026, 1.29792, 4.71022", \
             "0.154653, 0.180916, 0.252146, 0.477548, 1.34448, 4.75677", \
-            "0.273502, 0.308166, 0.389455, 0.620415, 1.48689, 4.89899", \
-            "0.531445, 0.582288, 0.698535, 0.949869, 1.81766, 5.23003", \
+            "0.273502, 0.308166, 0.389455, 0.620415, 1.48697, 4.89899", \
+            "0.531437, 0.582288, 0.698535, 0.949398, 1.81815, 5.23003", \
             "1.24224, 1.31851, 1.50936, 1.86872, 2.76893, 6.17671" \
           );
         }
@@ -31792,7 +31792,7 @@
             "0.0304398, 0.0528566, 0.123022, 0.403605, 1.55071, 6.07597", \
             "0.0313259, 0.0538004, 0.123408, 0.403637, 1.55086, 6.076", \
             "0.0470144, 0.0718168, 0.136298, 0.407639, 1.55073, 6.07746", \
-            "0.0774869, 0.115136, 0.187147, 0.424556, 1.55499, 6.07751", \
+            "0.0774359, 0.115136, 0.187147, 0.424686, 1.5545, 6.07751", \
             "0.151272, 0.208338, 0.326006, 0.541419, 1.57643, 6.07671" \
           );
         }
@@ -31848,7 +31848,7 @@
             index_2 ("1.17762");
             index_3 ("0.0612946, 0.0982285, 0.12305, 0.157836, 0.218034, 4.06142, 5.50587, 7.03051, 7.80941, 8.66986, 9.50854, 10.5248, 13.4136, 14.4995, 15.5854, 16.677, 18.1566, 19.7905, 21.9253, 23.8864, 26.4052, 28.577, 30.7488, 35.0924, 38.3501");
             values ( \
-              "0.059812, 0.152855, 0.164925, 0.167988, 0.168118, 0.150418, 0.143314, 0.134977, 0.129755, 0.123275, 0.115275, 0.104655, 0.0715809, 0.0599691, 0.0499273, 0.0407676, 0.0307085, 0.0221376, 0.0141969, 0.00933229, 0.00538134, 0.00331292, 0.00201912, 0.000713517, 0.000494364" \
+              "0.059812, 0.152855, 0.164925, 0.167988, 0.168118, 0.150418, 0.143314, 0.134977, 0.129755, 0.123275, 0.115275, 0.104655, 0.0715809, 0.0599691, 0.0499273, 0.0407676, 0.0307085, 0.0221376, 0.0141969, 0.00933229, 0.00538133, 0.00331292, 0.00201912, 0.000713517, 0.000494364" \
             );
           }
           vector (ccs_template) {
@@ -31891,7 +31891,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.299176");
-            index_3 ("0.139459, 0.139479, 0.600516, 1.1883, 1.6047, 1.99774, 2.22726, 2.44917, 2.77347, 3.63878, 4.06912, 4.52149, 4.8432, 5.07684, 5.47431, 5.92856, 6.23168, 6.69672, 7.31677, 8.40267, 8.53579");
+            index_3 ("0.139459, 0.139479, 0.600516, 1.1883, 1.6047, 1.99774, 2.22726, 2.44917, 2.77347, 3.63878, 4.06912, 4.52149, 4.8432, 5.07684, 5.47431, 5.92856, 6.23168, 6.69672, 7.31677, 8.40266, 8.53579");
             values ( \
               "1e-22, 0.189688, 0.159296, 0.148582, 0.140434, 0.131567, 0.125084, 0.117417, 0.104118, 0.06576, 0.0494281, 0.0356671, 0.0279023, 0.0232383, 0.0168972, 0.0115998, 0.00901041, 0.00608592, 0.00354955, 0.00129796, 0.00119652" \
             );
@@ -31981,9 +31981,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0193093");
-            index_3 ("0.65403, 0.672169, 0.712657, 0.727577, 0.742627, 0.763993, 0.779163, 0.795274, 0.821618, 0.835235, 0.84976, 0.863228, 0.935929, 0.953763, 0.983204, 1.01428, 1.04435, 1.05971, 1.09052, 1.11714, 1.14019, 1.18113, 1.23571, 1.28976");
+            index_3 ("0.654024, 0.672179, 0.712667, 0.727583, 0.742636, 0.763949, 0.779277, 0.795283, 0.821629, 0.83523, 0.863417, 0.940956, 0.968848, 0.992479, 1.02154, 1.06033, 1.09148, 1.11625, 1.15568, 1.18471, 1.24276, 1.27547");
             values ( \
-              "0.0381463, 0.0385532, 0.0999315, 0.117779, 0.128308, 0.133835, 0.133254, 0.130749, 0.12447, 0.120064, 0.114364, 0.107854, 0.0648544, 0.055475, 0.0418721, 0.0305753, 0.021872, 0.01853, 0.0131659, 0.00970067, 0.00742132, 0.00457936, 0.00234738, 0.00136772" \
+              "0.0380718, 0.0385576, 0.0999297, 0.117784, 0.128305, 0.133836, 0.133246, 0.130745, 0.124473, 0.120069, 0.107759, 0.0620976, 0.0481952, 0.0382585, 0.0283362, 0.0185149, 0.0131107, 0.00986439, 0.00623595, 0.00442161, 0.00215852, 0.00161668" \
             );
           }
           vector (ccs_template) {
@@ -31999,18 +31999,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299176");
-            index_3 ("0.717657, 0.717677, 1.27398, 1.71748, 2.2589, 2.47442, 2.78351, 3.10972, 3.36623, 4.11164, 4.31336, 4.71678, 5.0382, 5.25666, 5.73206, 5.94797, 6.37979, 6.80943, 7.0417, 7.50626, 8.43536, 9.52125, 10.6071");
+            index_3 ("0.71739, 0.71741, 1.27397, 1.71748, 2.2589, 2.47442, 2.78353, 3.10972, 3.36623, 4.11163, 4.31333, 4.71672, 5.03826, 5.25682, 5.73206, 5.94789, 6.37955, 6.8094, 7.04178, 7.50654, 8.43606, 9.52195, 10.6078");
             values ( \
-              "1e-22, 0.178, 0.157835, 0.149753, 0.139132, 0.134369, 0.126185, 0.114786, 0.104073, 0.0707652, 0.0624301, 0.0475559, 0.0377291, 0.0320346, 0.0221062, 0.0185908, 0.0130405, 0.00911898, 0.00749645, 0.00504381, 0.00217993, 0.000794472, 0.000287078" \
+              "1e-22, 0.177839, 0.157835, 0.149753, 0.139132, 0.134369, 0.126184, 0.114786, 0.104073, 0.0707657, 0.0624312, 0.0475581, 0.0377272, 0.0320307, 0.0221062, 0.018592, 0.0130431, 0.00911919, 0.00749596, 0.00504256, 0.00217848, 0.00079393, 0.000286889" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.17762");
-            index_3 ("0.816525, 0.816545, 2.78423, 5.36724, 7.21574, 8.43334, 9.29379, 10.1325, 11.1487, 14.0376, 15.1235, 16.2093, 17.8, 18.6212, 19.7071, 21.0757, 22.8373, 24.7334, 26.9051, 29.0769, 31.2487, 34.5064, 38.85");
+            index_3 ("0.8161, 0.81612, 2.78439, 5.3679, 7.21574, 8.43333, 9.29378, 10.1325, 11.1487, 14.0376, 15.1234, 16.2093, 17.8, 18.621, 19.7069, 21.0758, 22.8374, 24.7334, 26.9052, 29.0769, 31.2487, 34.5064, 38.85");
             values ( \
-              "1e-22, 0.188434, 0.159169, 0.147013, 0.13741, 0.129843, 0.123185, 0.115364, 0.104565, 0.0714898, 0.0600604, 0.049836, 0.0372209, 0.0317774, 0.0256512, 0.0194416, 0.0134749, 0.00900669, 0.00563708, 0.00351229, 0.00218275, 0.00105654, 0.000401219" \
+              "1e-22, 0.188359, 0.159168, 0.147009, 0.13741, 0.129843, 0.123185, 0.115364, 0.104565, 0.0714899, 0.0600603, 0.0498361, 0.037221, 0.0317782, 0.025652, 0.019441, 0.0134745, 0.00900679, 0.00563718, 0.00351239, 0.00218285, 0.00105644, 0.000401114" \
             );
           }
           vector (ccs_template) {
@@ -32073,7 +32073,7 @@
             index_2 ("0.00124625");
             index_3 ("7.99765, 8.10726, 8.12497, 8.14876, 8.19405, 8.2156, 8.2461, 8.27221, 8.29601, 8.31677, 8.32896, 8.34023, 8.3456, 8.3537, 8.36198, 8.37848, 8.39271, 8.40956, 8.41875, 8.431, 8.44072, 8.4567, 8.478, 8.51076, 8.60022, 8.67201, 8.88855");
             values ( \
-              "0.000626257, 0.00103855, 0.00132635, 0.00213838, 0.00527341, 0.00661048, 0.00810581, 0.00909648, 0.00975801, 0.0101843, 0.0103347, 0.0104018, 0.0103991, 0.0103299, 0.0100771, 0.00828109, 0.00563888, 0.00348374, 0.00265121, 0.00183872, 0.00130044, 0.00074898, 0.000364228, 8.25053e-05, 1.03399e-05, 3.57604e-05, 3.17693e-05" \
+              "0.000626252, 0.00103855, 0.00132635, 0.00213838, 0.0052734, 0.00661048, 0.00810581, 0.00909648, 0.00975801, 0.0101843, 0.0103347, 0.0104018, 0.0103991, 0.0103299, 0.0100771, 0.00828109, 0.00563888, 0.00348374, 0.00265121, 0.00183872, 0.00130044, 0.00074898, 0.000364228, 8.25053e-05, 1.03399e-05, 3.57604e-05, 3.17693e-05" \
             );
           }
           vector (ccs_template) {
@@ -32082,7 +32082,7 @@
             index_2 ("0.00490553");
             index_3 ("7.97136, 8.06377, 8.08528, 8.10702, 8.13408, 8.14777, 8.17516, 8.21584, 8.24178, 8.26077, 8.30313, 8.32466, 8.33926, 8.37184, 8.38772, 8.40235, 8.43171, 8.46108, 8.46926, 8.49546, 8.50954, 8.51889, 8.53869, 8.54917, 8.5682, 8.5778, 8.59353, 8.61451, 8.65648, 8.70825, 8.77348, 8.8506, 8.94215");
             values ( \
-              "0.00165071, 0.00217366, 0.00252443, 0.00300377, 0.00394562, 0.00486769, 0.00778233, 0.0133331, 0.0165369, 0.0187266, 0.0229515, 0.0248036, 0.0259453, 0.0281524, 0.0290253, 0.0297031, 0.0304701, 0.0286048, 0.026534, 0.0185517, 0.0147306, 0.0124204, 0.00838572, 0.00670316, 0.00433689, 0.00346082, 0.00236005, 0.00138305, 0.00042872, 0.000149477, 0.000173202, 0.000148507, 4.15951e-05" \
+              "0.00165072, 0.00217366, 0.00252443, 0.00300378, 0.00394562, 0.00486769, 0.00778232, 0.0133331, 0.0165369, 0.0187266, 0.0229515, 0.0248036, 0.0259453, 0.0281524, 0.0290253, 0.0297031, 0.0304701, 0.0286048, 0.026534, 0.0185517, 0.0147306, 0.0124204, 0.00838572, 0.00670316, 0.00433689, 0.00346082, 0.00236005, 0.00138305, 0.00042872, 0.000149477, 0.000173202, 0.000148507, 4.15951e-05" \
             );
           }
           vector (ccs_template) {
@@ -32091,25 +32091,25 @@
             index_2 ("0.0193093");
             index_3 ("8.04441, 8.16966, 8.20879, 8.29164, 8.37452, 8.44325, 8.50099, 8.55244, 8.60024, 8.64737, 8.69439, 8.76533, 8.79378, 8.81212, 8.83564, 8.85096, 8.87138, 8.90896, 8.92593, 8.95139, 8.98534, 9.05323, 9.15332, 9.27544");
             values ( \
-              "0.00740504, 0.0114254, 0.0173211, 0.0316401, 0.0451933, 0.0560512, 0.0643844, 0.0707088, 0.0746027, 0.0732148, 0.060967, 0.0371262, 0.0290608, 0.0245053, 0.0195077, 0.0167328, 0.0135549, 0.00906896, 0.00754718, 0.00570828, 0.00389757, 0.00174649, 0.00047463, 9.16156e-05" \
+              "0.007405, 0.0114254, 0.0173211, 0.0316401, 0.0451933, 0.0560512, 0.0643844, 0.0707088, 0.0746027, 0.0732148, 0.060967, 0.0371262, 0.0290608, 0.0245053, 0.0195077, 0.0167328, 0.0135549, 0.00906896, 0.00754718, 0.00570828, 0.00389757, 0.00174649, 0.00047463, 9.16156e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0760057");
-            index_3 ("8.12251, 8.26441, 8.39669, 8.61285, 8.70851, 8.73072, 8.77512, 8.83809, 8.93007, 8.94637, 8.97895, 9.06058, 9.09349, 9.1593, 9.42638, 9.53286, 9.60743, 9.70976, 9.79173, 9.85802, 9.93838, 10.0516, 10.1695, 10.26, 10.4408, 10.7692, 11.0077");
+            index_3 ("8.12251, 8.26441, 8.39669, 8.61285, 8.70851, 8.73072, 8.77512, 8.83809, 8.93007, 8.94637, 8.97895, 9.06058, 9.09349, 9.15931, 9.42638, 9.53287, 9.60743, 9.70975, 9.79173, 9.85802, 9.93838, 10.0516, 10.1695, 10.26, 10.4408, 10.7691, 11.0077");
             values ( \
-              "0.0232709, 0.0306478, 0.0577313, 0.106208, 0.122444, 0.124951, 0.127953, 0.128507, 0.125002, 0.124027, 0.121921, 0.115048, 0.111469, 0.102965, 0.0618474, 0.0474275, 0.0388895, 0.0291297, 0.0228438, 0.0186987, 0.014604, 0.0101849, 0.00698698, 0.00522193, 0.0028389, 0.000861127, 0.000368446" \
+              "0.0232706, 0.030648, 0.0577312, 0.106208, 0.122444, 0.124951, 0.127953, 0.128507, 0.125002, 0.124027, 0.121921, 0.115048, 0.111468, 0.102964, 0.0618466, 0.0474259, 0.0388899, 0.0291304, 0.022844, 0.0186984, 0.0146038, 0.0101848, 0.00698707, 0.00522209, 0.0028391, 0.000861202, 0.00036847" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.299176");
-            index_3 ("8.27831, 8.53813, 8.69489, 8.79532, 8.89544, 9.02702, 9.34258, 9.5212, 9.83599, 10.2902, 10.6869, 10.8553, 11.1864, 11.9675, 12.2184, 12.595, 12.911, 13.154, 13.4668, 13.7244, 14.0294, 14.46, 14.9077, 15.2506, 15.814, 16.4483, 17.338, 18.6709");
+            index_3 ("8.2783, 8.53813, 8.69489, 8.79532, 8.89544, 9.02701, 9.34258, 9.5212, 9.83599, 10.2902, 10.6869, 10.8553, 11.1864, 11.9675, 12.2184, 12.595, 12.911, 13.154, 13.4668, 13.7244, 14.0294, 14.46, 14.9077, 15.2506, 15.814, 16.4483, 17.338, 18.6709");
             values ( \
-              "0.0687443, 0.0969326, 0.134322, 0.146826, 0.149882, 0.149876, 0.147677, 0.145754, 0.141475, 0.133335, 0.123092, 0.117333, 0.103938, 0.0692331, 0.0590824, 0.0456484, 0.0362903, 0.0301945, 0.0236621, 0.019275, 0.0150366, 0.0105124, 0.00722702, 0.00539623, 0.00331484, 0.00189679, 0.000885387, 0.00026793" \
+              "0.0687435, 0.0969326, 0.134322, 0.146826, 0.149882, 0.149876, 0.147677, 0.145754, 0.141475, 0.133335, 0.123092, 0.117333, 0.103938, 0.0692331, 0.0590824, 0.0456484, 0.0362903, 0.0301945, 0.0236621, 0.019275, 0.0150366, 0.0105124, 0.00722702, 0.00539623, 0.00331484, 0.00189679, 0.000885387, 0.00026793" \
             );
           }
           vector (ccs_template) {
@@ -32118,7 +32118,7 @@
             index_2 ("1.17762");
             index_3 ("8.48061, 8.48063, 10.9391, 11.4893, 13.065, 15.0332, 16.4574, 17.4542, 18.1425, 18.9672, 21.8355, 22.913, 23.9989, 24.8678, 25.6473, 26.617, 27.7029, 28.232, 29.2902, 30.3489, 31.4348, 32.9492, 34.8708, 37.0426, 39.2144, 42.472, 46.8156");
             values ( \
-              "1e-22, 0.164302, 0.157331, 0.155061, 0.147682, 0.137431, 0.128338, 0.12011, 0.113394, 0.10452, 0.0716864, 0.060342, 0.0500711, 0.0428006, 0.0370011, 0.0306921, 0.0247384, 0.0222357, 0.0178941, 0.01435, 0.0114066, 0.00825857, 0.00545218, 0.00339452, 0.00210752, 0.00102675, 0.000392562" \
+              "1e-22, 0.164302, 0.157331, 0.155061, 0.147682, 0.137431, 0.128338, 0.12011, 0.113394, 0.10452, 0.0716864, 0.060342, 0.0500711, 0.0428006, 0.0370011, 0.0306921, 0.0247384, 0.0222357, 0.0178941, 0.01435, 0.0114066, 0.00825857, 0.00545218, 0.00339452, 0.00210753, 0.00102675, 0.000392562" \
             );
           }
         }
@@ -32237,7 +32237,7 @@
             index_2 ("0.00124625");
             index_3 ("0.24901, 0.253529, 0.255359, 0.25985, 0.260288, 0.261165, 0.263418, 0.265866, 0.268514, 0.273809, 0.27565, 0.2778, 0.2798, 0.283801, 0.287108, 0.289854, 0.294755, 0.299663, 0.300938, 0.302395, 0.305308, 0.308568, 0.311097, 0.312402, 0.314494, 0.317284, 0.319519, 0.320712, 0.323099, 0.327872, 0.331924");
             values ( \
-              "-0.0109236, -0.0150127, -0.0181152, -0.0263102, -0.0267578, -0.02739, -0.0302318, -0.0329989, -0.0356342, -0.0398479, -0.0409883, -0.0421403, -0.0430748, -0.0445179, -0.0453329, -0.0457539, -0.0457484, -0.0425151, -0.0399371, -0.0365345, -0.0288626, -0.0208718, -0.0156125, -0.0133259, -0.0102138, -0.00702727, -0.00517287, -0.00437932, -0.00313299, -0.0015673, -0.00102243" \
+              "-0.0109236, -0.0150127, -0.0181152, -0.0263102, -0.0267578, -0.02739, -0.0302318, -0.0329989, -0.0356342, -0.0398479, -0.0409883, -0.0421403, -0.0430748, -0.0445179, -0.0453329, -0.0457539, -0.0457484, -0.0425151, -0.0399371, -0.0365345, -0.0288626, -0.0208718, -0.0156125, -0.0133259, -0.0102138, -0.00702728, -0.00517287, -0.00437932, -0.00313299, -0.0015673, -0.00102243" \
             );
           }
           vector (ccs_template) {
@@ -32325,9 +32325,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299176");
-            index_3 ("0.816199, 0.941887, 0.980363, 1.02119, 1.08479, 1.11175, 1.16566, 1.28956, 1.52293, 1.78802, 2.00777, 2.25552, 2.35743, 2.44164, 2.5313, 2.66942, 3.07693, 3.25337, 3.38722, 3.56568, 3.71499, 3.9019, 4.14333, 4.25299, 4.4202, 4.64315, 5.08904, 5.73108, 6.45894");
+            index_3 ("0.819265, 0.980303, 1.02127, 1.08499, 1.11202, 1.1661, 1.28965, 1.52302, 1.78811, 2.00786, 2.25561, 2.35752, 2.44173, 2.53139, 2.6695, 3.07701, 3.25346, 3.3873, 3.56576, 3.71508, 3.90199, 4.14342, 4.25308, 4.42029, 4.64324, 5.08913, 5.73119, 6.45908");
             values ( \
-              "-0.211104, -0.217386, -0.226736, -0.231262, -0.234911, -0.235571, -0.235621, -0.233448, -0.228227, -0.221822, -0.215848, -0.207494, -0.202968, -0.19831, -0.191858, -0.177381, -0.116055, -0.0916075, -0.0755676, -0.0575838, -0.045462, -0.0334989, -0.0222325, -0.0184382, -0.0138192, -0.00933205, -0.00408664, -0.00111679, -0.000246568" \
+              "-0.213402, -0.226715, -0.231289, -0.234918, -0.235576, -0.235619, -0.233447, -0.228227, -0.221822, -0.215848, -0.207494, -0.202968, -0.19831, -0.191858, -0.177381, -0.116055, -0.0916075, -0.0755676, -0.0575838, -0.045462, -0.0334989, -0.0222325, -0.0184382, -0.0138192, -0.00933204, -0.00408663, -0.00111675, -0.000246535" \
             );
           }
           vector (ccs_template) {
@@ -32343,9 +32343,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.48088, 2.4937, 2.51171, 2.51498, 2.52152, 2.53408, 2.55043, 2.57213, 2.58782, 2.60149, 2.61406, 2.6261, 2.63785, 2.64959, 2.65626, 2.6774, 2.68563, 2.69029, 2.69651, 2.70894, 2.724, 2.76939, 2.91816");
+            index_3 ("2.4826, 2.50621, 2.51359, 2.53314, 2.53984, 2.5722, 2.58789, 2.60152, 2.61409, 2.62613, 2.63787, 2.64961, 2.65635, 2.67473, 2.68316, 2.68956, 2.69473, 2.70507, 2.71949, 2.73752, 2.90571");
             values ( \
-              "-0.000834471, -0.00115989, -0.00215811, -0.0026054, -0.00306402, -0.00469496, -0.00806668, -0.0130271, -0.0155768, -0.0172888, -0.0183245, -0.019013, -0.0192096, -0.0188433, -0.0175385, -0.00577861, -0.00294912, -0.00197565, -0.0011401, -0.00036251, -0.000122669, -3.84308e-05, -1.46123e-05" \
+              "-0.000730632, -0.00187915, -0.00237752, -0.0045021, -0.0057206, -0.0130392, -0.0156104, -0.017287, -0.0183451, -0.0190062, -0.0192256, -0.0188345, -0.0175171, -0.00706322, -0.00363414, -0.00211125, -0.00134127, -0.000521887, -0.000163199, -8.15426e-05, -1.62705e-05" \
             );
           }
           vector (ccs_template) {
@@ -32370,18 +32370,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0760057");
-            index_3 ("2.56175, 2.64164, 2.66501, 2.80271, 2.8269, 2.86758, 2.89971, 2.96398, 2.98657, 3.03311, 3.07964, 3.13412, 3.17053, 3.24209, 3.30901, 3.39678, 3.46791, 3.53937, 3.59354, 3.64899, 3.69133, 3.77602, 3.84104");
+            index_3 ("2.54511, 2.60035, 2.67287, 2.80002, 2.82589, 2.86677, 2.89879, 2.96284, 2.98724, 3.03223, 3.09937, 3.13323, 3.16965, 3.24122, 3.30814, 3.39591, 3.46704, 3.5385, 3.59267, 3.64813, 3.69047, 3.77516, 3.86279");
             values ( \
-              "-0.0583095, -0.069492, -0.0792464, -0.161924, -0.17451, -0.19049, -0.198831, -0.206977, -0.207604, -0.206654, -0.203222, -0.195655, -0.186986, -0.154809, -0.115844, -0.0726679, -0.0475416, -0.0302919, -0.0211938, -0.0146678, -0.0110379, -0.00610564, -0.00427586" \
+              "-0.0482806, -0.0508568, -0.0831945, -0.160624, -0.174223, -0.190334, -0.198703, -0.206892, -0.207578, -0.20663, -0.200858, -0.195647, -0.186985, -0.154805, -0.115847, -0.0726706, -0.0475447, -0.0302879, -0.0211973, -0.0146714, -0.0110332, -0.00610921, -0.00363846" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.299176");
-            index_3 ("2.61397, 2.72982, 2.842, 2.8736, 2.93679, 2.99576, 3.06315, 3.14128, 3.25308, 3.56059, 3.83717, 4.02392, 4.24195, 4.30183, 4.42402, 4.62487, 5.07548, 5.22892, 5.46315, 5.60917, 5.77874, 6.00483, 6.1453, 6.3603, 6.64697, 7.22031, 7.88496");
+            index_3 ("2.63662, 2.79101, 2.86487, 2.91053, 2.94632, 3.01621, 3.08462, 3.1644, 3.27169, 3.53176, 3.89534, 4.05739, 4.23669, 4.3795, 4.51654, 4.69925, 4.95195, 5.14062, 5.29984, 5.41904, 5.57203, 5.69554, 5.8453, 6.05597, 6.27461, 6.44201, 6.77682, 7.38754, 8.0576");
             values ( \
-              "-0.119352, -0.12368, -0.19384, -0.206623, -0.222745, -0.229535, -0.232462, -0.232642, -0.23087, -0.223969, -0.216855, -0.211208, -0.203164, -0.200175, -0.191853, -0.168983, -0.101047, -0.0814885, -0.057238, -0.0454192, -0.034445, -0.023512, -0.0185043, -0.0127603, -0.00765086, -0.00252349, -0.000644696" \
+              "-0.129055, -0.164934, -0.203288, -0.217175, -0.224076, -0.230931, -0.232787, -0.232404, -0.230535, -0.224702, -0.215248, -0.210118, -0.203458, -0.195371, -0.182948, -0.158007, -0.118859, -0.0924494, -0.0735041, -0.061366, -0.0482468, -0.0395326, -0.0308568, -0.0215728, -0.014827, -0.01107, -0.00605865, -0.00181105, -0.000462471" \
             );
           }
           vector (ccs_template) {
@@ -32501,8 +32501,8 @@
             "0.0193723, 0.0194775, 0.0195942, 0.0195539, 0.0195208, 0.01951", \
             "0.0192467, 0.019381, 0.0194777, 0.0194425, 0.0194086, 0.0193972", \
             "0.0191082, 0.0192171, 0.0193526, 0.0193572, 0.0193311, 0.0193211", \
-            "0.019056, 0.0191237, 0.0192467, 0.0193819, 0.0194138, 0.0193636", \
-            "0.0212333, 0.0211696, 0.021201, 0.0212454, 0.0212588, 0.0213644", \
+            "0.0190545, 0.0191237, 0.0192475, 0.0193819, 0.0194138, 0.0193332", \
+            "0.0213127, 0.0212432, 0.0211517, 0.0213158, 0.0212588, 0.0213695", \
             "0.0343559, 0.0338868, 0.0332946, 0.0326432, 0.0322055, 0.0321792" \
           );
         }
@@ -32665,7 +32665,7 @@
             "-0.000776775, -0.000721851, -0.000613642, -0.000536111, -0.00052713, -0.000535467", \
             "-0.000909999, -0.000881004, -0.000723409, -0.000642256, -0.000597159, -0.000627038", \
             "0.000987687, 0.000843324, 0.000723935, 0.000804432, 0.000899134, 0.00090041", \
-            "0.0132174, 0.0128208, 0.0119324, 0.0102131, 0.0093048, 0.00924137" \
+            "0.0132174, 0.0128167, 0.0119325, 0.0102131, 0.0093048, 0.00924137" \
           );
         }
         fall_power (power_template) {
@@ -32717,8 +32717,8 @@
             "0.0193723, 0.0194775, 0.0195942, 0.0195539, 0.0195208, 0.01951", \
             "0.0192467, 0.019381, 0.0194777, 0.0194425, 0.0194086, 0.0193972", \
             "0.0191082, 0.0192171, 0.0193526, 0.0193572, 0.0193311, 0.0193211", \
-            "0.019056, 0.0191237, 0.0192467, 0.0193819, 0.0194138, 0.0193636", \
-            "0.0212333, 0.0211696, 0.021201, 0.0212454, 0.0212588, 0.0213644", \
+            "0.0190545, 0.0191237, 0.0192475, 0.0193819, 0.0194138, 0.0193332", \
+            "0.0213127, 0.0212432, 0.0211517, 0.0213158, 0.0212588, 0.0213695", \
             "0.0343559, 0.0338868, 0.0332946, 0.0326432, 0.0322055, 0.0321792" \
           );
         }
@@ -32832,8 +32832,8 @@
           values ( \
             "0.0035632, 0.00362849, 0.00371151, 0.00397726, 0.00522528, 0.0105935", \
             "0.00348868, 0.00356327, 0.00368027, 0.0039475, 0.00514136, 0.0106147", \
-            "0.00325867, 0.00337645, 0.00355672, 0.00393605, 0.00513529, 0.010604", \
-            "0.00305503, 0.00318957, 0.00337601, 0.00377985, 0.00511603, 0.0105981", \
+            "0.00325569, 0.00337135, 0.00355672, 0.00389481, 0.00513529, 0.010604", \
+            "0.00305503, 0.00318643, 0.00337601, 0.00377662, 0.00511603, 0.0105814", \
             "0.00390968, 0.00388011, 0.00393135, 0.00419388, 0.00505903, 0.0105931", \
             "0.0094618, 0.00910264, 0.00862943, 0.00769818, 0.00772385, 0.0108519" \
           );
@@ -32879,8 +32879,8 @@
             "0.0092307, 0.00932667, 0.00946683, 0.00984808, 0.0120663, 0.0222714", \
             "0.00912748, 0.00924433, 0.00942009, 0.00984729, 0.0120636, 0.022238", \
             "0.00897174, 0.00910179, 0.00932222, 0.00980222, 0.0120809, 0.0222896", \
-            "0.00896352, 0.00903968, 0.0092797, 0.00975228, 0.0120631, 0.0222672", \
-            "0.0102187, 0.0101317, 0.0100798, 0.0101628, 0.0122144, 0.0222791", \
+            "0.0089501, 0.00904544, 0.00926032, 0.00972044, 0.0120631, 0.0222287", \
+            "0.0102138, 0.0101317, 0.0101097, 0.010172, 0.012156, 0.0222791", \
             "0.0166206, 0.0162539, 0.0157696, 0.0151419, 0.0152573, 0.0231219" \
           );
         }
@@ -32893,7 +32893,7 @@
             "0.00257974, 0.00271712, 0.00293206, 0.00325309, 0.00448846, 0.0101702", \
             "0.00249108, 0.00250964, 0.00285859, 0.00317221, 0.00446933, 0.0101749", \
             "0.00323492, 0.00320539, 0.00310617, 0.00344368, 0.00449458, 0.0101542", \
-            "0.00908128, 0.00868409, 0.00769782, 0.00625402, 0.00662453, 0.0105085" \
+            "0.00908105, 0.00868409, 0.00769782, 0.00625402, 0.00662453, 0.0105085" \
           );
         }
       }
@@ -33106,7 +33106,7 @@
           values ( \
             "0.00358119, 0.00364848, 0.00377428, 0.00419564, 0.00602997, 0.0119484", \
             "0.00355915, 0.00363747, 0.00377654, 0.00418832, 0.00577193, 0.0119818", \
-            "0.00349077, 0.00356006, 0.00372675, 0.0041286, 0.00577199, 0.011997", \
+            "0.00349625, 0.00356006, 0.00372675, 0.00411375, 0.00567459, 0.011997", \
             "0.00355603, 0.00360693, 0.00370278, 0.00406443, 0.00559788, 0.011979", \
             "0.00456952, 0.00449408, 0.00444892, 0.00456363, 0.00559349, 0.0120057", \
             "0.0109214, 0.0104495, 0.00936486, 0.00828062, 0.00834745, 0.0123211" \
@@ -33153,7 +33153,7 @@
             "0.00753404, 0.00760085, 0.00776658, 0.00844153, 0.0113614, 0.0242823", \
             "0.00749104, 0.00756416, 0.00773985, 0.00840578, 0.0113729, 0.0243579", \
             "0.00740613, 0.00749855, 0.00768863, 0.00836912, 0.0115438, 0.0243365", \
-            "0.00758326, 0.00757676, 0.00776422, 0.00834246, 0.0113972, 0.0243959", \
+            "0.00765872, 0.00764574, 0.00776422, 0.00834246, 0.0113972, 0.0243959", \
             "0.0090387, 0.00887738, 0.00884139, 0.0088633, 0.0115, 0.0243929", \
             "0.0155464, 0.0148509, 0.0142506, 0.0138288, 0.0144953, 0.0251416" \
           );
@@ -33164,7 +33164,7 @@
           values ( \
             "0.00322829, 0.00331009, 0.00344523, 0.00375221, 0.00500706, 0.0107399", \
             "0.00319479, 0.00328966, 0.003427, 0.00374025, 0.00499597, 0.0106773", \
-            "0.00305563, 0.00318396, 0.00337888, 0.00369752, 0.00495397, 0.010634", \
+            "0.003053, 0.00318396, 0.00337888, 0.00369752, 0.00497539, 0.0106421", \
             "0.00306953, 0.00311372, 0.00326774, 0.00365215, 0.00493062, 0.0106165", \
             "0.00421442, 0.00401919, 0.00396022, 0.00403248, 0.00499864, 0.0106178", \
             "0.0105579, 0.0101, 0.00885107, 0.00751006, 0.00753307, 0.0110411" \
@@ -33253,7 +33253,7 @@
             "0.00753404, 0.00760085, 0.00776658, 0.00844153, 0.0113614, 0.0242823", \
             "0.00749104, 0.00756416, 0.00773985, 0.00840578, 0.0113729, 0.0243579", \
             "0.00740613, 0.00749855, 0.00768863, 0.00836912, 0.0115438, 0.0243365", \
-            "0.00758326, 0.00757676, 0.00776422, 0.00834246, 0.0113972, 0.0243959", \
+            "0.00765872, 0.00764574, 0.00776422, 0.00834246, 0.0113972, 0.0243959", \
             "0.0090387, 0.00887738, 0.00884139, 0.0088633, 0.0115, 0.0243929", \
             "0.0155464, 0.0148509, 0.0142506, 0.0138288, 0.0144953, 0.0251416" \
           );
@@ -33299,9 +33299,9 @@
       related_ground_pin : GND;
       related_power_pin : VDD;
       max_transition : 10;
-      capacitance : 0.00850304;
-      rise_capacitance : 0.00850304;
-      rise_capacitance_range (0.00699441, 0.00850304);
+      capacitance : 0.00850311;
+      rise_capacitance : 0.00850311;
+      rise_capacitance_range (0.00699441, 0.00850311);
       fall_capacitance : 0.00831451;
       fall_capacitance_range (0.00686342, 0.00831451);
     }
@@ -33562,9 +33562,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.240353");
-            index_3 ("1.44174, 1.77367, 2.24839, 2.4772, 2.63377, 2.79913, 3.00496, 3.41663, 3.52943, 3.67983, 3.87974, 4.24269, 4.30708, 4.43588, 4.69346, 4.7563, 4.88196, 5.1333, 5.50246, 6.17096, 6.55126, 6.81669, 7.10173, 7.46885, 7.78247, 7.95651, 8.24951, 8.65828, 8.82222, 9.15009, 9.80582, 11.0667, 12.4377");
+            index_3 ("1.44174, 1.77367, 2.24839, 2.4772, 2.63377, 2.79913, 3.00496, 3.41663, 3.52943, 3.67983, 3.87974, 4.24269, 4.30708, 4.43588, 4.69346, 4.7563, 4.88196, 5.1333, 5.50246, 6.17096, 6.55126, 6.81669, 7.10174, 7.46885, 7.78247, 7.95651, 8.24951, 8.65828, 8.82222, 9.15009, 9.80582, 11.0667, 12.4377");
             values ( \
-              "0.0249414, 0.0272276, 0.0570527, 0.0701915, 0.0779995, 0.0849888, 0.0918693, 0.100093, 0.101184, 0.102046, 0.102234, 0.100343, 0.0996997, 0.0981881, 0.094015, 0.0927558, 0.0899297, 0.0832968, 0.0719213, 0.050613, 0.0398434, 0.0333044, 0.0272132, 0.0207194, 0.0162833, 0.0142168, 0.0112519, 0.00807139, 0.00705275, 0.0053823, 0.0030717, 0.000946853, 0.00024725" \
+              "0.0249414, 0.0272276, 0.0570527, 0.0701915, 0.0779995, 0.0849888, 0.0918693, 0.100093, 0.101184, 0.102046, 0.102234, 0.100343, 0.0996997, 0.0981881, 0.094015, 0.0927558, 0.0899297, 0.0832968, 0.0719213, 0.050613, 0.0398435, 0.0333044, 0.0272132, 0.0207194, 0.0162833, 0.0142168, 0.0112519, 0.00807139, 0.00705275, 0.00538229, 0.0030717, 0.000946853, 0.00024725" \
             );
           }
           vector (ccs_template) {
@@ -33672,7 +33672,7 @@
             index_2 ("0.240353");
             index_3 ("2.22587, 2.6248, 3.02648, 3.24717, 3.45806, 3.66912, 4.09124, 4.2807, 4.46134, 4.70859, 4.97125, 5.28763, 5.41989, 5.65008, 5.91938, 6.81207, 7.40667, 7.90802, 8.25819, 8.57259, 8.99178, 9.33361, 9.70811, 10.2074, 11.2015, 11.8227");
             values ( \
-              "0.0349583, 0.0391656, 0.0638955, 0.0756635, 0.0848694, 0.0919264, 0.100271, 0.101804, 0.102309, 0.101715, 0.0996882, 0.0953103, 0.0927583, 0.0873311, 0.0796819, 0.0513128, 0.0350594, 0.0245255, 0.0188354, 0.0147609, 0.010547, 0.00799091, 0.00587505, 0.00385581, 0.00159269, 0.00105797" \
+              "0.0349583, 0.0391656, 0.0638955, 0.0756635, 0.0848694, 0.0919264, 0.100271, 0.101804, 0.102309, 0.101714, 0.0996882, 0.0953103, 0.0927583, 0.0873311, 0.0796819, 0.0513128, 0.0350594, 0.0245255, 0.0188354, 0.0147609, 0.010547, 0.00799091, 0.00587505, 0.00385581, 0.00159269, 0.00105797" \
             );
           }
           vector (ccs_template) {
@@ -34067,7 +34067,7 @@
             index_2 ("0.064497");
             index_3 ("3.70379, 3.85929, 4.04075, 4.20144, 4.2866, 4.42416, 4.485, 4.59591, 4.70159, 4.80512, 4.90855, 5.13827, 5.22706, 5.29188, 5.39375, 5.47542, 5.61718");
             values ( \
-              "-0.0189338, -0.0280779, -0.053368, -0.0738642, -0.0835348, -0.0965473, -0.101229, -0.107993, -0.112051, -0.112515, -0.102228, -0.0415492, -0.0258099, -0.0177716, -0.00968312, -0.00581151, -0.00240857" \
+              "-0.0189338, -0.0280779, -0.053368, -0.0738642, -0.0835348, -0.0965472, -0.101229, -0.107993, -0.112051, -0.112515, -0.102228, -0.0415492, -0.0258099, -0.0177716, -0.00968312, -0.00581151, -0.00240858" \
             );
           }
           vector (ccs_template) {
@@ -34517,7 +34517,7 @@
             index_2 ("0.0173073");
             index_3 ("8.7232, 8.90657, 8.95382, 9.04209, 9.07931, 9.14132, 9.22918, 9.28889, 9.31303, 9.34698, 9.36354, 9.39353, 9.4213, 9.44982, 9.47368, 9.47773, 9.48583, 9.50204, 9.53955, 9.63855, 9.66225, 9.6929, 9.71934, 9.7546, 9.78375, 9.81513, 9.85698, 9.93376, 9.99456");
             values ( \
-              "0.00316634, 0.00844869, 0.0125126, 0.0229415, 0.0270787, 0.0333571, 0.0415935, 0.0469358, 0.0489303, 0.0514508, 0.0525007, 0.054134, 0.0551785, 0.05554, 0.0546943, 0.0544113, 0.053712, 0.0518005, 0.0452651, 0.0246746, 0.020519, 0.0158419, 0.0125429, 0.00906105, 0.00683768, 0.00503382, 0.00331911, 0.00145841, 0.000886658" \
+              "0.00316635, 0.00844869, 0.0125126, 0.0229415, 0.0270787, 0.0333571, 0.0415935, 0.0469358, 0.0489303, 0.0514508, 0.0525007, 0.054134, 0.0551785, 0.05554, 0.0546943, 0.0544113, 0.053712, 0.0518005, 0.0452651, 0.0246746, 0.020519, 0.0158419, 0.0125429, 0.00906105, 0.00683768, 0.00503382, 0.00331911, 0.00145841, 0.000886658" \
             );
           }
           vector (ccs_template) {
@@ -34535,7 +34535,7 @@
             index_2 ("0.240353");
             index_3 ("11.5592, 12.2364, 13.3621, 13.7716, 13.9043, 14.2678, 14.4635, 14.7251, 15.1235, 15.1743, 15.4517, 15.6366, 16.0064, 16.1461, 17.1916, 17.6022, 18.1775, 18.5097, 18.7766, 19.0877, 19.5268, 19.9793, 20.32, 21.0013, 21.9777");
             values ( \
-              "0.00748312, 0.0180882, 0.0667257, 0.0811971, 0.0848749, 0.0922707, 0.0947055, 0.0964487, 0.0962037, 0.095919, 0.0933753, 0.0906207, 0.0825084, 0.0786859, 0.0468027, 0.0358983, 0.0238835, 0.0185863, 0.0151186, 0.0118174, 0.00826706, 0.00570336, 0.00429686, 0.00239109, 0.001119" \
+              "0.00748313, 0.0180882, 0.0667257, 0.0811971, 0.0848749, 0.0922707, 0.0947056, 0.0964487, 0.0962037, 0.095919, 0.0933753, 0.0906207, 0.0825083, 0.0786859, 0.0468027, 0.0358983, 0.0238835, 0.0185863, 0.0151186, 0.0118174, 0.00826706, 0.00570336, 0.00429686, 0.00239109, 0.001119" \
             );
           }
           vector (ccs_template) {
@@ -35270,7 +35270,7 @@
             index_2 ("0.0173073");
             index_3 ("8.7232, 8.90657, 8.95382, 9.04209, 9.07931, 9.14132, 9.22918, 9.28889, 9.31303, 9.34698, 9.36354, 9.39353, 9.4213, 9.44982, 9.47368, 9.47773, 9.48583, 9.50204, 9.53955, 9.63855, 9.66225, 9.6929, 9.71934, 9.7546, 9.78375, 9.81513, 9.85698, 9.93376, 9.99456");
             values ( \
-              "0.00316634, 0.00844869, 0.0125126, 0.0229415, 0.0270787, 0.0333571, 0.0415935, 0.0469358, 0.0489303, 0.0514508, 0.0525007, 0.054134, 0.0551785, 0.05554, 0.0546943, 0.0544113, 0.053712, 0.0518005, 0.0452651, 0.0246746, 0.020519, 0.0158419, 0.0125429, 0.00906105, 0.00683768, 0.00503382, 0.00331911, 0.00145841, 0.000886658" \
+              "0.00316635, 0.00844869, 0.0125126, 0.0229415, 0.0270787, 0.0333571, 0.0415935, 0.0469358, 0.0489303, 0.0514508, 0.0525007, 0.054134, 0.0551785, 0.05554, 0.0546943, 0.0544113, 0.053712, 0.0518005, 0.0452651, 0.0246746, 0.020519, 0.0158419, 0.0125429, 0.00906105, 0.00683768, 0.00503382, 0.00331911, 0.00145841, 0.000886658" \
             );
           }
           vector (ccs_template) {
@@ -35288,7 +35288,7 @@
             index_2 ("0.240353");
             index_3 ("11.5592, 12.2364, 13.3621, 13.7716, 13.9043, 14.2678, 14.4635, 14.7251, 15.1235, 15.1743, 15.4517, 15.6366, 16.0064, 16.1461, 17.1916, 17.6022, 18.1775, 18.5097, 18.7766, 19.0877, 19.5268, 19.9793, 20.32, 21.0013, 21.9777");
             values ( \
-              "0.00748312, 0.0180882, 0.0667257, 0.0811971, 0.0848749, 0.0922707, 0.0947055, 0.0964487, 0.0962037, 0.095919, 0.0933753, 0.0906207, 0.0825084, 0.0786859, 0.0468027, 0.0358983, 0.0238835, 0.0185863, 0.0151186, 0.0118174, 0.00826706, 0.00570336, 0.00429686, 0.00239109, 0.001119" \
+              "0.00748313, 0.0180882, 0.0667257, 0.0811971, 0.0848749, 0.0922707, 0.0947056, 0.0964487, 0.0962037, 0.095919, 0.0933753, 0.0906207, 0.0825083, 0.0786859, 0.0468027, 0.0358983, 0.0238835, 0.0185863, 0.0151186, 0.0118174, 0.00826706, 0.00570336, 0.00429686, 0.00239109, 0.001119" \
             );
           }
           vector (ccs_template) {
@@ -35575,7 +35575,7 @@
             index_2 ("0.064497");
             index_3 ("3.70379, 3.85929, 4.04075, 4.20144, 4.2866, 4.42416, 4.485, 4.59591, 4.70159, 4.80512, 4.90855, 5.13827, 5.22706, 5.29188, 5.39375, 5.47542, 5.61718");
             values ( \
-              "-0.0189338, -0.0280779, -0.053368, -0.0738642, -0.0835348, -0.0965473, -0.101229, -0.107993, -0.112051, -0.112515, -0.102228, -0.0415492, -0.0258099, -0.0177716, -0.00968312, -0.00581151, -0.00240857" \
+              "-0.0189338, -0.0280779, -0.053368, -0.0738642, -0.0835348, -0.0965472, -0.101229, -0.107993, -0.112051, -0.112515, -0.102228, -0.0415492, -0.0258099, -0.0177716, -0.00968312, -0.00581151, -0.00240858" \
             );
           }
           vector (ccs_template) {
@@ -35688,8 +35688,8 @@
           values ( \
             "0.13315, 0.196233, 0.419859, 1.23761, 4.26903, 15.5694", \
             "0.142288, 0.2055, 0.429325, 1.24702, 4.28032, 15.5784", \
-            "0.179798, 0.243257, 0.467711, 1.28647, 4.32009, 15.6187", \
-            "0.27494, 0.359976, 0.618294, 1.44449, 4.47786, 15.7758", \
+            "0.179843, 0.243257, 0.467858, 1.28653, 4.31992, 15.6187", \
+            "0.274952, 0.359976, 0.618265, 1.44449, 4.47786, 15.7771", \
             "0.360481, 0.501532, 0.90553, 1.99161, 5.11851, 16.4074", \
             "0.181982, 0.416274, 1.09362, 2.83995, 7.1682, 18.9792" \
           );
@@ -35700,8 +35700,8 @@
           values ( \
             "0.0396152, 0.0802944, 0.231369, 0.788787, 2.8715, 10.6271", \
             "0.0394473, 0.0800734, 0.231297, 0.788732, 2.87073, 10.6272", \
-            "0.039446, 0.0799398, 0.231175, 0.788388, 2.8697, 10.6267", \
-            "0.0512647, 0.0902468, 0.234183, 0.78832, 2.86922, 10.6264", \
+            "0.039524, 0.0799398, 0.231096, 0.788409, 2.86941, 10.6267", \
+            "0.0512799, 0.0902468, 0.234195, 0.78832, 2.86922, 10.6261", \
             "0.0821821, 0.131606, 0.280179, 0.811788, 2.86943, 10.628", \
             "0.144081, 0.220139, 0.422621, 0.983652, 2.98183, 10.6276" \
           );
@@ -35737,7 +35737,7 @@
             index_2 ("0.00124625");
             index_3 ("0.101371, 0.111882, 0.115671, 0.118596, 0.119384, 0.121746, 0.123321, 0.126471, 0.128488, 0.12893, 0.130257, 0.131583, 0.13505, 0.137489, 0.138456, 0.139424, 0.140391, 0.141358, 0.142851, 0.144345, 0.145838, 0.147331, 0.148824, 0.150317, 0.15181, 0.153379, 0.153607, 0.154216, 0.15513, 0.160945, 0.162984, 0.163799, 0.165431, 0.167062, 0.168395, 0.171061, 0.172394, 0.175169, 0.17742, 0.17984, 0.1817, 0.185436, 0.186583, 0.188303, 0.191636, 0.194337, 0.195927, 0.197517, 0.199107, 0.202105");
             values ( \
-              "0.0192101, 0.020155, 0.0237472, 0.0263453, 0.0269862, 0.0288248, 0.0299711, 0.0321147, 0.0333832, 0.0336504, 0.0343495, 0.0349598, 0.0364155, 0.0373402, 0.0374229, 0.0374733, 0.0374912, 0.0374767, 0.0373908, 0.0372276, 0.0369873, 0.0366697, 0.0361476, 0.0354973, 0.0347189, 0.0337569, 0.0335877, 0.0329831, 0.0318953, 0.0245688, 0.0221399, 0.0211923, 0.0195697, 0.018046, 0.0168741, 0.0147284, 0.0137546, 0.0118557, 0.0104302, 0.00914418, 0.00825448, 0.00662473, 0.00616015, 0.00551444, 0.00454543, 0.00386339, 0.0035051, 0.00317884, 0.00288459, 0.00241704" \
+              "0.0192101, 0.020155, 0.0237472, 0.0263453, 0.0269862, 0.0288248, 0.0299711, 0.0321147, 0.0333832, 0.0336504, 0.0343495, 0.0349598, 0.0364155, 0.0373402, 0.037423, 0.0374733, 0.0374912, 0.0374768, 0.0373908, 0.0372276, 0.0369873, 0.0366697, 0.0361476, 0.0354973, 0.0347189, 0.0337569, 0.0335877, 0.0329831, 0.0318953, 0.0245688, 0.0221399, 0.0211923, 0.0195697, 0.018046, 0.0168741, 0.0147284, 0.0137546, 0.0118557, 0.0104302, 0.00914418, 0.00825448, 0.00662473, 0.00616015, 0.00551444, 0.00454543, 0.00386339, 0.0035051, 0.00317884, 0.00288459, 0.00241704" \
             );
           }
           vector (ccs_template) {
@@ -35807,7 +35807,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0173073");
-            index_3 ("0.202144, 0.242165, 0.308057, 0.342469, 0.355144, 0.375506, 0.392467, 0.410611, 0.427534, 0.443673, 0.462297, 0.479754, 0.498249, 0.524616, 0.599478, 0.660828, 0.703077, 0.729467, 0.756096, 0.807427, 0.834031, 0.869503, 0.925185, 0.966468");
+            index_3 ("0.202144, 0.242165, 0.308057, 0.342469, 0.355144, 0.375505, 0.392467, 0.410611, 0.427534, 0.443673, 0.462297, 0.479754, 0.498249, 0.524616, 0.599478, 0.660828, 0.703077, 0.729467, 0.756096, 0.807427, 0.834031, 0.869503, 0.925185, 0.966468");
             values ( \
               "0.00568388, 0.0185563, 0.0582084, 0.0743515, 0.0788328, 0.0843578, 0.0874583, 0.0893578, 0.0899437, 0.0897748, 0.0887781, 0.0870382, 0.0839764, 0.0772645, 0.0499336, 0.0304116, 0.0206432, 0.0159914, 0.012267, 0.00722701, 0.00546938, 0.00377789, 0.00202208, 0.00146233" \
             );
@@ -35843,9 +35843,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.264649, 0.271356, 0.277609, 0.287176, 0.296449, 0.303169, 0.307374, 0.309778, 0.314586, 0.31796, 0.321958, 0.332806, 0.340996, 0.349802, 0.359911, 0.369572, 0.383223, 0.3987, 0.406534");
+            index_3 ("0.260072, 0.268723, 0.278978, 0.290303, 0.297474, 0.303854, 0.309876, 0.31583, 0.32178, 0.332235, 0.340823, 0.349115, 0.361154, 0.372331, 0.388091, 0.397094");
             values ( \
-              "0.00965901, 0.0102692, 0.0173031, 0.0264183, 0.033231, 0.0364089, 0.0375516, 0.0378932, 0.0377717, 0.0366999, 0.0337678, 0.0207189, 0.0136035, 0.00835691, 0.00460373, 0.00252985, 0.00106507, 0.000395227, 0.000338722" \
+              "0.00282011, 0.0075583, 0.018605, 0.0288581, 0.0337506, 0.0365735, 0.0379132, 0.0375286, 0.0342103, 0.0214314, 0.0137805, 0.00876205, 0.00430136, 0.00215155, 0.000824407, 0.000547987" \
             );
           }
           vector (ccs_template) {
@@ -35861,27 +35861,27 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0173073");
-            index_3 ("0.346848, 0.387373, 0.436192, 0.446208, 0.466239, 0.490754, 0.511723, 0.527979, 0.546087, 0.563968, 0.576476, 0.58705, 0.597682, 0.61519, 0.633681, 0.665634, 0.688606, 0.748876, 0.77525, 0.792591, 0.816895, 0.844672, 0.865012, 0.888675, 0.920226, 0.944144, 0.968337, 1.00059, 1.06511, 1.16956, 1.29535");
+            index_3 ("0.339981, 0.387868, 0.415933, 0.44648, 0.477047, 0.491033, 0.511385, 0.528246, 0.546361, 0.563899, 0.581539, 0.598002, 0.624234, 0.633962, 0.652317, 0.673437, 0.734462, 0.75808, 0.784783, 0.820656, 0.848228, 0.865385, 0.884421, 0.907899, 0.940464, 0.953818, 0.980525, 1.03394, 1.13192, 1.24695");
             values ( \
-              "0.00656853, 0.0241909, 0.0542242, 0.059773, 0.0696085, 0.0789985, 0.0846276, 0.0875569, 0.0894342, 0.0900254, 0.0898882, 0.0895073, 0.0888314, 0.0870653, 0.0840193, 0.0755269, 0.067387, 0.0449884, 0.0365034, 0.0313943, 0.025311, 0.0194491, 0.01597, 0.0126249, 0.00916167, 0.00713128, 0.00553747, 0.00394229, 0.00191577, 0.000546385, 9.66669e-05" \
+              "0.00151296, 0.0245239, 0.0421481, 0.0598573, 0.074094, 0.0790438, 0.0845405, 0.0875777, 0.0894685, 0.0900492, 0.0897653, 0.0888389, 0.0858118, 0.0840127, 0.0796122, 0.0728913, 0.0502224, 0.0420095, 0.0336801, 0.0244832, 0.0188529, 0.0159592, 0.0132162, 0.0104228, 0.0074344, 0.00647267, 0.00488119, 0.00273096, 0.000847811, 0.000200942" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.064497");
-            index_3 ("0.62029, 0.714921, 0.808482, 0.90882, 0.936222, 0.983762, 1.03485, 1.0961, 1.14071, 1.18091, 1.24134, 1.29869, 1.39886, 1.41629, 1.45116, 1.52088, 1.53928, 1.57607, 1.64966, 1.93647, 2.05733, 2.15179, 2.21699, 2.31497, 2.39976, 2.51281, 2.60779, 2.73474, 2.904, 3.24166, 3.63414");
+            index_3 ("0.658531, 0.80855, 0.867828, 0.928723, 0.995915, 1.05651, 1.09296, 1.13781, 1.18105, 1.24155, 1.29884, 1.39919, 1.41644, 1.45095, 1.51997, 1.53942, 1.57832, 1.65611, 1.93966, 2.05554, 2.11961, 2.21928, 2.31566, 2.39883, 2.50972, 2.60689, 2.73689, 2.91023, 3.24833, 3.64253");
             values ( \
-              "0.0220565, 0.0270375, 0.0474741, 0.0676132, 0.0723209, 0.0794552, 0.0859052, 0.0919024, 0.0950763, 0.0971355, 0.098969, 0.0994874, 0.098049, 0.0974978, 0.0961462, 0.0923179, 0.0910261, 0.0881133, 0.0810873, 0.0485486, 0.0365491, 0.0287721, 0.0242149, 0.0184603, 0.014513, 0.0104375, 0.00785247, 0.00535373, 0.00317412, 0.000994306, 0.000251349" \
+              "0.0299438, 0.0474493, 0.0597708, 0.0710474, 0.081081, 0.0882304, 0.0916274, 0.0948905, 0.097135, 0.0989713, 0.0994869, 0.0980452, 0.0974972, 0.0961628, 0.0923867, 0.0910273, 0.0879327, 0.0804278, 0.0481875, 0.0367497, 0.03128, 0.0240571, 0.0184476, 0.0145724, 0.0105248, 0.00789124, 0.00533411, 0.00309976, 0.000985726, 0.000231124" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.240353");
-            index_3 ("1.65224, 2.01243, 2.61699, 2.89888, 3.14469, 3.58563, 3.86182, 4.01985, 4.3359, 4.44715, 4.6631, 4.89539, 5.28134, 6.73037, 7.20295, 7.53003, 7.94933, 8.65344, 9.41094, 10.022, 10.8723");
+            index_3 ("1.62379, 1.99608, 2.35848, 2.62062, 2.74636, 2.9474, 3.14808, 3.54944, 3.69204, 3.8589, 4.02308, 4.35144, 4.45031, 4.64806, 4.89857, 5.26555, 5.39936, 6.30029, 6.67429, 6.9076, 7.26725, 7.67827, 7.83983, 8.02679, 8.27607, 8.7564, 8.96085, 9.25656, 9.65084, 10.4394, 11.796, 13.1763");
             values ( \
-              "0.0308801, 0.0335321, 0.0702753, 0.0834969, 0.0919243, 0.100501, 0.102216, 0.102272, 0.100744, 0.0997014, 0.0969467, 0.0927623, 0.0830792, 0.038819, 0.0279801, 0.0219921, 0.015945, 0.00906373, 0.00485832, 0.00289462, 0.00152236" \
+              "0.0264106, 0.0322966, 0.0551319, 0.0702989, 0.0766341, 0.085275, 0.0919183, 0.100014, 0.101369, 0.102164, 0.102257, 0.100623, 0.0997002, 0.0972042, 0.0927672, 0.0836094, 0.0796682, 0.0510205, 0.0403814, 0.0345251, 0.0267814, 0.0196822, 0.0173896, 0.015037, 0.0123543, 0.00834876, 0.00706387, 0.00553584, 0.00398884, 0.00200301, 0.00054937, 0.000138458" \
             );
           }
           vector (ccs_template) {
@@ -35897,9 +35897,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.708277, 0.729775, 0.733894, 0.740989, 0.754033, 0.778378, 0.787299, 0.79532, 0.80287, 0.810426, 0.829432, 0.841332, 0.851675, 0.864172, 0.871297, 0.884505, 0.899092, 0.905423");
+            index_3 ("0.711485, 0.729814, 0.73899, 0.759101, 0.778463, 0.787388, 0.795409, 0.80296, 0.810516, 0.829519, 0.841431, 0.851755, 0.86426, 0.871415, 0.884643, 0.898401");
             values ( \
-              "0.000947678, 0.0032295, 0.004125, 0.00643891, 0.0131207, 0.0235679, 0.0267785, 0.029153, 0.0302691, 0.0291502, 0.0137199, 0.00759442, 0.00430584, 0.00203309, 0.00135165, 0.000628874, 0.000309552, 0.000337357" \
+              "0.00167428, 0.00322349, 0.00563655, 0.0153871, 0.0235483, 0.0267898, 0.0291378, 0.0302813, 0.0291363, 0.013729, 0.00758491, 0.00430288, 0.00203829, 0.00135423, 0.000631707, 0.00033184" \
             );
           }
           vector (ccs_template) {
@@ -35915,9 +35915,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0173073");
-            index_3 ("0.850282, 0.910411, 0.92568, 0.981012, 1.0134, 1.02914, 1.0408, 1.05829, 1.06777, 1.08211, 1.09306, 1.10354, 1.1212, 1.13872, 1.16359, 1.17496, 1.19339, 1.2227, 1.28118, 1.30263, 1.33073, 1.35198, 1.3803, 1.40611, 1.43843, 1.47706, 1.49026, 1.52086, 1.55583, 1.62576, 1.73264, 1.86281");
+            index_3 ("0.869302, 0.910099, 0.93391, 0.980979, 1.00329, 1.0291, 1.04079, 1.05828, 1.06773, 1.08221, 1.09308, 1.1035, 1.12116, 1.13868, 1.16354, 1.17493, 1.19335, 1.22266, 1.27995, 1.30187, 1.33033, 1.35266, 1.38243, 1.40618, 1.43603, 1.47584, 1.49448, 1.52317, 1.56142, 1.63792, 1.74672, 1.88079");
             values ( \
-              "0.00289481, 0.0178403, 0.0248495, 0.0536785, 0.0689345, 0.0749373, 0.0787, 0.0831284, 0.0850195, 0.0870545, 0.0880098, 0.0885035, 0.0886889, 0.0880276, 0.0855696, 0.083622, 0.0793605, 0.0698961, 0.0481955, 0.0408869, 0.0323191, 0.0267806, 0.0205831, 0.0160379, 0.011617, 0.00778389, 0.0067915, 0.00492553, 0.00338962, 0.00154712, 0.000415947, 7.35912e-05" \
+              "0.0128747, 0.0176716, 0.0289634, 0.0536179, 0.0645198, 0.0749392, 0.0786909, 0.0831276, 0.0850108, 0.0870652, 0.0880088, 0.0885012, 0.0886844, 0.0880261, 0.085568, 0.0836214, 0.0793577, 0.0698947, 0.0486229, 0.0411227, 0.0324218, 0.0266053, 0.0201585, 0.0160188, 0.0118972, 0.00787662, 0.00649061, 0.00480212, 0.00318673, 0.00133761, 0.000347442, 5.71321e-05" \
             );
           }
           vector (ccs_template) {
@@ -35942,9 +35942,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.895697");
-            index_3 ("6.10911, 7.46222, 8.6261, 9.53508, 10.2793, 11.4838, 12.8641, 13.1392, 13.6896, 14.714, 15.93, 16.2982, 17.0347, 17.9597, 19.3399, 20.7719, 23.1788, 24.1255, 25.3392, 26.1836, 27.1753, 28.4809, 29.6627, 30.7702, 32.1505, 33.4014, 34.1418, 35.3998, 36.7801, 38.1603, 39.5406, 42.3011, 46.4419");
+            index_3 ("6.14549, 7.51943, 8.59216, 9.53852, 10.4095, 11.4851, 12.8654, 13.1406, 13.6909, 14.715, 15.9414, 16.2995, 17.0157, 17.9609, 19.3412, 20.7732, 23.18, 24.5603, 25.4157, 26.7253, 28.1056, 28.8663, 29.7303, 30.8492, 32.2295, 33.037, 34.0346, 35.3649, 36.7451, 38.1254, 39.5057, 42.2662, 46.407");
             values ( \
-              "0.0355923, 0.0364846, 0.0567382, 0.0711035, 0.0810164, 0.0928961, 0.100519, 0.101357, 0.102495, 0.102975, 0.101253, 0.100281, 0.0977257, 0.093222, 0.0838574, 0.0719042, 0.051111, 0.0436715, 0.0351634, 0.0300022, 0.0247114, 0.0189474, 0.0147985, 0.0116704, 0.00863085, 0.00654851, 0.00555524, 0.00418468, 0.00306146, 0.00223367, 0.00163014, 0.000865078, 0.000332123" \
+              "0.0361539, 0.0375069, 0.0561846, 0.0711716, 0.0825695, 0.0929079, 0.100525, 0.101364, 0.102499, 0.102979, 0.101231, 0.100284, 0.097808, 0.0932242, 0.0838576, 0.0719036, 0.0511107, 0.0404803, 0.0346747, 0.027016, 0.0204701, 0.0174975, 0.0145943, 0.0114784, 0.00848589, 0.00710327, 0.00569241, 0.00421889, 0.00308623, 0.00225222, 0.00164333, 0.000872014, 0.000335068" \
             );
           }
           vector (ccs_template) {
@@ -35971,7 +35971,7 @@
             index_2 ("0.0173073");
             index_3 ("2.42064, 2.61834, 2.64487, 2.66077, 2.69257, 2.87586, 2.89868, 2.92376, 2.94536, 2.96292, 2.98062, 2.98821, 3.00337, 3.0296, 3.05022, 3.07157, 3.1022, 3.15082, 3.17997, 3.1997, 3.21944, 3.24575, 3.26942, 3.29677, 3.33323, 3.35811, 3.39605, 3.44663, 3.54462, 3.65897");
             values ( \
-              "0.000270412, 0.00514474, 0.0080685, 0.0102134, 0.0158223, 0.0574661, 0.0623425, 0.0671376, 0.0705283, 0.072711, 0.0744092, 0.0749498, 0.0756453, 0.0752754, 0.0730487, 0.0687144, 0.0598182, 0.0440266, 0.0352506, 0.0299522, 0.0252256, 0.0198286, 0.015837, 0.0121136, 0.00835976, 0.00647295, 0.00435452, 0.00252275, 0.000791031, 0.00019046" \
+              "0.000270412, 0.00514474, 0.0080685, 0.0102134, 0.0158223, 0.0574661, 0.0623425, 0.0671376, 0.0705283, 0.072711, 0.0744092, 0.0749498, 0.0756453, 0.0752754, 0.0730487, 0.0687144, 0.0598182, 0.0440266, 0.0352506, 0.0299522, 0.0252256, 0.0198286, 0.015837, 0.0121136, 0.00835976, 0.00647295, 0.00435452, 0.00252275, 0.000791031, 0.000190461" \
             );
           }
           vector (ccs_template) {
@@ -36025,7 +36025,7 @@
             index_2 ("0.0173073");
             index_3 ("8.73216, 8.89478, 8.95338, 9.0129, 9.15138, 9.28174, 9.36657, 9.4541, 9.48915, 9.51996, 9.546, 9.55753, 9.57289, 9.6002, 9.7416, 9.79807, 9.8614, 9.9082, 9.9706, 10.0539, 10.1504, 10.2709");
             values ( \
-              "0.00490201, 0.00619113, 0.0093735, 0.0144698, 0.0278891, 0.0382125, 0.044145, 0.049355, 0.050936, 0.0516167, 0.0512632, 0.0506602, 0.0493646, 0.0458666, 0.0200893, 0.0125051, 0.00696067, 0.0044203, 0.00235136, 0.000955976, 0.000340201, 8.12439e-05" \
+              "0.00490203, 0.00619112, 0.0093735, 0.0144698, 0.0278891, 0.0382125, 0.044145, 0.049355, 0.050936, 0.0516167, 0.0512632, 0.0506602, 0.0493646, 0.0458666, 0.0200893, 0.0125051, 0.00696067, 0.0044203, 0.00235136, 0.000955976, 0.000340201, 8.12439e-05" \
             );
           }
           vector (ccs_template) {
@@ -36062,8 +36062,8 @@
           values ( \
             "0.00808612, 0.00812865, 0.00818217, 0.00821429, 0.00822593, 0.00822934", \
             "0.0094949, 0.00955286, 0.00964692, 0.00971881, 0.00974791, 0.00975679", \
-            "0.0101298, 0.0101622, 0.0102118, 0.010278, 0.0103117, 0.0103228", \
-            "0.0106041, 0.0105749, 0.0105309, 0.0105129, 0.0105181, 0.0105224", \
+            "0.0101289, 0.0101622, 0.0102112, 0.0102774, 0.0103111, 0.0103228", \
+            "0.010604, 0.0105749, 0.0105305, 0.0105129, 0.0105181, 0.0105224", \
             "0.0109921, 0.010945, 0.010844, 0.010701, 0.0106128, 0.0105846", \
             "0.0114072, 0.0113333, 0.0111678, 0.010969, 0.0107663, 0.0106452" \
           );
@@ -36074,8 +36074,8 @@
           values ( \
             "0.0101721, 0.0102063, 0.0102588, 0.0102939, 0.0103073, 0.0103114", \
             "0.0103472, 0.010303, 0.0102412, 0.0101995, 0.0101839, 0.0101792", \
-            "0.010554, 0.0104126, 0.0101959, 0.0100107, 0.00992945, 0.00990533", \
-            "0.010537, 0.0108114, 0.0105893, 0.0100939, 0.00987623, 0.00979612", \
+            "0.0105608, 0.0104126, 0.0101949, 0.0100122, 0.00993242, 0.00990533", \
+            "0.0105372, 0.0108114, 0.0105876, 0.0100939, 0.00987623, 0.00980121", \
             "0.00930017, 0.00937486, 0.00952489, 0.0103847, 0.0100996, 0.00983593", \
             "0.00853164, 0.00867848, 0.00897753, 0.00933985, 0.00892894, 0.0101426" \
           );
@@ -36468,21 +36468,21 @@
             "0.177503, 0.256476, 0.534026, 1.54564, 5.29871, 19.2881", \
             "0.185036, 0.264556, 0.543748, 1.55618, 5.31056, 19.2962", \
             "0.22558, 0.304678, 0.583917, 1.59822, 5.35362, 19.3415", \
-            "0.379099, 0.474277, 0.763095, 1.7743, 5.53088, 19.517", \
-            "0.702095, 0.856751, 1.30964, 2.50004, 6.24861, 20.2261", \
-            "1.51861, 1.75651, 2.46078, 4.38007, 9.12369, 23.1263" \
+            "0.379312, 0.473799, 0.762757, 1.77417, 5.53088, 19.5193", \
+            "0.70178, 0.856935, 1.30983, 2.50096, 6.24868, 20.2296", \
+            "1.51849, 1.75635, 2.46381, 4.37917, 9.12695, 23.1269" \
           );
         }
         fall_transition (delay_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           index_2 ("0.00124625, 0.00464427, 0.0173073, 0.064497, 0.240353, 0.895697");
           values ( \
-            "0.0388165, 0.0745741, 0.199992, 0.653309, 2.34603, 8.63134", \
-            "0.0387965, 0.0745659, 0.199486, 0.653166, 2.34515, 8.63299", \
-            "0.0386899, 0.0745505, 0.199661, 0.653197, 2.34377, 8.63176", \
-            "0.0488851, 0.0822804, 0.200601, 0.653159, 2.34606, 8.63282", \
-            "0.0827771, 0.127069, 0.251978, 0.662289, 2.3441, 8.62973", \
-            "0.154651, 0.21346, 0.384082, 0.874613, 2.40868, 8.63121" \
+            "0.0388165, 0.0745741, 0.199992, 0.653309, 2.34603, 8.63133", \
+            "0.0387965, 0.0745659, 0.199486, 0.653166, 2.34515, 8.63297", \
+            "0.0386899, 0.0745505, 0.199661, 0.653197, 2.34377, 8.63175", \
+            "0.0488141, 0.0829312, 0.200677, 0.653251, 2.34606, 8.63125", \
+            "0.0828112, 0.12712, 0.252003, 0.661524, 2.34381, 8.63123", \
+            "0.154616, 0.213343, 0.383333, 0.874783, 2.40282, 8.63082" \
           );
         }
         output_current_rise () {
@@ -36661,18 +36661,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00464427");
-            index_3 ("0.710087, 0.737042, 0.747727, 0.778777, 0.790196, 0.797867, 0.813178, 0.825098, 0.834767, 0.838833, 0.844254, 0.84983, 0.860982, 0.870867, 0.900561, 0.915722, 0.931117, 0.940781, 0.951825, 0.961006, 0.970474, 0.982749, 1.00324, 1.02906");
+            index_3 ("0.710087, 0.737042, 0.747727, 0.778777, 0.790196, 0.797867, 0.813178, 0.825098, 0.834767, 0.838833, 0.844254, 0.84983, 0.860982, 0.870867, 0.900561, 0.915722, 0.931117, 0.94078, 0.951824, 0.961006, 0.970474, 0.98275, 1.00324, 1.02906");
             values ( \
-              "0.00166473, 0.0107488, 0.017215, 0.0386728, 0.0456396, 0.0495057, 0.0553017, 0.0588593, 0.060603, 0.0609539, 0.0610145, 0.0604701, 0.0567955, 0.0500584, 0.0274695, 0.0188676, 0.0124022, 0.0094339, 0.00683048, 0.00527329, 0.00405595, 0.00284423, 0.00152426, 0.000676591" \
+              "0.00166473, 0.0107488, 0.017215, 0.0386728, 0.0456396, 0.0495057, 0.0553017, 0.0588593, 0.060603, 0.0609539, 0.0610145, 0.0604701, 0.0567956, 0.0500583, 0.0274696, 0.0188675, 0.0124021, 0.00943405, 0.00683073, 0.00527335, 0.0040559, 0.00284417, 0.00152421, 0.000676588" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0173073");
-            index_3 ("0.865747, 0.920818, 0.942563, 0.959756, 0.971059, 0.976711, 0.990122, 0.997881, 1.00564, 1.0134, 1.01986, 1.02632, 1.03062, 1.03924, 1.04125, 1.04528, 1.05765, 1.06273, 1.06854, 1.08015, 1.08704, 1.09394, 1.0999, 1.10586, 1.11181, 1.11777, 1.12415, 1.13053, 1.13691, 1.14329, 1.14967, 1.15966, 1.17049, 1.19936, 1.2346, 1.24971, 1.26069, 1.27852, 1.29854, 1.30754, 1.32104, 1.32554, 1.34015, 1.35688, 1.36746, 1.38016, 1.3971, 1.41403, 1.43348, 1.44947");
+            index_3 ("0.865747, 0.920818, 0.942563, 0.959756, 0.965408, 0.976711, 0.990122, 0.997881, 1.00564, 1.0134, 1.01986, 1.02632, 1.03062, 1.03924, 1.04125, 1.04528, 1.05765, 1.06273, 1.06854, 1.08015, 1.08704, 1.09394, 1.0999, 1.10586, 1.11181, 1.11777, 1.12415, 1.13053, 1.13691, 1.14329, 1.14967, 1.15966, 1.17049, 1.19936, 1.2346, 1.24971, 1.26069, 1.27852, 1.29854, 1.30754, 1.32104, 1.32554, 1.34015, 1.35688, 1.36746, 1.38016, 1.3971, 1.41403, 1.43348, 1.44947");
             values ( \
-              "0.0447783, 0.0463794, 0.057142, 0.0652824, 0.0695807, 0.0715681, 0.0758524, 0.0780538, 0.080052, 0.0818467, 0.0830281, 0.0840855, 0.0847215, 0.0858284, 0.0863132, 0.0865276, 0.0873561, 0.0876161, 0.0876435, 0.0875376, 0.0872663, 0.0868784, 0.0864494, 0.0859333, 0.0853302, 0.08464, 0.0836781, 0.0825631, 0.0812479, 0.0797965, 0.0782089, 0.0754273, 0.0720816, 0.0618946, 0.048905, 0.0436848, 0.0401246, 0.0347244, 0.0291525, 0.0267545, 0.0237163, 0.02276, 0.019922, 0.0168599, 0.0150837, 0.0132417, 0.01124, 0.00948078, 0.00778963, 0.00650416" \
+              "0.0447784, 0.0463793, 0.057142, 0.0652824, 0.0674855, 0.0715681, 0.0758524, 0.0780538, 0.080052, 0.0818467, 0.0830281, 0.0840855, 0.0847215, 0.0858284, 0.0863132, 0.0865276, 0.0873561, 0.0876159, 0.0876434, 0.0875376, 0.0872663, 0.0868784, 0.0864494, 0.0859333, 0.0853302, 0.08464, 0.0836781, 0.0825631, 0.0812479, 0.0797965, 0.0782089, 0.0754273, 0.0720816, 0.0618946, 0.048905, 0.0436848, 0.0401246, 0.0347244, 0.0291525, 0.0267545, 0.0237163, 0.02276, 0.019922, 0.0168599, 0.0150837, 0.0132417, 0.01124, 0.00948078, 0.00778963, 0.00650416" \
             );
           }
           vector (ccs_template) {
@@ -36762,7 +36762,7 @@
             index_2 ("0.00124625");
             index_3 ("8.03371, 8.12739, 8.1315, 8.15616, 8.18275, 8.19123, 8.2082, 8.23015, 8.24694, 8.26354, 8.27312, 8.29009, 8.3023, 8.32778, 8.3375, 8.35118, 8.37368, 8.39585, 8.418, 8.42167, 8.43426, 8.44441, 8.45853, 8.46856, 8.47769, 8.48986, 8.5001, 8.51781, 8.53293, 8.55027, 8.55776, 8.56439, 8.5709, 8.58064, 8.60513, 8.64229, 8.69713, 8.73448, 8.80145, 8.8278, 8.83577, 8.8517, 8.89285, 8.91527, 8.94258, 8.98451");
             values ( \
-              "0.000324209, 0.000634089, 0.000662682, 0.000941433, 0.00144264, 0.00169451, 0.0023775, 0.00383198, 0.00514861, 0.0063135, 0.00691426, 0.00782968, 0.00838753, 0.0092876, 0.00953962, 0.00982757, 0.010115, 0.0101461, 0.00964553, 0.00935804, 0.00789634, 0.00631641, 0.00449261, 0.00340733, 0.00262856, 0.00181247, 0.00126864, 0.000668888, 0.000373711, 0.000197159, 0.000214804, 0.000262661, 0.000262086, 0.000234797, 9.55462e-05, 1.61399e-06, 2.67304e-06, 3.23709e-05, 4.53286e-05, 2.33578e-05, 3.10281e-05, 1e-22, 7.87543e-06, 3.05666e-05, 1.44981e-05, 2.18581e-05" \
+              "0.000324206, 0.000634089, 0.000662682, 0.000941426, 0.00144264, 0.00169451, 0.0023775, 0.00383198, 0.00514862, 0.00631351, 0.00691426, 0.00782968, 0.00838753, 0.0092876, 0.00953962, 0.00982757, 0.010115, 0.0101461, 0.00964553, 0.00935804, 0.00789634, 0.00631641, 0.00449261, 0.00340733, 0.00262856, 0.00181247, 0.00126864, 0.000668888, 0.00037371, 0.000197159, 0.000214804, 0.000262661, 0.000262086, 0.000234797, 9.55461e-05, 1.61398e-06, 2.67303e-06, 3.23709e-05, 4.53286e-05, 2.33578e-05, 3.10281e-05, 1e-22, 7.87542e-06, 3.05666e-05, 1.44981e-05, 2.18581e-05" \
             );
           }
           vector (ccs_template) {
@@ -36780,7 +36780,7 @@
             index_2 ("0.0173073");
             index_3 ("8.56872, 8.73997, 8.77952, 8.83225, 8.85761, 8.94824, 9.00795, 9.05982, 9.14472, 9.21624, 9.24361, 9.27054, 9.30178, 9.32999, 9.34121, 9.36363, 9.39277, 9.39967, 9.41347, 9.43652, 9.4633, 9.54032, 9.57493, 9.60281, 9.63831, 9.65719, 9.69495, 9.72465, 9.73955, 9.76936, 9.82899, 9.93585, 10.0612");
             values ( \
-              "0.00256458, 0.00471571, 0.00651628, 0.00992647, 0.012176, 0.0223198, 0.0284512, 0.0332752, 0.0405152, 0.0460434, 0.0479072, 0.0495625, 0.051333, 0.0526701, 0.0530818, 0.0535573, 0.0530527, 0.0526497, 0.0515462, 0.0486532, 0.0440651, 0.0286687, 0.022408, 0.0180301, 0.0133596, 0.0113155, 0.0079789, 0.00602289, 0.00522318, 0.00389728, 0.00212714, 0.000641989, 0.00015519" \
+              "0.00256458, 0.00471571, 0.00651628, 0.00992646, 0.012176, 0.0223198, 0.0284512, 0.0332752, 0.0405152, 0.0460434, 0.0479072, 0.0495625, 0.051333, 0.0526701, 0.0530818, 0.0535573, 0.0530527, 0.0526497, 0.0515462, 0.0486532, 0.0440651, 0.0286687, 0.022408, 0.0180301, 0.0133596, 0.0113155, 0.0079789, 0.00602289, 0.00522318, 0.00389728, 0.00212714, 0.000641989, 0.00015519" \
             );
           }
           vector (ccs_template) {
@@ -36798,7 +36798,7 @@
             index_2 ("0.240353");
             index_3 ("11.7839, 12.2665, 13.2328, 13.6994, 14.1853, 14.3547, 14.5806, 14.735, 15.0708, 15.5282, 16.0321, 17.4034, 18.0442, 18.6739, 18.9792, 19.6015, 19.9767, 20.7273, 21.3494");
             values ( \
-              "0.0200896, 0.021427, 0.0654028, 0.0833185, 0.0946518, 0.096778, 0.0983594, 0.0986781, 0.0974921, 0.0916483, 0.0792287, 0.0379781, 0.0241755, 0.0149401, 0.0117262, 0.00706206, 0.00517185, 0.00271082, 0.00176708" \
+              "0.0200896, 0.021427, 0.0654028, 0.0833185, 0.0946518, 0.096778, 0.0983594, 0.0986781, 0.0974921, 0.0916483, 0.0792287, 0.0379782, 0.0241755, 0.0149401, 0.0117262, 0.00706206, 0.00517185, 0.00271082, 0.00176708" \
             );
           }
           vector (ccs_template) {
@@ -36885,9 +36885,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.895697");
-            index_3 ("8.67646, 10.1405, 12.5694, 13.8963, 14.6974, 16.3942, 17.8945, 19.2925, 20.6294, 21.5309, 21.9423, 22.6026, 23.3297, 25.2048, 26.2854, 27.5245, 28.4312, 29.6341, 30.6004, 31.9272, 33.254, 35.9077");
+            index_3 ("8.67659, 10.1405, 12.5694, 13.8958, 14.6974, 16.3942, 17.8945, 19.2925, 20.6294, 21.5279, 21.9422, 22.6025, 23.3297, 25.2048, 26.2854, 27.5245, 28.4312, 29.6341, 30.6003, 31.9267, 33.253, 35.9057");
             values ( \
-              "-0.0308393, -0.0312933, -0.0636234, -0.0790591, -0.0874207, -0.10233, -0.112307, -0.11886, -0.122379, -0.122639, -0.121827, -0.117961, -0.107505, -0.0646884, -0.0436846, -0.0264844, -0.0178764, -0.0104653, -0.00670093, -0.00355483, -0.00187602, -0.000515561" \
+              "-0.0308449, -0.0312933, -0.0636235, -0.0790539, -0.0874207, -0.10233, -0.112307, -0.11886, -0.122379, -0.122642, -0.121827, -0.117961, -0.107505, -0.0646883, -0.0436845, -0.0264844, -0.0178765, -0.0104653, -0.00670088, -0.00355575, -0.00187692, -0.000516049" \
             );
           }
           vector (ccs_template) {
@@ -36939,9 +36939,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.895697");
-            index_3 ("8.60755, 10.043, 12.5982, 13.8382, 14.7278, 16.4251, 17.9258, 19.2526, 20.211, 20.6611, 21.5613, 21.9741, 22.6344, 23.3616, 25.2367, 26.3173, 27.1032, 28.4633, 29.6659, 30.6319, 31.9587, 33.2856, 35.9392");
+            index_3 ("8.60696, 10.0374, 12.5982, 13.8514, 14.7278, 16.4251, 17.9258, 19.2521, 20.2125, 20.6611, 21.5583, 21.9741, 22.6344, 23.3616, 25.2367, 26.3173, 27.1032, 28.4633, 29.6659, 30.6319, 31.9583, 33.2846, 35.9373");
             values ( \
-              "-0.0287618, -0.0295284, -0.0635179, -0.0780736, -0.087347, -0.102269, -0.112254, -0.118578, -0.121522, -0.122337, -0.12266, -0.121788, -0.117983, -0.107472, -0.0647163, -0.0437141, -0.0319302, -0.0178462, -0.0104373, -0.00673111, -0.00352679, -0.00190523, -0.000544468" \
+              "-0.0288079, -0.0294542, -0.0635192, -0.0782182, -0.087347, -0.102269, -0.112254, -0.118577, -0.121525, -0.122337, -0.122663, -0.121788, -0.117983, -0.107472, -0.0647163, -0.0437141, -0.0319302, -0.0178463, -0.0104373, -0.00673104, -0.00352772, -0.00190612, -0.000544938" \
             );
           }
           vector (ccs_template) {
@@ -36993,45 +36993,45 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.895697");
-            index_3 ("8.84108, 10.4769, 12.7447, 14.0338, 14.872, 16.5689, 18.0693, 19.3961, 20.3537, 20.8043, 21.7055, 22.1172, 22.7775, 23.5047, 25.3798, 26.4604, 27.2462, 28.5471, 29.8778, 30.8864, 32.2133, 33.5401, 36.1938");
+            index_3 ("8.84013, 10.4695, 12.7446, 14.0314, 14.872, 16.5689, 18.0693, 19.3956, 20.3552, 20.8043, 21.7025, 22.1172, 22.7775, 23.5046, 25.3797, 26.4603, 27.2461, 28.5475, 29.8774, 30.8858, 32.2122, 33.5385, 36.1912");
             values ( \
-              "-0.028627, -0.0334531, -0.0635929, -0.0786646, -0.0873857, -0.102299, -0.112278, -0.118585, -0.121525, -0.122353, -0.12266, -0.121802, -0.117983, -0.107481, -0.0647117, -0.0437082, -0.0319361, -0.0183343, -0.0101265, -0.00638824, -0.0033495, -0.00180329, -0.000512702" \
+              "-0.0286681, -0.0333542, -0.0635923, -0.0786387, -0.0873857, -0.102299, -0.112278, -0.118583, -0.121528, -0.122353, -0.122663, -0.121802, -0.117983, -0.107481, -0.0647117, -0.0437082, -0.0319361, -0.0183309, -0.0101285, -0.00638996, -0.00335121, -0.0018047, -0.000513365" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.822224, 0.834422, 0.839976, 0.846205, 0.871725, 0.891421, 0.906757, 0.913737, 0.92063, 0.93708, 0.942882, 0.951856, 0.959681, 0.976358, 1.07524");
+            index_3 ("0.817929, 0.835073, 0.843839, 0.852145, 0.871971, 0.891595, 0.906937, 0.913912, 0.9208, 0.92596, 0.937243, 0.944326, 0.94689, 0.952019, 0.959818, 0.96697, 0.976515, 1.07443");
             values ( \
-              "-0.00306583, -0.00430039, -0.00543407, -0.00746057, -0.0188081, -0.0266786, -0.0315511, -0.032885, -0.0323132, -0.0100619, -0.00513937, -0.00165749, -0.00054916, -8.80862e-05, -3.2785e-05" \
+              "-0.00084272, -0.00439147, -0.0065087, -0.00987311, -0.0189046, -0.0267316, -0.0316008, -0.0328817, -0.0323531, -0.0266049, -0.0100718, -0.00441455, -0.00320239, -0.00165329, -0.00055594, -0.000254165, -9.27221e-05, -3.33238e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00464427");
-            index_3 ("0.862867, 0.873712, 0.888403, 0.900839, 0.909685, 0.949501, 0.966786, 0.981587, 0.994947, 1.00744, 1.01953, 1.03126, 1.03286, 1.06008, 1.0715, 1.07865, 1.08342, 1.09296, 1.09942");
+            index_3 ("0.836544, 0.883595, 0.890487, 0.903558, 0.915155, 0.949193, 0.966739, 0.981697, 0.995137, 1.0077, 1.01983, 1.03159, 1.03322, 1.03974, 1.05126, 1.06049, 1.06772, 1.07408, 1.07811, 1.08574, 1.08799");
             values ( \
-              "-0.00368699, -0.00484672, -0.00906686, -0.0141699, -0.0190515, -0.043623, -0.0530906, -0.0599991, -0.0651421, -0.0685079, -0.0700778, -0.0663605, -0.0647286, -0.023217, -0.012297, -0.00805189, -0.00603667, -0.00331258, -0.00237039" \
+              "-0.00210405, -0.00668923, -0.00915966, -0.014929, -0.0217728, -0.0427971, -0.0524826, -0.0595143, -0.0647705, -0.0681881, -0.0698452, -0.0661933, -0.0645645, -0.0555748, -0.036395, -0.0232084, -0.0156645, -0.0108393, -0.00852878, -0.00536716, -0.00480868" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0173073");
-            index_3 ("1.00046, 1.04078, 1.06552, 1.14851, 1.17538, 1.20694, 1.25091, 1.28356, 1.31442, 1.34459, 1.37476, 1.43644, 1.45763, 1.48806, 1.50923, 1.526, 1.55954, 1.58945");
+            index_3 ("1.01311, 1.05253, 1.14436, 1.20007, 1.25002, 1.28053, 1.31031, 1.33212, 1.34375, 1.36702, 1.37499, 1.44384, 1.47016, 1.48827, 1.51983, 1.5473, 1.59747, 1.65483");
             values ( \
-              "-0.00551648, -0.0144946, -0.0243137, -0.0616993, -0.0715133, -0.0812666, -0.0921446, -0.0987068, -0.102884, -0.103759, -0.0947244, -0.041927, -0.0284557, -0.0154887, -0.00996174, -0.00694646, -0.0032518, -0.00189456" \
+              "-0.0128465, -0.018798, -0.0601545, -0.0793703, -0.0919865, -0.0981986, -0.102442, -0.103838, -0.103619, -0.0982688, -0.0937298, -0.0360839, -0.0218689, -0.015126, -0.00778291, -0.00423568, -0.00123441, -0.000297344" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.064497");
-            index_3 ("1.47119, 1.56612, 1.75062, 1.86549, 1.99796, 2.07507, 2.18902, 2.29428, 2.39524, 2.49458, 2.59385, 2.79897, 2.88751, 2.98823, 3.06271, 3.16201, 3.29063");
+            index_3 ("1.50021, 1.63945, 1.74752, 1.8901, 1.99739, 2.0749, 2.18887, 2.29415, 2.39511, 2.49446, 2.59374, 2.79887, 2.8874, 2.98812, 3.0626, 3.16191, 3.29078");
             values ( \
-              "-0.0240897, -0.0248602, -0.0550332, -0.0718628, -0.0888239, -0.0970343, -0.106853, -0.11337, -0.117078, -0.116915, -0.105235, -0.0469645, -0.0292712, -0.0163054, -0.0103871, -0.00551012, -0.0025662" \
+              "-0.0292294, -0.036847, -0.0545429, -0.0752677, -0.088749, -0.0970189, -0.106831, -0.113364, -0.117064, -0.116914, -0.105226, -0.0469625, -0.0292715, -0.0163053, -0.0103867, -0.00550981, -0.0025604" \
             );
           }
           vector (ccs_template) {
@@ -37047,117 +37047,117 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.895697");
-            index_3 ("9.58141, 11.5207, 13.3087, 14.6211, 15.4391, 17.1364, 18.6371, 19.9639, 21.3724, 22.2727, 22.6853, 23.3457, 24.0728, 25.948, 27.0285, 27.8144, 29.1745, 30.3772, 31.3432, 32.67, 33.9968, 36.6505");
+            index_3 ("9.39898, 10.8847, 13.3125, 14.6388, 15.4407, 17.1375, 18.6377, 19.9641, 20.4659, 21.3726, 22.2712, 22.6855, 23.3458, 24.0729, 25.9482, 27.0285, 28.2682, 29.1754, 30.3763, 31.341, 32.6673, 33.9937, 36.6464");
             values ( \
-              "-0.0306273, -0.039939, -0.0635279, -0.0788462, -0.0873646, -0.102287, -0.112271, -0.118566, -0.122353, -0.122646, -0.121804, -0.11797, -0.107486, -0.0647026, -0.0437002, -0.031944, -0.0178601, -0.0104509, -0.00671732, -0.00354035, -0.00189154, -0.000530815" \
+              "-0.0299429, -0.0313195, -0.0636059, -0.0790664, -0.0874068, -0.102316, -0.112293, -0.11858, -0.120297, -0.122366, -0.122657, -0.121813, -0.117976, -0.107492, -0.0646778, -0.0436796, -0.0264706, -0.01788, -0.0104805, -0.00669968, -0.00357047, -0.0018692, -0.000506428" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.63206, 2.66589, 2.69008, 2.71053, 2.75555, 2.78434, 2.80859, 2.82011, 2.82874, 2.85084, 2.86327, 2.87386, 2.88779, 2.88973");
+            index_3 ("2.62905, 2.67082, 2.68982, 2.70574, 2.73721, 2.75548, 2.77075, 2.78428, 2.79671, 2.80853, 2.82005, 2.82868, 2.84234, 2.85078, 2.85527, 2.86324, 2.87387, 2.88778, 2.90855, 2.91601, 2.9226, 2.96025, 3.07759");
             values ( \
-              "-0.000694294, -0.00195888, -0.00372389, -0.00638692, -0.0137032, -0.0174187, -0.0194725, -0.0195403, -0.0175148, -0.00417909, -0.0013779, -0.000526647, -0.000189043, -0.000181548" \
+              "-0.000492503, -0.00221793, -0.00367695, -0.00568697, -0.0109042, -0.0136564, -0.0157842, -0.017373, -0.018624, -0.0194275, -0.0195742, -0.0174723, -0.00827811, -0.00417633, -0.00281529, -0.0013688, -0.000529634, -0.000183372, -0.000103294, -0.000150785, -0.000106291, -4.24225e-05, -2.63587e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00464427");
-            index_3 ("2.72406, 2.76343, 2.76921, 2.77498, 2.78068, 2.78638, 2.79208, 2.79778, 2.80358, 2.80939, 2.81519, 2.821, 2.82911, 2.84127, 2.85635, 2.87438, 2.88138, 2.8954, 2.91306, 2.91895, 2.9346, 2.94459, 2.95892, 2.9598, 2.96643, 2.974, 2.97701, 2.97851, 2.98001, 2.98151, 2.98302, 2.98588, 2.98874, 2.99161, 2.99447, 2.99752, 3.00056, 3.0036, 3.00664, 3.00996, 3.01162, 3.01379, 3.01953, 3.02432, 3.03012, 3.0332, 3.03628, 3.04039, 3.04365, 3.04913");
+            index_3 ("2.72863, 2.76408, 2.77049, 2.77817, 2.78305, 2.78792, 2.79767, 2.80495, 2.81222, 2.8195, 2.829, 2.84235, 2.85381, 2.86738, 2.87562, 2.89541, 2.9013, 2.91309, 2.91898, 2.93463, 2.94463, 2.95902, 2.95937, 2.96365, 2.96841, 2.97411, 2.97706, 2.97853, 2.98, 2.98147, 2.98294, 2.98584, 2.98873, 2.99163, 2.99453, 2.99756, 3.0006, 3.00363, 3.00667, 3.00833, 3.01166, 3.01385, 3.0196, 3.02439, 3.03018, 3.03325, 3.03633, 3.04043, 3.04369, 3.04919");
             values ( \
-              "-0.0034681, -0.00503228, -0.00574062, -0.0065173, -0.00735714, -0.00825936, -0.00922398, -0.010251, -0.0113612, -0.0125361, -0.0137757, -0.01508, -0.0170781, -0.0202211, -0.0240156, -0.0283866, -0.03002, -0.0331847, -0.0369634, -0.0381725, -0.0410756, -0.0428494, -0.0452844, -0.0453768, -0.0463471, -0.0472816, -0.0477872, -0.0478367, -0.047851, -0.0478299, -0.0477735, -0.0475681, -0.0472343, -0.0467719, -0.0461811, -0.0451595, -0.0438867, -0.0423626, -0.0405872, -0.038175, -0.0368262, -0.034886, -0.0290516, -0.0245192, -0.0192074, -0.0167625, -0.0146284, -0.0121248, -0.0104009, -0.00783239" \
+              "-0.00475845, -0.00503324, -0.00581637, -0.00686828, -0.00764427, -0.00846084, -0.0102157, -0.0116312, -0.0131372, -0.0147336, -0.0169581, -0.0203788, -0.0232535, -0.02659, -0.0285586, -0.0331512, -0.0344538, -0.0369517, -0.0381471, -0.0410513, -0.0428281, -0.045287, -0.0452869, -0.0459394, -0.0465846, -0.0472747, -0.0477724, -0.0478197, -0.0478335, -0.0478137, -0.0477603, -0.0475571, -0.0472238, -0.0467604, -0.0461669, -0.0451499, -0.0438839, -0.0423689, -0.040605, -0.0394444, -0.036835, -0.0348871, -0.0290334, -0.0245093, -0.0192068, -0.0167658, -0.0146356, -0.012136, -0.0104107, -0.00783261" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0173073");
-            index_3 ("2.95332, 3.05899, 3.09298, 3.11853, 3.24899, 3.37001, 3.41211, 3.42959, 3.4652, 3.50078, 3.50463, 3.57947, 3.59991, 3.62681, 3.65929, 3.69392, 3.72582");
+            index_3 ("3.01371, 3.07732, 3.08944, 3.11896, 3.24953, 3.36106, 3.41267, 3.43015, 3.43906, 3.45686, 3.47466, 3.49245, 3.50211, 3.51747, 3.55847, 3.58223, 3.60043, 3.629");
             values ( \
-              "-0.000917916, -0.0108823, -0.0162232, -0.0211141, -0.0504355, -0.0756485, -0.0833251, -0.0858889, -0.0892699, -0.0846241, -0.0828177, -0.0317185, -0.0219379, -0.0130027, -0.00670084, -0.0031407, -0.0017896" \
+              "-0.0129565, -0.0135807, -0.0156267, -0.0213209, -0.0504225, -0.073778, -0.0836237, -0.0878924, -0.0879372, -0.0876216, -0.0867659, -0.0853706, -0.084227, -0.075231, -0.0449818, -0.030442, -0.0217634, -0.0125604" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.064497");
-            index_3 ("3.72192, 3.87262, 4.12363, 4.22608, 4.3143, 4.4478, 4.52874, 4.58148, 4.68323, 4.78327, 4.88323, 5.0278, 5.1025, 5.16779, 5.20523, 5.27254, 5.34651, 5.40529, 5.52283, 5.70265, 5.91974");
+            index_3 ("3.71291, 3.84589, 4.22682, 4.34412, 4.45064, 4.5816, 4.68329, 4.78328, 4.88319, 5.10222, 5.16779, 5.27254, 5.40479, 5.52184, 5.62285");
             values ( \
-              "-0.0231001, -0.0285468, -0.0655037, -0.079536, -0.0901531, -0.103102, -0.109061, -0.112135, -0.116135, -0.116219, -0.104818, -0.0627575, -0.0436742, -0.0308152, -0.024962, -0.016816, -0.0107778, -0.00748165, -0.00347325, -0.000944051, -0.000180435" \
+              "-0.0217538, -0.0250168, -0.079766, -0.0934914, -0.103437, -0.112228, -0.116201, -0.116272, -0.104846, -0.0437278, -0.0308061, -0.0168099, -0.00750185, -0.00349494, -0.0020652" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.240353");
-            index_3 ("5.3849, 5.78015, 6.69526, 7.08144, 7.54155, 7.86128, 8.12467, 8.32698, 8.68943, 9.04737, 9.4051, 10.1509, 10.4771, 10.8438, 11.1142, 11.4747, 12.0719, 12.7733");
+            index_3 ("5.41313, 5.78099, 6.68995, 7.08178, 7.54179, 7.85765, 8.13239, 8.3271, 8.68952, 9.04744, 9.40515, 10.151, 10.4772, 10.8439, 11.1142, 11.4747, 12.0719, 12.7733");
             values ( \
-              "-0.0242697, -0.0279576, -0.0712038, -0.0863811, -0.101156, -0.109219, -0.114466, -0.117621, -0.121211, -0.120759, -0.108285, -0.0481022, -0.0298184, -0.0166337, -0.0106269, -0.005665, -0.00181666, -0.00043535" \
+              "-0.0279458, -0.0280173, -0.0709945, -0.0864073, -0.101175, -0.109148, -0.11461, -0.117632, -0.121218, -0.120765, -0.108287, -0.0481031, -0.0298184, -0.0166337, -0.010627, -0.00566512, -0.00181671, -0.00043536" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.895697");
-            index_3 ("11.8007, 13.5941, 16.3202, 17.9097, 19.3968, 20.8974, 22.2243, 22.7229, 23.6325, 24.5339, 24.9454, 25.6058, 26.3329, 28.208, 29.2886, 30.0744, 31.3897, 32.69, 33.6863, 35.0131, 36.34, 38.9937");
+            index_3 ("11.6848, 13.1532, 15.5817, 16.908, 19.036, 20.1473, 20.9067, 22.4481, 23.6416, 24.5402, 24.9545, 25.6147, 26.3419, 28.217, 29.2976, 30.0833, 31.4433, 32.6463, 33.6125, 34.9389, 36.2653, 38.918");
             values ( \
-              "-0.0304903, -0.037372, -0.072547, -0.0894316, -0.102297, -0.11228, -0.11857, -0.120279, -0.122358, -0.122647, -0.121808, -0.117971, -0.107489, -0.0647017, -0.0436988, -0.0319456, -0.0182271, -0.0102095, -0.00646341, -0.00340507, -0.00181787, -0.000509655" \
+              "-0.0306495, -0.0313124, -0.0636101, -0.079068, -0.0993892, -0.107623, -0.112295, -0.119362, -0.122367, -0.122656, -0.121814, -0.117975, -0.107493, -0.0647021, -0.0436981, -0.0319464, -0.0178632, -0.0104518, -0.00671423, -0.00354218, -0.00189036, -0.000529515" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("9.54751, 9.61378, 9.63715, 9.67635, 9.68995, 9.71644, 9.78533, 9.82673, 9.87534, 9.89787, 9.92001, 9.9366, 9.97608, 9.98571, 10.0006, 10.0124, 10.0409, 10.0623, 10.0905, 10.0973");
+            index_3 ("9.55986, 9.65067, 9.66933, 9.70665, 9.73524, 9.76523, 9.79873, 9.8267, 9.87525, 9.89778, 9.91991, 9.9365, 9.9435, 9.96179, 9.97598, 9.9856, 9.99171, 10.0005, 10.0123, 10.0286, 10.0408, 10.0504, 10.0621, 10.0746, 10.0902, 10.1092, 10.1332, 10.1563, 10.1831, 10.2081, 10.2356, 10.2663, 10.3025, 10.35");
             values ( \
-              "-0.000536334, -0.000818352, -0.00109991, -0.00184536, -0.00222046, -0.00325873, -0.00692259, -0.00858587, -0.00983825, -0.0101026, -0.0101878, -0.00937127, -0.00197764, -0.00122954, -0.000680415, -0.000522559, -0.000295722, -0.000178085, -0.000118088, -0.000110482" \
+              "-0.000538878, -0.00131545, -0.00168582, -0.00277711, -0.0042216, -0.00594491, -0.00745326, -0.00861477, -0.00986053, -0.0100885, -0.0102062, -0.00935609, -0.00826697, -0.00410205, -0.00196676, -0.00123967, -0.000923201, -0.000671982, -0.000530504, -0.00041433, -0.000289064, -0.000257504, -0.00017151, -0.00017696, -0.000111633, -0.000125231, -5.1389e-05, -6.9679e-05, -7.71638e-06, -4.1782e-05, -1e-22, -3.36892e-05, -1e-22, -3.47527e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00464427");
-            index_3 ("9.68838, 9.77238, 9.80376, 9.84008, 9.89491, 10.0143, 10.0807, 10.1514, 10.1803, 10.2019, 10.2448, 10.2611, 10.2793, 10.3002, 10.3265, 10.3961, 10.5711");
+            index_3 ("9.64238, 9.77133, 9.81188, 9.84034, 9.88768, 9.96746, 10.0151, 10.0548, 10.0932, 10.1284, 10.1521, 10.1751, 10.181, 10.1927, 10.2058, 10.2333, 10.2483, 10.2617, 10.2694, 10.2849, 10.3088, 10.3363, 10.3693, 10.4108, 10.596");
             values ( \
-              "-0.00145647, -0.00252643, -0.00352979, -0.00507799, -0.00877597, -0.019588, -0.0244543, -0.0286479, -0.0293233, -0.0268603, -0.00954357, -0.00529729, -0.00267399, -0.00116367, -0.000469981, -0.000105515, -3.7187e-05" \
+              "-0.000173822, -0.00242058, -0.00379412, -0.00504381, -0.0081158, -0.0155787, -0.0195428, -0.0226312, -0.0252188, -0.0273786, -0.0286251, -0.0293425, -0.0292909, -0.0286393, -0.0256942, -0.0139155, -0.00858114, -0.00529301, -0.00395928, -0.00217034, -0.000855307, -0.000350686, -0.00016112, -7.87536e-05, -3.07612e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0173073");
-            index_3 ("10.1617, 10.3541, 10.3994, 10.5717, 10.8058, 10.8514, 10.8965, 10.9205, 10.9558, 10.9944, 11.068, 11.0994, 11.136, 11.1646, 11.2092");
+            index_3 ("10.1413, 10.2543, 10.2967, 10.34, 10.401, 10.5755, 10.792, 10.8315, 10.8546, 10.9077, 10.9214, 10.9488, 10.9589, 10.979, 11.0086, 11.049, 11.0763, 11.1102, 11.1323, 11.1616, 11.2195, 11.2206");
             values ( \
-              "-0.00431003, -0.011803, -0.0154725, -0.0317945, -0.0532391, -0.0569245, -0.059887, -0.060907, -0.0604707, -0.0518249, -0.0223617, -0.0137318, -0.0073874, -0.0043879, -0.00201518" \
+              "-0.00502477, -0.00600301, -0.00808667, -0.0106717, -0.0153488, -0.0319101, -0.0518182, -0.0551869, -0.0570064, -0.0603561, -0.0608991, -0.0610703, -0.0604702, -0.057393, -0.0471982, -0.0301572, -0.0205678, -0.0120074, -0.00825501, -0.00485436, -0.00146847, -0.0014496" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.064497");
-            index_3 ("11.3874, 11.6248, 11.7065, 11.8352, 12.01, 12.4099, 12.5584, 12.647, 12.7134, 12.844, 12.9676, 13.0913, 13.143, 13.264, 13.3594, 13.4093, 13.4758, 13.5182, 13.5639, 13.625, 13.7471, 13.9297, 14.1485");
+            index_3 ("11.2337, 11.5441, 11.6216, 11.7107, 11.8318, 12.0086, 12.4088, 12.5935, 12.7123, 12.8428, 12.9666, 13.0903, 13.1415, 13.2632, 13.3586, 13.4082, 13.4742, 13.517, 13.5632, 13.6248, 13.748, 13.9309, 14.1502");
             values ( \
-              "-0.013273, -0.0151424, -0.0194781, -0.0274181, -0.0391834, -0.0668305, -0.0764953, -0.0819172, -0.0857158, -0.0921293, -0.0957868, -0.0909266, -0.0819083, -0.0540347, -0.0348983, -0.0270911, -0.0189137, -0.0149078, -0.0114714, -0.00801111, -0.00371492, -0.00104886, -0.000201237" \
+              "-0.0034981, -0.0114622, -0.0150346, -0.0197759, -0.0272553, -0.0391498, -0.0668144, -0.0787317, -0.0857003, -0.0921075, -0.0957751, -0.0909172, -0.0820083, -0.053998, -0.0348785, -0.02712, -0.0189805, -0.0149242, -0.0114569, -0.00797412, -0.0036689, -0.00103334, -0.0001973" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.240353");
-            index_3 ("14.1879, 14.6646, 15.0077, 16.1583, 16.6453, 16.9514, 17.2695, 17.4536, 17.8219, 18.185, 18.5473, 19.1635, 19.4729, 19.7719, 19.9879, 20.2608, 20.6246, 21.2232, 21.9267");
+            index_3 ("14.2126, 14.692, 16.1671, 16.6543, 16.9083, 17.0749, 17.4081, 17.5705, 17.8309, 18.0552, 18.1912, 18.4631, 18.5709, 19.1506, 19.4408, 19.7337, 19.9723, 20.2398, 20.4505, 20.8719, 21.1858");
             values ( \
-              "-0.021551, -0.0217237, -0.0344241, -0.0807392, -0.0969297, -0.10527, -0.112147, -0.115266, -0.119424, -0.11948, -0.107512, -0.0572394, -0.0371861, -0.0235542, -0.0166321, -0.0105893, -0.00561446, -0.00179656, -0.000428789" \
+              "-0.0213789, -0.022479, -0.0808425, -0.0970267, -0.104057, -0.10804, -0.114487, -0.116853, -0.119458, -0.120161, -0.119468, -0.112424, -0.106011, -0.0584941, -0.0391781, -0.0251947, -0.0171505, -0.0110439, -0.00774656, -0.0036373, -0.00237915" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.895697");
-            index_3 ("20.7925, 22.308, 24.7332, 26.042, 26.8607, 28.5575, 30.0577, 31.3845, 31.8828, 32.7926, 33.6942, 34.1055, 34.7658, 35.4929, 37.368, 38.4486, 39.2343, 40.5943, 41.7973, 42.7636, 44.0904, 45.4172, 48.0709");
+            index_3 ("21.0172, 22.9683, 24.7363, 26.0163, 26.8637, 28.5602, 30.0604, 31.3867, 32.7952, 33.6941, 34.108, 34.7683, 35.4954, 37.3705, 38.4511, 39.2368, 40.5968, 41.7999, 42.7662, 44.0925, 45.4189, 48.0716");
             values ( \
-              "-0.0288144, -0.0313638, -0.0636175, -0.0788835, -0.0874097, -0.102319, -0.112295, -0.118582, -0.120289, -0.122367, -0.122654, -0.121815, -0.117976, -0.107493, -0.0647022, -0.0436981, -0.0319464, -0.0178631, -0.0104517, -0.00671431, -0.0035412, -0.0018895, -0.000529085" \
+              "-0.0305052, -0.0403236, -0.0636307, -0.0785815, -0.0874202, -0.102326, -0.1123, -0.118584, -0.12237, -0.122659, -0.121817, -0.117978, -0.107493, -0.0647029, -0.0436984, -0.0319461, -0.017863, -0.010451, -0.00671435, -0.00354149, -0.00189074, -0.000529976" \
             );
           }
         }
@@ -37168,9 +37168,9 @@
             "0.00765909, 0.00769369, 0.00773872, 0.00776696, 0.00777744, 0.00778054", \
             "0.00871621, 0.00874193, 0.00878805, 0.00882618, 0.00884261, 0.00884775", \
             "0.00920433, 0.00920633, 0.00921649, 0.0092352, 0.00924757, 0.00925209", \
-            "0.00944526, 0.00943869, 0.00942675, 0.00941703, 0.00941716, 0.0094186", \
-            "0.00958829, 0.00958104, 0.00956366, 0.00953725, 0.00951738, 0.00950925", \
-            "0.00964698, 0.00964276, 0.0096306, 0.00960503, 0.00957156, 0.00954743" \
+            "0.00944478, 0.00943823, 0.00942626, 0.0094175, 0.00941716, 0.00941818", \
+            "0.00958793, 0.00958126, 0.00956379, 0.00953752, 0.00951696, 0.00950982", \
+            "0.00964743, 0.00964317, 0.00963083, 0.00960503, 0.00957149, 0.00954736" \
           );
         }
         receiver_capacitance2_fall (delay_template) {
@@ -37180,9 +37180,9 @@
             "0.00977977, 0.00981035, 0.00986705, 0.00990555, 0.00992003, 0.00992434", \
             "0.0105169, 0.0105108, 0.010506, 0.0105045, 0.0105038, 0.0105036", \
             "0.0110964, 0.0110274, 0.0109239, 0.0108467, 0.0108075, 0.0107971", \
-            "0.0119412, 0.0117906, 0.0114208, 0.0111461, 0.0110295, 0.010992", \
-            "0.010819, 0.0107502, 0.00989706, 0.0115498, 0.0111541, 0.0110159", \
-            "0.0105541, 0.010604, 0.0106992, 0.0102901, 0.0116148, 0.0111598" \
+            "0.0119465, 0.0117844, 0.0114241, 0.0111453, 0.0110295, 0.0109923", \
+            "0.0108192, 0.0107498, 0.00989677, 0.0115485, 0.0111495, 0.0110156", \
+            "0.0105539, 0.0106, 0.0106992, 0.0102899, 0.0116063, 0.0111635" \
           );
         }
       }
@@ -37196,8 +37196,8 @@
           values ( \
             "0.13315, 0.196233, 0.419859, 1.23761, 4.26903, 15.5694", \
             "0.142288, 0.2055, 0.429325, 1.24702, 4.28032, 15.5784", \
-            "0.179798, 0.243257, 0.467711, 1.28647, 4.32009, 15.6187", \
-            "0.27494, 0.359976, 0.618294, 1.44449, 4.47786, 15.7758", \
+            "0.179843, 0.243257, 0.467858, 1.28653, 4.31992, 15.6187", \
+            "0.274952, 0.359976, 0.618265, 1.44449, 4.47786, 15.7771", \
             "0.360481, 0.501532, 0.90553, 1.99161, 5.11851, 16.4074", \
             "0.181982, 0.416274, 1.09362, 2.83995, 7.1682, 18.9792" \
           );
@@ -37208,8 +37208,8 @@
           values ( \
             "0.0396152, 0.0802944, 0.231369, 0.788787, 2.8715, 10.6271", \
             "0.0394473, 0.0800734, 0.231297, 0.788732, 2.87073, 10.6272", \
-            "0.039446, 0.0799398, 0.231175, 0.788388, 2.8697, 10.6267", \
-            "0.0512647, 0.0902468, 0.234183, 0.78832, 2.86922, 10.6264", \
+            "0.039524, 0.0799398, 0.231096, 0.788409, 2.86941, 10.6267", \
+            "0.0512799, 0.0902468, 0.234195, 0.78832, 2.86922, 10.6261", \
             "0.0821821, 0.131606, 0.280179, 0.811788, 2.86943, 10.628", \
             "0.144081, 0.220139, 0.422621, 0.983652, 2.98183, 10.6276" \
           );
@@ -37245,7 +37245,7 @@
             index_2 ("0.00124625");
             index_3 ("0.101371, 0.111882, 0.115671, 0.118596, 0.119384, 0.121746, 0.123321, 0.126471, 0.128488, 0.12893, 0.130257, 0.131583, 0.13505, 0.137489, 0.138456, 0.139424, 0.140391, 0.141358, 0.142851, 0.144345, 0.145838, 0.147331, 0.148824, 0.150317, 0.15181, 0.153379, 0.153607, 0.154216, 0.15513, 0.160945, 0.162984, 0.163799, 0.165431, 0.167062, 0.168395, 0.171061, 0.172394, 0.175169, 0.17742, 0.17984, 0.1817, 0.185436, 0.186583, 0.188303, 0.191636, 0.194337, 0.195927, 0.197517, 0.199107, 0.202105");
             values ( \
-              "0.0192101, 0.020155, 0.0237472, 0.0263453, 0.0269862, 0.0288248, 0.0299711, 0.0321147, 0.0333832, 0.0336504, 0.0343495, 0.0349598, 0.0364155, 0.0373402, 0.0374229, 0.0374733, 0.0374912, 0.0374767, 0.0373908, 0.0372276, 0.0369873, 0.0366697, 0.0361476, 0.0354973, 0.0347189, 0.0337569, 0.0335877, 0.0329831, 0.0318953, 0.0245688, 0.0221399, 0.0211923, 0.0195697, 0.018046, 0.0168741, 0.0147284, 0.0137546, 0.0118557, 0.0104302, 0.00914418, 0.00825448, 0.00662473, 0.00616015, 0.00551444, 0.00454543, 0.00386339, 0.0035051, 0.00317884, 0.00288459, 0.00241704" \
+              "0.0192101, 0.020155, 0.0237472, 0.0263453, 0.0269862, 0.0288248, 0.0299711, 0.0321147, 0.0333832, 0.0336504, 0.0343495, 0.0349598, 0.0364155, 0.0373402, 0.037423, 0.0374733, 0.0374912, 0.0374768, 0.0373908, 0.0372276, 0.0369873, 0.0366697, 0.0361476, 0.0354973, 0.0347189, 0.0337569, 0.0335877, 0.0329831, 0.0318953, 0.0245688, 0.0221399, 0.0211923, 0.0195697, 0.018046, 0.0168741, 0.0147284, 0.0137546, 0.0118557, 0.0104302, 0.00914418, 0.00825448, 0.00662473, 0.00616015, 0.00551444, 0.00454543, 0.00386339, 0.0035051, 0.00317884, 0.00288459, 0.00241704" \
             );
           }
           vector (ccs_template) {
@@ -37315,7 +37315,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0173073");
-            index_3 ("0.202144, 0.242165, 0.308057, 0.342469, 0.355144, 0.375506, 0.392467, 0.410611, 0.427534, 0.443673, 0.462297, 0.479754, 0.498249, 0.524616, 0.599478, 0.660828, 0.703077, 0.729467, 0.756096, 0.807427, 0.834031, 0.869503, 0.925185, 0.966468");
+            index_3 ("0.202144, 0.242165, 0.308057, 0.342469, 0.355144, 0.375505, 0.392467, 0.410611, 0.427534, 0.443673, 0.462297, 0.479754, 0.498249, 0.524616, 0.599478, 0.660828, 0.703077, 0.729467, 0.756096, 0.807427, 0.834031, 0.869503, 0.925185, 0.966468");
             values ( \
               "0.00568388, 0.0185563, 0.0582084, 0.0743515, 0.0788328, 0.0843578, 0.0874583, 0.0893578, 0.0899437, 0.0897748, 0.0887781, 0.0870382, 0.0839764, 0.0772645, 0.0499336, 0.0304116, 0.0206432, 0.0159914, 0.012267, 0.00722701, 0.00546938, 0.00377789, 0.00202208, 0.00146233" \
             );
@@ -37351,9 +37351,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.264649, 0.271356, 0.277609, 0.287176, 0.296449, 0.303169, 0.307374, 0.309778, 0.314586, 0.31796, 0.321958, 0.332806, 0.340996, 0.349802, 0.359911, 0.369572, 0.383223, 0.3987, 0.406534");
+            index_3 ("0.260072, 0.268723, 0.278978, 0.290303, 0.297474, 0.303854, 0.309876, 0.31583, 0.32178, 0.332235, 0.340823, 0.349115, 0.361154, 0.372331, 0.388091, 0.397094");
             values ( \
-              "0.00965901, 0.0102692, 0.0173031, 0.0264183, 0.033231, 0.0364089, 0.0375516, 0.0378932, 0.0377717, 0.0366999, 0.0337678, 0.0207189, 0.0136035, 0.00835691, 0.00460373, 0.00252985, 0.00106507, 0.000395227, 0.000338722" \
+              "0.00282011, 0.0075583, 0.018605, 0.0288581, 0.0337506, 0.0365735, 0.0379132, 0.0375286, 0.0342103, 0.0214314, 0.0137805, 0.00876205, 0.00430136, 0.00215155, 0.000824407, 0.000547987" \
             );
           }
           vector (ccs_template) {
@@ -37369,27 +37369,27 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0173073");
-            index_3 ("0.346848, 0.387373, 0.436192, 0.446208, 0.466239, 0.490754, 0.511723, 0.527979, 0.546087, 0.563968, 0.576476, 0.58705, 0.597682, 0.61519, 0.633681, 0.665634, 0.688606, 0.748876, 0.77525, 0.792591, 0.816895, 0.844672, 0.865012, 0.888675, 0.920226, 0.944144, 0.968337, 1.00059, 1.06511, 1.16956, 1.29535");
+            index_3 ("0.339981, 0.387868, 0.415933, 0.44648, 0.477047, 0.491033, 0.511385, 0.528246, 0.546361, 0.563899, 0.581539, 0.598002, 0.624234, 0.633962, 0.652317, 0.673437, 0.734462, 0.75808, 0.784783, 0.820656, 0.848228, 0.865385, 0.884421, 0.907899, 0.940464, 0.953818, 0.980525, 1.03394, 1.13192, 1.24695");
             values ( \
-              "0.00656853, 0.0241909, 0.0542242, 0.059773, 0.0696085, 0.0789985, 0.0846276, 0.0875569, 0.0894342, 0.0900254, 0.0898882, 0.0895073, 0.0888314, 0.0870653, 0.0840193, 0.0755269, 0.067387, 0.0449884, 0.0365034, 0.0313943, 0.025311, 0.0194491, 0.01597, 0.0126249, 0.00916167, 0.00713128, 0.00553747, 0.00394229, 0.00191577, 0.000546385, 9.66669e-05" \
+              "0.00151296, 0.0245239, 0.0421481, 0.0598573, 0.074094, 0.0790438, 0.0845405, 0.0875777, 0.0894685, 0.0900492, 0.0897653, 0.0888389, 0.0858118, 0.0840127, 0.0796122, 0.0728913, 0.0502224, 0.0420095, 0.0336801, 0.0244832, 0.0188529, 0.0159592, 0.0132162, 0.0104228, 0.0074344, 0.00647267, 0.00488119, 0.00273096, 0.000847811, 0.000200942" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.064497");
-            index_3 ("0.62029, 0.714921, 0.808482, 0.90882, 0.936222, 0.983762, 1.03485, 1.0961, 1.14071, 1.18091, 1.24134, 1.29869, 1.39886, 1.41629, 1.45116, 1.52088, 1.53928, 1.57607, 1.64966, 1.93647, 2.05733, 2.15179, 2.21699, 2.31497, 2.39976, 2.51281, 2.60779, 2.73474, 2.904, 3.24166, 3.63414");
+            index_3 ("0.658531, 0.80855, 0.867828, 0.928723, 0.995915, 1.05651, 1.09296, 1.13781, 1.18105, 1.24155, 1.29884, 1.39919, 1.41644, 1.45095, 1.51997, 1.53942, 1.57832, 1.65611, 1.93966, 2.05554, 2.11961, 2.21928, 2.31566, 2.39883, 2.50972, 2.60689, 2.73689, 2.91023, 3.24833, 3.64253");
             values ( \
-              "0.0220565, 0.0270375, 0.0474741, 0.0676132, 0.0723209, 0.0794552, 0.0859052, 0.0919024, 0.0950763, 0.0971355, 0.098969, 0.0994874, 0.098049, 0.0974978, 0.0961462, 0.0923179, 0.0910261, 0.0881133, 0.0810873, 0.0485486, 0.0365491, 0.0287721, 0.0242149, 0.0184603, 0.014513, 0.0104375, 0.00785247, 0.00535373, 0.00317412, 0.000994306, 0.000251349" \
+              "0.0299438, 0.0474493, 0.0597708, 0.0710474, 0.081081, 0.0882304, 0.0916274, 0.0948905, 0.097135, 0.0989713, 0.0994869, 0.0980452, 0.0974972, 0.0961628, 0.0923867, 0.0910273, 0.0879327, 0.0804278, 0.0481875, 0.0367497, 0.03128, 0.0240571, 0.0184476, 0.0145724, 0.0105248, 0.00789124, 0.00533411, 0.00309976, 0.000985726, 0.000231124" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.240353");
-            index_3 ("1.65224, 2.01243, 2.61699, 2.89888, 3.14469, 3.58563, 3.86182, 4.01985, 4.3359, 4.44715, 4.6631, 4.89539, 5.28134, 6.73037, 7.20295, 7.53003, 7.94933, 8.65344, 9.41094, 10.022, 10.8723");
+            index_3 ("1.62379, 1.99608, 2.35848, 2.62062, 2.74636, 2.9474, 3.14808, 3.54944, 3.69204, 3.8589, 4.02308, 4.35144, 4.45031, 4.64806, 4.89857, 5.26555, 5.39936, 6.30029, 6.67429, 6.9076, 7.26725, 7.67827, 7.83983, 8.02679, 8.27607, 8.7564, 8.96085, 9.25656, 9.65084, 10.4394, 11.796, 13.1763");
             values ( \
-              "0.0308801, 0.0335321, 0.0702753, 0.0834969, 0.0919243, 0.100501, 0.102216, 0.102272, 0.100744, 0.0997014, 0.0969467, 0.0927623, 0.0830792, 0.038819, 0.0279801, 0.0219921, 0.015945, 0.00906373, 0.00485832, 0.00289462, 0.00152236" \
+              "0.0264106, 0.0322966, 0.0551319, 0.0702989, 0.0766341, 0.085275, 0.0919183, 0.100014, 0.101369, 0.102164, 0.102257, 0.100623, 0.0997002, 0.0972042, 0.0927672, 0.0836094, 0.0796682, 0.0510205, 0.0403814, 0.0345251, 0.0267814, 0.0196822, 0.0173896, 0.015037, 0.0123543, 0.00834876, 0.00706387, 0.00553584, 0.00398884, 0.00200301, 0.00054937, 0.000138458" \
             );
           }
           vector (ccs_template) {
@@ -37405,9 +37405,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.708277, 0.729775, 0.733894, 0.740989, 0.754033, 0.778378, 0.787299, 0.79532, 0.80287, 0.810426, 0.829432, 0.841332, 0.851675, 0.864172, 0.871297, 0.884505, 0.899092, 0.905423");
+            index_3 ("0.711485, 0.729814, 0.73899, 0.759101, 0.778463, 0.787388, 0.795409, 0.80296, 0.810516, 0.829519, 0.841431, 0.851755, 0.86426, 0.871415, 0.884643, 0.898401");
             values ( \
-              "0.000947678, 0.0032295, 0.004125, 0.00643891, 0.0131207, 0.0235679, 0.0267785, 0.029153, 0.0302691, 0.0291502, 0.0137199, 0.00759442, 0.00430584, 0.00203309, 0.00135165, 0.000628874, 0.000309552, 0.000337357" \
+              "0.00167428, 0.00322349, 0.00563655, 0.0153871, 0.0235483, 0.0267898, 0.0291378, 0.0302813, 0.0291363, 0.013729, 0.00758491, 0.00430288, 0.00203829, 0.00135423, 0.000631707, 0.00033184" \
             );
           }
           vector (ccs_template) {
@@ -37423,9 +37423,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0173073");
-            index_3 ("0.850282, 0.910411, 0.92568, 0.981012, 1.0134, 1.02914, 1.0408, 1.05829, 1.06777, 1.08211, 1.09306, 1.10354, 1.1212, 1.13872, 1.16359, 1.17496, 1.19339, 1.2227, 1.28118, 1.30263, 1.33073, 1.35198, 1.3803, 1.40611, 1.43843, 1.47706, 1.49026, 1.52086, 1.55583, 1.62576, 1.73264, 1.86281");
+            index_3 ("0.869302, 0.910099, 0.93391, 0.980979, 1.00329, 1.0291, 1.04079, 1.05828, 1.06773, 1.08221, 1.09308, 1.1035, 1.12116, 1.13868, 1.16354, 1.17493, 1.19335, 1.22266, 1.27995, 1.30187, 1.33033, 1.35266, 1.38243, 1.40618, 1.43603, 1.47584, 1.49448, 1.52317, 1.56142, 1.63792, 1.74672, 1.88079");
             values ( \
-              "0.00289481, 0.0178403, 0.0248495, 0.0536785, 0.0689345, 0.0749373, 0.0787, 0.0831284, 0.0850195, 0.0870545, 0.0880098, 0.0885035, 0.0886889, 0.0880276, 0.0855696, 0.083622, 0.0793605, 0.0698961, 0.0481955, 0.0408869, 0.0323191, 0.0267806, 0.0205831, 0.0160379, 0.011617, 0.00778389, 0.0067915, 0.00492553, 0.00338962, 0.00154712, 0.000415947, 7.35912e-05" \
+              "0.0128747, 0.0176716, 0.0289634, 0.0536179, 0.0645198, 0.0749392, 0.0786909, 0.0831276, 0.0850108, 0.0870652, 0.0880088, 0.0885012, 0.0886844, 0.0880261, 0.085568, 0.0836214, 0.0793577, 0.0698947, 0.0486229, 0.0411227, 0.0324218, 0.0266053, 0.0201585, 0.0160188, 0.0118972, 0.00787662, 0.00649061, 0.00480212, 0.00318673, 0.00133761, 0.000347442, 5.71321e-05" \
             );
           }
           vector (ccs_template) {
@@ -37450,9 +37450,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.895697");
-            index_3 ("6.10911, 7.46222, 8.6261, 9.53508, 10.2793, 11.4838, 12.8641, 13.1392, 13.6896, 14.714, 15.93, 16.2982, 17.0347, 17.9597, 19.3399, 20.7719, 23.1788, 24.1255, 25.3392, 26.1836, 27.1753, 28.4809, 29.6627, 30.7702, 32.1505, 33.4014, 34.1418, 35.3998, 36.7801, 38.1603, 39.5406, 42.3011, 46.4419");
+            index_3 ("6.14549, 7.51943, 8.59216, 9.53852, 10.4095, 11.4851, 12.8654, 13.1406, 13.6909, 14.715, 15.9414, 16.2995, 17.0157, 17.9609, 19.3412, 20.7732, 23.18, 24.5603, 25.4157, 26.7253, 28.1056, 28.8663, 29.7303, 30.8492, 32.2295, 33.037, 34.0346, 35.3649, 36.7451, 38.1254, 39.5057, 42.2662, 46.407");
             values ( \
-              "0.0355923, 0.0364846, 0.0567382, 0.0711035, 0.0810164, 0.0928961, 0.100519, 0.101357, 0.102495, 0.102975, 0.101253, 0.100281, 0.0977257, 0.093222, 0.0838574, 0.0719042, 0.051111, 0.0436715, 0.0351634, 0.0300022, 0.0247114, 0.0189474, 0.0147985, 0.0116704, 0.00863085, 0.00654851, 0.00555524, 0.00418468, 0.00306146, 0.00223367, 0.00163014, 0.000865078, 0.000332123" \
+              "0.0361539, 0.0375069, 0.0561846, 0.0711716, 0.0825695, 0.0929079, 0.100525, 0.101364, 0.102499, 0.102979, 0.101231, 0.100284, 0.097808, 0.0932242, 0.0838576, 0.0719036, 0.0511107, 0.0404803, 0.0346747, 0.027016, 0.0204701, 0.0174975, 0.0145943, 0.0114784, 0.00848589, 0.00710327, 0.00569241, 0.00421889, 0.00308623, 0.00225222, 0.00164333, 0.000872014, 0.000335068" \
             );
           }
           vector (ccs_template) {
@@ -37479,7 +37479,7 @@
             index_2 ("0.0173073");
             index_3 ("2.42064, 2.61834, 2.64487, 2.66077, 2.69257, 2.87586, 2.89868, 2.92376, 2.94536, 2.96292, 2.98062, 2.98821, 3.00337, 3.0296, 3.05022, 3.07157, 3.1022, 3.15082, 3.17997, 3.1997, 3.21944, 3.24575, 3.26942, 3.29677, 3.33323, 3.35811, 3.39605, 3.44663, 3.54462, 3.65897");
             values ( \
-              "0.000270412, 0.00514474, 0.0080685, 0.0102134, 0.0158223, 0.0574661, 0.0623425, 0.0671376, 0.0705283, 0.072711, 0.0744092, 0.0749498, 0.0756453, 0.0752754, 0.0730487, 0.0687144, 0.0598182, 0.0440266, 0.0352506, 0.0299522, 0.0252256, 0.0198286, 0.015837, 0.0121136, 0.00835976, 0.00647295, 0.00435452, 0.00252275, 0.000791031, 0.00019046" \
+              "0.000270412, 0.00514474, 0.0080685, 0.0102134, 0.0158223, 0.0574661, 0.0623425, 0.0671376, 0.0705283, 0.072711, 0.0744092, 0.0749498, 0.0756453, 0.0752754, 0.0730487, 0.0687144, 0.0598182, 0.0440266, 0.0352506, 0.0299522, 0.0252256, 0.0198286, 0.015837, 0.0121136, 0.00835976, 0.00647295, 0.00435452, 0.00252275, 0.000791031, 0.000190461" \
             );
           }
           vector (ccs_template) {
@@ -37533,7 +37533,7 @@
             index_2 ("0.0173073");
             index_3 ("8.73216, 8.89478, 8.95338, 9.0129, 9.15138, 9.28174, 9.36657, 9.4541, 9.48915, 9.51996, 9.546, 9.55753, 9.57289, 9.6002, 9.7416, 9.79807, 9.8614, 9.9082, 9.9706, 10.0539, 10.1504, 10.2709");
             values ( \
-              "0.00490201, 0.00619113, 0.0093735, 0.0144698, 0.0278891, 0.0382125, 0.044145, 0.049355, 0.050936, 0.0516167, 0.0512632, 0.0506602, 0.0493646, 0.0458666, 0.0200893, 0.0125051, 0.00696067, 0.0044203, 0.00235136, 0.000955976, 0.000340201, 8.12439e-05" \
+              "0.00490203, 0.00619112, 0.0093735, 0.0144698, 0.0278891, 0.0382125, 0.044145, 0.049355, 0.050936, 0.0516167, 0.0512632, 0.0506602, 0.0493646, 0.0458666, 0.0200893, 0.0125051, 0.00696067, 0.0044203, 0.00235136, 0.000955976, 0.000340201, 8.12439e-05" \
             );
           }
           vector (ccs_template) {
@@ -37570,8 +37570,8 @@
           values ( \
             "0.00808612, 0.00812865, 0.00818217, 0.00821429, 0.00822593, 0.00822934", \
             "0.0094949, 0.00955286, 0.00964692, 0.00971881, 0.00974791, 0.00975679", \
-            "0.0101298, 0.0101622, 0.0102118, 0.010278, 0.0103117, 0.0103228", \
-            "0.0106041, 0.0105749, 0.0105309, 0.0105129, 0.0105181, 0.0105224", \
+            "0.0101289, 0.0101622, 0.0102112, 0.0102774, 0.0103111, 0.0103228", \
+            "0.010604, 0.0105749, 0.0105305, 0.0105129, 0.0105181, 0.0105224", \
             "0.0109921, 0.010945, 0.010844, 0.010701, 0.0106128, 0.0105846", \
             "0.0114072, 0.0113333, 0.0111678, 0.010969, 0.0107663, 0.0106452" \
           );
@@ -37582,8 +37582,8 @@
           values ( \
             "0.0101721, 0.0102063, 0.0102588, 0.0102939, 0.0103073, 0.0103114", \
             "0.0103472, 0.010303, 0.0102412, 0.0101995, 0.0101839, 0.0101792", \
-            "0.010554, 0.0104126, 0.0101959, 0.0100107, 0.00992945, 0.00990533", \
-            "0.010537, 0.0108114, 0.0105893, 0.0100939, 0.00987623, 0.00979612", \
+            "0.0105608, 0.0104126, 0.0101949, 0.0100122, 0.00993242, 0.00990533", \
+            "0.0105372, 0.0108114, 0.0105876, 0.0100939, 0.00987623, 0.00980121", \
             "0.00930017, 0.00937486, 0.00952489, 0.0103847, 0.0100996, 0.00983593", \
             "0.00853164, 0.00867848, 0.00897753, 0.00933985, 0.00892894, 0.0101426" \
           );
@@ -37951,8 +37951,8 @@
           values ( \
             "0.128535, 0.194471, 0.427942, 1.28552, 4.46575, 16.3223", \
             "0.137827, 0.204024, 0.437793, 1.29571, 4.47693, 16.3324", \
-            "0.176403, 0.242799, 0.476976, 1.33525, 4.51691, 16.3703", \
-            "0.270911, 0.360586, 0.62991, 1.49486, 4.67565, 16.531", \
+            "0.176382, 0.2427, 0.476976, 1.33525, 4.51691, 16.3703", \
+            "0.270911, 0.360459, 0.62991, 1.49486, 4.67565, 16.531", \
             "0.353075, 0.501739, 0.924869, 2.05652, 5.32068, 17.1687", \
             "0.158916, 0.405261, 1.11828, 2.9375, 7.43142, 19.7435" \
           );
@@ -37963,8 +37963,8 @@
           values ( \
             "0.0407709, 0.0824893, 0.236209, 0.80416, 2.92062, 10.8104", \
             "0.0408394, 0.0825197, 0.236215, 0.804135, 2.92064, 10.8103", \
-            "0.0409592, 0.0824624, 0.236171, 0.804119, 2.92053, 10.8112", \
-            "0.0534388, 0.0927418, 0.239165, 0.804057, 2.92051, 10.8103", \
+            "0.0409617, 0.0824288, 0.236171, 0.804119, 2.92053, 10.8112", \
+            "0.0534388, 0.092873, 0.239165, 0.804057, 2.92051, 10.8103", \
             "0.086292, 0.136744, 0.285959, 0.826209, 2.92063, 10.8103", \
             "0.158258, 0.235863, 0.440311, 1.0077, 3.02563, 10.8136" \
           );
@@ -37976,7 +37976,7 @@
             "0.159293, 0.243177, 0.531353, 1.57325, 5.43028, 19.7962", \
             "0.167729, 0.251965, 0.541375, 1.58367, 5.44056, 19.8083", \
             "0.209648, 0.293511, 0.582747, 1.62599, 5.48413, 19.8494", \
-            "0.354871, 0.459352, 0.761104, 1.80031, 5.65674, 20.0254", \
+            "0.354871, 0.459306, 0.761104, 1.80031, 5.65674, 20.0254", \
             "0.655866, 0.822897, 1.29965, 2.5245, 6.3737, 20.7311", \
             "1.40252, 1.66189, 2.41355, 4.38974, 9.23685, 23.6052" \
           );
@@ -37988,7 +37988,7 @@
             "0.0433025, 0.082521, 0.211066, 0.67001, 2.3826, 8.74331", \
             "0.0432922, 0.082577, 0.210663, 0.670396, 2.38295, 8.74574", \
             "0.0432922, 0.0824168, 0.210666, 0.670081, 2.38101, 8.76096", \
-            "0.0562049, 0.0923748, 0.211486, 0.670134, 2.38297, 8.74526", \
+            "0.0562049, 0.0924559, 0.211486, 0.670134, 2.38297, 8.74526", \
             "0.0925301, 0.142775, 0.269418, 0.678267, 2.3816, 8.74547", \
             "0.176747, 0.245162, 0.418689, 0.900356, 2.43859, 8.74452" \
           );
@@ -38054,7 +38054,7 @@
             index_2 ("0.00124625");
             index_3 ("0.129994, 0.142343, 0.143835, 0.146819, 0.149294, 0.15177, 0.15342, 0.155071, 0.156721, 0.15796, 0.159847, 0.161141, 0.162382, 0.164164, 0.166539, 0.168914, 0.170419, 0.171172, 0.171924, 0.172677, 0.17343, 0.174214, 0.174999, 0.175783, 0.176568, 0.178112, 0.179656, 0.181201, 0.183046, 0.183647, 0.184849, 0.190519, 0.192389, 0.193792, 0.195241, 0.19801, 0.199331, 0.200652, 0.201972, 0.20551, 0.207854, 0.210198, 0.212542, 0.216729, 0.218098, 0.22015, 0.222234, 0.224317, 0.228833, 0.233067");
             values ( \
-              "0.0196689, 0.0217833, 0.0230733, 0.0255241, 0.0273352, 0.0290262, 0.0300868, 0.031094, 0.0320477, 0.0327055, 0.0336196, 0.0341863, 0.0346685, 0.0352526, 0.0358341, 0.0363141, 0.0365658, 0.0365764, 0.0365582, 0.0365112, 0.0364355, 0.036326, 0.0361852, 0.0360132, 0.0358099, 0.0352995, 0.0346555, 0.0338779, 0.0327686, 0.032224, 0.0309029, 0.0241374, 0.0220065, 0.0204835, 0.0190929, 0.0166503, 0.0155852, 0.0145844, 0.0136481, 0.0112953, 0.00992429, 0.0087463, 0.00767937, 0.00601634, 0.00552555, 0.00486493, 0.00430323, 0.0037978, 0.00292345, 0.00219109" \
+              "0.0196689, 0.0217833, 0.0230733, 0.0255241, 0.0273352, 0.0290262, 0.0300868, 0.0310939, 0.0320477, 0.0327055, 0.0336195, 0.0341863, 0.0346685, 0.0352526, 0.0358341, 0.0363141, 0.0365658, 0.0365764, 0.0365582, 0.0365112, 0.0364355, 0.036326, 0.0361852, 0.0360132, 0.0358099, 0.0352995, 0.0346555, 0.0338779, 0.0327686, 0.032224, 0.0309029, 0.0241374, 0.0220065, 0.0204835, 0.0190929, 0.0166503, 0.0155852, 0.0145844, 0.0136481, 0.0112953, 0.00992429, 0.0087463, 0.00767937, 0.00601634, 0.00552555, 0.00486493, 0.00430323, 0.0037978, 0.00292345, 0.00219109" \
             );
           }
           vector (ccs_template) {
@@ -38106,18 +38106,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.245894, 0.260127, 0.261671, 0.286111, 0.29538, 0.300226, 0.306493, 0.312681, 0.318868, 0.330091, 0.338553, 0.350723, 0.361262, 0.374597, 0.388487, 0.396143");
+            index_3 ("0.263365, 0.273606, 0.27596, 0.278265, 0.279786, 0.282828, 0.285315, 0.287802, 0.289461, 0.292777, 0.294468, 0.296606, 0.297899, 0.299674, 0.300266, 0.30145, 0.303817, 0.305001, 0.306539, 0.307308, 0.308077, 0.308846, 0.310386, 0.311158, 0.312701, 0.314248, 0.315795, 0.317342, 0.319187, 0.319782, 0.320973, 0.326659, 0.328555, 0.329976, 0.330855, 0.333488, 0.336004, 0.338519, 0.342885, 0.344584, 0.346281, 0.348597, 0.352274, 0.355, 0.358333, 0.359446, 0.361068, 0.364312, 0.365935, 0.368993");
             values ( \
-              "0.00181896, 0.00445041, 0.00510211, 0.0275757, 0.0332492, 0.0351186, 0.0364156, 0.0361387, 0.0332141, 0.020424, 0.0133365, 0.00682666, 0.00365381, 0.00157964, 0.00067456, 0.000482042" \
+              "0.0154928, 0.0170546, 0.0192927, 0.0213862, 0.0227138, 0.0252417, 0.0270855, 0.0288037, 0.0298795, 0.0318638, 0.0327638, 0.0337758, 0.0343249, 0.0349495, 0.0351354, 0.0354465, 0.0359891, 0.0362206, 0.0364816, 0.036497, 0.0364821, 0.0364372, 0.0362563, 0.0361202, 0.0357569, 0.0352524, 0.0346134, 0.0338401, 0.0327372, 0.0321998, 0.0308976, 0.0241266, 0.0219581, 0.0204041, 0.0195695, 0.0172209, 0.0151941, 0.0133798, 0.0105394, 0.00962894, 0.00877741, 0.00773321, 0.00621228, 0.00524207, 0.00431486, 0.00403953, 0.00369169, 0.00305629, 0.00276873, 0.00227484" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00464427");
-            index_3 ("0.285915, 0.29854, 0.311806, 0.323638, 0.333127, 0.347364, 0.360305, 0.372835, 0.38534, 0.39176, 0.400255, 0.426768, 0.438062, 0.454288, 0.470073, 0.478717, 0.490967, 0.504205, 0.525418, 0.537198, 0.554354");
+            index_3 ("0.278416, 0.292594, 0.315602, 0.332995, 0.345544, 0.350568, 0.360161, 0.365948, 0.372652, 0.383688, 0.393265, 0.398781, 0.427177, 0.43833, 0.453609, 0.470326, 0.478826, 0.490971, 0.503787, 0.524595, 0.53634, 0.56321, 0.563433");
             values ( \
-              "0.0214867, 0.0231535, 0.0370223, 0.0477833, 0.0549041, 0.0625854, 0.0664026, 0.0673511, 0.0653156, 0.0624344, 0.0564428, 0.0335029, 0.025553, 0.0166838, 0.0106529, 0.00825779, 0.00573116, 0.00391736, 0.00207225, 0.00142774, 0.000900795" \
+              "0.00655175, 0.0170382, 0.0408319, 0.0549228, 0.0617561, 0.0637458, 0.0662821, 0.0671257, 0.0672352, 0.0657222, 0.0613855, 0.0574941, 0.0330215, 0.0252466, 0.0169393, 0.0105156, 0.00820041, 0.0056977, 0.00395322, 0.00212286, 0.00145357, 0.000605861, 0.000603561" \
             );
           }
           vector (ccs_template) {
@@ -38169,9 +38169,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00464427");
-            index_3 ("0.748396, 0.772188, 0.779864, 0.788637, 0.799664, 0.833868, 0.851605, 0.858432, 0.86685, 0.881003, 0.894844, 0.908665, 0.913048, 0.938402, 0.944196, 0.953052, 0.959477, 0.96609, 0.975122, 0.98244, 0.991196, 1.00362, 1.00921, 1.01677, 1.02676, 1.0372, 1.04802, 1.06452, 1.08074, 1.10427, 1.1384");
+            index_3 ("0.731349, 0.771604, 0.775979, 0.781812, 0.789402, 0.805375, 0.83363, 0.851431, 0.866744, 0.880886, 0.894738, 0.908564, 0.938325, 0.952954, 0.965972, 0.975017, 0.98236, 0.991111, 1.00353, 1.01163, 1.02666, 1.04082, 1.05681, 1.07773");
             values ( \
-              "0.00597823, 0.00808417, 0.0110366, 0.0155627, 0.0223221, 0.0423715, 0.0517538, 0.0546859, 0.0576381, 0.0605538, 0.0604309, 0.0553101, 0.0521642, 0.0324113, 0.0284736, 0.0230356, 0.0195559, 0.0164497, 0.0128211, 0.0104312, 0.00810911, 0.00564471, 0.00482267, 0.00388748, 0.00290212, 0.0021135, 0.00149641, 0.000910587, 0.000554428, 0.000273245, 9.87438e-05" \
+              "0.00040844, 0.00790249, 0.00947217, 0.012014, 0.0161265, 0.0258397, 0.0422652, 0.051708, 0.0575777, 0.0605476, 0.0603888, 0.0553215, 0.0323962, 0.0230292, 0.0164526, 0.0128287, 0.010432, 0.0081, 0.00564996, 0.004487, 0.00289521, 0.00188117, 0.00113652, 0.000632632" \
             );
           }
           vector (ccs_template) {
@@ -38216,7 +38216,7 @@
             index_2 ("0.00124625");
             index_3 ("2.21519, 2.29303, 2.3084, 2.31823, 2.33791, 2.3419, 2.34987, 2.36089, 2.37212, 2.38709, 2.39894, 2.40646, 2.42176, 2.43567, 2.44881, 2.46165, 2.47448, 2.48346, 2.49165, 2.49903, 2.50381, 2.51187, 2.51546, 2.52221, 2.53031, 2.53648, 2.5444, 2.55476, 2.56545, 2.57404, 2.58099, 2.58692, 2.5932, 2.59963, 2.62431, 2.65007, 2.71096");
             values ( \
-              "0.000118645, 0.000696363, 0.00117108, 0.00154177, 0.00274531, 0.00318797, 0.00421311, 0.00628264, 0.00851955, 0.01113, 0.012901, 0.0138682, 0.0155487, 0.01669, 0.0174277, 0.0175715, 0.0158622, 0.0122404, 0.00926016, 0.00713018, 0.00592365, 0.00424534, 0.00364032, 0.00266947, 0.00180417, 0.00133036, 0.000917388, 0.000604366, 0.000429211, 0.000372295, 0.000353155, 0.000394279, 0.000400237, 0.000381701, 0.000144514, 4.9414e-05, 1.33272e-05" \
+              "0.000118645, 0.000696363, 0.00117108, 0.00154177, 0.00274531, 0.00318797, 0.00421312, 0.00628265, 0.00851955, 0.0111299, 0.012901, 0.0138682, 0.0155487, 0.01669, 0.0174277, 0.0175715, 0.0158622, 0.0122404, 0.00926016, 0.00713018, 0.00592365, 0.00424534, 0.00364032, 0.00266947, 0.00180417, 0.00133036, 0.000917388, 0.000604366, 0.000429211, 0.000372295, 0.000353155, 0.000394279, 0.000400237, 0.000381701, 0.000144514, 4.9414e-05, 1.33272e-05" \
             );
           }
           vector (ccs_template) {
@@ -38225,7 +38225,7 @@
             index_2 ("0.00464427");
             index_3 ("2.27508, 2.37099, 2.3847, 2.39602, 2.41865, 2.43558, 2.43888, 2.44549, 2.49555, 2.53785, 2.56228, 2.58419, 2.60458, 2.61481, 2.62439, 2.63431, 2.64591, 2.67524, 2.6957, 2.70415, 2.71387, 2.72257, 2.73146, 2.73951, 2.75486, 2.76648, 2.77993, 2.79458, 2.81581, 2.84612, 2.89483");
             values ( \
-              "0.000293096, 0.00191877, 0.00271043, 0.00349327, 0.00567468, 0.00832934, 0.00901915, 0.0104959, 0.0232831, 0.0320804, 0.0364618, 0.0398478, 0.0420646, 0.0424955, 0.0419012, 0.0395213, 0.0346048, 0.0208378, 0.0132298, 0.0107726, 0.00842709, 0.00675462, 0.00537544, 0.00435285, 0.00285507, 0.0020598, 0.00140433, 0.000927745, 0.000513349, 0.000230734, 7.46143e-05" \
+              "0.000293096, 0.00191877, 0.00271043, 0.00349327, 0.00567468, 0.00832934, 0.00901915, 0.0104959, 0.0232831, 0.0320804, 0.0364618, 0.0398478, 0.0420646, 0.0424955, 0.0419012, 0.0395213, 0.0346048, 0.0208378, 0.0132298, 0.0107726, 0.00842709, 0.00675462, 0.00537544, 0.00435285, 0.00285507, 0.0020598, 0.00140433, 0.000927745, 0.00051335, 0.000230734, 7.46143e-05" \
             );
           }
           vector (ccs_template) {
@@ -38325,8 +38325,8 @@
           values ( \
             "0.00632509, 0.00635164, 0.00638536, 0.00640565, 0.006413, 0.00641516", \
             "0.00730073, 0.00732392, 0.00736306, 0.00739305, 0.00740528, 0.00740902", \
-            "0.00770423, 0.00770804, 0.00772232, 0.00773955, 0.0077485, 0.00775292", \
-            "0.00795479, 0.00793598, 0.00790318, 0.00788067, 0.00787487, 0.00787391", \
+            "0.00770551, 0.00770928, 0.00772232, 0.00773955, 0.0077485, 0.00775292", \
+            "0.00795479, 0.00793582, 0.00790318, 0.00788067, 0.00787487, 0.00787391", \
             "0.00812798, 0.00809482, 0.0080375, 0.00796899, 0.00792251, 0.00790622", \
             "0.00841782, 0.00833839, 0.00820353, 0.00807964, 0.00799162, 0.00793515" \
           );
@@ -38337,8 +38337,8 @@
           values ( \
             "0.00784486, 0.00785507, 0.00787064, 0.00788037, 0.00788392, 0.00788497", \
             "0.0079036, 0.00786243, 0.00780387, 0.00776358, 0.00774796, 0.00774326", \
-            "0.00792579, 0.00783153, 0.00768768, 0.00758029, 0.00753581, 0.00751843", \
-            "0.00872707, 0.00843936, 0.00795646, 0.0076113, 0.00746802, 0.00742455", \
+            "0.0079243, 0.00783083, 0.00768768, 0.00758029, 0.00753581, 0.00751843", \
+            "0.00872707, 0.00844044, 0.00795646, 0.0076113, 0.00746802, 0.00742455", \
             "0.00823832, 0.00829639, 0.00837945, 0.00812602, 0.00761434, 0.00744322", \
             "0.007574, 0.00771336, 0.00800272, 0.00830808, 0.00785338, 0.00765535" \
           );
@@ -38519,9 +38519,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00464427");
-            index_3 ("0.851184, 0.869649, 0.873719, 0.879416, 0.883757, 0.885227, 0.886698, 0.89258, 0.898473, 0.904365, 0.911796, 0.919226, 0.926391, 0.931336, 0.939005, 0.947984, 0.954605, 0.972934, 0.979996, 0.983527, 0.99059, 0.994121, 0.997502, 1.00089, 1.00427, 1.00765, 1.01103, 1.0144, 1.01778, 1.02115, 1.02369, 1.02623, 1.02878, 1.03132, 1.03386, 1.0364, 1.03978, 1.04103, 1.04228, 1.04353, 1.04515, 1.04678, 1.04957, 1.05245, 1.05504, 1.05615, 1.05948, 1.06103, 1.06412, 1.06826");
+            index_3 ("0.847366, 0.871861, 0.886142, 0.914154, 0.939646, 0.956692, 0.979656, 0.993804, 1.00732, 1.02083, 1.031, 1.05212, 1.05914, 1.06534, 1.07315, 1.08356, 1.097, 1.10337");
             values ( \
-              "-0.00702758, -0.0111, -0.012752, -0.0152473, -0.0172916, -0.0180373, -0.0188043, -0.0223062, -0.0255546, -0.0286412, -0.0322707, -0.0357067, -0.038837, -0.0408731, -0.0438424, -0.0470738, -0.0492972, -0.0552704, -0.057645, -0.0587865, -0.0609781, -0.0620282, -0.0623511, -0.062435, -0.0622799, -0.0618856, -0.0612536, -0.0603834, -0.059275, -0.0579283, -0.0561539, -0.0540532, -0.0516263, -0.0488733, -0.0456662, -0.0420814, -0.0370053, -0.0327277, -0.0318666, -0.0308448, -0.0291941, -0.0274339, -0.0240704, -0.020767, -0.0180412, -0.017049, -0.014304, -0.0132043, -0.0111152, -0.0085539" \
+              "-0.00466584, -0.0119492, -0.0185392, -0.03349, -0.0442006, -0.0501128, -0.0571926, -0.0609345, -0.062919, -0.0606563, -0.0493165, -0.0208881, -0.014455, -0.0102195, -0.00650173, -0.00343773, -0.00147872, -0.00110396" \
             );
           }
           vector (ccs_template) {
@@ -38676,7 +38676,7 @@
             "0.00627503, 0.00629808, 0.00632648, 0.00634327, 0.00634931, 0.00635109", \
             "0.00711763, 0.00713226, 0.00715647, 0.00717481, 0.00718251, 0.00718486", \
             "0.0074644, 0.00746404, 0.007467, 0.00747407, 0.00747878, 0.00748056", \
-            "0.00762339, 0.00761751, 0.00761301, 0.00760607, 0.00760421, 0.00760399", \
+            "0.00762339, 0.00761765, 0.00761301, 0.00760607, 0.00760421, 0.00760399", \
             "0.00772941, 0.00772329, 0.00771068, 0.00769309, 0.00768014, 0.00767508", \
             "0.00777196, 0.00776845, 0.00775925, 0.00774234, 0.00772219, 0.00770829" \
           );
@@ -38688,7 +38688,7 @@
             "0.00788595, 0.00790252, 0.0079253, 0.00793957, 0.00794428, 0.00794563", \
             "0.00823963, 0.00822522, 0.00820895, 0.00819912, 0.00819621, 0.00819533", \
             "0.00859599, 0.00852001, 0.00842254, 0.00835971, 0.00833501, 0.00832738", \
-            "0.00923713, 0.00915161, 0.00883819, 0.00862349, 0.00854051, 0.0085145", \
+            "0.00923713, 0.00914151, 0.00883819, 0.00862349, 0.00854051, 0.0085145", \
             "0.00866969, 0.00854789, 0.00784344, 0.00892261, 0.00864897, 0.00856134", \
             "0.00855414, 0.00857429, 0.00858758, 0.0081061, 0.00893436, 0.00863189" \
           );
@@ -38706,10 +38706,10 @@
           values ( \
             "0.111827, 0.174993, 0.397855, 1.21492, 4.24803, 15.5532", \
             "0.121015, 0.184522, 0.407637, 1.22542, 4.25854, 15.5633", \
-            "0.158758, 0.222856, 0.446482, 1.26456, 4.29777, 15.6021", \
+            "0.158773, 0.222856, 0.446452, 1.26456, 4.29777, 15.6028", \
             "0.237101, 0.328444, 0.59451, 1.42289, 4.45566, 15.7595", \
             "0.28821, 0.439919, 0.860851, 1.96422, 5.09586, 16.3926", \
-            "0.0224074, 0.273183, 0.987387, 2.77594, 7.13165, 18.9565" \
+            "0.0224074, 0.273108, 0.987387, 2.77594, 7.13165, 18.9565" \
           );
         }
         rise_transition (delay_template) {
@@ -38718,10 +38718,10 @@
           values ( \
             "0.0405357, 0.0809199, 0.232137, 0.789951, 2.87006, 10.6265", \
             "0.0405405, 0.0809931, 0.232146, 0.789885, 2.86959, 10.6263", \
-            "0.0413942, 0.0811866, 0.232156, 0.789937, 2.86958, 10.6261", \
+            "0.0413958, 0.0811866, 0.232121, 0.789937, 2.86958, 10.6258", \
             "0.0584008, 0.0950636, 0.236675, 0.78997, 2.86947, 10.626", \
             "0.0954205, 0.144785, 0.288042, 0.81448, 2.87038, 10.626", \
-            "0.172653, 0.249609, 0.451782, 0.997373, 2.9784, 10.6272" \
+            "0.172653, 0.249618, 0.451782, 0.997373, 2.9784, 10.6272" \
           );
         }
         cell_fall (delay_template) {
@@ -38731,7 +38731,7 @@
             "0.177265, 0.262588, 0.562124, 1.65469, 5.70497, 20.8055", \
             "0.185562, 0.271468, 0.571886, 1.66507, 5.71934, 20.8152", \
             "0.227153, 0.312605, 0.613228, 1.70623, 5.76213, 20.8607", \
-            "0.382736, 0.48415, 0.790768, 1.88042, 5.93184, 21.0298", \
+            "0.382518, 0.48415, 0.790768, 1.88042, 5.93184, 21.0298", \
             "0.71018, 0.873817, 1.35098, 2.61017, 6.65164, 21.7391", \
             "1.51931, 1.77235, 2.52009, 4.5422, 9.53992, 24.6139" \
           );
@@ -38743,9 +38743,9 @@
             "0.0416463, 0.07923, 0.209296, 0.6814, 2.44314, 8.98899", \
             "0.0417291, 0.0791922, 0.209621, 0.681396, 2.44353, 9.00416", \
             "0.0415937, 0.0790687, 0.20949, 0.6819, 2.44235, 8.98769", \
-            "0.05171, 0.0859799, 0.210374, 0.681388, 2.44289, 9.00469", \
+            "0.0516229, 0.0859799, 0.210374, 0.681388, 2.44289, 9.00469", \
             "0.0865321, 0.131204, 0.260157, 0.686836, 2.44241, 9.00359", \
-            "0.163267, 0.222265, 0.395494, 0.900079, 2.48563, 8.98762" \
+            "0.163267, 0.222265, 0.39549, 0.900079, 2.48563, 8.98762" \
           );
         }
         output_current_rise () {
@@ -38861,9 +38861,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.234455, 0.24655, 0.267827, 0.27557, 0.28237, 0.288686, 0.294886, 0.301078, 0.312518, 0.320755, 0.329356, 0.34015, 0.347059, 0.352336, 0.363238, 0.3712, 0.394346");
+            index_3 ("0.235391, 0.244375, 0.267876, 0.27562, 0.282422, 0.288737, 0.294937, 0.301129, 0.312569, 0.320806, 0.329406, 0.340202, 0.352387, 0.363287, 0.371239, 0.38574");
             values ( \
-              "0.00112797, 0.00949708, 0.0262582, 0.0314778, 0.0346904, 0.0362404, 0.0362446, 0.0332842, 0.0202228, 0.0133566, 0.00838491, 0.00449563, 0.00296012, 0.00215232, 0.001102, 0.000675513, 0.000209845" \
+              "0.00212013, 0.0078718, 0.0262569, 0.0314772, 0.0346882, 0.0362405, 0.0362427, 0.0332848, 0.0202223, 0.0133565, 0.00838528, 0.00449552, 0.00215241, 0.00110216, 0.000676033, 0.000383997" \
             );
           }
           vector (ccs_template) {
@@ -38879,9 +38879,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0173073");
-            index_3 ("0.347598, 0.382623, 0.42417, 0.449003, 0.468978, 0.503701, 0.512307, 0.523783, 0.539738, 0.54548, 0.562148, 0.576474, 0.593979, 0.612562, 0.640451, 0.67323, 0.723371, 0.743691, 0.769677, 0.787852, 0.808831, 0.836463, 0.861343, 0.894516, 0.921137, 0.950327, 0.989246, 1.06709, 1.17449, 1.30692");
+            index_3 ("0.35042, 0.38817, 0.424141, 0.45294, 0.46895, 0.506504, 0.517568, 0.529783, 0.54158, 0.557045, 0.571901, 0.585373, 0.612506, 0.630845, 0.652167, 0.728874, 0.770793, 0.805165, 0.835329, 0.863346, 0.900701, 0.923023, 0.948131, 0.981608, 1.04856, 1.15358, 1.28046");
             values ( \
-              "0.0290953, 0.0354907, 0.0595259, 0.0711644, 0.0784298, 0.0867124, 0.0879195, 0.089084, 0.0898917, 0.0899613, 0.089521, 0.088536, 0.0866945, 0.0835998, 0.0765111, 0.0650697, 0.0466562, 0.0397843, 0.0320556, 0.0272993, 0.022472, 0.017278, 0.0135224, 0.00962023, 0.00732783, 0.00540181, 0.00354151, 0.00148171, 0.000377585, 7.94602e-05" \
+              "0.0320106, 0.0389259, 0.0595604, 0.0727688, 0.0784557, 0.0871312, 0.0885027, 0.0894953, 0.0899024, 0.0897279, 0.0888992, 0.0876965, 0.0836042, 0.0792479, 0.0725925, 0.044717, 0.0317184, 0.0232605, 0.017445, 0.0132339, 0.00901953, 0.00716545, 0.00551205, 0.00385253, 0.00183392, 0.000503748, 0.000100167" \
             );
           }
           vector (ccs_template) {
@@ -38906,9 +38906,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.895697");
-            index_3 ("5.6716, 7.04471, 8.34421, 8.97463, 9.85931, 10.92, 12.2996, 12.5753, 13.1267, 14.1497, 15.4034, 15.7344, 16.3964, 17.3958, 18.7754, 20.2096, 22.6138, 23.5609, 24.775, 25.6202, 26.6116, 27.9171, 29.0985, 30.2061, 31.5857, 32.8377, 33.5787, 34.9545, 36.3341, 37.7137, 39.0933, 41.8524, 45.9912");
+            index_3 ("5.4723, 6.80577, 7.91232, 8.97567, 9.86606, 10.9206, 12.3002, 12.5757, 13.1269, 14.15, 15.4037, 15.7347, 16.3967, 17.3961, 18.7757, 20.2098, 22.6141, 23.5612, 24.7753, 25.6204, 26.6119, 27.9173, 29.0987, 30.2064, 31.586, 32.838, 33.579, 34.9586, 36.3382, 37.7178, 39.0974, 41.8565, 45.9953");
             values ( \
-              "0.0392031, 0.0392204, 0.0615238, 0.0712477, 0.0827777, 0.0929234, 0.100527, 0.101365, 0.102501, 0.102978, 0.101168, 0.100286, 0.0980249, 0.0932268, 0.0838654, 0.0718921, 0.0511206, 0.0436775, 0.0351652, 0.0299999, 0.0247104, 0.0189471, 0.0147994, 0.0116709, 0.00863249, 0.00654809, 0.00555428, 0.00407227, 0.00297943, 0.00217366, 0.0015867, 0.00084228, 0.000323261" \
+              "0.0322667, 0.0350365, 0.0543408, 0.0712735, 0.0828654, 0.0929342, 0.100534, 0.101371, 0.102506, 0.102981, 0.101171, 0.100287, 0.0980267, 0.093227, 0.0838663, 0.071893, 0.051121, 0.0436768, 0.0351658, 0.0300005, 0.0247098, 0.0189477, 0.0148, 0.0116703, 0.00863308, 0.0065475, 0.00555488, 0.00406811, 0.00297739, 0.00217114, 0.00158588, 0.00084212, 0.000322381" \
             );
           }
           vector (ccs_template) {
@@ -38935,7 +38935,7 @@
             index_2 ("0.0173073");
             index_3 ("0.848027, 0.888693, 0.974361, 1.00386, 1.03834, 1.04856, 1.06432, 1.07979, 1.09359, 1.11, 1.12556, 1.14629, 1.1621, 1.19158, 1.25991, 1.31039, 1.34376, 1.37376, 1.40975, 1.43903, 1.48676, 1.518, 1.58047, 1.63896");
             values ( \
-              "0.0176457, 0.0204814, 0.0612074, 0.0730601, 0.0826167, 0.0844923, 0.0866573, 0.0878082, 0.0880603, 0.0876333, 0.0865628, 0.0839508, 0.0808251, 0.0723049, 0.0475299, 0.0316302, 0.0234621, 0.0175978, 0.012351, 0.0091494, 0.00557595, 0.003994, 0.00200806, 0.00119091" \
+              "0.0176457, 0.0204814, 0.0612074, 0.0730601, 0.0826167, 0.0844923, 0.0866573, 0.0878082, 0.0880603, 0.0876333, 0.0865628, 0.0839508, 0.0808251, 0.0723049, 0.0475299, 0.0316302, 0.0234621, 0.0175978, 0.012351, 0.00914941, 0.00557595, 0.003994, 0.00200806, 0.00119091" \
             );
           }
           vector (ccs_template) {
@@ -39032,9 +39032,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00464427");
-            index_3 ("8.12598, 8.25343, 8.29363, 8.33737, 8.36642, 8.47014, 8.5218, 8.56607, 8.60649, 8.6447, 8.68193, 8.7115, 8.71981, 8.80786, 8.84001, 8.87939, 8.90516, 8.94407, 8.98683, 9.0003");
+            index_3 ("8.12591, 8.23848, 8.27801, 8.3373, 8.36806, 8.47004, 8.52618, 8.56587, 8.60627, 8.64457, 8.68182, 8.7114, 8.7197, 8.80776, 8.8399, 8.87933, 8.90512, 8.94404, 8.98682, 9.00977");
             values ( \
-              "0.00157463, 0.0020148, 0.00280973, 0.00416964, 0.00570081, 0.0145201, 0.0178329, 0.0199768, 0.0214037, 0.0223258, 0.0226454, 0.0218572, 0.0210769, 0.007581, 0.00431384, 0.00190201, 0.00103926, 0.000409311, 0.000135653, 0.000131405" \
+              "0.00174988, 0.00181032, 0.00247008, 0.00416435, 0.00581583, 0.0145103, 0.0180736, 0.0199542, 0.0213973, 0.0223108, 0.0226455, 0.0218457, 0.0210786, 0.00758248, 0.00431229, 0.00189908, 0.00103943, 0.000407287, 0.000136793, 0.000129561" \
             );
           }
           vector (ccs_template) {
@@ -39052,7 +39052,7 @@
             index_2 ("0.064497");
             index_3 ("9.65434, 9.94027, 9.97766, 10.0935, 10.2812, 10.8659, 10.9583, 11.0397, 11.1099, 11.1843, 11.2557, 11.3545, 11.4065, 11.5104, 11.7001, 11.878, 11.9834, 12.0693, 12.2037, 12.3376, 12.3835, 12.4884, 12.6082, 12.848, 13.0018");
             values ( \
-              "0.0099327, 0.012004, 0.0136775, 0.0201636, 0.0322473, 0.0684609, 0.0734548, 0.0769941, 0.0791485, 0.0802542, 0.0798707, 0.0762498, 0.0728494, 0.0639668, 0.0452973, 0.0299388, 0.0227842, 0.0180329, 0.0123222, 0.00830084, 0.00723896, 0.00529064, 0.00366047, 0.00170176, 0.00118321" \
+              "0.0099327, 0.012004, 0.0136775, 0.0201636, 0.0322473, 0.0684609, 0.0734548, 0.0769941, 0.0791485, 0.0802542, 0.0798707, 0.0762498, 0.0728494, 0.0639668, 0.0452972, 0.0299389, 0.0227842, 0.0180329, 0.0123222, 0.00830084, 0.00723896, 0.00529064, 0.00366048, 0.00170176, 0.00118321" \
             );
           }
           vector (ccs_template) {
@@ -39080,10 +39080,10 @@
           values ( \
             "0.00672097, 0.0067582, 0.00680224, 0.00682732, 0.00683618, 0.00683877", \
             "0.00797114, 0.0080103, 0.00806716, 0.00810523, 0.00811966, 0.00812396", \
-            "0.00860153, 0.00860555, 0.00862242, 0.00864462, 0.00865552, 0.00865959", \
+            "0.00860094, 0.00860555, 0.00862291, 0.00864462, 0.00865552, 0.00865919", \
             "0.00897163, 0.00894221, 0.00889499, 0.00886671, 0.00885961, 0.00885854", \
             "0.00919932, 0.00914437, 0.00906431, 0.0089847, 0.00893288, 0.00891513", \
-            "0.00937209, 0.00939767, 0.00926063, 0.00910769, 0.00901168, 0.00895134" \
+            "0.00937209, 0.00939763, 0.00926063, 0.00910769, 0.00901168, 0.00895134" \
           );
         }
         receiver_capacitance2_rise (delay_template) {
@@ -39092,10 +39092,10 @@
           values ( \
             "0.00843538, 0.00846373, 0.00849197, 0.00850445, 0.00850838, 0.00850949", \
             "0.00852545, 0.0084603, 0.00837748, 0.00832531, 0.0083062, 0.00830058", \
-            "0.00860221, 0.00843363, 0.00821165, 0.00807004, 0.00801516, 0.00799798", \
+            "0.00859786, 0.00843363, 0.00820978, 0.00807004, 0.00801516, 0.00799782", \
             "0.00835411, 0.00853878, 0.00849114, 0.00807792, 0.00790508, 0.00785538", \
             "0.00779726, 0.00788831, 0.0080134, 0.00826002, 0.00806609, 0.00787554", \
-            "0.00749566, 0.0074525, 0.00768649, 0.00793636, 0.00742775, 0.00809673" \
+            "0.00749566, 0.00745252, 0.00768649, 0.00793636, 0.00742775, 0.00809673" \
           );
         }
         output_current_fall () {
@@ -39150,7 +39150,7 @@
             index_2 ("0.895697");
             index_3 ("9.64227, 11.2703, 13.7467, 14.9054, 17.3781, 18.5694, 19.3461, 20.9519, 22.1911, 23.1224, 23.556, 24.2384, 24.9825, 26.891, 27.9622, 28.7862, 30.2064, 31.2875, 32.1561, 33.5415, 34.9269, 37.6978");
             values ( \
-              "-0.029489, -0.0304255, -0.0600896, -0.0725257, -0.0946158, -0.102897, -0.107428, -0.114622, -0.117871, -0.118504, -0.117873, -0.114607, -0.105088, -0.0638288, -0.0436002, -0.0315325, -0.0172827, -0.0107259, -0.00726566, -0.00372914, -0.00195527, -0.00052694" \
+              "-0.0294888, -0.0304256, -0.0600896, -0.0725258, -0.0946158, -0.102897, -0.107428, -0.114622, -0.117871, -0.118504, -0.117873, -0.114607, -0.105088, -0.0638288, -0.0436002, -0.0315325, -0.0172827, -0.0107259, -0.00726566, -0.00372914, -0.00195528, -0.000526941" \
             );
           }
           vector (ccs_template) {
@@ -39202,9 +39202,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.895697");
-            index_3 ("9.73994, 11.4976, 13.6247, 14.7877, 16.0345, 17.8155, 19.2009, 19.7672, 20.8437, 22.2325, 23.601, 24.9692, 27.2992, 28.01, 28.8405, 30.2069, 31.4014, 32.2957, 33.6811, 36.4519, 37.8373");
+            index_3 ("9.73994, 11.4976, 13.6247, 14.7878, 16.0345, 17.8155, 19.2009, 19.7672, 20.8437, 22.2325, 23.601, 24.9692, 27.2992, 28.01, 28.8405, 30.2069, 31.4014, 32.2957, 33.6811, 36.4519, 37.8373");
             values ( \
-              "-0.0292942, -0.0326844, -0.0582274, -0.0709073, -0.0830091, -0.0975234, -0.106429, -0.109427, -0.114093, -0.117893, -0.117885, -0.106479, -0.0564684, -0.0437577, -0.0314463, -0.0176241, -0.0103744, -0.00705442, -0.00351275, -0.000848866, -0.000575895" \
+              "-0.0292941, -0.0326845, -0.0582273, -0.0709081, -0.0830092, -0.0975234, -0.106429, -0.109427, -0.114093, -0.117893, -0.117885, -0.106479, -0.0564684, -0.0437577, -0.0314463, -0.0176241, -0.0103744, -0.00705441, -0.00351275, -0.000848866, -0.000575895" \
             );
           }
           vector (ccs_template) {
@@ -39258,16 +39258,16 @@
             index_2 ("0.895697");
             index_3 ("9.8382, 11.4915, 13.7346, 15.0835, 17.5561, 18.7462, 19.5234, 21.1286, 22.3681, 23.3004, 23.7328, 24.4152, 25.1592, 27.0677, 28.1389, 28.9629, 30.383, 31.4644, 32.3332, 33.7186, 35.104, 37.8748");
             values ( \
-              "-0.029328, -0.0309761, -0.0579683, -0.072558, -0.0946403, -0.102911, -0.107445, -0.114632, -0.117882, -0.11851, -0.117881, -0.114612, -0.105093, -0.0638293, -0.0435993, -0.0315336, -0.0172843, -0.0107256, -0.00726393, -0.00372896, -0.00195441, -0.000526341" \
+              "-0.0293279, -0.0309761, -0.0579683, -0.0725582, -0.0946402, -0.102911, -0.107445, -0.114632, -0.117882, -0.11851, -0.117881, -0.114612, -0.105093, -0.0638293, -0.0435993, -0.0315336, -0.0172843, -0.0107256, -0.00726393, -0.00372896, -0.00195442, -0.000526342" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.812627, 0.826399, 0.832364, 0.834303, 0.83818, 0.844132, 0.846985, 0.852692, 0.858964, 0.874068, 0.885354, 0.89015, 0.894859, 0.903341, 0.911116, 0.918509, 0.925795, 0.93125, 0.933775, 0.936999, 0.940264, 0.942252, 0.943627, 0.945834, 0.948955, 0.950318, 0.953046, 0.957721, 0.964741, 0.972473, 0.978907");
+            index_3 ("0.819003, 0.829973, 0.843882, 0.853037, 0.873658, 0.894388, 0.910627, 0.918015, 0.925297, 0.930751, 0.943121, 0.949816, 0.957153, 0.964128, 0.971902, 0.975532");
             values ( \
-              "-0.00101435, -0.00256359, -0.00346853, -0.00388873, -0.00464331, -0.0061261, -0.00702194, -0.00913571, -0.011924, -0.0177809, -0.0219562, -0.0236218, -0.025208, -0.0277906, -0.0297805, -0.0310486, -0.0306384, -0.0255795, -0.0219648, -0.0170924, -0.0126761, -0.0103913, -0.0090031, -0.0070604, -0.00494135, -0.0041983, -0.00304239, -0.00169254, -0.000703771, -0.000278335, -0.000190935" \
+              "-0.0020526, -0.00317951, -0.00611066, -0.00943123, -0.0179525, -0.0253037, -0.0298544, -0.0310198, -0.0306953, -0.0255443, -0.00900474, -0.00420335, -0.00171185, -0.000709354, -0.000284563, -0.000230964" \
             );
           }
           vector (ccs_template) {
@@ -39294,7 +39294,7 @@
             index_2 ("0.064497");
             index_3 ("1.55182, 1.68262, 1.81901, 1.96556, 2.08262, 2.2047, 2.32412, 2.41612, 2.50511, 2.60823, 2.71134, 2.93936, 3.03136, 3.09848, 3.19086, 3.265, 3.41327, 3.60319");
             values ( \
-              "-0.0268309, -0.0302761, -0.0504743, -0.0699375, -0.0835715, -0.0953311, -0.104261, -0.109312, -0.112466, -0.112826, -0.102407, -0.041914, -0.0255805, -0.0173587, -0.0100094, -0.00631297, -0.0023286, -0.000580687" \
+              "-0.0268309, -0.0302761, -0.0504743, -0.0699375, -0.0835715, -0.0953311, -0.104261, -0.109312, -0.112466, -0.112826, -0.102407, -0.041914, -0.0255805, -0.0173587, -0.0100094, -0.00631297, -0.0023286, -0.000580688" \
             );
           }
           vector (ccs_template) {
@@ -39310,9 +39310,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.895697");
-            index_3 ("10.462, 12.1887, 14.365, 16.1077, 17.7419, 18.5151, 19.9005, 21.2243, 22.1828, 22.9326, 24.3012, 25.6695, 27.9852, 28.6671, 29.5544, 30.9834, 31.9926, 32.7943, 34.1798, 36.9506, 38.336");
+            index_3 ("10.462, 12.1887, 14.3649, 16.1079, 17.7418, 18.5151, 19.9005, 21.2243, 22.1828, 22.9326, 24.3012, 25.6695, 27.9852, 28.6671, 29.5544, 30.9834, 31.9926, 32.7943, 34.1798, 36.9506, 38.336");
             values ( \
-              "-0.0302241, -0.0325386, -0.0586845, -0.0771042, -0.0916488, -0.0975281, -0.106402, -0.11283, -0.11616, -0.117871, -0.117897, -0.106462, -0.0567655, -0.0444654, -0.0312893, -0.0170552, -0.0109101, -0.00771736, -0.00388546, -0.00095887, -0.000610561" \
+              "-0.0302238, -0.0325388, -0.0586833, -0.0771066, -0.0916486, -0.0975281, -0.106402, -0.11283, -0.11616, -0.117871, -0.117897, -0.106462, -0.0567655, -0.0444654, -0.0312893, -0.0170552, -0.0109101, -0.00771736, -0.00388546, -0.000958871, -0.000610563" \
             );
           }
           vector (ccs_template) {
@@ -39330,7 +39330,7 @@
             index_2 ("0.00464427");
             index_3 ("2.73551, 2.77486, 2.7926, 2.80942, 2.84096, 2.89811, 2.93404, 2.97586, 2.99307, 3.00207, 3.01225, 3.0257, 3.04558, 3.05598, 3.06726, 3.08137, 3.09642, 3.11329, 3.13128, 3.15004, 3.22654");
             values ( \
-              "-0.00336122, -0.00514284, -0.00720839, -0.00967245, -0.0163637, -0.0293556, -0.0366003, -0.0439926, -0.0462168, -0.0468773, -0.0464499, -0.0400125, -0.0215517, -0.0138333, -0.00804717, -0.0039037, -0.00170252, -0.000677715, -0.000288841, -0.000154785, -5.82085e-05" \
+              "-0.00336122, -0.00514284, -0.00720839, -0.00967245, -0.0163637, -0.0293556, -0.0366003, -0.0439926, -0.0462168, -0.0468773, -0.0464499, -0.0400125, -0.0215517, -0.0138333, -0.00804717, -0.0039037, -0.00170252, -0.000677715, -0.000288841, -0.000154785, -5.82086e-05" \
             );
           }
           vector (ccs_template) {
@@ -39364,9 +39364,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.895697");
-            index_3 ("12.7899, 14.4613, 16.7578, 19.0003, 20.7826, 22.168, 23.4884, 24.4551, 25.1994, 26.5678, 27.936, 30.9331, 31.8211, 33.2501, 34.2587, 36.4453, 37.4655");
+            index_3 ("12.7899, 14.4613, 16.7578, 19.0003, 20.7826, 22.168, 23.4884, 24.455, 25.1994, 26.5678, 27.936, 30.9331, 31.8211, 33.2501, 34.2587, 36.4453, 37.4655");
             values ( \
-              "-0.0323767, -0.0326402, -0.0601378, -0.0830232, -0.0975483, -0.106419, -0.11283, -0.116185, -0.11788, -0.117904, -0.106464, -0.0444723, -0.0312894, -0.0170559, -0.0109138, -0.00388887, -0.00281095" \
+              "-0.0323763, -0.0326405, -0.0601378, -0.0830232, -0.0975483, -0.106419, -0.11283, -0.116185, -0.11788, -0.117904, -0.106464, -0.0444723, -0.0312894, -0.0170559, -0.0109138, -0.00388887, -0.00281095" \
             );
           }
           vector (ccs_template) {
@@ -39375,25 +39375,25 @@
             index_2 ("0.00124625");
             index_3 ("9.51046, 9.60264, 9.62942, 9.65315, 9.67732, 9.70206, 9.72758, 9.76183, 9.79686, 9.82624, 9.85275, 9.87761, 9.91357, 9.92516, 9.93693, 9.94901, 9.96954, 9.98009, 9.99214, 10.0071, 10.0209, 10.0317, 10.0404, 10.0704, 10.0959, 10.177");
             values ( \
-              "-0.000199798, -0.000773672, -0.00108413, -0.0014547, -0.00196863, -0.00275234, -0.00392334, -0.00567193, -0.00713791, -0.00815565, -0.00877322, -0.0092565, -0.00956544, -0.0094965, -0.00924377, -0.00801279, -0.00382926, -0.0022975, -0.00125174, -0.000700843, -0.000489734, -0.000407212, -0.000392371, -0.00023269, -0.000137881, -6.45547e-05" \
+              "-0.000199795, -0.000773672, -0.00108412, -0.0014547, -0.00196864, -0.00275234, -0.00392333, -0.00567192, -0.00713791, -0.00815565, -0.00877322, -0.0092565, -0.00956544, -0.0094965, -0.00924377, -0.00801279, -0.00382926, -0.0022975, -0.00125174, -0.000700843, -0.000489734, -0.000407212, -0.000392371, -0.00023269, -0.000137881, -6.45547e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00464427");
-            index_3 ("9.68988, 9.77549, 9.81915, 9.84638, 9.89485, 9.97841, 10.0527, 10.0819, 10.1254, 10.1518, 10.1706, 10.2008, 10.2233, 10.2684, 10.2842, 10.3059, 10.3264, 10.3499, 10.3773, 10.3775");
+            index_3 ("9.68988, 9.7755, 9.81915, 9.84638, 9.89485, 9.97841, 10.0527, 10.0819, 10.1254, 10.1518, 10.1706, 10.2008, 10.2234, 10.2684, 10.2842, 10.3059, 10.3264, 10.3499, 10.3773, 10.3775");
             values ( \
-              "-0.00149741, -0.00241001, -0.00375526, -0.00487466, -0.0077505, -0.0149996, -0.020589, -0.0225091, -0.0252193, -0.026607, -0.0274062, -0.028112, -0.0257062, -0.0089544, -0.00518229, -0.00236979, -0.00113602, -0.000526613, -0.000288554, -0.000287968" \
+              "-0.0014974, -0.00241002, -0.00375532, -0.00487466, -0.00775048, -0.0149996, -0.020589, -0.0225091, -0.0252193, -0.026607, -0.0274062, -0.028112, -0.0257062, -0.0089544, -0.00518229, -0.00236979, -0.00113602, -0.000526613, -0.000288554, -0.00028797" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0173073");
-            index_3 ("10.102, 10.2927, 10.3423, 10.4021, 10.4372, 10.4728, 10.6608, 10.7274, 10.8535, 10.9126, 10.9555, 10.9676, 10.9916, 11.0206, 11.0603, 11.1184, 11.1364, 11.1486, 11.1731, 11.1969, 11.2156, 11.2529, 11.3165, 11.3915");
+            index_3 ("10.1019, 10.2927, 10.3423, 10.4021, 10.4372, 10.4729, 10.6608, 10.7275, 10.8536, 10.9126, 10.9552, 10.9676, 10.9923, 11.0206, 11.0603, 11.1185, 11.1364, 11.1486, 11.173, 11.1969, 11.2157, 11.2531, 11.3168, 11.3918");
             values ( \
-              "-0.000776244, -0.0057563, -0.00805513, -0.0116062, -0.0142092, -0.0171931, -0.0342758, -0.0400179, -0.0504391, -0.055011, -0.0577242, -0.058315, -0.0591213, -0.0586136, -0.0505233, -0.02763, -0.0215929, -0.0180575, -0.0122753, -0.00826732, -0.00598129, -0.00299481, -0.000778617, -0.000154874" \
+              "-0.000776225, -0.0057563, -0.00805523, -0.0116062, -0.0142108, -0.0171967, -0.0342746, -0.0400247, -0.0504419, -0.0550111, -0.0577058, -0.0583151, -0.0591334, -0.0586121, -0.0505257, -0.0276269, -0.0215949, -0.0180622, -0.0122825, -0.00826039, -0.00596905, -0.0029802, -0.000773985, -0.000153755" \
             );
           }
           vector (ccs_template) {
@@ -39402,7 +39402,7 @@
             index_2 ("0.064497");
             index_3 ("11.4552, 11.6783, 11.7676, 11.8862, 11.9715, 12.5632, 12.7181, 12.7975, 12.8759, 13.0102, 13.1375, 13.2647, 13.3606, 13.4875, 13.5546, 13.6279, 13.7163, 13.7679, 13.8712, 14.0449, 14.2477");
             values ( \
-              "-0.0111382, -0.0111423, -0.0150693, -0.0212601, -0.0264063, -0.0648549, -0.0743872, -0.0790015, -0.0832682, -0.0895297, -0.0931919, -0.0888675, -0.0712854, -0.0435678, -0.031673, -0.0216797, -0.0132774, -0.00999191, -0.00524742, -0.00172503, -0.000320781" \
+              "-0.011138, -0.0111423, -0.0150695, -0.02126, -0.0264063, -0.0648549, -0.0743872, -0.0790015, -0.0832682, -0.0895297, -0.0931919, -0.0888676, -0.0712854, -0.0435678, -0.031673, -0.0216797, -0.0132774, -0.00999191, -0.00524742, -0.00172503, -0.000320781" \
             );
           }
           vector (ccs_template) {
@@ -39411,16 +39411,16 @@
             index_2 ("0.240353");
             index_3 ("14.512, 14.9992, 15.2841, 16.5291, 16.9877, 17.2676, 17.4644, 17.8667, 18.2481, 18.6225, 18.9967, 19.5308, 19.827, 20.1644, 20.4106, 20.7423, 21.0085, 21.5409, 22.231");
             values ( \
-              "-0.0209486, -0.0215348, -0.0313701, -0.0782838, -0.0924758, -0.0997306, -0.104135, -0.111271, -0.115479, -0.115895, -0.105044, -0.0632537, -0.042846, -0.0260832, -0.017666, -0.0102845, -0.0065427, -0.00246372, -0.000618863" \
+              "-0.0209484, -0.0215348, -0.03137, -0.0782838, -0.0924758, -0.0997306, -0.104135, -0.111271, -0.115479, -0.115895, -0.105044, -0.0632537, -0.042846, -0.0260832, -0.017666, -0.0102845, -0.0065427, -0.00246372, -0.000618863" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.895697");
-            index_3 ("21.74, 23.4279, 25.7867, 27.3294, 28.1313, 29.9139, 31.4845, 32.8699, 34.3292, 35.2615, 35.6939, 36.3763, 37.1203, 39.0288, 40.1, 40.924, 42.3443, 43.4253, 44.2938, 45.6792, 47.0646, 49.8355");
+            index_3 ("21.7321, 23.42, 26.4991, 28.1235, 29.906, 31.4766, 32.862, 33.3748, 34.3213, 35.2537, 35.686, 36.3684, 37.1125, 39.021, 40.0921, 40.9161, 41.3895, 42.3364, 43.4174, 44.2859, 45.6713, 47.0567, 48.4421, 49.8276");
             values ( \
-              "-0.0274351, -0.0306838, -0.059005, -0.0754175, -0.0830461, -0.0975679, -0.107449, -0.113831, -0.117886, -0.118507, -0.117885, -0.114608, -0.105087, -0.0638347, -0.0436056, -0.0315284, -0.0172781, -0.0107218, -0.00727044, -0.00372491, -0.00195986, -0.000531492" \
+              "-0.0274349, -0.030684, -0.0669369, -0.0830461, -0.0975679, -0.107449, -0.113831, -0.115594, -0.117886, -0.118507, -0.117885, -0.114608, -0.105087, -0.0638347, -0.0436056, -0.0315284, -0.0260236, -0.0172781, -0.0107218, -0.00727044, -0.00372492, -0.00195986, -0.00095299, -0.000531493" \
             );
           }
         }
@@ -39431,7 +39431,7 @@
             "0.0060113, 0.00602847, 0.00605164, 0.00606624, 0.00607167, 0.00607328", \
             "0.00674966, 0.00676005, 0.00677818, 0.006793, 0.0067996, 0.00680166", \
             "0.00705178, 0.00705168, 0.00705433, 0.00706009, 0.00706408, 0.00706565", \
-            "0.00718817, 0.00718407, 0.00718741, 0.00718038, 0.00717791, 0.00717754", \
+            "0.00718872, 0.00718407, 0.00718741, 0.00718038, 0.00717791, 0.00717754", \
             "0.00727778, 0.00727387, 0.00726431, 0.00724995, 0.00723882, 0.00723431", \
             "0.00731116, 0.00730863, 0.00730164, 0.00728789, 0.00727059, 0.00725815" \
           );
@@ -39443,9 +39443,9 @@
             "0.00757714, 0.007589, 0.00760438, 0.00761591, 0.00762006, 0.00762126", \
             "0.0080276, 0.00801666, 0.00800273, 0.00799304, 0.0079895, 0.00798859", \
             "0.00840853, 0.00835432, 0.00828619, 0.00823846, 0.00821851, 0.00821219", \
-            "0.00896205, 0.00881157, 0.00855809, 0.00838626, 0.008319, 0.00829748", \
+            "0.0089644, 0.00881157, 0.00855809, 0.00838626, 0.008319, 0.00829748", \
             "0.00965092, 0.00952666, 0.00866689, 0.00863337, 0.00838373, 0.00830461", \
-            "0.00940264, 0.00941496, 0.00955841, 0.00900921, 0.00867902, 0.00838085" \
+            "0.00940264, 0.00941496, 0.0095584, 0.00900921, 0.00867902, 0.00838085" \
           );
         }
       }
@@ -39459,8 +39459,8 @@
           values ( \
             "0.128535, 0.194471, 0.427942, 1.28552, 4.46575, 16.3223", \
             "0.137827, 0.204024, 0.437793, 1.29571, 4.47693, 16.3324", \
-            "0.176403, 0.242799, 0.476976, 1.33525, 4.51691, 16.3703", \
-            "0.270911, 0.360586, 0.62991, 1.49486, 4.67565, 16.531", \
+            "0.176382, 0.2427, 0.476976, 1.33525, 4.51691, 16.3703", \
+            "0.270911, 0.360459, 0.62991, 1.49486, 4.67565, 16.531", \
             "0.353075, 0.501739, 0.924869, 2.05652, 5.32068, 17.1687", \
             "0.158916, 0.405261, 1.11828, 2.9375, 7.43142, 19.7435" \
           );
@@ -39471,8 +39471,8 @@
           values ( \
             "0.0407709, 0.0824893, 0.236209, 0.80416, 2.92062, 10.8104", \
             "0.0408394, 0.0825197, 0.236215, 0.804135, 2.92064, 10.8103", \
-            "0.0409592, 0.0824624, 0.236171, 0.804119, 2.92053, 10.8112", \
-            "0.0534388, 0.0927418, 0.239165, 0.804057, 2.92051, 10.8103", \
+            "0.0409617, 0.0824288, 0.236171, 0.804119, 2.92053, 10.8112", \
+            "0.0534388, 0.092873, 0.239165, 0.804057, 2.92051, 10.8103", \
             "0.086292, 0.136744, 0.285959, 0.826209, 2.92063, 10.8103", \
             "0.158258, 0.235863, 0.440311, 1.0077, 3.02563, 10.8136" \
           );
@@ -39484,7 +39484,7 @@
             "0.177265, 0.262588, 0.562124, 1.65469, 5.70497, 20.8055", \
             "0.185562, 0.271468, 0.571886, 1.66507, 5.71934, 20.8152", \
             "0.227153, 0.312605, 0.613228, 1.70623, 5.76213, 20.8607", \
-            "0.382736, 0.48415, 0.790768, 1.88042, 5.93184, 21.0298", \
+            "0.382518, 0.48415, 0.790768, 1.88042, 5.93184, 21.0298", \
             "0.71018, 0.873817, 1.35098, 2.61017, 6.65164, 21.7391", \
             "1.51931, 1.77235, 2.52009, 4.5422, 9.53992, 24.6139" \
           );
@@ -39496,9 +39496,9 @@
             "0.0416463, 0.07923, 0.209296, 0.6814, 2.44314, 8.98899", \
             "0.0417291, 0.0791922, 0.209621, 0.681396, 2.44353, 9.00416", \
             "0.0415937, 0.0790687, 0.20949, 0.6819, 2.44235, 8.98769", \
-            "0.05171, 0.0859799, 0.210374, 0.681388, 2.44289, 9.00469", \
+            "0.0516229, 0.0859799, 0.210374, 0.681388, 2.44289, 9.00469", \
             "0.0865321, 0.131204, 0.260157, 0.686836, 2.44241, 9.00359", \
-            "0.163267, 0.222265, 0.395494, 0.900079, 2.48563, 8.98762" \
+            "0.163267, 0.222265, 0.39549, 0.900079, 2.48563, 8.98762" \
           );
         }
         output_current_rise () {
@@ -39562,7 +39562,7 @@
             index_2 ("0.00124625");
             index_3 ("0.129994, 0.142343, 0.143835, 0.146819, 0.149294, 0.15177, 0.15342, 0.155071, 0.156721, 0.15796, 0.159847, 0.161141, 0.162382, 0.164164, 0.166539, 0.168914, 0.170419, 0.171172, 0.171924, 0.172677, 0.17343, 0.174214, 0.174999, 0.175783, 0.176568, 0.178112, 0.179656, 0.181201, 0.183046, 0.183647, 0.184849, 0.190519, 0.192389, 0.193792, 0.195241, 0.19801, 0.199331, 0.200652, 0.201972, 0.20551, 0.207854, 0.210198, 0.212542, 0.216729, 0.218098, 0.22015, 0.222234, 0.224317, 0.228833, 0.233067");
             values ( \
-              "0.0196689, 0.0217833, 0.0230733, 0.0255241, 0.0273352, 0.0290262, 0.0300868, 0.031094, 0.0320477, 0.0327055, 0.0336196, 0.0341863, 0.0346685, 0.0352526, 0.0358341, 0.0363141, 0.0365658, 0.0365764, 0.0365582, 0.0365112, 0.0364355, 0.036326, 0.0361852, 0.0360132, 0.0358099, 0.0352995, 0.0346555, 0.0338779, 0.0327686, 0.032224, 0.0309029, 0.0241374, 0.0220065, 0.0204835, 0.0190929, 0.0166503, 0.0155852, 0.0145844, 0.0136481, 0.0112953, 0.00992429, 0.0087463, 0.00767937, 0.00601634, 0.00552555, 0.00486493, 0.00430323, 0.0037978, 0.00292345, 0.00219109" \
+              "0.0196689, 0.0217833, 0.0230733, 0.0255241, 0.0273352, 0.0290262, 0.0300868, 0.0310939, 0.0320477, 0.0327055, 0.0336195, 0.0341863, 0.0346685, 0.0352526, 0.0358341, 0.0363141, 0.0365658, 0.0365764, 0.0365582, 0.0365112, 0.0364355, 0.036326, 0.0361852, 0.0360132, 0.0358099, 0.0352995, 0.0346555, 0.0338779, 0.0327686, 0.032224, 0.0309029, 0.0241374, 0.0220065, 0.0204835, 0.0190929, 0.0166503, 0.0155852, 0.0145844, 0.0136481, 0.0112953, 0.00992429, 0.0087463, 0.00767937, 0.00601634, 0.00552555, 0.00486493, 0.00430323, 0.0037978, 0.00292345, 0.00219109" \
             );
           }
           vector (ccs_template) {
@@ -39614,18 +39614,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.245894, 0.260127, 0.261671, 0.286111, 0.29538, 0.300226, 0.306493, 0.312681, 0.318868, 0.330091, 0.338553, 0.350723, 0.361262, 0.374597, 0.388487, 0.396143");
+            index_3 ("0.263365, 0.273606, 0.27596, 0.278265, 0.279786, 0.282828, 0.285315, 0.287802, 0.289461, 0.292777, 0.294468, 0.296606, 0.297899, 0.299674, 0.300266, 0.30145, 0.303817, 0.305001, 0.306539, 0.307308, 0.308077, 0.308846, 0.310386, 0.311158, 0.312701, 0.314248, 0.315795, 0.317342, 0.319187, 0.319782, 0.320973, 0.326659, 0.328555, 0.329976, 0.330855, 0.333488, 0.336004, 0.338519, 0.342885, 0.344584, 0.346281, 0.348597, 0.352274, 0.355, 0.358333, 0.359446, 0.361068, 0.364312, 0.365935, 0.368993");
             values ( \
-              "0.00181896, 0.00445041, 0.00510211, 0.0275757, 0.0332492, 0.0351186, 0.0364156, 0.0361387, 0.0332141, 0.020424, 0.0133365, 0.00682666, 0.00365381, 0.00157964, 0.00067456, 0.000482042" \
+              "0.0154928, 0.0170546, 0.0192927, 0.0213862, 0.0227138, 0.0252417, 0.0270855, 0.0288037, 0.0298795, 0.0318638, 0.0327638, 0.0337758, 0.0343249, 0.0349495, 0.0351354, 0.0354465, 0.0359891, 0.0362206, 0.0364816, 0.036497, 0.0364821, 0.0364372, 0.0362563, 0.0361202, 0.0357569, 0.0352524, 0.0346134, 0.0338401, 0.0327372, 0.0321998, 0.0308976, 0.0241266, 0.0219581, 0.0204041, 0.0195695, 0.0172209, 0.0151941, 0.0133798, 0.0105394, 0.00962894, 0.00877741, 0.00773321, 0.00621228, 0.00524207, 0.00431486, 0.00403953, 0.00369169, 0.00305629, 0.00276873, 0.00227484" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00464427");
-            index_3 ("0.285915, 0.29854, 0.311806, 0.323638, 0.333127, 0.347364, 0.360305, 0.372835, 0.38534, 0.39176, 0.400255, 0.426768, 0.438062, 0.454288, 0.470073, 0.478717, 0.490967, 0.504205, 0.525418, 0.537198, 0.554354");
+            index_3 ("0.278416, 0.292594, 0.315602, 0.332995, 0.345544, 0.350568, 0.360161, 0.365948, 0.372652, 0.383688, 0.393265, 0.398781, 0.427177, 0.43833, 0.453609, 0.470326, 0.478826, 0.490971, 0.503787, 0.524595, 0.53634, 0.56321, 0.563433");
             values ( \
-              "0.0214867, 0.0231535, 0.0370223, 0.0477833, 0.0549041, 0.0625854, 0.0664026, 0.0673511, 0.0653156, 0.0624344, 0.0564428, 0.0335029, 0.025553, 0.0166838, 0.0106529, 0.00825779, 0.00573116, 0.00391736, 0.00207225, 0.00142774, 0.000900795" \
+              "0.00655175, 0.0170382, 0.0408319, 0.0549228, 0.0617561, 0.0637458, 0.0662821, 0.0671257, 0.0672352, 0.0657222, 0.0613855, 0.0574941, 0.0330215, 0.0252466, 0.0169393, 0.0105156, 0.00820041, 0.0056977, 0.00395322, 0.00212286, 0.00145357, 0.000605861, 0.000603561" \
             );
           }
           vector (ccs_template) {
@@ -39677,9 +39677,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00464427");
-            index_3 ("0.748396, 0.772188, 0.779864, 0.788637, 0.799664, 0.833868, 0.851605, 0.858432, 0.86685, 0.881003, 0.894844, 0.908665, 0.913048, 0.938402, 0.944196, 0.953052, 0.959477, 0.96609, 0.975122, 0.98244, 0.991196, 1.00362, 1.00921, 1.01677, 1.02676, 1.0372, 1.04802, 1.06452, 1.08074, 1.10427, 1.1384");
+            index_3 ("0.731349, 0.771604, 0.775979, 0.781812, 0.789402, 0.805375, 0.83363, 0.851431, 0.866744, 0.880886, 0.894738, 0.908564, 0.938325, 0.952954, 0.965972, 0.975017, 0.98236, 0.991111, 1.00353, 1.01163, 1.02666, 1.04082, 1.05681, 1.07773");
             values ( \
-              "0.00597823, 0.00808417, 0.0110366, 0.0155627, 0.0223221, 0.0423715, 0.0517538, 0.0546859, 0.0576381, 0.0605538, 0.0604309, 0.0553101, 0.0521642, 0.0324113, 0.0284736, 0.0230356, 0.0195559, 0.0164497, 0.0128211, 0.0104312, 0.00810911, 0.00564471, 0.00482267, 0.00388748, 0.00290212, 0.0021135, 0.00149641, 0.000910587, 0.000554428, 0.000273245, 9.87438e-05" \
+              "0.00040844, 0.00790249, 0.00947217, 0.012014, 0.0161265, 0.0258397, 0.0422652, 0.051708, 0.0575777, 0.0605476, 0.0603888, 0.0553215, 0.0323962, 0.0230292, 0.0164526, 0.0128287, 0.010432, 0.0081, 0.00564996, 0.004487, 0.00289521, 0.00188117, 0.00113652, 0.000632632" \
             );
           }
           vector (ccs_template) {
@@ -39724,7 +39724,7 @@
             index_2 ("0.00124625");
             index_3 ("2.21519, 2.29303, 2.3084, 2.31823, 2.33791, 2.3419, 2.34987, 2.36089, 2.37212, 2.38709, 2.39894, 2.40646, 2.42176, 2.43567, 2.44881, 2.46165, 2.47448, 2.48346, 2.49165, 2.49903, 2.50381, 2.51187, 2.51546, 2.52221, 2.53031, 2.53648, 2.5444, 2.55476, 2.56545, 2.57404, 2.58099, 2.58692, 2.5932, 2.59963, 2.62431, 2.65007, 2.71096");
             values ( \
-              "0.000118645, 0.000696363, 0.00117108, 0.00154177, 0.00274531, 0.00318797, 0.00421311, 0.00628264, 0.00851955, 0.01113, 0.012901, 0.0138682, 0.0155487, 0.01669, 0.0174277, 0.0175715, 0.0158622, 0.0122404, 0.00926016, 0.00713018, 0.00592365, 0.00424534, 0.00364032, 0.00266947, 0.00180417, 0.00133036, 0.000917388, 0.000604366, 0.000429211, 0.000372295, 0.000353155, 0.000394279, 0.000400237, 0.000381701, 0.000144514, 4.9414e-05, 1.33272e-05" \
+              "0.000118645, 0.000696363, 0.00117108, 0.00154177, 0.00274531, 0.00318797, 0.00421312, 0.00628265, 0.00851955, 0.0111299, 0.012901, 0.0138682, 0.0155487, 0.01669, 0.0174277, 0.0175715, 0.0158622, 0.0122404, 0.00926016, 0.00713018, 0.00592365, 0.00424534, 0.00364032, 0.00266947, 0.00180417, 0.00133036, 0.000917388, 0.000604366, 0.000429211, 0.000372295, 0.000353155, 0.000394279, 0.000400237, 0.000381701, 0.000144514, 4.9414e-05, 1.33272e-05" \
             );
           }
           vector (ccs_template) {
@@ -39733,7 +39733,7 @@
             index_2 ("0.00464427");
             index_3 ("2.27508, 2.37099, 2.3847, 2.39602, 2.41865, 2.43558, 2.43888, 2.44549, 2.49555, 2.53785, 2.56228, 2.58419, 2.60458, 2.61481, 2.62439, 2.63431, 2.64591, 2.67524, 2.6957, 2.70415, 2.71387, 2.72257, 2.73146, 2.73951, 2.75486, 2.76648, 2.77993, 2.79458, 2.81581, 2.84612, 2.89483");
             values ( \
-              "0.000293096, 0.00191877, 0.00271043, 0.00349327, 0.00567468, 0.00832934, 0.00901915, 0.0104959, 0.0232831, 0.0320804, 0.0364618, 0.0398478, 0.0420646, 0.0424955, 0.0419012, 0.0395213, 0.0346048, 0.0208378, 0.0132298, 0.0107726, 0.00842709, 0.00675462, 0.00537544, 0.00435285, 0.00285507, 0.0020598, 0.00140433, 0.000927745, 0.000513349, 0.000230734, 7.46143e-05" \
+              "0.000293096, 0.00191877, 0.00271043, 0.00349327, 0.00567468, 0.00832934, 0.00901915, 0.0104959, 0.0232831, 0.0320804, 0.0364618, 0.0398478, 0.0420646, 0.0424955, 0.0419012, 0.0395213, 0.0346048, 0.0208378, 0.0132298, 0.0107726, 0.00842709, 0.00675462, 0.00537544, 0.00435285, 0.00285507, 0.0020598, 0.00140433, 0.000927745, 0.00051335, 0.000230734, 7.46143e-05" \
             );
           }
           vector (ccs_template) {
@@ -39833,8 +39833,8 @@
           values ( \
             "0.00632509, 0.00635164, 0.00638536, 0.00640565, 0.006413, 0.00641516", \
             "0.00730073, 0.00732392, 0.00736306, 0.00739305, 0.00740528, 0.00740902", \
-            "0.00770423, 0.00770804, 0.00772232, 0.00773955, 0.0077485, 0.00775292", \
-            "0.00795479, 0.00793598, 0.00790318, 0.00788067, 0.00787487, 0.00787391", \
+            "0.00770551, 0.00770928, 0.00772232, 0.00773955, 0.0077485, 0.00775292", \
+            "0.00795479, 0.00793582, 0.00790318, 0.00788067, 0.00787487, 0.00787391", \
             "0.00812798, 0.00809482, 0.0080375, 0.00796899, 0.00792251, 0.00790622", \
             "0.00841782, 0.00833839, 0.00820353, 0.00807964, 0.00799162, 0.00793515" \
           );
@@ -39845,8 +39845,8 @@
           values ( \
             "0.00784486, 0.00785507, 0.00787064, 0.00788037, 0.00788392, 0.00788497", \
             "0.0079036, 0.00786243, 0.00780387, 0.00776358, 0.00774796, 0.00774326", \
-            "0.00792579, 0.00783153, 0.00768768, 0.00758029, 0.00753581, 0.00751843", \
-            "0.00872707, 0.00843936, 0.00795646, 0.0076113, 0.00746802, 0.00742455", \
+            "0.0079243, 0.00783083, 0.00768768, 0.00758029, 0.00753581, 0.00751843", \
+            "0.00872707, 0.00844044, 0.00795646, 0.0076113, 0.00746802, 0.00742455", \
             "0.00823832, 0.00829639, 0.00837945, 0.00812602, 0.00761434, 0.00744322", \
             "0.007574, 0.00771336, 0.00800272, 0.00830808, 0.00785338, 0.00765535" \
           );
@@ -39903,7 +39903,7 @@
             index_2 ("0.895697");
             index_3 ("9.64227, 11.2703, 13.7467, 14.9054, 17.3781, 18.5694, 19.3461, 20.9519, 22.1911, 23.1224, 23.556, 24.2384, 24.9825, 26.891, 27.9622, 28.7862, 30.2064, 31.2875, 32.1561, 33.5415, 34.9269, 37.6978");
             values ( \
-              "-0.029489, -0.0304255, -0.0600896, -0.0725257, -0.0946158, -0.102897, -0.107428, -0.114622, -0.117871, -0.118504, -0.117873, -0.114607, -0.105088, -0.0638288, -0.0436002, -0.0315325, -0.0172827, -0.0107259, -0.00726566, -0.00372914, -0.00195527, -0.00052694" \
+              "-0.0294888, -0.0304256, -0.0600896, -0.0725258, -0.0946158, -0.102897, -0.107428, -0.114622, -0.117871, -0.118504, -0.117873, -0.114607, -0.105088, -0.0638288, -0.0436002, -0.0315325, -0.0172827, -0.0107259, -0.00726566, -0.00372914, -0.00195528, -0.000526941" \
             );
           }
           vector (ccs_template) {
@@ -39955,9 +39955,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.895697");
-            index_3 ("9.73994, 11.4976, 13.6247, 14.7877, 16.0345, 17.8155, 19.2009, 19.7672, 20.8437, 22.2325, 23.601, 24.9692, 27.2992, 28.01, 28.8405, 30.2069, 31.4014, 32.2957, 33.6811, 36.4519, 37.8373");
+            index_3 ("9.73994, 11.4976, 13.6247, 14.7878, 16.0345, 17.8155, 19.2009, 19.7672, 20.8437, 22.2325, 23.601, 24.9692, 27.2992, 28.01, 28.8405, 30.2069, 31.4014, 32.2957, 33.6811, 36.4519, 37.8373");
             values ( \
-              "-0.0292942, -0.0326844, -0.0582274, -0.0709073, -0.0830091, -0.0975234, -0.106429, -0.109427, -0.114093, -0.117893, -0.117885, -0.106479, -0.0564684, -0.0437577, -0.0314463, -0.0176241, -0.0103744, -0.00705442, -0.00351275, -0.000848866, -0.000575895" \
+              "-0.0292941, -0.0326845, -0.0582273, -0.0709081, -0.0830092, -0.0975234, -0.106429, -0.109427, -0.114093, -0.117893, -0.117885, -0.106479, -0.0564684, -0.0437577, -0.0314463, -0.0176241, -0.0103744, -0.00705441, -0.00351275, -0.000848866, -0.000575895" \
             );
           }
           vector (ccs_template) {
@@ -40011,16 +40011,16 @@
             index_2 ("0.895697");
             index_3 ("9.8382, 11.4915, 13.7346, 15.0835, 17.5561, 18.7462, 19.5234, 21.1286, 22.3681, 23.3004, 23.7328, 24.4152, 25.1592, 27.0677, 28.1389, 28.9629, 30.383, 31.4644, 32.3332, 33.7186, 35.104, 37.8748");
             values ( \
-              "-0.029328, -0.0309761, -0.0579683, -0.072558, -0.0946403, -0.102911, -0.107445, -0.114632, -0.117882, -0.11851, -0.117881, -0.114612, -0.105093, -0.0638293, -0.0435993, -0.0315336, -0.0172843, -0.0107256, -0.00726393, -0.00372896, -0.00195441, -0.000526341" \
+              "-0.0293279, -0.0309761, -0.0579683, -0.0725582, -0.0946402, -0.102911, -0.107445, -0.114632, -0.117882, -0.11851, -0.117881, -0.114612, -0.105093, -0.0638293, -0.0435993, -0.0315336, -0.0172843, -0.0107256, -0.00726393, -0.00372896, -0.00195442, -0.000526342" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.812627, 0.826399, 0.832364, 0.834303, 0.83818, 0.844132, 0.846985, 0.852692, 0.858964, 0.874068, 0.885354, 0.89015, 0.894859, 0.903341, 0.911116, 0.918509, 0.925795, 0.93125, 0.933775, 0.936999, 0.940264, 0.942252, 0.943627, 0.945834, 0.948955, 0.950318, 0.953046, 0.957721, 0.964741, 0.972473, 0.978907");
+            index_3 ("0.819003, 0.829973, 0.843882, 0.853037, 0.873658, 0.894388, 0.910627, 0.918015, 0.925297, 0.930751, 0.943121, 0.949816, 0.957153, 0.964128, 0.971902, 0.975532");
             values ( \
-              "-0.00101435, -0.00256359, -0.00346853, -0.00388873, -0.00464331, -0.0061261, -0.00702194, -0.00913571, -0.011924, -0.0177809, -0.0219562, -0.0236218, -0.025208, -0.0277906, -0.0297805, -0.0310486, -0.0306384, -0.0255795, -0.0219648, -0.0170924, -0.0126761, -0.0103913, -0.0090031, -0.0070604, -0.00494135, -0.0041983, -0.00304239, -0.00169254, -0.000703771, -0.000278335, -0.000190935" \
+              "-0.0020526, -0.00317951, -0.00611066, -0.00943123, -0.0179525, -0.0253037, -0.0298544, -0.0310198, -0.0306953, -0.0255443, -0.00900474, -0.00420335, -0.00171185, -0.000709354, -0.000284563, -0.000230964" \
             );
           }
           vector (ccs_template) {
@@ -40047,7 +40047,7 @@
             index_2 ("0.064497");
             index_3 ("1.55182, 1.68262, 1.81901, 1.96556, 2.08262, 2.2047, 2.32412, 2.41612, 2.50511, 2.60823, 2.71134, 2.93936, 3.03136, 3.09848, 3.19086, 3.265, 3.41327, 3.60319");
             values ( \
-              "-0.0268309, -0.0302761, -0.0504743, -0.0699375, -0.0835715, -0.0953311, -0.104261, -0.109312, -0.112466, -0.112826, -0.102407, -0.041914, -0.0255805, -0.0173587, -0.0100094, -0.00631297, -0.0023286, -0.000580687" \
+              "-0.0268309, -0.0302761, -0.0504743, -0.0699375, -0.0835715, -0.0953311, -0.104261, -0.109312, -0.112466, -0.112826, -0.102407, -0.041914, -0.0255805, -0.0173587, -0.0100094, -0.00631297, -0.0023286, -0.000580688" \
             );
           }
           vector (ccs_template) {
@@ -40063,9 +40063,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.895697");
-            index_3 ("10.462, 12.1887, 14.365, 16.1077, 17.7419, 18.5151, 19.9005, 21.2243, 22.1828, 22.9326, 24.3012, 25.6695, 27.9852, 28.6671, 29.5544, 30.9834, 31.9926, 32.7943, 34.1798, 36.9506, 38.336");
+            index_3 ("10.462, 12.1887, 14.3649, 16.1079, 17.7418, 18.5151, 19.9005, 21.2243, 22.1828, 22.9326, 24.3012, 25.6695, 27.9852, 28.6671, 29.5544, 30.9834, 31.9926, 32.7943, 34.1798, 36.9506, 38.336");
             values ( \
-              "-0.0302241, -0.0325386, -0.0586845, -0.0771042, -0.0916488, -0.0975281, -0.106402, -0.11283, -0.11616, -0.117871, -0.117897, -0.106462, -0.0567655, -0.0444654, -0.0312893, -0.0170552, -0.0109101, -0.00771736, -0.00388546, -0.00095887, -0.000610561" \
+              "-0.0302238, -0.0325388, -0.0586833, -0.0771066, -0.0916486, -0.0975281, -0.106402, -0.11283, -0.11616, -0.117871, -0.117897, -0.106462, -0.0567655, -0.0444654, -0.0312893, -0.0170552, -0.0109101, -0.00771736, -0.00388546, -0.000958871, -0.000610563" \
             );
           }
           vector (ccs_template) {
@@ -40083,7 +40083,7 @@
             index_2 ("0.00464427");
             index_3 ("2.73551, 2.77486, 2.7926, 2.80942, 2.84096, 2.89811, 2.93404, 2.97586, 2.99307, 3.00207, 3.01225, 3.0257, 3.04558, 3.05598, 3.06726, 3.08137, 3.09642, 3.11329, 3.13128, 3.15004, 3.22654");
             values ( \
-              "-0.00336122, -0.00514284, -0.00720839, -0.00967245, -0.0163637, -0.0293556, -0.0366003, -0.0439926, -0.0462168, -0.0468773, -0.0464499, -0.0400125, -0.0215517, -0.0138333, -0.00804717, -0.0039037, -0.00170252, -0.000677715, -0.000288841, -0.000154785, -5.82085e-05" \
+              "-0.00336122, -0.00514284, -0.00720839, -0.00967245, -0.0163637, -0.0293556, -0.0366003, -0.0439926, -0.0462168, -0.0468773, -0.0464499, -0.0400125, -0.0215517, -0.0138333, -0.00804717, -0.0039037, -0.00170252, -0.000677715, -0.000288841, -0.000154785, -5.82086e-05" \
             );
           }
           vector (ccs_template) {
@@ -40117,9 +40117,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.895697");
-            index_3 ("12.7899, 14.4613, 16.7578, 19.0003, 20.7826, 22.168, 23.4884, 24.4551, 25.1994, 26.5678, 27.936, 30.9331, 31.8211, 33.2501, 34.2587, 36.4453, 37.4655");
+            index_3 ("12.7899, 14.4613, 16.7578, 19.0003, 20.7826, 22.168, 23.4884, 24.455, 25.1994, 26.5678, 27.936, 30.9331, 31.8211, 33.2501, 34.2587, 36.4453, 37.4655");
             values ( \
-              "-0.0323767, -0.0326402, -0.0601378, -0.0830232, -0.0975483, -0.106419, -0.11283, -0.116185, -0.11788, -0.117904, -0.106464, -0.0444723, -0.0312894, -0.0170559, -0.0109138, -0.00388887, -0.00281095" \
+              "-0.0323763, -0.0326405, -0.0601378, -0.0830232, -0.0975483, -0.106419, -0.11283, -0.116185, -0.11788, -0.117904, -0.106464, -0.0444723, -0.0312894, -0.0170559, -0.0109138, -0.00388887, -0.00281095" \
             );
           }
           vector (ccs_template) {
@@ -40128,25 +40128,25 @@
             index_2 ("0.00124625");
             index_3 ("9.51046, 9.60264, 9.62942, 9.65315, 9.67732, 9.70206, 9.72758, 9.76183, 9.79686, 9.82624, 9.85275, 9.87761, 9.91357, 9.92516, 9.93693, 9.94901, 9.96954, 9.98009, 9.99214, 10.0071, 10.0209, 10.0317, 10.0404, 10.0704, 10.0959, 10.177");
             values ( \
-              "-0.000199798, -0.000773672, -0.00108413, -0.0014547, -0.00196863, -0.00275234, -0.00392334, -0.00567193, -0.00713791, -0.00815565, -0.00877322, -0.0092565, -0.00956544, -0.0094965, -0.00924377, -0.00801279, -0.00382926, -0.0022975, -0.00125174, -0.000700843, -0.000489734, -0.000407212, -0.000392371, -0.00023269, -0.000137881, -6.45547e-05" \
+              "-0.000199795, -0.000773672, -0.00108412, -0.0014547, -0.00196864, -0.00275234, -0.00392333, -0.00567192, -0.00713791, -0.00815565, -0.00877322, -0.0092565, -0.00956544, -0.0094965, -0.00924377, -0.00801279, -0.00382926, -0.0022975, -0.00125174, -0.000700843, -0.000489734, -0.000407212, -0.000392371, -0.00023269, -0.000137881, -6.45547e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00464427");
-            index_3 ("9.68988, 9.77549, 9.81915, 9.84638, 9.89485, 9.97841, 10.0527, 10.0819, 10.1254, 10.1518, 10.1706, 10.2008, 10.2233, 10.2684, 10.2842, 10.3059, 10.3264, 10.3499, 10.3773, 10.3775");
+            index_3 ("9.68988, 9.7755, 9.81915, 9.84638, 9.89485, 9.97841, 10.0527, 10.0819, 10.1254, 10.1518, 10.1706, 10.2008, 10.2234, 10.2684, 10.2842, 10.3059, 10.3264, 10.3499, 10.3773, 10.3775");
             values ( \
-              "-0.00149741, -0.00241001, -0.00375526, -0.00487466, -0.0077505, -0.0149996, -0.020589, -0.0225091, -0.0252193, -0.026607, -0.0274062, -0.028112, -0.0257062, -0.0089544, -0.00518229, -0.00236979, -0.00113602, -0.000526613, -0.000288554, -0.000287968" \
+              "-0.0014974, -0.00241002, -0.00375532, -0.00487466, -0.00775048, -0.0149996, -0.020589, -0.0225091, -0.0252193, -0.026607, -0.0274062, -0.028112, -0.0257062, -0.0089544, -0.00518229, -0.00236979, -0.00113602, -0.000526613, -0.000288554, -0.00028797" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0173073");
-            index_3 ("10.102, 10.2927, 10.3423, 10.4021, 10.4372, 10.4728, 10.6608, 10.7274, 10.8535, 10.9126, 10.9555, 10.9676, 10.9916, 11.0206, 11.0603, 11.1184, 11.1364, 11.1486, 11.1731, 11.1969, 11.2156, 11.2529, 11.3165, 11.3915");
+            index_3 ("10.1019, 10.2927, 10.3423, 10.4021, 10.4372, 10.4729, 10.6608, 10.7275, 10.8536, 10.9126, 10.9552, 10.9676, 10.9923, 11.0206, 11.0603, 11.1185, 11.1364, 11.1486, 11.173, 11.1969, 11.2157, 11.2531, 11.3168, 11.3918");
             values ( \
-              "-0.000776244, -0.0057563, -0.00805513, -0.0116062, -0.0142092, -0.0171931, -0.0342758, -0.0400179, -0.0504391, -0.055011, -0.0577242, -0.058315, -0.0591213, -0.0586136, -0.0505233, -0.02763, -0.0215929, -0.0180575, -0.0122753, -0.00826732, -0.00598129, -0.00299481, -0.000778617, -0.000154874" \
+              "-0.000776225, -0.0057563, -0.00805523, -0.0116062, -0.0142108, -0.0171967, -0.0342746, -0.0400247, -0.0504419, -0.0550111, -0.0577058, -0.0583151, -0.0591334, -0.0586121, -0.0505257, -0.0276269, -0.0215949, -0.0180622, -0.0122825, -0.00826039, -0.00596905, -0.0029802, -0.000773985, -0.000153755" \
             );
           }
           vector (ccs_template) {
@@ -40155,7 +40155,7 @@
             index_2 ("0.064497");
             index_3 ("11.4552, 11.6783, 11.7676, 11.8862, 11.9715, 12.5632, 12.7181, 12.7975, 12.8759, 13.0102, 13.1375, 13.2647, 13.3606, 13.4875, 13.5546, 13.6279, 13.7163, 13.7679, 13.8712, 14.0449, 14.2477");
             values ( \
-              "-0.0111382, -0.0111423, -0.0150693, -0.0212601, -0.0264063, -0.0648549, -0.0743872, -0.0790015, -0.0832682, -0.0895297, -0.0931919, -0.0888675, -0.0712854, -0.0435678, -0.031673, -0.0216797, -0.0132774, -0.00999191, -0.00524742, -0.00172503, -0.000320781" \
+              "-0.011138, -0.0111423, -0.0150695, -0.02126, -0.0264063, -0.0648549, -0.0743872, -0.0790015, -0.0832682, -0.0895297, -0.0931919, -0.0888676, -0.0712854, -0.0435678, -0.031673, -0.0216797, -0.0132774, -0.00999191, -0.00524742, -0.00172503, -0.000320781" \
             );
           }
           vector (ccs_template) {
@@ -40164,16 +40164,16 @@
             index_2 ("0.240353");
             index_3 ("14.512, 14.9992, 15.2841, 16.5291, 16.9877, 17.2676, 17.4644, 17.8667, 18.2481, 18.6225, 18.9967, 19.5308, 19.827, 20.1644, 20.4106, 20.7423, 21.0085, 21.5409, 22.231");
             values ( \
-              "-0.0209486, -0.0215348, -0.0313701, -0.0782838, -0.0924758, -0.0997306, -0.104135, -0.111271, -0.115479, -0.115895, -0.105044, -0.0632537, -0.042846, -0.0260832, -0.017666, -0.0102845, -0.0065427, -0.00246372, -0.000618863" \
+              "-0.0209484, -0.0215348, -0.03137, -0.0782838, -0.0924758, -0.0997306, -0.104135, -0.111271, -0.115479, -0.115895, -0.105044, -0.0632537, -0.042846, -0.0260832, -0.017666, -0.0102845, -0.0065427, -0.00246372, -0.000618863" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.895697");
-            index_3 ("21.74, 23.4279, 25.7867, 27.3294, 28.1313, 29.9139, 31.4845, 32.8699, 34.3292, 35.2615, 35.6939, 36.3763, 37.1203, 39.0288, 40.1, 40.924, 42.3443, 43.4253, 44.2938, 45.6792, 47.0646, 49.8355");
+            index_3 ("21.7321, 23.42, 26.4991, 28.1235, 29.906, 31.4766, 32.862, 33.3748, 34.3213, 35.2537, 35.686, 36.3684, 37.1125, 39.021, 40.0921, 40.9161, 41.3895, 42.3364, 43.4174, 44.2859, 45.6713, 47.0567, 48.4421, 49.8276");
             values ( \
-              "-0.0274351, -0.0306838, -0.059005, -0.0754175, -0.0830461, -0.0975679, -0.107449, -0.113831, -0.117886, -0.118507, -0.117885, -0.114608, -0.105087, -0.0638347, -0.0436056, -0.0315284, -0.0172781, -0.0107218, -0.00727044, -0.00372491, -0.00195986, -0.000531492" \
+              "-0.0274349, -0.030684, -0.0669369, -0.0830461, -0.0975679, -0.107449, -0.113831, -0.115594, -0.117886, -0.118507, -0.117885, -0.114608, -0.105087, -0.0638347, -0.0436056, -0.0315284, -0.0260236, -0.0172781, -0.0107218, -0.00727044, -0.00372492, -0.00195986, -0.00095299, -0.000531493" \
             );
           }
         }
@@ -40184,7 +40184,7 @@
             "0.0060113, 0.00602847, 0.00605164, 0.00606624, 0.00607167, 0.00607328", \
             "0.00674966, 0.00676005, 0.00677818, 0.006793, 0.0067996, 0.00680166", \
             "0.00705178, 0.00705168, 0.00705433, 0.00706009, 0.00706408, 0.00706565", \
-            "0.00718817, 0.00718407, 0.00718741, 0.00718038, 0.00717791, 0.00717754", \
+            "0.00718872, 0.00718407, 0.00718741, 0.00718038, 0.00717791, 0.00717754", \
             "0.00727778, 0.00727387, 0.00726431, 0.00724995, 0.00723882, 0.00723431", \
             "0.00731116, 0.00730863, 0.00730164, 0.00728789, 0.00727059, 0.00725815" \
           );
@@ -40196,9 +40196,9 @@
             "0.00757714, 0.007589, 0.00760438, 0.00761591, 0.00762006, 0.00762126", \
             "0.0080276, 0.00801666, 0.00800273, 0.00799304, 0.0079895, 0.00798859", \
             "0.00840853, 0.00835432, 0.00828619, 0.00823846, 0.00821851, 0.00821219", \
-            "0.00896205, 0.00881157, 0.00855809, 0.00838626, 0.008319, 0.00829748", \
+            "0.0089644, 0.00881157, 0.00855809, 0.00838626, 0.008319, 0.00829748", \
             "0.00965092, 0.00952666, 0.00866689, 0.00863337, 0.00838373, 0.00830461", \
-            "0.00940264, 0.00941496, 0.00955841, 0.00900921, 0.00867902, 0.00838085" \
+            "0.00940264, 0.00941496, 0.0095584, 0.00900921, 0.00867902, 0.00838085" \
           );
         }
       }
@@ -40572,7 +40572,7 @@
             "0.022412, 0.0407763, 0.107445, 0.354907, 1.27673, 4.71179", \
             "0.0316702, 0.0503836, 0.117401, 0.365021, 1.28683, 4.72185", \
             "0.0488402, 0.0824818, 0.158121, 0.405727, 1.3276, 4.76265", \
-            "0.0595253, 0.125958, 0.274155, 0.573603, 1.49397, 4.92859", \
+            "0.0595253, 0.125942, 0.274155, 0.573603, 1.49397, 4.92859", \
             "0.00310559, 0.126373, 0.410433, 1.00354, 2.16789, 5.59427", \
             "-0.366855, -0.167095, 0.349717, 1.4788, 3.78864, 8.29413" \
           );
@@ -40584,7 +40584,7 @@
             "0.0158336, 0.0391637, 0.126379, 0.450563, 1.65903, 6.16241", \
             "0.0184813, 0.0392715, 0.126383, 0.450592, 1.66097, 6.16282", \
             "0.0406917, 0.063469, 0.13168, 0.450528, 1.65883, 6.16281", \
-            "0.0973049, 0.139105, 0.235437, 0.483609, 1.65906, 6.16282", \
+            "0.0973049, 0.139118, 0.235437, 0.483609, 1.65906, 6.16282", \
             "0.273848, 0.345844, 0.51955, 0.901075, 1.8193, 6.16278", \
             "0.95315, 1.02807, 1.31491, 1.99954, 3.47589, 6.88673" \
           );
@@ -40765,9 +40765,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00464427");
-            index_3 ("0.42307, 0.459492, 0.482864, 0.51582, 0.567407, 0.597807, 0.623452, 0.651007, 0.673655, 0.687233, 0.700477, 0.706541, 0.716191, 0.744872, 0.760816, 0.772581, 0.782133, 0.79871, 0.816152, 0.835064, 0.878752, 1.04076, 1.05647");
+            index_3 ("0.423065, 0.459245, 0.480383, 0.493799, 0.517977, 0.545127, 0.572057, 0.59778, 0.623421, 0.650956, 0.673644, 0.687215, 0.700452, 0.706524, 0.716175, 0.726387, 0.747135, 0.760801, 0.772567, 0.782118, 0.798711, 0.816154, 0.835069, 0.878763, 1.04076, 1.05645");
             values ( \
-              "-0.00396186, -0.00522193, -0.0079527, -0.0137875, -0.0246442, -0.0303762, -0.034784, -0.0389755, -0.0418246, -0.0430843, -0.0435475, -0.0431025, -0.0403144, -0.0182853, -0.00919774, -0.00526237, -0.00324716, -0.00133109, -0.000513572, -0.000201652, -5.04099e-05, -1.17383e-05, -0.000303661" \
+              "-0.00406044, -0.00518837, -0.00765476, -0.00964276, -0.014283, -0.0201124, -0.02552, -0.0303406, -0.0347509, -0.0389486, -0.0418093, -0.0430701, -0.043556, -0.04309, -0.0403224, -0.0332702, -0.0166958, -0.0091929, -0.00525869, -0.0032505, -0.00132631, -0.000516491, -0.00019807, -4.7022e-05, -8.39814e-06, -0.000303754" \
             );
           }
           vector (ccs_template) {
@@ -41288,7 +41288,7 @@
             "0.0690457, 0.10848, 0.204492, 0.554388, 1.85741, 6.71217", \
             "0.125588, 0.201921, 0.37303, 0.740151, 2.03996, 6.8938", \
             "0.259004, 0.38811, 0.706855, 1.39206, 2.7921, 7.63259", \
-            "0.670003, 0.863118, 1.39334, 2.64638, 5.31644, 10.6476" \
+            "0.670003, 0.863118, 1.39334, 2.64638, 5.31645, 10.6476" \
           );
         }
         rise_transition (delay_template) {
@@ -41300,7 +41300,7 @@
             "0.055505, 0.083715, 0.203811, 0.723041, 2.66241, 9.88955", \
             "0.121356, 0.18092, 0.304688, 0.733736, 2.66238, 9.88946", \
             "0.307378, 0.405469, 0.658558, 1.15724, 2.72294, 9.88929", \
-            "1.00845, 1.11768, 1.5063, 2.5118, 4.47283, 10.1998" \
+            "1.00845, 1.11766, 1.50629, 2.51179, 4.47281, 10.1998" \
           );
         }
         output_current_rise () {
@@ -41344,18 +41344,18 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.240353");
-            index_3 ("0.0494695, 0.0494895, 0.608103, 1.03781, 1.62274, 2.01218, 2.2408, 2.57108, 3.63502, 4.01806, 4.28494, 4.57468, 4.96099, 5.24206, 5.69979, 6.11631, 6.63333, 7.32269, 8.48839, 9.6541");
+            index_3 ("0.0494695, 0.0494895, 0.608103, 1.03781, 1.62274, 2.01218, 2.2408, 2.57108, 3.63502, 4.01806, 4.28494, 4.57468, 4.96099, 5.24206, 5.69979, 6.11631, 6.63333, 7.32269, 8.48849, 9.65429");
             values ( \
-              "1e-22, 0.149952, 0.126249, 0.119025, 0.108653, 0.100799, 0.0952555, 0.0857553, 0.0509462, 0.0399741, 0.0333545, 0.0271441, 0.0203468, 0.0163891, 0.0114076, 0.00813016, 0.00530789, 0.00293759, 0.00100773, 0.000338205" \
+              "1e-22, 0.149952, 0.126249, 0.119025, 0.108653, 0.100799, 0.0952555, 0.0857553, 0.0509462, 0.0399741, 0.0333545, 0.0271441, 0.0203468, 0.0163891, 0.0114076, 0.00813016, 0.00530789, 0.00293759, 0.00100763, 0.000338132" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.895697");
-            index_3 ("0.135907, 0.135927, 1.74207, 5.18855, 6.6668, 7.30784, 8.26837, 10.0928, 12.3787, 13.4449, 14.3853, 15.551, 16.5183, 17.4775, 18.6432, 20.0933, 21.1376, 22.3033, 23.0908, 23.2829, 24.4358, 26.7672, 29.0986, 31.43, 32.5957, 33.7614, 34.9271, 36.0928, 37.2585");
+            index_3 ("0.135907, 0.135927, 1.74207, 5.18855, 6.6668, 7.30784, 8.26837, 10.0928, 12.3787, 13.4449, 14.3853, 15.5511, 16.5181, 17.4774, 18.6432, 20.0933, 21.1376, 22.3034, 23.0908, 23.2829, 24.4357, 26.7673, 29.0989, 31.4305, 32.5963, 33.7621, 34.9279, 36.0937, 37.2595");
             values ( \
-              "1e-22, 0.156019, 0.128763, 0.11287, 0.105454, 0.101698, 0.0956239, 0.0809779, 0.0602505, 0.051095, 0.043783, 0.0354016, 0.0294833, 0.0245503, 0.0192404, 0.0141785, 0.0114566, 0.00875621, 0.00749236, 0.00704301, 0.00543539, 0.00318459, 0.00184444, 0.00105277, 0.000930294, 0.000587266, 0.000573711, 0.000314291, 0.000364787" \
+              "1e-22, 0.156019, 0.128763, 0.11287, 0.105454, 0.101698, 0.0956239, 0.0809779, 0.0602505, 0.051095, 0.043783, 0.0354009, 0.0294844, 0.0245509, 0.0192404, 0.0141784, 0.0114566, 0.00875598, 0.00749231, 0.007043, 0.00543553, 0.00318453, 0.00184432, 0.00105265, 0.000930183, 0.000587167, 0.000573623, 0.000314216, 0.000364723" \
             );
           }
           vector (ccs_template) {
@@ -41398,18 +41398,18 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.240353");
-            index_3 ("0.0828163, 0.0828362, 0.671109, 1.44731, 1.81338, 2.03685, 2.27639, 2.58992, 3.58695, 3.86171, 4.22906, 4.74061, 5.2021, 5.80873, 6.16534, 6.64277, 7.27935, 8.44506, 8.67106");
+            index_3 ("0.0828163, 0.0828362, 0.671109, 1.44731, 1.81338, 2.03685, 2.27639, 2.58992, 3.58695, 3.86171, 4.22906, 4.74061, 5.2021, 5.80873, 6.16534, 6.64277, 7.27935, 8.44515, 8.67104");
             values ( \
-              "1e-22, 0.14824, 0.125823, 0.112443, 0.105723, 0.101019, 0.0952787, 0.0862484, 0.053511, 0.0453031, 0.0355031, 0.0246519, 0.017361, 0.0107209, 0.00805819, 0.00544368, 0.00313026, 0.00110101, 0.000954168" \
+              "1e-22, 0.14824, 0.125823, 0.112443, 0.105723, 0.101019, 0.0952787, 0.0862484, 0.053511, 0.0453031, 0.0355031, 0.0246519, 0.017361, 0.0107209, 0.00805819, 0.00544368, 0.00313026, 0.00110089, 0.000954149" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.895697");
-            index_3 ("0.16981, 0.16983, 1.78446, 5.22376, 6.38095, 7.3441, 8.30358, 9.46929, 12.7693, 14.4205, 15.5862, 16.5535, 17.5127, 18.6784, 20.1284, 21.1728, 23.126, 25.6367, 27.9681, 30.2995, 32.6309, 37.2937");
+            index_3 ("0.16981, 0.16983, 1.78446, 5.22376, 6.38095, 7.3441, 8.30358, 9.46938, 12.7693, 14.4205, 15.5863, 16.5533, 17.5126, 18.6784, 20.1285, 21.1728, 23.126, 25.6366, 27.9682, 30.2998, 32.6314, 37.2946");
             values ( \
-              "1e-22, 0.155604, 0.128826, 0.112773, 0.107102, 0.101789, 0.095527, 0.0865781, 0.0571754, 0.0436862, 0.0354984, 0.0295802, 0.0244536, 0.0193372, 0.0142753, 0.0113599, 0.00739566, 0.00421088, 0.0024719, 0.0014411, 0.000833766, 0.000268297" \
+              "1e-22, 0.155604, 0.128826, 0.112773, 0.107102, 0.101789, 0.095527, 0.0865773, 0.0571754, 0.0436862, 0.0354978, 0.0295812, 0.0244541, 0.0193373, 0.0142752, 0.0113598, 0.00739562, 0.0042109, 0.0024718, 0.00144097, 0.000833654, 0.000268232" \
             );
           }
           vector (ccs_template) {
@@ -41452,18 +41452,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.240353");
-            index_3 ("0.209987, 0.210007, 0.747088, 1.80083, 2.18987, 2.41848, 2.74799, 3.81272, 4.1958, 4.75227, 5.13849, 5.41978, 5.87758, 6.29404, 6.81084, 7.49992, 8.66562, 9.83133");
+            index_3 ("0.209987, 0.210007, 0.747088, 1.80083, 2.18987, 2.41848, 2.74799, 3.81272, 4.1958, 4.75227, 5.13849, 5.41978, 5.87758, 6.29404, 6.81084, 7.49992, 8.66572, 9.83152");
             values ( \
-              "1e-22, 0.142038, 0.126986, 0.108646, 0.100799, 0.0952557, 0.085779, 0.0509452, 0.0399725, 0.0271459, 0.0203495, 0.0163887, 0.0114067, 0.00812969, 0.00530848, 0.00293893, 0.00100798, 0.000338504" \
+              "1e-22, 0.142038, 0.126986, 0.108646, 0.100799, 0.0952557, 0.085779, 0.0509452, 0.0399725, 0.0271459, 0.0203495, 0.0163887, 0.0114067, 0.00812969, 0.00530848, 0.00293893, 0.00100788, 0.000338431" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.895697");
-            index_3 ("0.314108, 0.314128, 2.58067, 5.36648, 6.49749, 7.53956, 8.44653, 9.61223, 10.2708, 12.9121, 13.6228, 14.5633, 15.729, 16.6963, 17.6555, 18.8212, 19.3045, 20.2712, 21.3155, 22.4812, 23.8452, 24.6137, 25.7794, 26.9451, 28.1108, 30.4422, 33.9394, 37.4365");
+            index_3 ("0.314108, 0.314128, 2.58067, 5.36648, 6.49749, 7.53956, 8.44653, 9.61232, 10.2708, 12.9121, 13.6228, 14.5633, 15.7291, 16.6961, 17.6554, 18.8212, 19.3045, 20.2712, 21.3155, 22.4813, 23.8451, 24.6136, 25.7794, 26.9452, 28.111, 30.4426, 33.94, 37.4374");
             values ( \
-              "1e-22, 0.150238, 0.125764, 0.112797, 0.107215, 0.101453, 0.095549, 0.0865536, 0.080904, 0.0571985, 0.0511688, 0.0437093, 0.0354754, 0.029557, 0.0244767, 0.0193141, 0.0174683, 0.0142522, 0.011383, 0.00882988, 0.00653077, 0.00550895, 0.00423398, 0.00325811, 0.00249499, 0.00146419, 0.000660714, 0.000291377" \
+              "1e-22, 0.150238, 0.125764, 0.112797, 0.107215, 0.101453, 0.095549, 0.0865528, 0.080904, 0.0571985, 0.0511689, 0.0437093, 0.0354747, 0.0295581, 0.0244772, 0.0193141, 0.0174683, 0.0142521, 0.011383, 0.00882965, 0.00653082, 0.00550909, 0.004234, 0.00325805, 0.00249489, 0.00146406, 0.000660614, 0.000291312" \
             );
           }
           vector (ccs_template) {
@@ -41506,18 +41506,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.240353");
-            index_3 ("0.622162, 0.795149, 1.04932, 1.06679, 2.25151, 2.55993, 2.85892, 3.21478, 3.48158, 4.30205, 4.57736, 4.94398, 5.2305, 5.61252, 5.84668, 6.0337, 6.28306, 6.78178, 7.03202, 7.41692, 7.93012, 8.95652, 10.1222, 11.2879");
+            index_3 ("0.622162, 0.795149, 1.04932, 1.06679, 2.25151, 2.55993, 2.85892, 3.21478, 3.48158, 4.30205, 4.57736, 4.94398, 5.2305, 5.61252, 5.84668, 6.0337, 6.28306, 6.78178, 7.03202, 7.41692, 7.93012, 8.95652, 10.1223, 11.2881");
             values ( \
-              "0.0673857, 0.0682531, 0.131555, 0.131459, 0.110874, 0.105072, 0.0985696, 0.0889918, 0.0806405, 0.0535201, 0.0452539, 0.0355195, 0.0290584, 0.0219207, 0.0183399, 0.0158686, 0.013039, 0.00869153, 0.00708498, 0.00515586, 0.00334362, 0.00133026, 0.000449122, 0.000154268" \
+              "0.0673857, 0.0682531, 0.131555, 0.131459, 0.110874, 0.105072, 0.0985696, 0.0889918, 0.0806405, 0.0535201, 0.0452539, 0.0355195, 0.0290584, 0.0219207, 0.0183399, 0.0158686, 0.013039, 0.00869153, 0.00708498, 0.00515586, 0.00334362, 0.00133026, 0.000449074, 0.000154236" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.895697");
-            index_3 ("0.846246, 0.846266, 2.53576, 5.93862, 7.4168, 8.13133, 9.01852, 10.8427, 13.1286, 14.1948, 15.1352, 16.3009, 17.2682, 18.2274, 19.3931, 20.8432, 21.8875, 23.0532, 24.0328, 25.1857, 26.3514, 27.5171, 28.6828, 31.0142, 34.5113, 38.0084");
+            index_3 ("0.846246, 0.846266, 2.53576, 5.93862, 7.4168, 8.13133, 9.01852, 10.8427, 13.1286, 14.1948, 15.1352, 16.301, 17.268, 18.2272, 19.393, 20.8433, 21.8875, 23.0533, 24.0328, 25.1856, 26.3514, 27.5172, 28.683, 31.0146, 34.512, 38.0094");
             values ( \
-              "1e-22, 0.148792, 0.128588, 0.112838, 0.105421, 0.101294, 0.0955876, 0.080941, 0.0602904, 0.0511357, 0.0437411, 0.0354435, 0.0295269, 0.0245072, 0.0192842, 0.0142225, 0.0114121, 0.0088007, 0.00708861, 0.00548159, 0.00426115, 0.00323104, 0.0025219, 0.00149083, 0.000634447, 0.000317257" \
+              "1e-22, 0.148792, 0.128588, 0.112838, 0.105421, 0.101294, 0.0955876, 0.080941, 0.0602904, 0.0511357, 0.0437412, 0.0354429, 0.0295279, 0.0245078, 0.0192843, 0.0142224, 0.0114121, 0.00880047, 0.0070886, 0.00548173, 0.00426117, 0.00323098, 0.0025218, 0.00149071, 0.000634348, 0.000317192" \
             );
           }
           vector (ccs_template) {
@@ -41560,72 +41560,72 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.240353");
-            index_3 ("1.77507, 2.32457, 2.83982, 3.69102, 3.936, 4.16744, 4.19868, 4.64928, 4.87781, 5.19404, 5.53326, 6.55501, 7.11478, 7.35454, 7.60462, 7.93806, 8.22894, 8.56832, 9.02083, 9.34706, 9.84548, 10.51, 11.6757, 12.8414");
+            index_3 ("1.77507, 2.32457, 2.83982, 3.69102, 3.936, 4.16744, 4.19868, 4.64928, 4.87781, 5.19404, 5.53326, 6.55501, 7.11478, 7.35454, 7.60462, 7.93806, 8.22894, 8.56832, 9.02083, 9.34706, 9.84548, 10.51, 11.6758, 12.8416");
             values ( \
-              "0.00925959, 0.022249, 0.0475295, 0.0939532, 0.106699, 0.117583, 0.117357, 0.109433, 0.105067, 0.0981675, 0.088986, 0.0555787, 0.0392363, 0.0333321, 0.027917, 0.0218135, 0.0174707, 0.0133944, 0.0092878, 0.00711635, 0.00470894, 0.00266727, 0.000909007, 0.000309774" \
+              "0.00925959, 0.022249, 0.0475295, 0.0939532, 0.106699, 0.117583, 0.117357, 0.109433, 0.105067, 0.0981675, 0.088986, 0.0555787, 0.0392363, 0.0333321, 0.027917, 0.0218135, 0.0174707, 0.0133944, 0.0092878, 0.00711635, 0.00470894, 0.00266727, 0.00090891, 0.000309708" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.895697");
-            index_3 ("2.53361, 3.36428, 4.16128, 4.18955, 8.9407, 9.71433, 10.88, 11.3178, 12.1492, 13.1385, 15.7862, 16.5058, 17.4413, 18.607, 19.5498, 20.5152, 21.6809, 22.1741, 23.1605, 24.1979, 25.3636, 26.7095, 27.466, 28.6317, 29.7974, 30.9631, 33.2945, 36.7916, 40.2887");
+            index_3 ("2.53361, 3.36428, 4.16128, 4.18955, 8.9407, 9.71433, 10.8801, 11.3178, 12.1492, 13.1385, 15.7862, 16.5058, 17.4413, 18.6071, 19.5496, 20.5151, 21.6809, 22.1741, 23.1605, 24.1979, 25.3637, 26.7095, 27.4658, 28.6316, 29.7974, 30.9632, 33.2948, 36.7922, 40.2896");
             values ( \
-              "0.0693353, 0.0805378, 0.131457, 0.13164, 0.109366, 0.105391, 0.0985105, 0.0955497, 0.0892616, 0.0809313, 0.0571678, 0.0510524, 0.0436544, 0.0354143, 0.0296431, 0.0245326, 0.0193471, 0.0174757, 0.014186, 0.0113586, 0.00879868, 0.00654499, 0.00552421, 0.00425707, 0.00326474, 0.00251124, 0.00147631, 0.000657202, 0.000298657" \
+              "0.0693353, 0.0805378, 0.131457, 0.13164, 0.109366, 0.105391, 0.0985099, 0.0955497, 0.0892616, 0.0809313, 0.0571678, 0.0510524, 0.0436544, 0.0354137, 0.0296442, 0.0245331, 0.0193472, 0.0174757, 0.0141859, 0.0113585, 0.00879845, 0.00654504, 0.00552436, 0.00425709, 0.00326469, 0.00251114, 0.00147618, 0.000657103, 0.000298592" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("5.54463, 7.02024, 7.34459, 7.7151, 7.97303, 8.15552, 8.33802, 8.41178, 8.47883, 8.58836, 8.66858, 8.84057, 9.00414, 9.16469, 9.20481, 9.28504, 9.3242, 9.36817, 9.41016, 9.4484, 9.48723, 9.5605, 9.77061, 9.83224, 9.86164, 9.90616, 9.9309, 9.98039, 10.0685, 10.2266, 10.3348, 10.3705, 10.4419, 10.5847, 10.73, 10.895, 11.0789, 11.334, 11.4933");
+            index_3 ("5.54491, 7.02024, 7.34369, 7.71499, 7.97325, 8.15563, 8.33801, 8.41221, 8.47882, 8.58831, 8.66857, 8.84057, 9.00413, 9.16468, 9.2048, 9.28504, 9.32419, 9.36817, 9.41016, 9.4484, 9.48723, 9.5605, 9.77061, 9.83224, 9.86164, 9.90615, 9.9309, 9.98039, 10.0685, 10.2266, 10.3348, 10.3705, 10.4419, 10.5847, 10.73, 10.895, 11.0789, 11.334, 11.494");
             values ( \
-              "1.15619e-05, 4.92603e-05, 7.22979e-05, 0.000121409, 0.000217796, 0.000411779, 0.000828245, 0.000964302, 0.00108449, 0.00119938, 0.00127262, 0.0013435, 0.0013946, 0.00140251, 0.00141383, 0.00139968, 0.00139989, 0.00138069, 0.00138063, 0.00135943, 0.00135064, 0.00128707, 0.00047222, 0.000346646, 0.000324855, 0.000259325, 0.000258519, 0.000206571, 0.000183375, 0.000113004, 0.000109867, 8.32778e-05, 9.06509e-05, 5.01761e-05, 5.46423e-05, 2.13358e-05, 3.07057e-05, 1.08181e-06, 6.70059e-06" \
+              "1.15734e-05, 4.92607e-05, 7.22191e-05, 0.000121359, 0.0002179, 0.000412005, 0.000828225, 0.000965128, 0.00108447, 0.00119936, 0.00127259, 0.00134353, 0.00139457, 0.00140253, 0.0014138, 0.00139971, 0.00139986, 0.00138072, 0.0013806, 0.00135945, 0.00135061, 0.00128704, 0.000472242, 0.000346667, 0.000324834, 0.000259346, 0.000258498, 0.000206592, 0.000183354, 0.000113025, 0.000109846, 8.32981e-05, 9.06306e-05, 5.01964e-05, 5.4622e-05, 2.1356e-05, 3.06855e-05, 1.102e-06, 6.72761e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00464427");
-            index_3 ("5.54348, 7.03771, 7.40209, 7.71976, 7.83077, 8.0528, 8.19483, 8.59708, 8.72924, 8.82008, 9.01496, 9.19597, 9.36897, 9.52572, 9.64071, 9.70046, 9.74413, 9.79734, 10.0902, 10.1534, 10.2285, 10.3381, 10.4301, 10.5834, 10.8949, 11.3423, 12.3184");
+            index_3 ("5.54401, 7.03795, 7.40138, 7.71977, 7.83101, 8.05351, 8.19531, 8.26621, 8.53003, 8.59731, 8.7279, 8.82031, 9.01521, 9.19622, 9.30484, 9.36923, 9.52418, 9.6452, 9.70577, 9.73434, 9.78483, 9.85736, 10.025, 10.0917, 10.2047, 10.3154, 10.3934, 10.4956, 10.7832, 11.1635, 11.8928");
             values ( \
-              "4.0299e-05, 0.000183508, 0.000278168, 0.000434794, 0.000542992, 0.000858011, 0.00132569, 0.00335035, 0.00383633, 0.00409349, 0.00450049, 0.00472772, 0.00483941, 0.0048748, 0.00485992, 0.00481442, 0.00472457, 0.00450463, 0.00142321, 0.00104031, 0.000744305, 0.000482812, 0.000371153, 0.000255526, 0.0001267, 4.17768e-05, 1e-22" \
+              "4.03372e-05, 0.000183512, 0.000277849, 0.000434562, 0.000542999, 0.000858899, 0.00132677, 0.00164873, 0.00305381, 0.0033503, 0.00383137, 0.00409338, 0.00450059, 0.00472763, 0.00480911, 0.00483951, 0.00487478, 0.00485928, 0.0048071, 0.00475062, 0.00457285, 0.00401201, 0.00199034, 0.00141716, 0.000817429, 0.000528673, 0.000408104, 0.000313365, 0.000161747, 6.56442e-05, 4.92644e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0173073");
-            index_3 ("5.54372, 7.1043, 7.28104, 7.63453, 7.85763, 7.93474, 8.08896, 8.3371, 8.82377, 9.05526, 9.26595, 9.37944, 9.48225, 9.60515, 9.72698, 9.84863, 9.98425, 10.0785, 10.1831, 10.2739, 10.3839, 10.4074, 10.4545, 10.5466, 10.6483, 10.8373, 10.904, 10.9931, 11.064, 11.1395, 11.2401, 11.4414, 11.7094, 12.0358");
+            index_3 ("5.544, 7.1043, 7.28064, 7.63333, 7.85731, 7.93474, 8.08959, 8.3371, 8.82378, 9.05525, 9.26596, 9.37946, 9.48226, 9.60515, 9.72698, 9.84863, 9.98424, 10.0785, 10.1831, 10.2739, 10.3839, 10.4074, 10.4545, 10.5466, 10.6483, 10.8373, 10.904, 10.9931, 11.064, 11.1394, 11.2401, 11.4414, 11.7094, 12.0358");
             values ( \
-              "0.000128652, 0.000670264, 0.000805355, 0.00119774, 0.00163822, 0.00184603, 0.00238302, 0.00381993, 0.00820272, 0.00995865, 0.011243, 0.0118207, 0.0122813, 0.01276, 0.013158, 0.013482, 0.0137724, 0.0139015, 0.0139686, 0.0139452, 0.0137294, 0.0136302, 0.0133491, 0.0123045, 0.0103923, 0.00628312, 0.00503007, 0.00364191, 0.00275844, 0.00203427, 0.00133693, 0.000530557, 0.000153569, 4.09282e-05" \
+              "0.000128797, 0.000670266, 0.000805025, 0.00119604, 0.0016374, 0.00184603, 0.00238555, 0.00382015, 0.00820279, 0.00995854, 0.011243, 0.0118208, 0.0122813, 0.01276, 0.013158, 0.013482, 0.0137724, 0.0139015, 0.0139687, 0.0139452, 0.0137294, 0.0136302, 0.013349, 0.0123045, 0.0103923, 0.00628313, 0.00503006, 0.00364189, 0.00275844, 0.00203427, 0.00133694, 0.000530565, 0.000153574, 4.0927e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.064497");
-            index_3 ("5.54371, 7.31645, 7.67307, 8.19137, 8.36939, 8.56313, 9.11529, 9.52598, 9.82951, 10.126, 10.3877, 10.5726, 10.7776, 10.9802, 11.1673, 11.3648, 11.7381, 12.1113, 12.172, 12.3803, 12.7679, 12.9629, 13.1664, 13.4044, 13.5382, 13.8056, 14.2863, 14.8345");
+            index_3 ("5.54272, 7.31517, 7.67183, 8.19009, 8.36813, 8.56194, 8.74207, 9.11407, 9.49359, 9.80805, 10.1, 10.5069, 10.7705, 10.9789, 11.1637, 11.3635, 11.7368, 12.11, 12.1708, 12.379, 12.7666, 12.9616, 13.1652, 13.3363, 13.4031, 13.5369, 13.8044, 14.2851, 14.8332");
             values ( \
-              "0.000313075, 0.00230889, 0.00322082, 0.0054731, 0.00674327, 0.0085074, 0.014925, 0.0193472, 0.0221347, 0.0244824, 0.0262882, 0.0274212, 0.0285315, 0.0294812, 0.0302226, 0.0308469, 0.0313889, 0.0294316, 0.0285551, 0.0245642, 0.015484, 0.0113988, 0.00794703, 0.00498842, 0.00377004, 0.00207805, 0.000580454, 0.000113929" \
+              "0.000313514, 0.00230888, 0.00322093, 0.00547312, 0.00674336, 0.00850823, 0.0104936, 0.0149256, 0.0190398, 0.0219662, 0.0243038, 0.0270449, 0.0284973, 0.0294767, 0.0302187, 0.0308425, 0.0313934, 0.0294272, 0.0285551, 0.0245642, 0.0154838, 0.0113987, 0.007947, 0.00569748, 0.00498837, 0.00377007, 0.00207797, 0.000580495, 0.000113878" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.240353");
-            index_3 ("6.70609, 7.93138, 8.33378, 8.77405, 8.98626, 9.84451, 10.5492, 12.1424, 12.8058, 13.1987, 13.6475, 14.3113, 14.9645, 15.6174, 16.8249, 17.1795, 17.6625, 17.9145, 18.4187, 18.6616, 19.0359, 19.535, 20.5331, 21.6988, 22.8645");
+            index_3 ("6.70648, 7.94035, 8.33378, 8.76132, 8.97296, 9.89543, 10.55, 12.1424, 12.8049, 13.2006, 13.6475, 14.3113, 14.9645, 15.6174, 16.8248, 17.1795, 17.6625, 17.9146, 18.4189, 18.6616, 19.0356, 19.5344, 20.5318, 21.6976, 22.8634");
             values ( \
-              "0.00738247, 0.00749541, 0.0101376, 0.0140151, 0.0163159, 0.0268524, 0.0347478, 0.0508527, 0.056941, 0.0602379, 0.063571, 0.0669824, 0.0655176, 0.0559763, 0.0297707, 0.0229731, 0.0158786, 0.013014, 0.00863931, 0.00708497, 0.00520209, 0.00341202, 0.00140085, 0.000470558, 0.000164181" \
+              "0.00733609, 0.00754706, 0.0101381, 0.0138863, 0.0161641, 0.0274528, 0.0347566, 0.0508529, 0.0569329, 0.0602523, 0.0635709, 0.0669823, 0.0655179, 0.0559762, 0.0297717, 0.0229738, 0.0158783, 0.0130132, 0.00863802, 0.00708492, 0.00520319, 0.00341379, 0.00140258, 0.000471101, 0.000164347" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.895697");
-            index_3 ("7.87895, 9.49373, 11.8472, 14.9685, 16.0964, 16.6701, 18.1456, 18.9872, 20.1529, 20.5908, 21.4221, 22.4114, 24.6994, 25.7788, 26.7142, 27.88, 28.8228, 29.7882, 30.9539, 31.4471, 32.4334, 33.4708, 34.6365, 35.9825, 36.7389, 37.9046, 39.0703, 40.236, 42.5674, 46.0645, 49.5616");
+            index_3 ("7.87943, 9.49373, 11.8471, 14.968, 16.0964, 16.6701, 18.1456, 18.9872, 20.153, 20.5908, 21.4221, 22.4114, 24.6994, 25.7788, 26.7143, 27.8801, 28.8226, 29.7881, 30.9539, 31.4471, 32.4335, 33.4709, 34.6367, 35.9824, 36.7388, 37.9046, 39.0704, 40.2362, 42.5678, 46.0652, 49.5626");
             values ( \
-              "0.0246213, 0.024677, 0.0541495, 0.0964141, 0.110353, 0.116667, 0.109712, 0.105385, 0.0985182, 0.0955434, 0.0892682, 0.0809252, 0.0603225, 0.0510579, 0.0436491, 0.0354196, 0.0296481, 0.0245275, 0.0193521, 0.0174709, 0.0141909, 0.0113538, 0.00880347, 0.00654051, 0.00552869, 0.00425265, 0.00326916, 0.00250687, 0.00147198, 0.000661472, 0.000294457" \
+              "0.0246361, 0.024677, 0.0541492, 0.0964068, 0.110353, 0.116667, 0.109712, 0.105385, 0.0985176, 0.0955434, 0.0892682, 0.0809252, 0.0603225, 0.0510579, 0.0436491, 0.0354189, 0.0296492, 0.0245281, 0.0193521, 0.0174709, 0.0141908, 0.0113537, 0.00880323, 0.00654056, 0.00552884, 0.00425267, 0.00326911, 0.00250677, 0.00147185, 0.000661373, 0.000294392" \
             );
           }
         }
@@ -42001,7 +42001,7 @@
             "0.0383415, 0.0642291, 0.159118, 0.509262, 1.81216, 6.6668", \
             "0.069065, 0.108533, 0.204637, 0.554685, 1.85774, 6.71221", \
             "0.125529, 0.202016, 0.3735, 0.741155, 2.04154, 6.89557", \
-            "0.259042, 0.387887, 0.707033, 1.39228, 2.79248, 7.63255", \
+            "0.259042, 0.388087, 0.707033, 1.39228, 2.79252, 7.63258", \
             "0.669971, 0.862739, 1.39362, 2.64685, 5.31824, 10.6503" \
           );
         }
@@ -42013,7 +42013,7 @@
             "0.0272442, 0.0629183, 0.202701, 0.723118, 2.66234, 9.88933", \
             "0.0554936, 0.0837042, 0.20381, 0.723086, 2.66233, 9.88877", \
             "0.121352, 0.180975, 0.304224, 0.733487, 2.66248, 9.88938", \
-            "0.30714, 0.405465, 0.658606, 1.1572, 2.7228, 9.88925", \
+            "0.30714, 0.40554, 0.658606, 1.15745, 2.72279, 9.88925", \
             "1.00796, 1.1173, 1.50617, 2.51122, 4.47076, 10.1994" \
           );
         }
@@ -42247,9 +42247,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00464427");
-            index_3 ("1.60028, 1.83126, 1.90883, 1.97537, 1.9974, 2.04044, 2.07769, 2.11491, 2.21064, 2.24904, 2.32584, 2.34779, 2.40482, 2.47046, 2.4907, 2.53276, 2.571, 2.59341, 2.63825, 2.66659, 2.67781, 2.70271, 2.77826, 2.80802, 2.8387, 2.87274, 2.89993, 2.95431, 3.02616, 3.04841");
+            index_3 ("1.60035, 1.8876, 1.97111, 1.99737, 2.04046, 2.08354, 2.24903, 2.33341, 2.40514, 2.47074, 2.53291, 2.56369, 2.5896, 2.61743, 2.65471, 2.68261, 2.80362, 2.86321, 2.93121, 2.97356");
             values ( \
-              "0.000864124, 0.000866423, 0.00120652, 0.00172221, 0.00195762, 0.00258552, 0.00337533, 0.00446276, 0.00770548, 0.00886875, 0.0108231, 0.0112839, 0.0123019, 0.0131684, 0.0133671, 0.0136792, 0.0138213, 0.0138341, 0.0136108, 0.0130223, 0.0125966, 0.0111489, 0.00563242, 0.00397034, 0.00265913, 0.00166123, 0.00111496, 0.000466238, 0.00013536, 0.000109654" \
+              "0.000679044, 0.00109734, 0.0016702, 0.00195505, 0.0025809, 0.00352265, 0.00886699, 0.0109864, 0.0123103, 0.0131789, 0.0136884, 0.0138088, 0.0138439, 0.0137798, 0.0133413, 0.0123996, 0.00418987, 0.00190101, 0.000676965, 0.000391126" \
             );
           }
           vector (ccs_template) {
@@ -42265,34 +42265,34 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.064497");
-            index_3 ("1.73885, 2.05128, 2.131, 2.23706, 2.50823, 2.66187, 2.94597, 3.15833, 3.29134, 3.47484, 3.56452, 3.64564, 3.79862, 3.84695, 3.91139, 4.00211, 4.25835, 4.37594, 4.50588, 4.56439, 4.68141, 4.77209, 4.87195, 5.00509, 5.27139, 5.62806, 6.06633");
+            index_3 ("1.73885, 2.06188, 2.12551, 2.23295, 2.52082, 2.66339, 2.94558, 3.15807, 3.29133, 3.47484, 3.56452, 3.64564, 3.81437, 3.87895, 3.93603, 4.0026, 4.26606, 4.35007, 4.46332, 4.52094, 4.61709, 4.74528, 4.81052, 4.9061, 5.03354, 5.28841, 5.65529, 6.10457");
             values ( \
-              "0.0085097, 0.00929042, 0.0116724, 0.0157392, 0.0287507, 0.0355215, 0.0472922, 0.055603, 0.0604074, 0.0661061, 0.0682304, 0.0694497, 0.0679351, 0.065917, 0.0621473, 0.0554621, 0.0333715, 0.0245154, 0.0170843, 0.0144544, 0.0102493, 0.00782104, 0.0057907, 0.00384464, 0.00161631, 0.000463665, 9.07486e-05" \
+              "0.00825837, 0.00957796, 0.011491, 0.0155612, 0.0293271, 0.0355851, 0.0472749, 0.0555954, 0.0604094, 0.0661083, 0.068229, 0.0694515, 0.0673981, 0.0642102, 0.0605163, 0.0554332, 0.0326917, 0.026257, 0.0192096, 0.0163423, 0.0123869, 0.0084538, 0.00695566, 0.00521146, 0.00352057, 0.00154025, 0.000423308, 7.63692e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.240353");
-            index_3 ("2.05967, 2.50202, 2.9658, 3.68991, 3.93081, 4.16572, 4.19675, 4.64921, 4.87647, 5.19406, 5.53196, 5.79823, 6.53497, 6.9539, 7.2803, 7.50989, 7.77641, 8.23355, 8.44532, 8.86885, 9.20592, 9.57614, 10.0698, 11.057, 12.2227, 13.3885");
+            index_3 ("2.05614, 2.49291, 2.9578, 3.69001, 3.93084, 4.16577, 4.19678, 4.64941, 4.87656, 5.19422, 5.53205, 5.79832, 6.535, 6.95391, 7.28036, 7.51003, 7.77653, 8.23364, 8.44538, 8.86884, 9.20598, 9.57626, 10.07, 11.0574, 12.2231, 13.3888");
             values ( \
-              "0.029603, 0.0306495, 0.0542348, 0.093992, 0.106533, 0.11759, 0.117368, 0.109411, 0.105067, 0.0981351, 0.0889853, 0.0806338, 0.0561755, 0.043564, 0.035057, 0.0298559, 0.0245949, 0.0173845, 0.0147392, 0.0104945, 0.00797662, 0.00588417, 0.00388426, 0.00161406, 0.000543882, 0.000188646" \
+              "0.029517, 0.0302041, 0.0538076, 0.0939948, 0.106533, 0.117591, 0.117369, 0.109409, 0.105067, 0.0981334, 0.0889853, 0.0806338, 0.0561774, 0.0435664, 0.0350577, 0.0298546, 0.0245943, 0.0173845, 0.0147395, 0.0104953, 0.00797679, 0.00588403, 0.00388394, 0.00161366, 0.000543764, 0.000188587" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.895697");
-            index_3 ("2.52856, 3.29389, 4.16147, 4.18975, 8.94139, 9.7145, 10.8802, 11.318, 12.1493, 13.1386, 15.7866, 16.5062, 17.4417, 18.6074, 19.5501, 20.5154, 21.6811, 22.1741, 23.16, 24.1975, 25.3632, 26.7091, 27.4655, 28.6312, 29.797, 30.9627, 33.2941, 36.7912, 40.2883");
+            index_3 ("2.50486, 3.33648, 4.1613, 4.18957, 8.94125, 9.71436, 10.8801, 11.3178, 12.1492, 13.1385, 15.7864, 16.5061, 17.4415, 18.6072, 19.5499, 20.5153, 21.681, 22.1739, 23.1598, 24.1973, 25.363, 26.709, 27.4654, 28.6311, 29.7968, 30.9625, 33.2939, 36.7911, 40.2882");
             values ( \
-              "0.0720664, 0.076122, 0.131457, 0.13164, 0.109364, 0.105391, 0.0985106, 0.0955499, 0.0892619, 0.0809317, 0.0571667, 0.0510507, 0.0436531, 0.0354131, 0.0296427, 0.0245326, 0.0193472, 0.0174766, 0.0141882, 0.0113601, 0.00879991, 0.00654591, 0.00552501, 0.00425767, 0.00326521, 0.00251159, 0.00147651, 0.0006573, 0.000298692" \
+              "0.0655561, 0.0787922, 0.131459, 0.13164, 0.109364, 0.105391, 0.0985105, 0.09555, 0.0892619, 0.0809317, 0.0571667, 0.0510506, 0.0436531, 0.0354131, 0.0296427, 0.0245327, 0.0193472, 0.0174766, 0.0141882, 0.0113602, 0.00879987, 0.00654594, 0.00552497, 0.00425771, 0.00326518, 0.00251163, 0.00147655, 0.000657265, 0.000298727" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("6.21373, 7.23276, 7.47842, 7.6217, 7.80007, 7.92661, 8.05414, 8.17518, 8.33778, 8.47929, 8.66805, 8.84011, 9.00391, 9.1644, 9.23937, 9.32371, 9.40614, 9.54005, 9.61048, 9.71535, 9.83395, 9.93323, 10.1147, 10.3058, 10.3643, 10.4814, 11.1353, 12.3295");
+            index_3 ("6.21373, 7.23276, 7.47842, 7.6217, 7.80007, 7.92661, 8.05415, 8.17518, 8.33778, 8.47929, 8.66805, 8.84011, 9.00391, 9.1644, 9.23937, 9.32371, 9.40614, 9.54005, 9.61048, 9.71535, 9.83395, 9.93323, 10.1147, 10.3058, 10.3643, 10.4814, 11.1353, 12.3295");
             values ( \
               "4.85415e-05, 6.30867e-05, 8.72349e-05, 0.000108653, 0.000148271, 0.000200955, 0.000287608, 0.000455927, 0.000825536, 0.0010822, 0.00127718, 0.00134866, 0.00138876, 0.00140865, 0.00140911, 0.00140124, 0.00137512, 0.00131324, 0.00112843, 0.000655638, 0.000343832, 0.000241386, 0.000152193, 0.000101665, 9.82296e-05, 7.01526e-05, 1.21603e-05, 1e-22" \
             );
@@ -42357,8 +42357,8 @@
             "0.0275556, 0.0537907, 0.148261, 0.498152, 1.80109, 6.6564", \
             "0.0383411, 0.0642334, 0.159115, 0.509327, 1.81239, 6.66764", \
             "0.0690119, 0.108475, 0.204587, 0.554624, 1.85783, 6.71315", \
-            "0.125573, 0.20201, 0.373489, 0.741137, 2.04177, 6.89642", \
-            "0.259015, 0.387914, 0.706964, 1.39236, 2.79306, 7.63335", \
+            "0.125573, 0.201992, 0.373506, 0.741137, 2.04177, 6.89642", \
+            "0.259015, 0.387914, 0.706964, 1.39245, 2.79306, 7.63335", \
             "0.670267, 0.863427, 1.39373, 2.64758, 5.31879, 10.6497" \
           );
         }
@@ -42369,8 +42369,8 @@
             "0.0253559, 0.0629131, 0.202729, 0.723215, 2.66299, 9.89159", \
             "0.0272467, 0.062913, 0.20276, 0.723192, 2.66299, 9.89136", \
             "0.0555501, 0.0837573, 0.203871, 0.723222, 2.66299, 9.89136", \
-            "0.121296, 0.180827, 0.304313, 0.73356, 2.663, 9.89136", \
-            "0.307129, 0.405628, 0.658689, 1.15722, 2.72329, 9.89029", \
+            "0.121266, 0.180825, 0.304218, 0.73356, 2.663, 9.89136", \
+            "0.307129, 0.405628, 0.658689, 1.15711, 2.72329, 9.89029", \
             "1.00605, 1.11717, 1.50597, 2.50736, 4.46734, 10.2002" \
           );
         }
@@ -42435,7 +42435,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0396649, 0.0529131, 0.0586406, 0.0632652, 0.0649703, 0.0660419, 0.0665773, 0.0671656, 0.0683423, 0.0702205, 0.0744036, 0.077212, 0.0840398, 0.0878204, 0.0904007, 0.0951316, 0.0980118, 0.103917, 0.106266, 0.110161, 0.113604, 0.118351, 0.120533, 0.129996, 0.141669, 0.153224, 0.182001");
             values ( \
-              "0.000242389, 0.0336411, 0.0446545, 0.0523777, 0.0546784, 0.0613182, 0.0622586, 0.0626888, 0.0621439, 0.0601597, 0.0537044, 0.047805, 0.0317988, 0.0254658, 0.0217454, 0.0161822, 0.0135258, 0.00919718, 0.0078777, 0.00604862, 0.00475776, 0.00344235, 0.00287495, 0.0014322, 0.00057892, 0.000234493, 0.000121159" \
+              "0.000242389, 0.0336411, 0.0446545, 0.0523777, 0.0546784, 0.0613182, 0.0622586, 0.0626888, 0.0621439, 0.0601597, 0.0537044, 0.047805, 0.0317988, 0.0254658, 0.0217454, 0.0161822, 0.0135258, 0.00919718, 0.0078777, 0.00604862, 0.00475777, 0.00344235, 0.00287495, 0.0014322, 0.00057892, 0.000234493, 0.000121159" \
             );
           }
           vector (ccs_template) {
@@ -42541,27 +42541,27 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.413693, 0.476087, 0.49836, 0.509496, 0.520632, 0.530578, 0.569206, 0.59419, 0.605022, 0.626376, 0.645976, 0.664604, 0.682838, 0.701078, 0.729409, 0.752775, 0.771261, 0.787451, 0.798934, 0.810484, 0.852793, 0.871327, 1.04079, 1.05044, 1.06768");
+            index_3 ("0.392376, 0.460157, 0.481867, 0.501284, 0.510993, 0.520702, 0.531312, 0.568255, 0.580334, 0.594203, 0.605091, 0.62644, 0.646046, 0.663305, 0.672915, 0.682893, 0.701067, 0.709077, 0.729203, 0.74477, 0.752947, 0.760452, 0.771417, 0.777563, 0.787325, 0.798595, 0.810176, 0.819366, 0.852281, 0.87062, 0.907269, 1.04086, 1.05172, 1.06994");
             values ( \
-              "0.000851892, 0.00104421, 0.00156664, 0.00198614, 0.00257563, 0.00330095, 0.00716232, 0.00920725, 0.00992416, 0.0110773, 0.0118216, 0.0122562, 0.0123479, 0.0116189, 0.00619791, 0.00299579, 0.00152391, 0.000807151, 0.000513789, 0.000309064, 0.000100512, 5.25333e-05, 7.28138e-06, 0.000336286, 0.000140576" \
+              "0.000556468, 0.000767922, 0.00115071, 0.00165988, 0.00205255, 0.00257434, 0.00335797, 0.00706735, 0.00813053, 0.00920321, 0.00992405, 0.0110772, 0.0118218, 0.0122341, 0.0123401, 0.0123415, 0.0116223, 0.0103495, 0.00624423, 0.00390984, 0.00298303, 0.00230461, 0.00151778, 0.00119849, 0.000812807, 0.000522043, 0.000313705, 0.000241669, 0.000103081, 5.43088e-05, 2.25457e-05, 7.72809e-06, 0.000323097, 0.000122036" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00464427");
-            index_3 ("0.409658, 0.475172, 0.501702, 0.52054, 0.531654, 0.553881, 0.586754, 0.623748, 0.66089, 0.693047, 0.722326, 0.749928, 0.761317, 0.772101, 0.785839, 0.800921, 0.861801, 0.8908, 0.912398, 0.925402, 0.942723, 0.967507, 1.00302, 1.0407, 1.05291, 1.0553");
+            index_3 ("0.387424, 0.47482, 0.487599, 0.504041, 0.520483, 0.531568, 0.553737, 0.58672, 0.623664, 0.660815, 0.692968, 0.706145, 0.722252, 0.744423, 0.749855, 0.76072, 0.771486, 0.77661, 0.786857, 0.801947, 0.842179, 0.861743, 0.872552, 0.889208, 0.898627, 0.917669, 0.930903, 0.94913, 0.976281, 1.01443, 1.04064, 1.0534, 1.0731, 1.09108, 1.12705");
             values ( \
-              "0.00229647, 0.00280918, 0.00415043, 0.00556944, 0.00670252, 0.00975121, 0.0151977, 0.0203867, 0.0245531, 0.0274723, 0.0295887, 0.0309867, 0.0313055, 0.0313785, 0.0307998, 0.0285293, 0.0118516, 0.00650685, 0.00391894, 0.00283724, 0.00180553, 0.000910659, 0.000319188, 0.000111221, 0.000768655, 0.000733693" \
+              "0.0010502, 0.00277706, 0.00335585, 0.00429521, 0.00556909, 0.00669544, 0.009739, 0.0151989, 0.020381, 0.0245337, 0.0274547, 0.0284801, 0.0295723, 0.0307646, 0.0309719, 0.0313046, 0.0313699, 0.0312904, 0.0306938, 0.0282883, 0.0167487, 0.0118416, 0.00957579, 0.00673139, 0.00543381, 0.00343792, 0.0024586, 0.0015175, 0.000707895, 0.000226086, 0.000115305, 0.000764854, 0.000505151, 0.000185412, 0.000107818" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0173073");
-            index_3 ("0.452184, 0.532222, 0.570773, 0.67068, 0.750568, 0.809997, 0.845809, 0.88591, 0.90907, 0.93838, 0.960589, 0.982993, 1.00559, 1.04048, 1.04312, 1.04767, 1.0726, 1.11646, 1.15314, 1.17531, 1.20026, 1.23352, 1.2769, 1.3061, 1.36451, 1.46276, 1.57969");
+            index_3 ("0.452327, 0.531803, 0.554473, 0.56896, 0.628766, 0.706253, 0.768058, 0.81838, 0.863, 0.89379, 0.907698, 0.935512, 0.944669, 0.956877, 0.969694, 0.983075, 1.00582, 1.04062, 1.04345, 1.04814, 1.07395, 1.09816, 1.12471, 1.1441, 1.16904, 1.18947, 1.21381, 1.24813, 1.28378, 1.31108, 1.36568, 1.4657, 1.58395");
             values ( \
-              "0.00910683, 0.0108511, 0.0165738, 0.0347164, 0.0478729, 0.0571063, 0.0621948, 0.0671201, 0.0693559, 0.0709707, 0.070422, 0.0675302, 0.0623326, 0.0520363, 0.0519614, 0.050713, 0.0415003, 0.0283117, 0.0200257, 0.016113, 0.012543, 0.00889257, 0.00564353, 0.00413147, 0.00216617, 0.00066381, 0.000149499" \
+              "0.00908298, 0.0108053, 0.0138767, 0.0162476, 0.0274162, 0.0406292, 0.0506425, 0.058315, 0.0644086, 0.0679249, 0.0692338, 0.0708979, 0.0710076, 0.0706565, 0.0695373, 0.067498, 0.0623046, 0.052013, 0.0518861, 0.0505628, 0.0410672, 0.033386, 0.0262621, 0.0218717, 0.0171545, 0.0139967, 0.0109245, 0.00763499, 0.00524806, 0.00392126, 0.00214779, 0.000642013, 0.000139928" \
             );
           }
           vector (ccs_template) {
@@ -42622,18 +42622,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.064497");
-            index_3 ("1.623, 1.99592, 2.08258, 2.13081, 2.23902, 2.46451, 2.6311, 3.08584, 3.23203, 3.38862, 3.47415, 3.56372, 3.64509, 3.76828, 3.8139, 3.89929, 4.00108, 4.26585, 4.31814, 4.42273, 4.50318, 4.60474, 4.74014, 4.80976, 4.91678, 5.05949, 5.34489, 5.72049, 6.18753");
+            index_3 ("1.75639, 2.07124, 2.10672, 2.25087, 2.52649, 2.67544, 2.95328, 3.16364, 3.29136, 3.47473, 3.56429, 3.64567, 3.76895, 3.81447, 3.89985, 4.00164, 4.3192, 4.42482, 4.5038, 4.60355, 4.73656, 4.81012, 4.92307, 5.07367, 5.37486, 5.75508");
             values ( \
-              "0.00457516, 0.00788268, 0.0101418, 0.011664, 0.0158332, 0.0267232, 0.0342178, 0.0528318, 0.0583161, 0.0636036, 0.0660883, 0.0682135, 0.0694389, 0.0687417, 0.0672706, 0.062887, 0.0554266, 0.032683, 0.0285515, 0.0215039, 0.0171733, 0.0128285, 0.00857734, 0.00696466, 0.0050388, 0.0032385, 0.00126586, 0.000330044, 5.64325e-05" \
+              "0.00907946, 0.0098138, 0.0108714, 0.016342, 0.0295782, 0.0360992, 0.0475758, 0.0557808, 0.0603911, 0.0660917, 0.0682198, 0.0694413, 0.0687442, 0.0672717, 0.0628908, 0.0554264, 0.0285127, 0.0214109, 0.0171693, 0.0128929, 0.00868545, 0.00696999, 0.00495223, 0.00310235, 0.0011384, 0.000293086" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.240353");
-            index_3 ("1.96672, 2.42447, 2.91507, 3.69023, 4.07626, 4.17665, 4.58965, 4.87733, 5.00978, 5.37325, 5.76754, 6.41347, 6.89728, 7.23772, 7.58776, 7.83296, 8.23327, 8.40234, 8.71608, 9.15715, 9.61856, 9.9724, 10.6801, 11.8459, 13.0117");
+            index_3 ("1.96672, 2.42447, 2.91507, 3.69023, 4.07626, 4.17665, 4.58965, 4.87733, 5.00978, 5.37325, 5.76753, 6.41347, 6.89718, 7.23769, 7.58784, 7.83302, 8.23327, 8.4023, 8.71606, 9.15713, 9.61859, 9.97247, 10.6802, 11.846, 13.0119");
             values ( \
-              "0.0216532, 0.0268624, 0.0515118, 0.0939722, 0.113528, 0.117696, 0.110503, 0.105073, 0.102317, 0.0935244, 0.0816554, 0.0601051, 0.0451319, 0.0360557, 0.0282107, 0.0235651, 0.0173784, 0.0152374, 0.0118765, 0.00829472, 0.00567958, 0.00422655, 0.0022982, 0.000782061, 0.000265983" \
+              "0.0216532, 0.0268624, 0.0515118, 0.0939722, 0.113528, 0.117696, 0.110503, 0.105073, 0.102317, 0.0935244, 0.0816558, 0.0601051, 0.0451346, 0.0360562, 0.0282089, 0.0235641, 0.0173784, 0.0152379, 0.0118767, 0.00829482, 0.00567946, 0.00422632, 0.00229793, 0.000781964, 0.000265952" \
             );
           }
           vector (ccs_template) {
@@ -42687,7 +42687,7 @@
             index_2 ("0.240353");
             index_3 ("6.70938, 7.90103, 8.41008, 8.98074, 9.90539, 10.5979, 11.5054, 12.3249, 12.9415, 13.6521, 14.2125, 14.3143, 14.5179, 14.9629, 15.6153, 16.8323, 17.1864, 17.6597, 17.9044, 18.394, 18.6608, 19.0711, 19.6182, 20.7124, 21.8782, 23.044");
             values ( \
-              "0.0071129, 0.00741397, 0.0107621, 0.0162364, 0.0275369, 0.0352361, 0.0445825, 0.0525505, 0.0580876, 0.0635856, 0.0666515, 0.0669794, 0.0672898, 0.0654918, 0.05598, 0.0296185, 0.0228601, 0.0159172, 0.0131221, 0.0088208, 0.00709398, 0.00505448, 0.00317721, 0.00117776, 0.000397189, 0.000136442" \
+              "0.0071129, 0.00741397, 0.0107621, 0.0162364, 0.0275369, 0.0352361, 0.0445825, 0.0525505, 0.0580876, 0.0635856, 0.0666515, 0.0669794, 0.0672898, 0.0654918, 0.05598, 0.0296185, 0.0228601, 0.0159172, 0.0131221, 0.0088208, 0.00709398, 0.00505448, 0.00317721, 0.00117776, 0.000397188, 0.000136442" \
             );
           }
           vector (ccs_template) {
@@ -43070,7 +43070,7 @@
             "0.0383415, 0.0642291, 0.159118, 0.509262, 1.81216, 6.6668", \
             "0.069065, 0.108533, 0.204637, 0.554685, 1.85774, 6.71221", \
             "0.125529, 0.202016, 0.3735, 0.741155, 2.04154, 6.89557", \
-            "0.259042, 0.387887, 0.707033, 1.39228, 2.79248, 7.63255", \
+            "0.259042, 0.388087, 0.707033, 1.39228, 2.79252, 7.63258", \
             "0.669971, 0.862739, 1.39362, 2.64685, 5.31824, 10.6503" \
           );
         }
@@ -43082,7 +43082,7 @@
             "0.0272442, 0.0629183, 0.202701, 0.723118, 2.66234, 9.88933", \
             "0.0554936, 0.0837042, 0.20381, 0.723086, 2.66233, 9.88877", \
             "0.121352, 0.180975, 0.304224, 0.733487, 2.66248, 9.88938", \
-            "0.30714, 0.405465, 0.658606, 1.1572, 2.7228, 9.88925", \
+            "0.30714, 0.40554, 0.658606, 1.15745, 2.72279, 9.88925", \
             "1.00796, 1.1173, 1.50617, 2.51122, 4.47076, 10.1994" \
           );
         }
@@ -43340,9 +43340,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00464427");
-            index_3 ("1.60028, 1.83126, 1.90883, 1.97537, 1.9974, 2.04044, 2.07769, 2.11491, 2.21064, 2.24904, 2.32584, 2.34779, 2.40482, 2.47046, 2.4907, 2.53276, 2.571, 2.59341, 2.63825, 2.66659, 2.67781, 2.70271, 2.77826, 2.80802, 2.8387, 2.87274, 2.89993, 2.95431, 3.02616, 3.04841");
+            index_3 ("1.60035, 1.8876, 1.97111, 1.99737, 2.04046, 2.08354, 2.24903, 2.33341, 2.40514, 2.47074, 2.53291, 2.56369, 2.5896, 2.61743, 2.65471, 2.68261, 2.80362, 2.86321, 2.93121, 2.97356");
             values ( \
-              "0.000864124, 0.000866423, 0.00120652, 0.00172221, 0.00195762, 0.00258552, 0.00337533, 0.00446276, 0.00770548, 0.00886875, 0.0108231, 0.0112839, 0.0123019, 0.0131684, 0.0133671, 0.0136792, 0.0138213, 0.0138341, 0.0136108, 0.0130223, 0.0125966, 0.0111489, 0.00563242, 0.00397034, 0.00265913, 0.00166123, 0.00111496, 0.000466238, 0.00013536, 0.000109654" \
+              "0.000679044, 0.00109734, 0.0016702, 0.00195505, 0.0025809, 0.00352265, 0.00886699, 0.0109864, 0.0123103, 0.0131789, 0.0136884, 0.0138088, 0.0138439, 0.0137798, 0.0133413, 0.0123996, 0.00418987, 0.00190101, 0.000676965, 0.000391126" \
             );
           }
           vector (ccs_template) {
@@ -43358,34 +43358,34 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.064497");
-            index_3 ("1.73885, 2.05128, 2.131, 2.23706, 2.50823, 2.66187, 2.94597, 3.15833, 3.29134, 3.47484, 3.56452, 3.64564, 3.79862, 3.84695, 3.91139, 4.00211, 4.25835, 4.37594, 4.50588, 4.56439, 4.68141, 4.77209, 4.87195, 5.00509, 5.27139, 5.62806, 6.06633");
+            index_3 ("1.73885, 2.06188, 2.12551, 2.23295, 2.52082, 2.66339, 2.94558, 3.15807, 3.29133, 3.47484, 3.56452, 3.64564, 3.81437, 3.87895, 3.93603, 4.0026, 4.26606, 4.35007, 4.46332, 4.52094, 4.61709, 4.74528, 4.81052, 4.9061, 5.03354, 5.28841, 5.65529, 6.10457");
             values ( \
-              "0.0085097, 0.00929042, 0.0116724, 0.0157392, 0.0287507, 0.0355215, 0.0472922, 0.055603, 0.0604074, 0.0661061, 0.0682304, 0.0694497, 0.0679351, 0.065917, 0.0621473, 0.0554621, 0.0333715, 0.0245154, 0.0170843, 0.0144544, 0.0102493, 0.00782104, 0.0057907, 0.00384464, 0.00161631, 0.000463665, 9.07486e-05" \
+              "0.00825837, 0.00957796, 0.011491, 0.0155612, 0.0293271, 0.0355851, 0.0472749, 0.0555954, 0.0604094, 0.0661083, 0.068229, 0.0694515, 0.0673981, 0.0642102, 0.0605163, 0.0554332, 0.0326917, 0.026257, 0.0192096, 0.0163423, 0.0123869, 0.0084538, 0.00695566, 0.00521146, 0.00352057, 0.00154025, 0.000423308, 7.63692e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.240353");
-            index_3 ("2.05967, 2.50202, 2.9658, 3.68991, 3.93081, 4.16572, 4.19675, 4.64921, 4.87647, 5.19406, 5.53196, 5.79823, 6.53497, 6.9539, 7.2803, 7.50989, 7.77641, 8.23355, 8.44532, 8.86885, 9.20592, 9.57614, 10.0698, 11.057, 12.2227, 13.3885");
+            index_3 ("2.05614, 2.49291, 2.9578, 3.69001, 3.93084, 4.16577, 4.19678, 4.64941, 4.87656, 5.19422, 5.53205, 5.79832, 6.535, 6.95391, 7.28036, 7.51003, 7.77653, 8.23364, 8.44538, 8.86884, 9.20598, 9.57626, 10.07, 11.0574, 12.2231, 13.3888");
             values ( \
-              "0.029603, 0.0306495, 0.0542348, 0.093992, 0.106533, 0.11759, 0.117368, 0.109411, 0.105067, 0.0981351, 0.0889853, 0.0806338, 0.0561755, 0.043564, 0.035057, 0.0298559, 0.0245949, 0.0173845, 0.0147392, 0.0104945, 0.00797662, 0.00588417, 0.00388426, 0.00161406, 0.000543882, 0.000188646" \
+              "0.029517, 0.0302041, 0.0538076, 0.0939948, 0.106533, 0.117591, 0.117369, 0.109409, 0.105067, 0.0981334, 0.0889853, 0.0806338, 0.0561774, 0.0435664, 0.0350577, 0.0298546, 0.0245943, 0.0173845, 0.0147395, 0.0104953, 0.00797679, 0.00588403, 0.00388394, 0.00161366, 0.000543764, 0.000188587" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.895697");
-            index_3 ("2.52856, 3.29389, 4.16147, 4.18975, 8.94139, 9.7145, 10.8802, 11.318, 12.1493, 13.1386, 15.7866, 16.5062, 17.4417, 18.6074, 19.5501, 20.5154, 21.6811, 22.1741, 23.16, 24.1975, 25.3632, 26.7091, 27.4655, 28.6312, 29.797, 30.9627, 33.2941, 36.7912, 40.2883");
+            index_3 ("2.50486, 3.33648, 4.1613, 4.18957, 8.94125, 9.71436, 10.8801, 11.3178, 12.1492, 13.1385, 15.7864, 16.5061, 17.4415, 18.6072, 19.5499, 20.5153, 21.681, 22.1739, 23.1598, 24.1973, 25.363, 26.709, 27.4654, 28.6311, 29.7968, 30.9625, 33.2939, 36.7911, 40.2882");
             values ( \
-              "0.0720664, 0.076122, 0.131457, 0.13164, 0.109364, 0.105391, 0.0985106, 0.0955499, 0.0892619, 0.0809317, 0.0571667, 0.0510507, 0.0436531, 0.0354131, 0.0296427, 0.0245326, 0.0193472, 0.0174766, 0.0141882, 0.0113601, 0.00879991, 0.00654591, 0.00552501, 0.00425767, 0.00326521, 0.00251159, 0.00147651, 0.0006573, 0.000298692" \
+              "0.0655561, 0.0787922, 0.131459, 0.13164, 0.109364, 0.105391, 0.0985105, 0.09555, 0.0892619, 0.0809317, 0.0571667, 0.0510506, 0.0436531, 0.0354131, 0.0296427, 0.0245327, 0.0193472, 0.0174766, 0.0141882, 0.0113602, 0.00879987, 0.00654594, 0.00552497, 0.00425771, 0.00326518, 0.00251163, 0.00147655, 0.000657265, 0.000298727" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("6.21373, 7.23276, 7.47842, 7.6217, 7.80007, 7.92661, 8.05414, 8.17518, 8.33778, 8.47929, 8.66805, 8.84011, 9.00391, 9.1644, 9.23937, 9.32371, 9.40614, 9.54005, 9.61048, 9.71535, 9.83395, 9.93323, 10.1147, 10.3058, 10.3643, 10.4814, 11.1353, 12.3295");
+            index_3 ("6.21373, 7.23276, 7.47842, 7.6217, 7.80007, 7.92661, 8.05415, 8.17518, 8.33778, 8.47929, 8.66805, 8.84011, 9.00391, 9.1644, 9.23937, 9.32371, 9.40614, 9.54005, 9.61048, 9.71535, 9.83395, 9.93323, 10.1147, 10.3058, 10.3643, 10.4814, 11.1353, 12.3295");
             values ( \
               "4.85415e-05, 6.30867e-05, 8.72349e-05, 0.000108653, 0.000148271, 0.000200955, 0.000287608, 0.000455927, 0.000825536, 0.0010822, 0.00127718, 0.00134866, 0.00138876, 0.00140865, 0.00140911, 0.00140124, 0.00137512, 0.00131324, 0.00112843, 0.000655638, 0.000343832, 0.000241386, 0.000152193, 0.000101665, 9.82296e-05, 7.01526e-05, 1.21603e-05, 1e-22" \
             );
@@ -43960,8 +43960,8 @@
           values ( \
             "0.00329674, 0.00335264, 0.00343519, 0.00364399, 0.00484174, 0.0090951", \
             "0.0032224, 0.00329059, 0.0033962, 0.00366805, 0.00474749, 0.00915141", \
-            "0.00298822, 0.00310641, 0.00326371, 0.00357305, 0.00462809, 0.00894873", \
-            "0.00280234, 0.0029158, 0.00308838, 0.00345631, 0.00454081, 0.00909328", \
+            "0.00299185, 0.00310641, 0.00327071, 0.0035759, 0.00462071, 0.00894873", \
+            "0.00280846, 0.0029158, 0.00310265, 0.00345631, 0.00454081, 0.00890869", \
             "0.00369767, 0.00374502, 0.00381948, 0.00404915, 0.00456816, 0.00903526", \
             "0.0092195, 0.00903154, 0.00847551, 0.00773203, 0.00774944, 0.00963783" \
           );
@@ -44028,12 +44028,12 @@
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           index_2 ("0.00124625, 0.00464427, 0.0173073, 0.064497, 0.240353, 0.895697");
           values ( \
-            "0.00899154, 0.00908905, 0.00921895, 0.00948703, 0.0112362, 0.0182952", \
-            "0.00889164, 0.00900081, 0.00915704, 0.00948045, 0.0111163, 0.0184214", \
-            "0.00873111, 0.0088512, 0.0090705, 0.00943731, 0.01107, 0.018329", \
-            "0.00872477, 0.00876388, 0.00894835, 0.00935736, 0.0111201, 0.0184275", \
-            "0.00996838, 0.00988433, 0.00983277, 0.00994067, 0.0112041, 0.0183064", \
-            "0.0164462, 0.0160469, 0.0154557, 0.0148695, 0.0148405, 0.019345" \
+            "0.00899153, 0.00908904, 0.00921893, 0.00948702, 0.0112361, 0.0182951", \
+            "0.00889163, 0.0090008, 0.00915703, 0.00948044, 0.0111163, 0.0184209", \
+            "0.0087311, 0.00885119, 0.00907049, 0.0094373, 0.01107, 0.018329", \
+            "0.0087175, 0.00878683, 0.00898721, 0.00937702, 0.0111201, 0.0183091", \
+            "0.009972, 0.00987857, 0.00982181, 0.00985488, 0.0111958, 0.0183245", \
+            "0.0164209, 0.016025, 0.0155178, 0.0148827, 0.0147597, 0.0193383" \
           );
         }
       }
@@ -44060,8 +44060,8 @@
           values ( \
             "0.00329674, 0.00335264, 0.00343519, 0.00364399, 0.00484174, 0.0090951", \
             "0.0032224, 0.00329059, 0.0033962, 0.00366805, 0.00474749, 0.00915141", \
-            "0.00298822, 0.00310641, 0.00326371, 0.00357305, 0.00462809, 0.00894873", \
-            "0.00280234, 0.0029158, 0.00308838, 0.00345631, 0.00454081, 0.00909328", \
+            "0.00299185, 0.00310641, 0.00327071, 0.0035759, 0.00462071, 0.00894873", \
+            "0.00280846, 0.0029158, 0.00310265, 0.00345631, 0.00454081, 0.00890869", \
             "0.00369767, 0.00374502, 0.00381948, 0.00404915, 0.00456816, 0.00903526", \
             "0.0092195, 0.00903154, 0.00847551, 0.00773203, 0.00774944, 0.00963783" \
           );
@@ -44118,8 +44118,8 @@
           values ( \
             "0.00330716, 0.00336991, 0.00349063, 0.00377271, 0.0050256, 0.0100469", \
             "0.00328188, 0.00335647, 0.00349463, 0.00377975, 0.00498951, 0.0100729", \
-            "0.00323112, 0.00328138, 0.00342631, 0.00377052, 0.00499651, 0.0102105", \
-            "0.00331048, 0.0033561, 0.00344712, 0.00371683, 0.00497558, 0.010064", \
+            "0.00323092, 0.00328274, 0.00342631, 0.00377052, 0.00499651, 0.0102105", \
+            "0.00331048, 0.00332093, 0.00344712, 0.00371683, 0.00497558, 0.010064", \
             "0.00435213, 0.00431109, 0.00420961, 0.00432691, 0.00504823, 0.0100682", \
             "0.0107086, 0.0104006, 0.00937806, 0.0083562, 0.00877787, 0.0105896" \
           );
@@ -44131,7 +44131,7 @@
             "0.00794045, 0.00807753, 0.00829543, 0.00862435, 0.010419, 0.0184767", \
             "0.00788246, 0.00803041, 0.00826572, 0.00863065, 0.0105017, 0.0185152", \
             "0.00779589, 0.00793435, 0.00820271, 0.00860064, 0.010377, 0.0184624", \
-            "0.00790122, 0.00794455, 0.00811377, 0.00858714, 0.0104487, 0.0184971", \
+            "0.00790122, 0.00796713, 0.00811377, 0.00858714, 0.0104487, 0.0184971", \
             "0.00929769, 0.00917811, 0.00902641, 0.00906, 0.0105036, 0.0185731", \
             "0.0159403, 0.0153681, 0.0146112, 0.0138271, 0.0137935, 0.0194654" \
           );
@@ -44176,10 +44176,10 @@
           values ( \
             "0.00294979, 0.00302678, 0.0031547, 0.00343695, 0.00448244, 0.00877653", \
             "0.00291337, 0.00300653, 0.00313751, 0.00338888, 0.00440109, 0.00874013", \
-            "0.00277367, 0.00290516, 0.00308457, 0.00337071, 0.00437593, 0.00876792", \
+            "0.00277884, 0.00290516, 0.00308587, 0.00337071, 0.00437593, 0.00870223", \
             "0.00282482, 0.00284818, 0.00302421, 0.00332662, 0.00435101, 0.0087308", \
             "0.00394373, 0.00382994, 0.00367256, 0.00381672, 0.00445562, 0.0087279", \
-            "0.010474, 0.00998553, 0.00894758, 0.00757559, 0.0073533, 0.00943586" \
+            "0.010474, 0.00998205, 0.00894758, 0.00757559, 0.0073533, 0.00943586" \
           );
         }
         fall_power (power_template) {
@@ -44189,9 +44189,9 @@
             "0.00731126, 0.00737214, 0.00750818, 0.00795693, 0.0103502, 0.0195512", \
             "0.00726241, 0.00733411, 0.00748582, 0.00796078, 0.0102331, 0.019483", \
             "0.00717877, 0.00725847, 0.00742718, 0.0080018, 0.0101726, 0.0194424", \
-            "0.0073826, 0.0073373, 0.00751269, 0.00794426, 0.0103123, 0.0195133", \
+            "0.00732209, 0.0073373, 0.00751269, 0.00794426, 0.0103123, 0.0195133", \
             "0.00879754, 0.00863426, 0.00857572, 0.0085103, 0.0103277, 0.0195168", \
-            "0.015159, 0.0147093, 0.0140191, 0.0136623, 0.0138533, 0.0204873" \
+            "0.015159, 0.0147093, 0.0140181, 0.0136623, 0.0138533, 0.0204872" \
           );
         }
       }
@@ -44218,8 +44218,8 @@
           values ( \
             "0.00330716, 0.00336991, 0.00349063, 0.00377271, 0.0050256, 0.0100469", \
             "0.00328188, 0.00335647, 0.00349463, 0.00377975, 0.00498951, 0.0100729", \
-            "0.00323112, 0.00328138, 0.00342631, 0.00377052, 0.00499651, 0.0102105", \
-            "0.00331048, 0.0033561, 0.00344712, 0.00371683, 0.00497558, 0.010064", \
+            "0.00323092, 0.00328274, 0.00342631, 0.00377052, 0.00499651, 0.0102105", \
+            "0.00331048, 0.00332093, 0.00344712, 0.00371683, 0.00497558, 0.010064", \
             "0.00435213, 0.00431109, 0.00420961, 0.00432691, 0.00504823, 0.0100682", \
             "0.0107086, 0.0104006, 0.00937806, 0.0083562, 0.00877787, 0.0105896" \
           );
@@ -44231,9 +44231,9 @@
             "0.00731126, 0.00737214, 0.00750818, 0.00795693, 0.0103502, 0.0195512", \
             "0.00726241, 0.00733411, 0.00748582, 0.00796078, 0.0102331, 0.019483", \
             "0.00717877, 0.00725847, 0.00742718, 0.0080018, 0.0101726, 0.0194424", \
-            "0.0073826, 0.0073373, 0.00751269, 0.00794426, 0.0103123, 0.0195133", \
+            "0.00732209, 0.0073373, 0.00751269, 0.00794426, 0.0103123, 0.0195133", \
             "0.00879754, 0.00863426, 0.00857572, 0.0085103, 0.0103277, 0.0195168", \
-            "0.015159, 0.0147093, 0.0140191, 0.0136623, 0.0138533, 0.0204873" \
+            "0.015159, 0.0147093, 0.0140181, 0.0136623, 0.0138533, 0.0204872" \
           );
         }
       }
@@ -44405,7 +44405,7 @@
             index_2 ("0.582289");
             index_3 ("0.203881, 0.203901, 2.05856, 4.11644, 6.18659, 7.61075, 8.56993, 10.3257, 13.217, 15.3749, 17.0126, 19.0963, 20.4229, 22.5238, 24.3959, 26.6789, 31.2449, 31.5508");
             values ( \
-              "1e-22, 0.0909562, 0.0781684, 0.0751074, 0.0713398, 0.068112, 0.0644527, 0.0548344, 0.0362531, 0.0245755, 0.017893, 0.0115233, 0.00865093, 0.00542213, 0.00354346, 0.00208799, 0.000699791, 0.000675661" \
+              "1e-22, 0.0909562, 0.0781684, 0.0751074, 0.0713398, 0.068112, 0.0644527, 0.0548344, 0.0362532, 0.0245755, 0.017893, 0.0115233, 0.00865094, 0.00542213, 0.00354346, 0.00208799, 0.000699791, 0.000675661" \
             );
           }
           vector (ccs_template) {
@@ -44477,7 +44477,7 @@
             index_2 ("0.00426101");
             index_3 ("0.612786, 0.642526, 0.773767, 0.820241, 0.861745, 0.90217, 0.933171, 0.980919, 1.0063, 1.0407, 1.0433, 1.05609, 1.06711, 1.1434, 1.20618, 1.27766, 1.36286, 1.45821, 1.57081");
             values ( \
-              "0.00446721, 0.00585578, 0.0151558, 0.0178493, 0.0190918, 0.0189438, 0.0200824, 0.0157942, 0.0143533, 0.0107197, 0.0111716, 0.0113595, 0.0112151, 0.00597572, 0.00322194, 0.00154401, 0.00061804, 0.000215581, 4.7767e-05" \
+              "0.00446721, 0.00585578, 0.0151558, 0.0178493, 0.0190918, 0.0189438, 0.0200824, 0.0157942, 0.0143533, 0.0107197, 0.0111716, 0.0113595, 0.0112151, 0.00597572, 0.00322194, 0.00154401, 0.00061804, 0.000215581, 4.77671e-05" \
             );
           }
           vector (ccs_template) {
@@ -44549,7 +44549,7 @@
             index_2 ("0.049811");
             index_3 ("2.15619, 2.41374, 2.51177, 3.033, 3.15658, 3.246, 3.43359, 3.54904, 3.60655, 3.61762, 3.70355, 3.74877, 3.851, 3.87637, 3.9025, 3.96327, 4.08309, 4.16507, 4.19363, 4.25074, 4.30784, 4.385, 4.49878, 4.53145, 4.59679, 4.67485, 4.79983, 4.84267, 4.85104, 4.86776, 4.90121, 4.95276, 5.05586, 5.20136, 5.25828, 5.3721, 5.99335, 6.48908");
             values ( \
-              "0.00831139, 0.0118227, 0.0157198, 0.038032, 0.0428262, 0.0457817, 0.0499563, 0.050955, 0.0517177, 0.0514778, 0.0511434, 0.0513116, 0.0481781, 0.0476657, 0.0480524, 0.0463443, 0.0407415, 0.0364067, 0.0355034, 0.0317673, 0.0292011, 0.0248761, 0.0202613, 0.0185748, 0.0168218, 0.0140414, 0.0113055, 0.00990172, 0.0101741, 0.00938683, 0.0091545, 0.00778511, 0.00657969, 0.00437476, 0.00423703, 0.00289688, 0.000472723, 0.000388996" \
+              "0.00831139, 0.0118227, 0.0157198, 0.038032, 0.0428262, 0.0457817, 0.0499563, 0.050955, 0.0517177, 0.0514778, 0.0511434, 0.0513116, 0.0481781, 0.0476657, 0.0480524, 0.0463443, 0.0407415, 0.0364067, 0.0355034, 0.0317673, 0.0292011, 0.0248761, 0.0202613, 0.0185748, 0.0168218, 0.0140414, 0.0113055, 0.00990172, 0.0101741, 0.00938683, 0.0091545, 0.0077851, 0.00657969, 0.00437476, 0.00423703, 0.00289688, 0.000472723, 0.000388996" \
             );
           }
           vector (ccs_template) {
@@ -44576,7 +44576,7 @@
             index_2 ("0.00124625");
             index_3 ("7.50656, 8.33715, 8.64779, 8.79585, 8.98613, 9.02263, 9.3186, 9.5166, 9.68226, 9.70525, 9.75124, 9.79692, 9.83602, 9.83939, 9.84612, 9.85959, 9.88653, 9.92586, 9.94937, 9.97255, 10.0012, 10.0204, 10.0358, 10.0568, 10.0855, 10.0964, 10.1075, 10.1214, 10.1548, 10.1783, 10.2093, 10.2955, 10.4383, 10.5513, 10.6604, 10.7002, 10.7171, 10.7508, 10.8182, 10.9532, 11.1497, 11.3966, 11.7105");
             values ( \
-              "5.07464e-05, 9.62112e-05, 0.000173477, 0.000263945, 0.000479138, 0.000529925, 0.000987924, 0.00127586, 0.00142968, 0.00140357, 0.0013902, 0.00145451, 0.00149609, 0.00145533, 0.00145567, 0.00142824, 0.00140167, 0.00137987, 0.00142874, 0.00153367, 0.00177823, 0.00188053, 0.00190125, 0.00189742, 0.00159618, 0.00160875, 0.00161113, 0.00168531, 0.00177155, 0.00176985, 0.00178688, 0.00162406, 0.000958309, 0.000525904, 0.000271588, 0.000230056, 0.000191505, 0.000172606, 0.000102194, 6.08334e-05, 1.32647e-05, 1.97086e-05, 8.67002e-07" \
+              "5.07464e-05, 9.62112e-05, 0.000173477, 0.000263945, 0.000479138, 0.000529925, 0.000987924, 0.00127586, 0.00142968, 0.00140357, 0.0013902, 0.00145451, 0.00149609, 0.00145533, 0.00145567, 0.00142824, 0.00140167, 0.00137987, 0.00142874, 0.00153367, 0.00177823, 0.00188053, 0.00190125, 0.00189742, 0.00159618, 0.00160875, 0.00161113, 0.00168531, 0.00177155, 0.00176985, 0.00178688, 0.00162406, 0.000958309, 0.000525904, 0.000271588, 0.000230056, 0.000191505, 0.000172606, 0.000102194, 6.08334e-05, 1.32647e-05, 1.97086e-05, 8.66989e-07" \
             );
           }
           vector (ccs_template) {
@@ -44594,7 +44594,7 @@
             index_2 ("0.0145686");
             index_3 ("7.73236, 8.54689, 8.8356, 9.1215, 10.0045, 10.3681, 10.4566, 10.5236, 10.5449, 10.6561, 10.7409, 10.9605, 11.3371, 11.6372, 11.9034, 12.0655");
             values ( \
-              "0.000811243, 0.00124305, 0.00209738, 0.00380313, 0.0107763, 0.0129633, 0.0130265, 0.013709, 0.0133881, 0.0134787, 0.014628, 0.0132017, 0.0057064, 0.00203296, 0.000720437, 0.000422848" \
+              "0.000811243, 0.00124305, 0.00209738, 0.00380313, 0.0107763, 0.0129633, 0.0130265, 0.013709, 0.0133881, 0.0134787, 0.014628, 0.0132017, 0.0057064, 0.00203296, 0.000720437, 0.000422847" \
             );
           }
           vector (ccs_template) {
@@ -44619,7 +44619,7 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.582289");
-            index_3 ("8.8999, 10.4511, 12.4457, 13.161, 14.2694, 15.1335, 15.798, 16.6669, 17.2173, 18.516, 19.1039, 19.3187, 19.9835, 20.8574, 21.6842, 25.1054, 27.1906, 28.4569, 29.2564, 30.8294, 31.8184, 33.9798, 36.0738, 37.2153, 39.4983, 41.7812, 42.8449");
+            index_3 ("8.8999, 10.4511, 12.4457, 13.161, 14.2694, 15.1335, 15.798, 16.6669, 17.2173, 18.516, 19.1039, 19.3187, 19.9835, 20.8574, 21.6842, 25.1054, 27.1906, 28.4569, 29.2564, 30.8294, 31.8184, 33.9798, 36.0738, 37.2153, 39.4983, 41.7812, 42.8448");
             values ( \
               "0.0174532, 0.0241192, 0.0487522, 0.056412, 0.0649431, 0.0693056, 0.0716321, 0.0735411, 0.0726775, 0.0699721, 0.0682764, 0.0680251, 0.0656416, 0.0615611, 0.0568587, 0.0352196, 0.0241275, 0.0187782, 0.0159951, 0.0115166, 0.00928582, 0.00576581, 0.00360303, 0.00280536, 0.00167103, 0.000994667, 0.000819966" \
             );
@@ -44881,7 +44881,7 @@
             index_2 ("0.00426101");
             index_3 ("1.71588, 1.85918, 1.92618, 2.01593, 2.08384, 2.1752, 2.32635, 2.36421, 2.39972, 2.45217, 2.47152, 2.50177, 2.54221, 2.55228, 2.57243, 2.62378, 2.65105, 2.68761, 2.73105, 2.77743, 2.81557, 2.86187, 2.90653");
             values ( \
-              "-0.00165753, -0.00175142, -0.00241266, -0.00374362, -0.00519239, -0.00734492, -0.0111102, -0.0123694, -0.0138729, -0.0153896, -0.015432, -0.0155886, -0.0149707, -0.0146971, -0.0135364, -0.00782692, -0.00643516, -0.00370679, -0.00237474, -0.00134571, -0.000792704, -0.000359463, -0.000200409" \
+              "-0.00165753, -0.00175142, -0.00241266, -0.00374362, -0.00519239, -0.00734492, -0.0111102, -0.0123694, -0.0138729, -0.0153896, -0.015432, -0.0155886, -0.0149707, -0.0146971, -0.0135364, -0.00782692, -0.00643516, -0.00370679, -0.00237474, -0.00134571, -0.000792704, -0.000359463, -0.00020041" \
             );
           }
           vector (ccs_template) {
@@ -44935,7 +44935,7 @@
             index_2 ("0.00426101");
             index_3 ("6.23281, 6.81709, 7.07863, 7.19269, 7.42083, 7.57323, 7.67786, 7.79743, 7.95582, 8.17867, 8.33759, 8.37898, 8.49156, 8.55789, 8.60724, 8.61471, 8.62964, 8.6595, 8.69832, 8.73757, 8.7602, 8.78486, 8.8024, 8.82666, 8.84252, 8.8592, 8.86751, 8.87898, 8.88975, 8.90024, 8.9122, 8.92645, 8.96927, 9.02606, 9.06781, 9.12757, 9.19076, 9.22166, 9.26286, 9.32311, 9.43573, 9.57948, 9.77875, 10.0714, 10.6566, 11.3704");
             values ( \
-              "-0.000308369, -0.000362874, -0.00054463, -0.000663215, -0.000978548, -0.00133329, -0.00166847, -0.00216619, -0.00290389, -0.00398104, -0.00483948, -0.00512612, -0.00601817, -0.00630391, -0.00635601, -0.0062664, -0.00631783, -0.00621376, -0.00624733, -0.00624581, -0.006393, -0.0063503, -0.00641336, -0.00625953, -0.00620287, -0.0059449, -0.00557713, -0.00554278, -0.00547683, -0.00571292, -0.0057616, -0.00600207, -0.00591693, -0.00471563, -0.00343664, -0.00202142, -0.0010576, -0.000766745, -0.000533013, -0.000268776, -0.000132935, -5.20959e-05, -6.73933e-05, -1.42758e-05, -2.7741e-05, -1e-22" \
+              "-0.000308369, -0.000362874, -0.00054463, -0.000663215, -0.000978548, -0.00133329, -0.00166847, -0.00216619, -0.00290389, -0.00398104, -0.00483948, -0.00512612, -0.00601817, -0.00630391, -0.00635601, -0.0062664, -0.00631783, -0.00621376, -0.00624733, -0.00624581, -0.006393, -0.0063503, -0.00641336, -0.00625953, -0.00620287, -0.0059449, -0.00557713, -0.00554278, -0.00547683, -0.00571292, -0.0057616, -0.00600207, -0.00591693, -0.00471563, -0.00343664, -0.00202142, -0.0010576, -0.000766745, -0.000533013, -0.000268776, -0.000132935, -5.20959e-05, -6.73932e-05, -1.42759e-05, -2.7741e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -44971,7 +44971,7 @@
             index_2 ("0.582289");
             index_3 ("7.47789, 8.46465, 9.2196, 11.8692, 12.9096, 13.6234, 13.806, 14.1713, 14.6305, 14.8118, 15.1279, 15.3546, 15.5132, 15.6702, 15.7323, 15.8622, 16.0415, 16.2525, 16.654, 17.6487, 18.2284, 18.6591, 19.1237, 19.6653, 20.0059, 20.3878, 20.8419, 21.4734, 21.731, 22.2461, 22.9599, 23.6737, 24.3875, 25.8151, 27.2427");
             values ( \
-              "-0.0203911, -0.0221195, -0.0357786, -0.0905086, -0.110332, -0.121268, -0.123497, -0.127155, -0.130195, -0.130939, -0.131455, -0.13114, -0.130331, -0.12892, -0.129275, -0.128226, -0.125691, -0.121693, -0.111926, -0.0804944, -0.0636678, -0.0527143, -0.0425211, -0.0326804, -0.0275687, -0.0226939, -0.0179137, -0.0127953, -0.0111456, -0.00843091, -0.00568715, -0.00382266, -0.00256162, -0.00115377, -0.000525622" \
+              "-0.0203911, -0.0221195, -0.0357786, -0.0905086, -0.110332, -0.121268, -0.123497, -0.127155, -0.130195, -0.130939, -0.131455, -0.13114, -0.130331, -0.12892, -0.129275, -0.128226, -0.125691, -0.121693, -0.111926, -0.0804944, -0.0636678, -0.0527143, -0.0425212, -0.0326804, -0.0275687, -0.0226939, -0.0179137, -0.0127953, -0.0111456, -0.00843091, -0.00568715, -0.00382266, -0.00256162, -0.00115377, -0.000525622" \
             );
           }
         }
@@ -45250,7 +45250,7 @@
             index_2 ("0.049811");
             index_3 ("0.657883, 0.757732, 0.820858, 0.868886, 0.952976, 1.05908, 1.08286, 1.17771, 1.32032, 1.39812, 1.48055, 1.52602, 1.60977, 1.64436, 1.68525, 1.9835, 2.11045, 2.24168, 2.3107, 2.45615, 2.5694, 2.65417, 2.80577, 3.00802, 3.21585, 3.53705, 3.93205");
             values ( \
-              "0.0352985, 0.0377657, 0.0496066, 0.0570506, 0.0661559, 0.0736077, 0.0738499, 0.0719199, 0.0682963, 0.0666895, 0.0638625, 0.0616345, 0.0553728, 0.0554445, 0.0536714, 0.0325036, 0.0248353, 0.0183713, 0.0157572, 0.0112943, 0.00860339, 0.00695163, 0.00470489, 0.00275675, 0.00157398, 0.000621601, 0.000199268" \
+              "0.0352985, 0.0377657, 0.0496066, 0.0570506, 0.0661559, 0.0736077, 0.0738499, 0.0719199, 0.0682963, 0.0666895, 0.0638625, 0.0616345, 0.0553728, 0.0554445, 0.0536714, 0.0325036, 0.0248353, 0.0183713, 0.0157572, 0.0112943, 0.00860339, 0.00695163, 0.00470489, 0.00275675, 0.00157398, 0.0006216, 0.000199268" \
             );
           }
           vector (ccs_template) {
@@ -45331,7 +45331,7 @@
             index_2 ("0.00124625");
             index_3 ("7.40883, 8.13408, 8.22425, 8.56368, 8.66438, 8.86578, 9.00289, 9.42677, 9.50517, 9.66197, 9.6712, 9.72655, 9.77791, 9.81796, 9.82572, 9.84126, 9.87232, 9.91092, 9.94271, 9.95896, 9.99002, 10.0335, 10.045, 10.0833, 10.1297, 10.1493, 10.1731, 10.2051, 10.2309, 10.2653, 10.3941, 10.4862, 10.5533, 10.6387, 10.6472, 10.6643, 10.7666, 10.9031, 11.0964, 11.3456, 11.6864, 12.0333");
             values ( \
-              "5.72834e-05, 7.5248e-05, 8.16437e-05, 0.000151857, 0.00019858, 0.0003354, 0.000510939, 0.00115906, 0.00126355, 0.00143037, 0.0014324, 0.00141385, 0.00145488, 0.00155929, 0.0015118, 0.00154698, 0.00149396, 0.00150537, 0.0014604, 0.00147644, 0.00175306, 0.00197557, 0.00197431, 0.00178294, 0.0019146, 0.00193685, 0.00193292, 0.00190035, 0.0018458, 0.00172246, 0.00101422, 0.000588304, 0.000378589, 0.000210928, 0.000212717, 0.000176919, 8.88889e-05, 5.11871e-05, 1.28452e-05, 1.86307e-05, 1e-22, 5.43425e-06" \
+              "5.72834e-05, 7.5248e-05, 8.16437e-05, 0.000151857, 0.00019858, 0.0003354, 0.000510939, 0.00115906, 0.00126355, 0.00143037, 0.0014324, 0.00141385, 0.00145488, 0.00155929, 0.0015118, 0.00154698, 0.00149396, 0.00150537, 0.0014604, 0.00147644, 0.00175306, 0.00197557, 0.00197431, 0.00178294, 0.0019146, 0.00193685, 0.00193292, 0.00190035, 0.0018458, 0.00172246, 0.00101422, 0.000588303, 0.000378588, 0.000210928, 0.000212717, 0.000176919, 8.8889e-05, 5.11872e-05, 1.28452e-05, 1.86308e-05, 1e-22, 5.43427e-06" \
             );
           }
           vector (ccs_template) {
@@ -45340,7 +45340,7 @@
             index_2 ("0.00426101");
             index_3 ("7.40814, 8.11023, 8.16542, 8.25106, 8.50799, 8.69678, 8.81397, 8.97023, 9.05538, 9.60932, 9.79237, 9.79621, 9.87302, 9.93801, 9.9599, 10.0037, 10.0585, 10.1154, 10.1348, 10.1699, 10.1928, 10.2283, 10.2408, 10.251, 10.2774, 10.3133, 10.3607, 10.3925, 10.4368, 10.6139, 10.6701, 10.7208, 10.7884, 10.867, 10.9203, 11.0271, 11.2066, 11.4206, 11.6943, 12.0728, 12.7214");
             values ( \
-              "0.000213679, 0.000223436, 0.000255502, 0.000279114, 0.00043411, 0.000643449, 0.000858858, 0.00131757, 0.00162929, 0.00389866, 0.00443238, 0.00446351, 0.00451055, 0.00481698, 0.00505079, 0.00494997, 0.00499081, 0.00469467, 0.00472243, 0.00491383, 0.00491642, 0.00602273, 0.00604046, 0.00596291, 0.00589863, 0.00590297, 0.00582748, 0.00567039, 0.00532168, 0.00286145, 0.00219065, 0.00168107, 0.00113601, 0.000718601, 0.000542481, 0.000273925, 0.000109627, 3.13853e-05, 2.88371e-05, 1e-22, 1.15979e-05" \
+              "0.000213679, 0.000223436, 0.000255502, 0.000279114, 0.00043411, 0.000643449, 0.000858858, 0.00131757, 0.00162929, 0.00389866, 0.00443238, 0.00446351, 0.00451055, 0.00481698, 0.00505079, 0.00494997, 0.00499081, 0.00469467, 0.00472243, 0.00491383, 0.00491642, 0.00602273, 0.00604046, 0.00596291, 0.00589863, 0.00590297, 0.00582748, 0.00567039, 0.00532168, 0.00286145, 0.00219065, 0.00168107, 0.00113601, 0.000718601, 0.000542481, 0.000273925, 0.000109627, 3.13853e-05, 2.88371e-05, 1e-22, 1.1598e-05" \
             );
           }
           vector (ccs_template) {
@@ -45411,7 +45411,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0300102, 0.0324865, 0.0362118, 0.0424618, 0.0517575, 0.0550169, 0.0613246, 0.0677446, 0.0805048, 0.0810871, 0.0974675, 0.105376, 0.114995, 0.143835, 0.168199, 0.186891, 0.206693, 0.224009, 0.247554, 0.267251, 0.317555, 0.338033, 0.403743");
             values ( \
-              "-0.0181104, -0.0182208, -0.0179264, -0.017982, -0.0177717, -0.0178452, -0.0175834, -0.0175947, -0.0173558, -0.0175055, -0.0184974, -0.018225, -0.0167803, -0.00893745, -0.00420283, -0.00296933, -0.00207242, -0.00140648, -0.000777898, -1.06754e-05, -4.34912e-05, -0.000158581, -0.000111699" \
+              "-0.0181104, -0.0182208, -0.0179264, -0.017982, -0.0177717, -0.0178452, -0.0175834, -0.0175947, -0.0173558, -0.0175055, -0.0184974, -0.018225, -0.0167803, -0.00893745, -0.00420283, -0.00296933, -0.00207242, -0.00140648, -0.000777898, -1.06755e-05, -4.34912e-05, -0.000158581, -0.000111699" \
             );
           }
           vector (ccs_template) {
@@ -45420,7 +45420,7 @@
             index_2 ("0.00426101");
             index_3 ("0.0300747, 0.0337562, 0.0346509, 0.0364403, 0.0385698, 0.0425966, 0.0466487, 0.0547511, 0.0629487, 0.0792812, 0.079474, 0.0852572, 0.090731, 0.0962335, 0.104635, 0.112819, 0.129128, 0.146438, 0.16796, 0.181187, 0.195682, 0.203396, 0.212702, 0.229894, 0.242026, 0.257363, 0.288531, 0.304759, 0.318651, 0.342524, 0.354829, 0.380407, 0.394975, 0.442444, 0.483923, 0.599444, 0.674325");
             values ( \
-              "-0.0357074, -0.0477573, -0.0480984, -0.0475481, -0.0478104, -0.0472505, -0.047528, -0.0469557, -0.0470124, -0.04586, -0.0460427, -0.0457938, -0.0456687, -0.0456985, -0.0461509, -0.0471336, -0.0469849, -0.0414227, -0.0298729, -0.0232993, -0.0172298, -0.0146284, -0.0119988, -0.00816796, -0.00609238, -0.00453708, -0.00261024, -0.00201987, -0.00169532, -0.00077589, -0.000551153, -0.0005158, -0.0005695, -0.000462903, -0.000275424, -5.0363e-05, -5.67753e-05" \
+              "-0.0357074, -0.0477573, -0.0480984, -0.0475481, -0.0478104, -0.0472505, -0.047528, -0.0469557, -0.0470124, -0.04586, -0.0460427, -0.0457938, -0.0456687, -0.0456985, -0.0461509, -0.0471336, -0.0469849, -0.0414227, -0.0298729, -0.0232993, -0.0172298, -0.0146284, -0.0119988, -0.00816796, -0.00609238, -0.00453708, -0.00261024, -0.00201987, -0.00169532, -0.00077589, -0.000551153, -0.0005158, -0.0005695, -0.000462903, -0.000275424, -5.0363e-05, -5.67752e-05" \
             );
           }
           vector (ccs_template) {
@@ -45627,7 +45627,7 @@
             index_2 ("0.00124625");
             index_3 ("1.73898, 1.88859, 1.91056, 1.95451, 2.01391, 2.20992, 2.27436, 2.32269, 2.32817, 2.35751, 2.37597, 2.39967, 2.42342, 2.42433, 2.44251, 2.45734, 2.46936, 2.47329, 2.48115, 2.49346, 2.51489, 2.52575, 2.5549, 2.56916, 2.57985, 2.59467, 2.61649, 2.63421, 2.66124, 2.69828, 2.73906, 2.78543, 2.83838, 2.90183, 2.98102, 3.43233");
             values ( \
-              "-0.000552374, -0.000722994, -0.000808346, -0.0010122, -0.00141715, -0.00317596, -0.00379075, -0.00446322, -0.00458049, -0.00475685, -0.0047162, -0.00472334, -0.00463845, -0.00466438, -0.00461269, -0.00447041, -0.00430117, -0.00445238, -0.00442163, -0.00448132, -0.00410822, -0.00370739, -0.00233296, -0.00143446, -0.00101912, -0.000774618, -0.000611341, -0.000527375, -0.000421499, -0.000304767, -0.000198505, -0.000118213, -6.02999e-05, -2.91787e-05, -1.05759e-05, -2.17341e-06" \
+              "-0.000552374, -0.000722994, -0.000808346, -0.0010122, -0.00141715, -0.00317596, -0.00379075, -0.00446322, -0.00458049, -0.00475685, -0.0047162, -0.00472334, -0.00463845, -0.00466438, -0.00461269, -0.00447041, -0.00430117, -0.00445238, -0.00442163, -0.00448132, -0.00410822, -0.00370739, -0.00233296, -0.00143446, -0.00101912, -0.000774618, -0.000611341, -0.000527375, -0.000421499, -0.000304767, -0.000198505, -0.000118213, -6.02999e-05, -2.91787e-05, -1.05759e-05, -2.17342e-06" \
             );
           }
           vector (ccs_template) {
@@ -45645,7 +45645,7 @@
             index_2 ("0.0145686");
             index_3 ("1.77113, 1.93064, 2.00733, 2.05237, 2.13635, 2.46318, 2.60307, 2.64308, 2.68008, 2.71292, 2.75811, 2.78162, 2.90263, 2.9752, 3.04343, 3.08255, 3.14618, 3.20153, 3.26852, 3.29401");
             values ( \
-              "-0.00420407, -0.00565853, -0.00774483, -0.00936638, -0.0130729, -0.0290971, -0.0370838, -0.0386413, -0.0390732, -0.038959, -0.037366, -0.0353644, -0.0165892, -0.00947026, -0.00540333, -0.00407064, -0.00252864, -0.00159036, -0.000888243, -0.00073085" \
+              "-0.00420407, -0.00565853, -0.00774483, -0.00936637, -0.0130729, -0.0290971, -0.0370838, -0.0386413, -0.0390732, -0.038959, -0.037366, -0.0353644, -0.0165892, -0.00947026, -0.00540334, -0.00407064, -0.00252864, -0.00159036, -0.000888242, -0.000730851" \
             );
           }
           vector (ccs_template) {
@@ -45681,7 +45681,7 @@
             index_2 ("0.00124625");
             index_3 ("6.31868, 6.85896, 7.17669, 7.34058, 7.51721, 7.61075, 7.73546, 8.07828, 8.20794, 8.35899, 8.39394, 8.42266, 8.47732, 8.5084, 8.57246, 8.59811, 8.64721, 8.67861, 8.74605, 8.83136, 8.95863, 9.03526, 9.12028, 9.32584, 9.49139, 9.72421, 10.0988, 10.1718");
             values ( \
-              "-0.000114255, -0.000116798, -0.000201626, -0.000275875, -0.000403001, -0.000509613, -0.000701557, -0.00130646, -0.00154748, -0.00193329, -0.00192455, -0.00189743, -0.00181799, -0.00180083, -0.00180057, -0.00186648, -0.0024229, -0.00248173, -0.00165941, -0.00151189, -0.000623002, -0.000279749, -0.000113582, -1.94188e-05, -3.74523e-05, -3.40224e-06, -2.24739e-05, -2.02527e-05" \
+              "-0.000114255, -0.000116798, -0.000201626, -0.000275875, -0.000403001, -0.000509613, -0.000701557, -0.00130646, -0.00154748, -0.00193329, -0.00192455, -0.00189743, -0.00181799, -0.00180083, -0.00180057, -0.00186648, -0.0024229, -0.00248173, -0.00165941, -0.00151189, -0.000623002, -0.000279749, -0.000113582, -1.94188e-05, -3.74523e-05, -3.40225e-06, -2.24739e-05, -2.02527e-05" \
             );
           }
           vector (ccs_template) {
@@ -45913,7 +45913,7 @@
             index_2 ("0.582289");
             index_3 ("0.203881, 0.203901, 2.05856, 4.11644, 6.18659, 7.61075, 8.56993, 10.3257, 13.217, 15.3749, 17.0126, 19.0963, 20.4229, 22.5238, 24.3959, 26.6789, 31.2449, 31.5508");
             values ( \
-              "1e-22, 0.0909562, 0.0781684, 0.0751074, 0.0713398, 0.068112, 0.0644527, 0.0548344, 0.0362531, 0.0245755, 0.017893, 0.0115233, 0.00865093, 0.00542213, 0.00354346, 0.00208799, 0.000699791, 0.000675661" \
+              "1e-22, 0.0909562, 0.0781684, 0.0751074, 0.0713398, 0.068112, 0.0644527, 0.0548344, 0.0362532, 0.0245755, 0.017893, 0.0115233, 0.00865094, 0.00542213, 0.00354346, 0.00208799, 0.000699791, 0.000675661" \
             );
           }
           vector (ccs_template) {
@@ -45985,7 +45985,7 @@
             index_2 ("0.00426101");
             index_3 ("0.612786, 0.642526, 0.773767, 0.820241, 0.861745, 0.90217, 0.933171, 0.980919, 1.0063, 1.0407, 1.0433, 1.05609, 1.06711, 1.1434, 1.20618, 1.27766, 1.36286, 1.45821, 1.57081");
             values ( \
-              "0.00446721, 0.00585578, 0.0151558, 0.0178493, 0.0190918, 0.0189438, 0.0200824, 0.0157942, 0.0143533, 0.0107197, 0.0111716, 0.0113595, 0.0112151, 0.00597572, 0.00322194, 0.00154401, 0.00061804, 0.000215581, 4.7767e-05" \
+              "0.00446721, 0.00585578, 0.0151558, 0.0178493, 0.0190918, 0.0189438, 0.0200824, 0.0157942, 0.0143533, 0.0107197, 0.0111716, 0.0113595, 0.0112151, 0.00597572, 0.00322194, 0.00154401, 0.00061804, 0.000215581, 4.77671e-05" \
             );
           }
           vector (ccs_template) {
@@ -46057,7 +46057,7 @@
             index_2 ("0.049811");
             index_3 ("2.15619, 2.41374, 2.51177, 3.033, 3.15658, 3.246, 3.43359, 3.54904, 3.60655, 3.61762, 3.70355, 3.74877, 3.851, 3.87637, 3.9025, 3.96327, 4.08309, 4.16507, 4.19363, 4.25074, 4.30784, 4.385, 4.49878, 4.53145, 4.59679, 4.67485, 4.79983, 4.84267, 4.85104, 4.86776, 4.90121, 4.95276, 5.05586, 5.20136, 5.25828, 5.3721, 5.99335, 6.48908");
             values ( \
-              "0.00831139, 0.0118227, 0.0157198, 0.038032, 0.0428262, 0.0457817, 0.0499563, 0.050955, 0.0517177, 0.0514778, 0.0511434, 0.0513116, 0.0481781, 0.0476657, 0.0480524, 0.0463443, 0.0407415, 0.0364067, 0.0355034, 0.0317673, 0.0292011, 0.0248761, 0.0202613, 0.0185748, 0.0168218, 0.0140414, 0.0113055, 0.00990172, 0.0101741, 0.00938683, 0.0091545, 0.00778511, 0.00657969, 0.00437476, 0.00423703, 0.00289688, 0.000472723, 0.000388996" \
+              "0.00831139, 0.0118227, 0.0157198, 0.038032, 0.0428262, 0.0457817, 0.0499563, 0.050955, 0.0517177, 0.0514778, 0.0511434, 0.0513116, 0.0481781, 0.0476657, 0.0480524, 0.0463443, 0.0407415, 0.0364067, 0.0355034, 0.0317673, 0.0292011, 0.0248761, 0.0202613, 0.0185748, 0.0168218, 0.0140414, 0.0113055, 0.00990172, 0.0101741, 0.00938683, 0.0091545, 0.0077851, 0.00657969, 0.00437476, 0.00423703, 0.00289688, 0.000472723, 0.000388996" \
             );
           }
           vector (ccs_template) {
@@ -46084,7 +46084,7 @@
             index_2 ("0.00124625");
             index_3 ("7.50656, 8.33715, 8.64779, 8.79585, 8.98613, 9.02263, 9.3186, 9.5166, 9.68226, 9.70525, 9.75124, 9.79692, 9.83602, 9.83939, 9.84612, 9.85959, 9.88653, 9.92586, 9.94937, 9.97255, 10.0012, 10.0204, 10.0358, 10.0568, 10.0855, 10.0964, 10.1075, 10.1214, 10.1548, 10.1783, 10.2093, 10.2955, 10.4383, 10.5513, 10.6604, 10.7002, 10.7171, 10.7508, 10.8182, 10.9532, 11.1497, 11.3966, 11.7105");
             values ( \
-              "5.07464e-05, 9.62112e-05, 0.000173477, 0.000263945, 0.000479138, 0.000529925, 0.000987924, 0.00127586, 0.00142968, 0.00140357, 0.0013902, 0.00145451, 0.00149609, 0.00145533, 0.00145567, 0.00142824, 0.00140167, 0.00137987, 0.00142874, 0.00153367, 0.00177823, 0.00188053, 0.00190125, 0.00189742, 0.00159618, 0.00160875, 0.00161113, 0.00168531, 0.00177155, 0.00176985, 0.00178688, 0.00162406, 0.000958309, 0.000525904, 0.000271588, 0.000230056, 0.000191505, 0.000172606, 0.000102194, 6.08334e-05, 1.32647e-05, 1.97086e-05, 8.67002e-07" \
+              "5.07464e-05, 9.62112e-05, 0.000173477, 0.000263945, 0.000479138, 0.000529925, 0.000987924, 0.00127586, 0.00142968, 0.00140357, 0.0013902, 0.00145451, 0.00149609, 0.00145533, 0.00145567, 0.00142824, 0.00140167, 0.00137987, 0.00142874, 0.00153367, 0.00177823, 0.00188053, 0.00190125, 0.00189742, 0.00159618, 0.00160875, 0.00161113, 0.00168531, 0.00177155, 0.00176985, 0.00178688, 0.00162406, 0.000958309, 0.000525904, 0.000271588, 0.000230056, 0.000191505, 0.000172606, 0.000102194, 6.08334e-05, 1.32647e-05, 1.97086e-05, 8.66989e-07" \
             );
           }
           vector (ccs_template) {
@@ -46102,7 +46102,7 @@
             index_2 ("0.0145686");
             index_3 ("7.73236, 8.54689, 8.8356, 9.1215, 10.0045, 10.3681, 10.4566, 10.5236, 10.5449, 10.6561, 10.7409, 10.9605, 11.3371, 11.6372, 11.9034, 12.0655");
             values ( \
-              "0.000811243, 0.00124305, 0.00209738, 0.00380313, 0.0107763, 0.0129633, 0.0130265, 0.013709, 0.0133881, 0.0134787, 0.014628, 0.0132017, 0.0057064, 0.00203296, 0.000720437, 0.000422848" \
+              "0.000811243, 0.00124305, 0.00209738, 0.00380313, 0.0107763, 0.0129633, 0.0130265, 0.013709, 0.0133881, 0.0134787, 0.014628, 0.0132017, 0.0057064, 0.00203296, 0.000720437, 0.000422847" \
             );
           }
           vector (ccs_template) {
@@ -46127,7 +46127,7 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.582289");
-            index_3 ("8.8999, 10.4511, 12.4457, 13.161, 14.2694, 15.1335, 15.798, 16.6669, 17.2173, 18.516, 19.1039, 19.3187, 19.9835, 20.8574, 21.6842, 25.1054, 27.1906, 28.4569, 29.2564, 30.8294, 31.8184, 33.9798, 36.0738, 37.2153, 39.4983, 41.7812, 42.8449");
+            index_3 ("8.8999, 10.4511, 12.4457, 13.161, 14.2694, 15.1335, 15.798, 16.6669, 17.2173, 18.516, 19.1039, 19.3187, 19.9835, 20.8574, 21.6842, 25.1054, 27.1906, 28.4569, 29.2564, 30.8294, 31.8184, 33.9798, 36.0738, 37.2153, 39.4983, 41.7812, 42.8448");
             values ( \
               "0.0174532, 0.0241192, 0.0487522, 0.056412, 0.0649431, 0.0693056, 0.0716321, 0.0735411, 0.0726775, 0.0699721, 0.0682764, 0.0680251, 0.0656416, 0.0615611, 0.0568587, 0.0352196, 0.0241275, 0.0187782, 0.0159951, 0.0115166, 0.00928582, 0.00576581, 0.00360303, 0.00280536, 0.00167103, 0.000994667, 0.000819966" \
             );
@@ -46164,7 +46164,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0300102, 0.0324865, 0.0362118, 0.0424618, 0.0517575, 0.0550169, 0.0613246, 0.0677446, 0.0805048, 0.0810871, 0.0974675, 0.105376, 0.114995, 0.143835, 0.168199, 0.186891, 0.206693, 0.224009, 0.247554, 0.267251, 0.317555, 0.338033, 0.403743");
             values ( \
-              "-0.0181104, -0.0182208, -0.0179264, -0.017982, -0.0177717, -0.0178452, -0.0175834, -0.0175947, -0.0173558, -0.0175055, -0.0184974, -0.018225, -0.0167803, -0.00893745, -0.00420283, -0.00296933, -0.00207242, -0.00140648, -0.000777898, -1.06754e-05, -4.34912e-05, -0.000158581, -0.000111699" \
+              "-0.0181104, -0.0182208, -0.0179264, -0.017982, -0.0177717, -0.0178452, -0.0175834, -0.0175947, -0.0173558, -0.0175055, -0.0184974, -0.018225, -0.0167803, -0.00893745, -0.00420283, -0.00296933, -0.00207242, -0.00140648, -0.000777898, -1.06755e-05, -4.34912e-05, -0.000158581, -0.000111699" \
             );
           }
           vector (ccs_template) {
@@ -46173,7 +46173,7 @@
             index_2 ("0.00426101");
             index_3 ("0.0300747, 0.0337562, 0.0346509, 0.0364403, 0.0385698, 0.0425966, 0.0466487, 0.0547511, 0.0629487, 0.0792812, 0.079474, 0.0852572, 0.090731, 0.0962335, 0.104635, 0.112819, 0.129128, 0.146438, 0.16796, 0.181187, 0.195682, 0.203396, 0.212702, 0.229894, 0.242026, 0.257363, 0.288531, 0.304759, 0.318651, 0.342524, 0.354829, 0.380407, 0.394975, 0.442444, 0.483923, 0.599444, 0.674325");
             values ( \
-              "-0.0357074, -0.0477573, -0.0480984, -0.0475481, -0.0478104, -0.0472505, -0.047528, -0.0469557, -0.0470124, -0.04586, -0.0460427, -0.0457938, -0.0456687, -0.0456985, -0.0461509, -0.0471336, -0.0469849, -0.0414227, -0.0298729, -0.0232993, -0.0172298, -0.0146284, -0.0119988, -0.00816796, -0.00609238, -0.00453708, -0.00261024, -0.00201987, -0.00169532, -0.00077589, -0.000551153, -0.0005158, -0.0005695, -0.000462903, -0.000275424, -5.0363e-05, -5.67753e-05" \
+              "-0.0357074, -0.0477573, -0.0480984, -0.0475481, -0.0478104, -0.0472505, -0.047528, -0.0469557, -0.0470124, -0.04586, -0.0460427, -0.0457938, -0.0456687, -0.0456985, -0.0461509, -0.0471336, -0.0469849, -0.0414227, -0.0298729, -0.0232993, -0.0172298, -0.0146284, -0.0119988, -0.00816796, -0.00609238, -0.00453708, -0.00261024, -0.00201987, -0.00169532, -0.00077589, -0.000551153, -0.0005158, -0.0005695, -0.000462903, -0.000275424, -5.0363e-05, -5.67752e-05" \
             );
           }
           vector (ccs_template) {
@@ -46380,7 +46380,7 @@
             index_2 ("0.00124625");
             index_3 ("1.73898, 1.88859, 1.91056, 1.95451, 2.01391, 2.20992, 2.27436, 2.32269, 2.32817, 2.35751, 2.37597, 2.39967, 2.42342, 2.42433, 2.44251, 2.45734, 2.46936, 2.47329, 2.48115, 2.49346, 2.51489, 2.52575, 2.5549, 2.56916, 2.57985, 2.59467, 2.61649, 2.63421, 2.66124, 2.69828, 2.73906, 2.78543, 2.83838, 2.90183, 2.98102, 3.43233");
             values ( \
-              "-0.000552374, -0.000722994, -0.000808346, -0.0010122, -0.00141715, -0.00317596, -0.00379075, -0.00446322, -0.00458049, -0.00475685, -0.0047162, -0.00472334, -0.00463845, -0.00466438, -0.00461269, -0.00447041, -0.00430117, -0.00445238, -0.00442163, -0.00448132, -0.00410822, -0.00370739, -0.00233296, -0.00143446, -0.00101912, -0.000774618, -0.000611341, -0.000527375, -0.000421499, -0.000304767, -0.000198505, -0.000118213, -6.02999e-05, -2.91787e-05, -1.05759e-05, -2.17341e-06" \
+              "-0.000552374, -0.000722994, -0.000808346, -0.0010122, -0.00141715, -0.00317596, -0.00379075, -0.00446322, -0.00458049, -0.00475685, -0.0047162, -0.00472334, -0.00463845, -0.00466438, -0.00461269, -0.00447041, -0.00430117, -0.00445238, -0.00442163, -0.00448132, -0.00410822, -0.00370739, -0.00233296, -0.00143446, -0.00101912, -0.000774618, -0.000611341, -0.000527375, -0.000421499, -0.000304767, -0.000198505, -0.000118213, -6.02999e-05, -2.91787e-05, -1.05759e-05, -2.17342e-06" \
             );
           }
           vector (ccs_template) {
@@ -46398,7 +46398,7 @@
             index_2 ("0.0145686");
             index_3 ("1.77113, 1.93064, 2.00733, 2.05237, 2.13635, 2.46318, 2.60307, 2.64308, 2.68008, 2.71292, 2.75811, 2.78162, 2.90263, 2.9752, 3.04343, 3.08255, 3.14618, 3.20153, 3.26852, 3.29401");
             values ( \
-              "-0.00420407, -0.00565853, -0.00774483, -0.00936638, -0.0130729, -0.0290971, -0.0370838, -0.0386413, -0.0390732, -0.038959, -0.037366, -0.0353644, -0.0165892, -0.00947026, -0.00540333, -0.00407064, -0.00252864, -0.00159036, -0.000888243, -0.00073085" \
+              "-0.00420407, -0.00565853, -0.00774483, -0.00936637, -0.0130729, -0.0290971, -0.0370838, -0.0386413, -0.0390732, -0.038959, -0.037366, -0.0353644, -0.0165892, -0.00947026, -0.00540334, -0.00407064, -0.00252864, -0.00159036, -0.000888242, -0.000730851" \
             );
           }
           vector (ccs_template) {
@@ -46434,7 +46434,7 @@
             index_2 ("0.00124625");
             index_3 ("6.31868, 6.85896, 7.17669, 7.34058, 7.51721, 7.61075, 7.73546, 8.07828, 8.20794, 8.35899, 8.39394, 8.42266, 8.47732, 8.5084, 8.57246, 8.59811, 8.64721, 8.67861, 8.74605, 8.83136, 8.95863, 9.03526, 9.12028, 9.32584, 9.49139, 9.72421, 10.0988, 10.1718");
             values ( \
-              "-0.000114255, -0.000116798, -0.000201626, -0.000275875, -0.000403001, -0.000509613, -0.000701557, -0.00130646, -0.00154748, -0.00193329, -0.00192455, -0.00189743, -0.00181799, -0.00180083, -0.00180057, -0.00186648, -0.0024229, -0.00248173, -0.00165941, -0.00151189, -0.000623002, -0.000279749, -0.000113582, -1.94188e-05, -3.74523e-05, -3.40224e-06, -2.24739e-05, -2.02527e-05" \
+              "-0.000114255, -0.000116798, -0.000201626, -0.000275875, -0.000403001, -0.000509613, -0.000701557, -0.00130646, -0.00154748, -0.00193329, -0.00192455, -0.00189743, -0.00181799, -0.00180083, -0.00180057, -0.00186648, -0.0024229, -0.00248173, -0.00165941, -0.00151189, -0.000623002, -0.000279749, -0.000113582, -1.94188e-05, -3.74523e-05, -3.40225e-06, -2.24739e-05, -2.02527e-05" \
             );
           }
           vector (ccs_template) {
@@ -46544,8 +46544,8 @@
           values ( \
             "0.08246, 0.101077, 0.162838, 0.369736, 1.07237, 3.47101", \
             "0.0915464, 0.110463, 0.172633, 0.379756, 1.08231, 3.48077", \
-            "0.129215, 0.14792, 0.210741, 0.418737, 1.12181, 3.52042", \
-            "0.209229, 0.244995, 0.343635, 0.576777, 1.27939, 3.67807", \
+            "0.129236, 0.14792, 0.210741, 0.418709, 1.12177, 3.5204", \
+            "0.209229, 0.244995, 0.343623, 0.576777, 1.27942, 3.67807", \
             "0.26697, 0.334918, 0.525138, 0.978576, 1.91714, 4.31278", \
             "0.0404433, 0.164757, 0.517039, 1.37316, 3.20406, 6.78359" \
           );
@@ -46556,8 +46556,8 @@
           values ( \
             "0.0765, 0.0994836, 0.179728, 0.456185, 1.39767, 4.60412", \
             "0.0761417, 0.0991701, 0.179475, 0.455694, 1.3971, 4.60363", \
-            "0.083554, 0.103652, 0.17958, 0.455553, 1.3969, 4.60333", \
-            "0.167667, 0.19245, 0.264323, 0.484224, 1.39684, 4.60339", \
+            "0.0835485, 0.103652, 0.17958, 0.455538, 1.39693, 4.60334", \
+            "0.167667, 0.19245, 0.264329, 0.484224, 1.39683, 4.60339", \
             "0.38353, 0.427912, 0.561415, 0.87484, 1.60775, 4.60322", \
             "0.949584, 1.04784, 1.29533, 1.88827, 3.12023, 5.70707" \
           );
@@ -46569,7 +46569,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0466276, 0.0524151, 0.0546912, 0.0608482, 0.0730465, 0.0982693, 0.114402, 0.11705, 0.122345, 0.132936, 0.13765, 0.147078, 0.157962, 0.162467, 0.171477, 0.181674, 0.188809, 0.198104, 0.210029, 0.219703, 0.22689, 0.23537, 0.24292, 0.244683, 0.248208, 0.255258, 0.265488, 0.283398, 0.293346, 0.31256, 0.314661, 0.318864, 0.324357, 0.332962, 0.340553, 0.349374, 0.359225, 0.370809, 0.377764, 0.391674, 0.410761, 0.438801, 0.45423, 0.458668, 0.467545, 0.485297, 0.517102, 0.578887, 0.669225, 0.783476");
             values ( \
-              "0.00261646, 0.0140367, 0.0140137, 0.0138817, 0.0135347, 0.0127279, 0.0120073, 0.0118441, 0.0114447, 0.0101231, 0.0104657, 0.00882262, 0.00890331, 0.0075201, 0.00721143, 0.00571833, 0.0058855, 0.00503455, 0.00506079, 0.00454824, 0.00521419, 0.00444189, 0.00454457, 0.00420489, 0.00414961, 0.00380121, 0.00349803, 0.00287163, 0.00289072, 0.00264377, 0.00252716, 0.00247673, 0.00222019, 0.00201607, 0.00175635, 0.00166888, 0.00144487, 0.00136639, 0.00120491, 0.00111885, 0.00084581, 0.00068422, 0.000504026, 0.000552903, 0.000426669, 0.00041576, 0.000221337, 0.000158883, 1e-22, 4.51843e-05" \
+              "0.00261646, 0.0140367, 0.0140137, 0.0138817, 0.0135347, 0.0127279, 0.0120073, 0.0118441, 0.0114447, 0.0101231, 0.0104657, 0.00882262, 0.00890331, 0.0075201, 0.00721143, 0.00571833, 0.0058855, 0.00503454, 0.00506079, 0.00454824, 0.00521419, 0.00444189, 0.00454457, 0.00420489, 0.00414961, 0.00380121, 0.00349803, 0.00287163, 0.00289072, 0.00264377, 0.00252716, 0.00247673, 0.00222019, 0.00201607, 0.00175635, 0.00166888, 0.00144487, 0.00136639, 0.00120491, 0.00111885, 0.00084581, 0.00068422, 0.000504026, 0.000552903, 0.000426669, 0.00041576, 0.000221337, 0.000158883, 1e-22, 4.51843e-05" \
             );
           }
           vector (ccs_template) {
@@ -46749,7 +46749,7 @@
             index_2 ("0.0145686");
             index_3 ("0.623512, 0.664565, 0.798836, 0.837338, 0.899753, 0.956761, 1.01288, 1.04086, 1.05143, 1.09948, 1.14248, 1.2165, 1.23103, 1.23744, 1.25026, 1.26593, 1.28281, 1.30411, 1.3492, 1.4134, 1.48212, 1.53871, 1.63613, 1.71445, 1.80602, 1.93254, 2.12256, 2.35312");
             values ( \
-              "0.0106367, 0.0149377, 0.0343222, 0.0393116, 0.0447617, 0.0471593, 0.046508, 0.0438555, 0.0443298, 0.0379969, 0.0331819, 0.024121, 0.0249698, 0.0240022, 0.0237676, 0.0221886, 0.0210698, 0.018778, 0.0156226, 0.0116189, 0.00810403, 0.0059366, 0.00340471, 0.00216117, 0.00130843, 0.000558637, 0.000199473, 2.74308e-06" \
+              "0.0106367, 0.0149377, 0.0343222, 0.0393116, 0.0447617, 0.0471593, 0.046508, 0.0438555, 0.0443298, 0.0379969, 0.0331819, 0.024121, 0.0249698, 0.0240022, 0.0237676, 0.0221886, 0.0210698, 0.018778, 0.0156226, 0.0116189, 0.00810403, 0.0059366, 0.00340471, 0.00216117, 0.00130843, 0.000558637, 0.000199473, 2.74309e-06" \
             );
           }
           vector (ccs_template) {
@@ -46758,7 +46758,7 @@
             index_2 ("0.049811");
             index_3 ("0.646325, 0.717546, 0.791414, 0.879615, 0.967728, 1.04066, 1.05643, 1.07695, 1.09491, 1.26764, 1.35739, 1.40189, 1.47054, 1.59422, 1.67472, 1.697, 1.71671, 1.72079, 1.72895, 1.75891, 1.92812, 2.07648, 2.238, 2.37886, 2.54532, 2.65767, 2.75561, 2.88918, 3.04123, 3.24396, 3.49164, 3.89153, 4.38712");
             values ( \
-              "0.0210407, 0.0293501, 0.0437147, 0.0580624, 0.0673804, 0.072007, 0.0736112, 0.0738329, 0.0731961, 0.0691915, 0.0659199, 0.064767, 0.0609071, 0.054957, 0.0495884, 0.0486034, 0.0494792, 0.0487787, 0.0488516, 0.0474207, 0.0367937, 0.0281776, 0.0202192, 0.0151715, 0.0106409, 0.00828419, 0.00663183, 0.00479979, 0.00332575, 0.0020338, 0.00106842, 0.00038005, 7.68065e-05" \
+              "0.0210407, 0.0293501, 0.0437147, 0.0580624, 0.0673804, 0.072007, 0.0736112, 0.0738329, 0.0731961, 0.0691915, 0.0659199, 0.064767, 0.0609071, 0.054957, 0.0495884, 0.0486034, 0.0494792, 0.0487787, 0.0488516, 0.0474207, 0.0367937, 0.0281776, 0.0202192, 0.0151715, 0.0106409, 0.00828419, 0.00663183, 0.00479979, 0.00332575, 0.0020338, 0.00106842, 0.00038005, 7.68064e-05" \
             );
           }
           vector (ccs_template) {
@@ -46794,7 +46794,7 @@
             index_2 ("0.00426101");
             index_3 ("2.01802, 2.15006, 2.21731, 2.26504, 2.31436, 2.52653, 2.62387, 2.70625, 2.7818, 2.81888, 2.85162, 2.85659, 2.89817, 2.92392, 2.93246, 2.94904, 2.96288, 2.99114, 3.01702, 3.05147, 3.06771, 3.12251, 3.22184, 3.2537, 3.2907, 3.34004, 3.38091, 3.42771, 3.49011, 3.61492, 3.77092, 3.9678, 4.16538, 4.25484, 4.36667");
             values ( \
-              "0.00106869, 0.00125573, 0.00167517, 0.00223277, 0.00300431, 0.00701872, 0.00874607, 0.00989689, 0.0104252, 0.0111803, 0.0101606, 0.0103038, 0.00961531, 0.00909356, 0.00940307, 0.00862996, 0.0087076, 0.00946179, 0.00860593, 0.00647988, 0.00597526, 0.00512941, 0.0030345, 0.00248446, 0.00194696, 0.00138093, 0.00102758, 0.000727151, 0.000447819, 0.000155879, 3.75856e-05, 9.81052e-06, 4.51469e-06, 0.000160031, 6.0908e-05" \
+              "0.00106869, 0.00125573, 0.00167517, 0.00223277, 0.00300431, 0.00701872, 0.00874607, 0.00989689, 0.0104252, 0.0111803, 0.0101606, 0.0103038, 0.00961531, 0.00909356, 0.00940307, 0.00862996, 0.0087076, 0.00946179, 0.00860593, 0.00647988, 0.00597526, 0.00512941, 0.0030345, 0.00248446, 0.00194696, 0.00138093, 0.00102758, 0.000727151, 0.000447819, 0.000155879, 3.75856e-05, 9.81053e-06, 4.51469e-06, 0.000160031, 6.0908e-05" \
             );
           }
           vector (ccs_template) {
@@ -46839,7 +46839,7 @@
             index_2 ("0.00124625");
             index_3 ("7.44046, 8.11854, 8.33709, 8.57283, 8.6464, 8.79354, 8.9506, 9.23292, 9.42426, 9.55789, 9.63837, 9.68927, 9.73268, 9.77454, 9.84632, 9.8762, 9.90323, 9.90563, 9.94891, 9.99779, 10.0075, 10.0391, 10.0561, 10.0786, 10.1049, 10.1724, 10.1951, 10.3733, 10.3987, 10.4227, 10.4651, 10.6773, 10.8034, 10.816, 10.8412, 10.9896, 11.3767, 11.6469, 12.089, 12.6804, 13.0761");
             values ( \
-              "6.35719e-05, 6.88062e-05, 0.000109159, 0.000173336, 0.0002231, 0.000337364, 0.000557916, 0.00103181, 0.00131493, 0.00146072, 0.00143192, 0.00146059, 0.00151006, 0.00137756, 0.00126687, 0.00132979, 0.00164088, 0.00162865, 0.00180445, 0.00150965, 0.00150269, 0.00160309, 0.00159954, 0.00162028, 0.00162138, 0.00154513, 0.00149536, 0.000692721, 0.000661077, 0.00065962, 0.000624354, 0.000267062, 0.000148811, 0.000150285, 0.000125036, 6.48384e-05, 1.37804e-05, 1.47346e-05, 1e-22, 6.07625e-06, 3.64519e-06" \
+              "6.35721e-05, 6.88062e-05, 0.000109159, 0.000173336, 0.0002231, 0.000337364, 0.000557916, 0.00103181, 0.00131493, 0.00146072, 0.00143192, 0.00146059, 0.00151006, 0.00137756, 0.00126687, 0.00132979, 0.00164088, 0.00162865, 0.00180445, 0.00150965, 0.00150269, 0.00160309, 0.00159954, 0.00162028, 0.00162138, 0.00154513, 0.00149536, 0.000692721, 0.000661077, 0.00065962, 0.000624354, 0.000267061, 0.000148811, 0.000150285, 0.000125036, 6.48387e-05, 1.37805e-05, 1.47347e-05, 1e-22, 6.07629e-06, 3.64519e-06" \
             );
           }
           vector (ccs_template) {
@@ -46857,16 +46857,16 @@
             index_2 ("0.0145686");
             index_3 ("7.6867, 8.33647, 8.49098, 8.79273, 8.95029, 9.16086, 9.86688, 10.1016, 10.2755, 10.311, 10.3698, 10.4176, 10.4605, 10.4864, 10.5125, 10.5421, 10.5644, 10.5875, 10.6383, 10.695, 10.7046, 10.7237, 10.7534, 10.7842, 10.8216, 10.859, 10.9482, 11.0515, 11.1022, 11.1335, 11.2912, 11.4906, 11.651, 11.8512, 12.0602, 12.3699, 13.2352, 16.6656, 16.982");
             values ( \
-              "0.000966405, 0.000985137, 0.00122774, 0.00217953, 0.00308289, 0.00464057, 0.0103877, 0.0119975, 0.0128538, 0.0133266, 0.012996, 0.0130636, 0.012843, 0.0129699, 0.012865, 0.0130202, 0.0127728, 0.0136314, 0.0142829, 0.0140844, 0.0137823, 0.0140405, 0.0134251, 0.0134179, 0.0131494, 0.0132208, 0.0117897, 0.00910057, 0.0083243, 0.00824459, 0.00562898, 0.00308991, 0.00178351, 0.000867726, 0.00041664, 8.09605e-05, 1e-22, 2e-22, 5.44789e-05" \
+              "0.000966404, 0.000985138, 0.00122773, 0.00217953, 0.00308289, 0.00464057, 0.0103877, 0.0119975, 0.0128538, 0.0133266, 0.012996, 0.0130636, 0.012843, 0.0129699, 0.012865, 0.0130202, 0.0127728, 0.0136314, 0.0142829, 0.0140844, 0.0137823, 0.0140405, 0.0134251, 0.0134179, 0.0131494, 0.0132208, 0.0117897, 0.00910057, 0.0083243, 0.00824459, 0.00562898, 0.00308991, 0.00178351, 0.000867726, 0.00041664, 8.09605e-05, 1e-22, 2e-22, 5.44789e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.049811");
-            index_3 ("7.68982, 8.41909, 8.55956, 8.72011, 8.98605, 9.28757, 10.4639, 11.0067, 11.3287, 11.4079, 11.4745, 11.5522, 11.6116, 11.6319, 11.6645, 11.6956, 11.7258, 11.7568, 11.7977, 11.8526, 11.9173, 11.9928, 12.2365, 12.3518, 12.6943, 12.9118, 13.0433, 13.2996, 13.568, 13.7296, 14.0527, 14.5557, 15.1512, 16.6655, 16.9913");
+            index_3 ("7.68982, 8.41909, 8.55957, 8.72011, 8.98605, 9.28757, 10.4639, 11.0067, 11.3287, 11.4079, 11.4745, 11.5522, 11.6116, 11.6319, 11.6645, 11.6956, 11.7258, 11.7568, 11.7977, 11.8526, 11.9173, 11.9928, 12.2365, 12.3518, 12.6943, 12.9118, 13.0433, 13.2996, 13.568, 13.7296, 14.0527, 14.5557, 15.1512, 16.6655, 16.9913");
             values ( \
-              "0.00244109, 0.00247923, 0.00297833, 0.00369015, 0.005322, 0.00807823, 0.0209394, 0.0264298, 0.0292418, 0.0292231, 0.0297035, 0.0297501, 0.0301036, 0.0299343, 0.0313738, 0.0314252, 0.0318754, 0.0315624, 0.031588, 0.0313163, 0.0307336, 0.0297355, 0.024275, 0.0222691, 0.0151299, 0.0109978, 0.00888219, 0.00564247, 0.00338501, 0.00247011, 0.00124046, 0.000388535, 7.60302e-05, 1e-22, 0.000125403" \
+              "0.00244109, 0.00247923, 0.00297834, 0.00369018, 0.00532201, 0.00807821, 0.0209394, 0.0264298, 0.0292418, 0.0292231, 0.0297035, 0.0297501, 0.0301036, 0.0299343, 0.0313738, 0.0314252, 0.0318754, 0.0315624, 0.031588, 0.0313163, 0.0307336, 0.0297355, 0.024275, 0.0222691, 0.0151299, 0.0109978, 0.00888219, 0.00564247, 0.00338501, 0.00247011, 0.00124046, 0.000388535, 7.60302e-05, 1e-22, 0.000125403" \
             );
           }
           vector (ccs_template) {
@@ -46875,7 +46875,7 @@
             index_2 ("0.170307");
             index_3 ("8.34084, 9.61444, 12.1438, 12.5857, 12.92, 13.3639, 13.6208, 13.821, 13.8401, 13.8781, 13.956, 14.133, 14.7052, 15.0638, 16.1361, 16.4936, 17.1401, 17.6991, 18.0764, 18.3717, 18.761, 19.2801, 20.3181, 20.4088");
             values ( \
-              "0.00705639, 0.0134811, 0.043872, 0.0487082, 0.0516736, 0.0547601, 0.055093, 0.0549892, 0.0546253, 0.0556104, 0.0559896, 0.0549269, 0.0489578, 0.0434868, 0.0251196, 0.0199657, 0.0128324, 0.00837554, 0.00620984, 0.00490651, 0.00358401, 0.00233696, 0.000941387, 0.000892814" \
+              "0.0070564, 0.0134811, 0.043872, 0.0487082, 0.0516736, 0.0547601, 0.055093, 0.0549892, 0.0546253, 0.0556104, 0.0559896, 0.0549269, 0.0489578, 0.0434868, 0.0251196, 0.0199657, 0.0128324, 0.00837554, 0.00620984, 0.00490651, 0.00358401, 0.00233696, 0.000941387, 0.000892814" \
             );
           }
           vector (ccs_template) {
@@ -46894,8 +46894,8 @@
           values ( \
             "0.00808612, 0.00812515, 0.00817594, 0.00821005, 0.00822406, 0.00822866", \
             "0.0094949, 0.00954762, 0.0096343, 0.0097086, 0.00974314, 0.009755", \
-            "0.0101298, 0.0101599, 0.0102024, 0.0102666, 0.0103053, 0.01032", \
-            "0.0106041, 0.0105774, 0.0105357, 0.0105135, 0.0105164, 0.0105214", \
+            "0.0101289, 0.0101599, 0.0102024, 0.0102672, 0.0103059, 0.0103205", \
+            "0.0106041, 0.0105774, 0.0105357, 0.0105135, 0.0105163, 0.0105214", \
             "0.010991, 0.0109488, 0.0108602, 0.0107277, 0.0106282, 0.0105902", \
             "0.0114066, 0.0113393, 0.0111901, 0.0110077, 0.0108147, 0.0106735" \
           );
@@ -46906,8 +46906,8 @@
           values ( \
             "0.0101721, 0.0102032, 0.0102524, 0.0102891, 0.0103051, 0.0103105", \
             "0.0103472, 0.0103067, 0.0102483, 0.0102047, 0.0101865, 0.0101801", \
-            "0.0105553, 0.010421, 0.0102227, 0.0100394, 0.00994584, 0.00991246", \
-            "0.0105361, 0.0107943, 0.0106666, 0.0101729, 0.00991206, 0.00981557", \
+            "0.0105562, 0.010421, 0.0102227, 0.0100384, 0.00994225, 0.00991025", \
+            "0.0105361, 0.0107943, 0.0106663, 0.0101729, 0.0099112, 0.00981557", \
             "0.00929674, 0.00936728, 0.00950738, 0.00967335, 0.0102234, 0.00990645", \
             "0.00854689, 0.0086492, 0.00894207, 0.0092637, 0.00928478, 0.010311" \
           );
@@ -47025,9 +47025,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.150673, 0.184321, 0.204613, 0.231205, 0.24616, 0.252993, 0.25614, 0.257632, 0.259827, 0.260627, 0.261741, 0.263001, 0.265521, 0.26904, 0.273659, 0.279818, 0.28847, 0.305474, 0.320599, 0.325946, 0.33229, 0.343438, 0.359956, 0.380432, 0.390986, 0.39658, 0.403845, 0.412489, 0.420146, 0.425548, 0.431715, 0.444105, 0.451094, 0.460386, 0.472597, 0.490546, 0.516596, 0.548827, 0.585108, 0.630011, 0.684537");
+            index_3 ("0.157777, 0.170842, 0.204542, 0.246481, 0.262, 0.274296, 0.281093, 0.309408, 0.327108, 0.34463, 0.359288, 0.391183, 0.414369, 0.427175, 0.446126, 0.477327, 0.524008");
             values ( \
-              "-0.000412012, -0.00822285, -0.010946, -0.0140633, -0.0159588, -0.0170846, -0.0179885, -0.0180782, -0.0185819, -0.019347, -0.0196173, -0.0195401, -0.0195251, -0.0194151, -0.0190844, -0.0180575, -0.0156107, -0.0101724, -0.00633171, -0.00514213, -0.00408336, -0.00310183, -0.00220114, -0.00122355, -0.000847704, -0.000784408, -0.000640713, -0.000563294, -0.000159256, -4.34768e-05, -1e-22, -2e-22, -0.000154913, -0.000121139, -0.000289629, -0.000184048, -0.000250097, -6.23364e-05, -0.000119451, -1e-22, -6.68277e-05" \
+              "-0.000619747, -0.00626105, -0.0109382, -0.0159291, -0.0196152, -0.0190516, -0.0178357, -0.00917582, -0.00497701, -0.00304673, -0.0022572, -0.000856214, -0.000507906, -1.75391e-06, -1e-22, -0.000289529, -0.000208702" \
             );
           }
           vector (ccs_template) {
@@ -47036,7 +47036,7 @@
             index_2 ("0.00426101");
             index_3 ("0.156899, 0.181093, 0.213655, 0.259987, 0.262197, 0.266616, 0.272303, 0.277283, 0.281595, 0.285957, 0.293234, 0.309331, 0.339028, 0.362768, 0.393914, 0.401645, 0.414805, 0.426013, 0.437815, 0.450033, 0.462817, 0.472257, 0.482129, 0.490918, 0.50471, 0.514637, 0.526119, 0.538532, 0.557513, 0.580702, 0.618856, 0.661792");
             values ( \
-              "-0.00249435, -0.020768, -0.0321923, -0.0461197, -0.0497955, -0.0474278, -0.0498538, -0.0488948, -0.0504769, -0.0497208, -0.0502588, -0.0455817, -0.0267942, -0.0152366, -0.00629242, -0.00574526, -0.00290305, -0.00311448, -0.00203666, -0.00299788, -0.00186114, -0.00258289, -0.00101573, -0.00156177, -0.000319336, -0.00127421, -0.000287525, -0.00122915, -0.000159799, -0.00097811, -1e-22, -0.000599451" \
+              "-0.00249435, -0.020768, -0.0321923, -0.0461197, -0.0497955, -0.0474278, -0.0498538, -0.0488948, -0.0504769, -0.0497208, -0.0502588, -0.0455817, -0.0267942, -0.0152366, -0.00629242, -0.00574526, -0.00290305, -0.00311448, -0.00203666, -0.00299788, -0.00186114, -0.00258289, -0.00101573, -0.00156177, -0.000319336, -0.00127421, -0.000287525, -0.00122915, -0.000159799, -0.000978109, -1e-22, -0.000599451" \
             );
           }
           vector (ccs_template) {
@@ -47052,27 +47052,27 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.049811");
-            index_3 ("0.18614, 0.233011, 0.264281, 0.26945, 0.27293, 0.462445, 0.54897, 0.60992, 0.644391, 0.690353, 0.716907, 0.785302, 0.829515, 0.961192, 1.03132, 1.07272, 1.16023, 1.21363, 1.30793, 1.37696, 1.452, 1.62844, 1.65685");
+            index_3 ("0.18304, 0.217376, 0.264312, 0.269483, 0.272676, 0.44107, 0.570186, 0.592811, 0.621485, 0.658075, 0.699041, 0.750563, 0.835402, 0.940855, 1.01104, 1.0602, 1.14692, 1.19646, 1.24395, 1.3063, 1.43275, 1.60447, 1.68727");
             values ( \
-              "-0.0853722, -0.105997, -0.132692, -0.133417, -0.132826, -0.126704, -0.125069, -0.122597, -0.118827, -0.11015, -0.103375, -0.0832835, -0.0683998, -0.0414311, -0.029612, -0.0239924, -0.0150427, -0.0111259, -0.00650451, -0.00435213, -0.00279169, -0.00109483, -0.00103943" \
+              "-0.0792529, -0.0920023, -0.132689, -0.133416, -0.132834, -0.127399, -0.124577, -0.123608, -0.12156, -0.116636, -0.108026, -0.0938397, -0.0667235, -0.0452931, -0.0327393, -0.025592, -0.0161932, -0.0122347, -0.00936039, -0.00656622, -0.00312117, -0.00122535, -0.00103796" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.170307");
-            index_3 ("0.178342, 0.264485, 0.274006, 0.282015, 0.293038, 0.304091, 0.323601, 0.353252, 0.400444, 0.405698, 0.416208, 0.611282, 0.820436, 1.07806, 1.29438, 1.37132, 1.47525, 1.5803, 1.66366, 1.71587, 1.73959, 1.79832, 1.87775, 2.15603, 2.34286, 2.48112, 2.55342, 2.66598, 2.81301, 2.93157, 3.07683, 3.28165, 3.41574, 3.49971, 3.66766, 3.94525, 4.26645, 4.65294, 5.13672");
+            index_3 ("0.201681, 0.260146, 0.273516, 0.281423, 0.293125, 0.612051, 1.2523, 1.47664, 1.59041, 1.66914, 1.88133, 2.25742, 2.51944, 2.80428, 3.14579, 3.47945, 3.97942, 4.25975");
             values ( \
-              "-0.0623294, -0.151417, -0.152351, -0.151434, -0.151934, -0.151278, -0.151451, -0.150767, -0.150586, -0.150283, -0.150314, -0.148019, -0.145309, -0.141714, -0.1384, -0.136903, -0.133671, -0.128712, -0.122753, -0.118177, -0.115363, -0.111136, -0.104437, -0.0764227, -0.059263, -0.0483106, -0.0432356, -0.0361578, -0.0283941, -0.0232544, -0.0180915, -0.0125993, -0.00991865, -0.0085389, -0.00628612, -0.00374584, -0.0020266, -0.000995855, -0.000410765" \
+              "-0.146184, -0.146344, -0.152349, -0.151466, -0.151933, -0.148128, -0.139165, -0.13362, -0.128191, -0.122356, -0.104167, -0.0668965, -0.0456279, -0.0288373, -0.0160367, -0.00885903, -0.00350488, -0.00211301" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.582289");
-            index_3 ("0.176859, 0.270536, 0.277809, 0.290501, 0.304806, 0.325764, 0.333441, 0.348796, 0.379505, 0.426234, 0.519692, 0.532496, 0.558105, 0.86631, 1.54197, 2.24815, 2.93008, 3.64905, 3.97041, 4.21064, 4.46281, 4.56282, 4.63654, 4.69784, 4.76247, 4.82908, 5.00666, 5.18783, 5.41279, 6.74301, 7.3979, 7.66254, 8.04347, 8.54024, 8.8622, 9.37341, 9.95764, 10.4609, 10.9691, 11.6468, 12.398, 13.1491, 13.9003, 14.6515, 16.1538");
+            index_3 ("0.179196, 0.259072, 0.276464, 0.286357, 0.301578, 0.318569, 0.335571, 0.369575, 0.417713, 0.476077, 0.534573, 0.651565, 0.868412, 1.1924, 1.54411, 2.22248, 2.25006, 2.80167, 3.19316, 3.65119, 3.96621, 4.20222, 4.46669, 4.5662, 4.6404, 4.70179, 4.76517, 4.83179, 5.01001, 5.18999, 5.40603, 6.8598, 7.4418, 7.64629, 7.9212, 8.28383, 8.80185, 9.22985, 9.7012, 10.37, 10.7925, 11.0534, 11.5753, 12.3265, 13.0776, 13.8288, 14.58, 15.3311, 16.8335");
             values ( \
-              "-0.065975, -0.159207, -0.158288, -0.158931, -0.158265, -0.158643, -0.158244, -0.158448, -0.158115, -0.158154, -0.15763, -0.157751, -0.157523, -0.156528, -0.153962, -0.151015, -0.147919, -0.144004, -0.141776, -0.139706, -0.136718, -0.135331, -0.133825, -0.133908, -0.132654, -0.131941, -0.128199, -0.123635, -0.117284, -0.0755041, -0.0574691, -0.050975, -0.0427167, -0.0336231, -0.0286022, -0.0220776, -0.0162258, -0.0124304, -0.00945845, -0.0065059, -0.00430062, -0.00280735, -0.001857, -0.00120627, -0.000522749" \
+              "-0.0662386, -0.152698, -0.159113, -0.158301, -0.158859, -0.158204, -0.158609, -0.158063, -0.158281, -0.157708, -0.157848, -0.157095, -0.156635, -0.155104, -0.154066, -0.150973, -0.151023, -0.148551, -0.146611, -0.144003, -0.141827, -0.139808, -0.136693, -0.13531, -0.133805, -0.133897, -0.13264, -0.131935, -0.128175, -0.123636, -0.117547, -0.0721174, -0.0564348, -0.0514177, -0.0453071, -0.0381595, -0.0295185, -0.0237653, -0.018628, -0.013027, -0.0104018, -0.00902846, -0.00679533, -0.00447319, -0.00294047, -0.00192582, -0.00126861, -0.00083382, -0.000366982" \
             );
           }
           vector (ccs_template) {
@@ -47097,9 +47097,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0145686");
-            index_3 ("0.511897, 0.54879, 0.570727, 0.625782, 0.676073, 0.714824, 0.820965, 0.902414, 0.912401, 0.922547, 0.938774, 0.971228, 1.0407, 1.04405, 1.0635, 1.07782, 1.10016, 1.14082, 1.16811, 1.2, 1.22136, 1.24524, 1.29466, 1.36523, 1.48632, 1.5642");
+            index_3 ("0.504927, 0.548693, 0.58024, 0.617375, 0.642518, 0.688551, 0.771836, 0.820944, 0.902396, 0.912383, 0.92253, 0.938757, 0.971212, 1.0407, 1.04406, 1.05351, 1.06358, 1.07787, 1.10015, 1.12117, 1.14073, 1.16806, 1.17933, 1.19998, 1.22134, 1.24522, 1.29464, 1.36521, 1.41973, 1.4863, 1.56419");
             values ( \
-              "-0.0141286, -0.0143344, -0.0177985, -0.0278356, -0.0359902, -0.0418554, -0.0569809, -0.0714123, -0.0724241, -0.072859, -0.0716903, -0.0611296, -0.0295704, -0.0290738, -0.0219938, -0.0187463, -0.0150006, -0.00966227, -0.00679165, -0.00414689, -0.00311043, -0.0023661, -0.00152726, -0.000824711, -0.000240751, -0.000108154" \
+              "-0.00972678, -0.0142541, -0.0194742, -0.0263554, -0.0306242, -0.0379205, -0.050046, -0.0569626, -0.0713997, -0.0724224, -0.0728573, -0.0716891, -0.0611285, -0.0295659, -0.0290631, -0.0251153, -0.0219692, -0.0187349, -0.0150002, -0.0120602, -0.00967031, -0.00679487, -0.00575353, -0.00414705, -0.00311087, -0.00236617, -0.0015273, -0.000824754, -0.000493491, -0.000240775, -0.000108117" \
             );
           }
           vector (ccs_template) {
@@ -47115,9 +47115,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.170307");
-            index_3 ("0.617202, 0.795547, 0.946226, 1.04041, 1.06985, 1.36873, 1.58201, 1.79992, 1.9095, 1.99634, 2.07885, 2.15627, 2.20826, 2.25329, 2.28984, 2.38851, 2.80285, 2.95786, 3.06817, 3.19044, 3.352, 3.40043, 3.49728, 3.691, 3.85057, 3.92264, 4.06678, 4.29837, 4.55736, 4.88319, 5.25976, 5.78124");
+            index_3 ("0.586452, 0.688168, 0.806938, 0.946185, 1.04034, 1.06979, 1.36855, 1.67715, 1.79989, 1.90941, 1.99787, 2.07577, 2.15284, 2.20643, 2.25096, 2.29425, 2.39569, 2.76494, 2.93751, 3.04573, 3.20388, 3.35913, 3.48381, 3.6276, 3.83128, 3.96293, 4.04499, 4.19452, 4.41476, 4.72525, 5.07589, 5.53255, 6.12396");
             values ( \
-              "-0.0703102, -0.0900118, -0.126924, -0.14805, -0.149045, -0.145288, -0.142358, -0.139135, -0.137092, -0.134684, -0.131371, -0.126966, -0.123078, -0.119179, -0.115081, -0.107857, -0.0670565, -0.0538063, -0.0455606, -0.0375539, -0.0288461, -0.026564, -0.0225808, -0.0160821, -0.0121593, -0.0106615, -0.00825944, -0.00536829, -0.00333088, -0.00176952, -0.000911586, -0.000333663" \
+              "-0.0587679, -0.0618496, -0.0929355, -0.126947, -0.148033, -0.149046, -0.145291, -0.14098, -0.139095, -0.137132, -0.134595, -0.131482, -0.12722, -0.1232, -0.119416, -0.114674, -0.107247, -0.070611, -0.0554038, -0.0471298, -0.0367751, -0.0284962, -0.0230978, -0.0180149, -0.01257, -0.00993934, -0.00858577, -0.00654039, -0.00435755, -0.00241017, -0.00125741, -0.000541196, -0.00020385" \
             );
           }
           vector (ccs_template) {
@@ -47135,7 +47135,7 @@
             index_2 ("0.00124625");
             index_3 ("1.56089, 1.7419, 1.82203, 1.87543, 1.969, 2.08374, 2.20102, 2.21244, 2.23528, 2.28097, 2.29867, 2.37969, 2.4037, 2.40755, 2.41525, 2.4541, 2.45469, 2.47238, 2.49707, 2.50663, 2.53151, 2.54101, 2.55252, 2.58243, 2.59514, 2.60819, 2.6666, 2.67883, 2.72336, 2.76393, 2.81551, 2.87767, 2.96044, 3.07437");
             values ( \
-              "-0.000136925, -0.000358975, -0.000521546, -0.000678115, -0.001074, -0.00195772, -0.00272587, -0.00276725, -0.00293583, -0.00320142, -0.00335039, -0.00423033, -0.00436428, -0.00436308, -0.00439447, -0.00441772, -0.00440674, -0.00439476, -0.00421174, -0.00444672, -0.00469055, -0.0045198, -0.00406886, -0.00206287, -0.00153561, -0.00130876, -0.000666688, -0.000553694, -0.000258756, -0.000149814, -3.63509e-05, -3.66007e-05, -1e-22, -2.11677e-05" \
+              "-0.000136925, -0.000358975, -0.000521546, -0.000678115, -0.001074, -0.00195772, -0.00272587, -0.00276725, -0.00293583, -0.00320142, -0.00335039, -0.00423033, -0.00436428, -0.00436308, -0.00439447, -0.00441772, -0.00440674, -0.00439476, -0.00421174, -0.00444672, -0.00469055, -0.0045198, -0.00406886, -0.00206287, -0.00153561, -0.00130876, -0.000666688, -0.000553694, -0.000258758, -0.000149816, -3.63515e-05, -3.6601e-05, -1e-22, -2.1168e-05" \
             );
           }
           vector (ccs_template) {
@@ -47189,7 +47189,7 @@
             index_2 ("0.00124625");
             index_3 ("5.10762, 5.47264, 6.14149, 6.4604, 6.60737, 6.80333, 6.96766, 7.02359, 7.13546, 7.35487, 7.44017, 7.61077, 7.81174, 8.03895, 8.2218, 8.28, 8.37504, 8.41096, 8.44283, 8.4774, 8.51258, 8.55203, 8.62182, 8.66008, 8.69692, 8.71888, 8.73486, 8.74346, 8.75283, 8.80512, 8.83468, 8.86924, 8.91149, 8.97655, 9.02573, 9.07421, 9.11194, 9.15125, 9.20493, 9.26447, 9.31773, 9.37561, 9.43232, 9.51119, 9.65241, 9.83151, 10.0694, 10.1291");
             values ( \
-              "-6.24949e-06, -1.10942e-05, -4.46793e-05, -7.99811e-05, -0.00010054, -0.000134676, -0.000170631, -0.00018664, -0.000220904, -0.000320852, -0.0003844, -0.000563695, -0.000862359, -0.00111733, -0.00133794, -0.00142781, -0.00160757, -0.00163758, -0.00164628, -0.00163256, -0.00159919, -0.00160111, -0.00164461, -0.00220831, -0.00241691, -0.00171949, -0.00151161, -0.00153605, -0.00153089, -0.00188159, -0.00191802, -0.00190755, -0.00160528, -0.000874679, -0.000478403, -0.000249481, -0.000186842, -8.68716e-05, -8.26246e-05, -2.29209e-05, -5.31346e-05, -9.45272e-06, -4.51182e-05, -3.34229e-06, -3.72458e-05, -1e-22, -2.84425e-05, -2.25092e-05" \
+              "-6.24949e-06, -1.10942e-05, -4.46793e-05, -7.99811e-05, -0.00010054, -0.000134676, -0.000170631, -0.00018664, -0.000220904, -0.000320852, -0.0003844, -0.000563695, -0.000862359, -0.00111733, -0.00133794, -0.00142781, -0.00160757, -0.00163758, -0.00164628, -0.00163256, -0.00159919, -0.00160111, -0.00164461, -0.00220831, -0.00241691, -0.00171949, -0.00151161, -0.00153605, -0.00153089, -0.00188159, -0.00191802, -0.00190755, -0.00160528, -0.000874679, -0.000478403, -0.000249481, -0.000186842, -8.68716e-05, -8.26246e-05, -2.29209e-05, -5.31346e-05, -9.45273e-06, -4.51182e-05, -3.34229e-06, -3.72458e-05, -1e-22, -2.84425e-05, -2.25092e-05" \
             );
           }
           vector (ccs_template) {
@@ -47198,7 +47198,7 @@
             index_2 ("0.00426101");
             index_3 ("5.50847, 6.53816, 6.63821, 6.83831, 7.00363, 7.05987, 7.17233, 7.39727, 7.48768, 7.66851, 7.88305, 8.3294, 8.3861, 8.49841, 8.57062, 8.62305, 8.64623, 8.69258, 8.74288, 8.76679, 8.79018, 8.80872, 8.83402, 8.85276, 8.88122, 8.90374, 8.95823, 8.98445, 9.0216, 9.06374, 9.1606, 9.20759, 9.24587, 9.27491, 9.32653, 9.38845, 9.46519, 9.61945, 9.69012, 9.7795, 9.93715, 10.1656, 10.4409, 10.7795, 11.1927");
             values ( \
-              "-2.74993e-06, -0.000295364, -0.000339762, -0.000455615, -0.000574049, -0.000626391, -0.000737179, -0.00106077, -0.00126378, -0.00182862, -0.00271069, -0.00416089, -0.00440044, -0.00496681, -0.00524795, -0.00530476, -0.00519841, -0.00525406, -0.00529465, -0.00554383, -0.00563339, -0.00590579, -0.00587327, -0.00576083, -0.00493481, -0.00477955, -0.00575673, -0.00591484, -0.00599489, -0.00552586, -0.0029852, -0.00190229, -0.00129722, -0.000924216, -0.000524159, -0.000288857, -0.000133675, -6.20611e-05, -7.1878e-05, -4.30459e-05, -5.04094e-05, -1.84053e-05, -2.75779e-05, -8.44675e-07, -1.51166e-05" \
+              "-2.74994e-06, -0.000295364, -0.000339762, -0.000455615, -0.000574049, -0.000626391, -0.000737179, -0.00106077, -0.00126378, -0.00182862, -0.00271069, -0.00416089, -0.00440044, -0.00496681, -0.00524795, -0.00530476, -0.00519841, -0.00525406, -0.00529465, -0.00554383, -0.00563339, -0.00590579, -0.00587327, -0.00576083, -0.00493481, -0.00477955, -0.00575673, -0.00591484, -0.00599489, -0.00552586, -0.0029852, -0.00190229, -0.00129722, -0.000924216, -0.000524159, -0.000288857, -0.000133675, -6.20611e-05, -7.1878e-05, -4.30459e-05, -5.04094e-05, -1.84053e-05, -2.75779e-05, -8.44682e-07, -1.51166e-05" \
             );
           }
           vector (ccs_template) {
@@ -47276,8 +47276,8 @@
             "0.129816, 0.162337, 0.271872, 0.641986, 1.90265, 6.21037", \
             "0.137457, 0.170488, 0.281083, 0.652111, 1.91324, 6.2209", \
             "0.177957, 0.210597, 0.321314, 0.693879, 1.95644, 6.2647", \
-            "0.327683, 0.373845, 0.501737, 0.87029, 2.1323, 6.4411", \
-            "0.645513, 0.728072, 0.96928, 1.54902, 2.85648, 7.15347", \
+            "0.327683, 0.373845, 0.501792, 0.87029, 2.1323, 6.4411", \
+            "0.645513, 0.728072, 0.969554, 1.54902, 2.84609, 7.15347", \
             "1.46878, 1.60217, 2.00441, 3.0417, 5.35678, 10.0795" \
           );
         }
@@ -47288,8 +47288,8 @@
             "0.149102, 0.192686, 0.347434, 0.866008, 2.64718, 8.74179", \
             "0.148939, 0.192549, 0.347362, 0.865843, 2.64698, 8.7418", \
             "0.150784, 0.192353, 0.347217, 0.865821, 2.64705, 8.74162", \
-            "0.242699, 0.280543, 0.394677, 0.866941, 2.64706, 8.74133", \
-            "0.486121, 0.556916, 0.743637, 1.19229, 2.6915, 8.74179", \
+            "0.242699, 0.280543, 0.39485, 0.866941, 2.64706, 8.74133", \
+            "0.486121, 0.556916, 0.743822, 1.19229, 2.68163, 8.74165", \
             "1.06895, 1.18729, 1.52847, 2.37598, 4.16208, 9.08336" \
           );
         }
@@ -47360,7 +47360,7 @@
             index_2 ("0.170307");
             index_3 ("0.060491, 0.060511, 0.754046, 0.821592, 0.956685, 1.12631, 1.46555, 1.51054, 1.60052, 1.73873, 1.91042, 2.14779, 2.23763, 2.27113, 2.30294, 2.33905, 2.66535, 3.49599, 3.92475, 4.31452, 4.72336, 5.13862, 5.16987, 5.23236, 5.35735, 5.59059, 5.92394, 6.18425, 6.25819, 6.40606, 6.70179, 7.28799, 9.19142, 10.2628");
             values ( \
-              "1e-22, 0.0933166, 0.0834, 0.0833909, 0.0821624, 0.0814657, 0.0785279, 0.0785377, 0.0772582, 0.0764504, 0.0746801, 0.0708147, 0.0700304, 0.070827, 0.0697817, 0.0698991, 0.0632437, 0.0416307, 0.031616, 0.0239537, 0.0175474, 0.0126733, 0.0128975, 0.0117665, 0.0111485, 0.00876445, 0.00710754, 0.00525368, 0.00542981, 0.00431815, 0.00380021, 0.00187433, 0.000115044, 0.00038295" \
+              "1e-22, 0.0933166, 0.0834, 0.0833909, 0.0821624, 0.0814657, 0.0785279, 0.0785377, 0.0772582, 0.0764504, 0.0746801, 0.0708147, 0.0700304, 0.070827, 0.0697817, 0.0698991, 0.0632437, 0.0416307, 0.031616, 0.0239537, 0.0175474, 0.0126733, 0.0128975, 0.0117665, 0.0111485, 0.00876445, 0.00710754, 0.00525368, 0.00542981, 0.00431815, 0.00380021, 0.00187433, 0.000115044, 0.000382949" \
             );
           }
           vector (ccs_template) {
@@ -47369,7 +47369,7 @@
             index_2 ("0.582289");
             index_3 ("0.127858, 0.127878, 1.71824, 2.40633, 4.88875, 6.00006, 6.43942, 6.71644, 7.62735, 8.98032, 11.6817, 13.4121, 14.8826, 16.4702, 16.9803, 18.0006, 18.8418, 19.9131, 21.6625, 23.8053, 25.9481, 28.0908, 32.3763");
             values ( \
-              "1e-22, 0.100683, 0.0876115, 0.0867649, 0.0814353, 0.0780425, 0.07628, 0.0759059, 0.0718397, 0.0630076, 0.0415732, 0.0295122, 0.0213673, 0.0147545, 0.0131578, 0.0101649, 0.00834034, 0.00627226, 0.00398662, 0.00226015, 0.00126577, 0.00069769, 0.000191475" \
+              "1e-22, 0.100683, 0.0876115, 0.0867649, 0.0814353, 0.0780425, 0.07628, 0.0759059, 0.0718397, 0.0630076, 0.0415732, 0.0295121, 0.0213673, 0.0147545, 0.0131578, 0.0101649, 0.00834034, 0.00627225, 0.00398661, 0.00226015, 0.00126577, 0.000697688, 0.000191474" \
             );
           }
           vector (ccs_template) {
@@ -47412,18 +47412,18 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.170307");
-            index_3 ("0.0972007, 0.0972207, 0.755375, 1.16201, 1.5462, 1.90059, 2.03857, 2.24814, 2.27911, 2.34416, 2.58874, 2.84794, 3.4393, 3.79006, 4.32126, 4.72186, 5.15714, 5.29096, 5.75132, 6.3006, 7.22082, 7.98872, 9.0077, 10.0791");
+            index_3 ("0.0972007, 0.0972207, 0.755375, 1.16201, 1.5462, 1.90059, 2.03857, 2.24814, 2.27911, 2.34416, 2.58874, 2.84794, 3.4393, 3.79006, 4.32126, 4.72186, 5.15714, 5.29096, 5.75132, 6.3006, 7.22082, 7.98872, 9.00769, 10.0791");
             values ( \
-              "1e-22, 0.0937437, 0.0838402, 0.0813068, 0.0783758, 0.0751519, 0.0734525, 0.0699748, 0.0706454, 0.0701806, 0.065567, 0.0595379, 0.0441413, 0.0355983, 0.0246392, 0.018243, 0.0130325, 0.0117282, 0.00806222, 0.00506807, 0.00224795, 0.00123928, 0.000376145, 0.000238214" \
+              "1e-22, 0.0937437, 0.0838402, 0.0813068, 0.0783758, 0.0751519, 0.0734525, 0.0699748, 0.0706454, 0.0701806, 0.065567, 0.0595379, 0.0441413, 0.0355983, 0.0246392, 0.018243, 0.0130325, 0.0117282, 0.00806222, 0.00506807, 0.00224795, 0.00123928, 0.000376145, 0.000238213" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.582289");
-            index_3 ("0.0751211, 0.0751411, 0.266549, 2.43785, 4.60941, 6.77084, 7.24585, 8.22924, 8.98499, 12.1755, 13.2469, 15.1473, 17.0129, 18.6135, 19.6849, 20.9915, 22.8294, 24.9722, 27.1149, 27.5676");
+            index_3 ("0.0751213, 0.0751413, 0.266549, 2.43785, 4.60941, 6.77084, 7.24585, 8.22924, 8.98499, 12.1755, 13.2469, 15.1474, 17.0129, 18.6135, 19.6849, 20.9916, 22.8295, 24.9722, 27.115, 27.5675");
             values ( \
-              "1e-22, 0.0947473, 0.0904949, 0.0865835, 0.0820582, 0.0757792, 0.0737946, 0.0683741, 0.0631682, 0.0381445, 0.0308307, 0.0203284, 0.0130741, 0.00882006, 0.00677708, 0.00481846, 0.00298571, 0.00169342, 0.000952623, 0.000894022" \
+              "1e-22, 0.0947473, 0.0904949, 0.0865835, 0.0820582, 0.0757792, 0.0737946, 0.0683741, 0.0631682, 0.0381446, 0.0308308, 0.0203283, 0.0130741, 0.00882011, 0.00677711, 0.00481845, 0.00298568, 0.00169341, 0.000952617, 0.000894022" \
             );
           }
           vector (ccs_template) {
@@ -47477,7 +47477,7 @@
             index_2 ("0.582289");
             index_3 ("0.304521, 0.304541, 1.90026, 3.80397, 5.05757, 6.60704, 6.78172, 7.79624, 9.14465, 13.0569, 14.3317, 15.6726, 17.3435, 18.5423, 20.4865, 23.2783, 27.1732");
             values ( \
-              "1e-22, 0.101336, 0.087748, 0.0841999, 0.0812795, 0.0762873, 0.0762712, 0.0718439, 0.0630452, 0.0329077, 0.025102, 0.0185135, 0.0124508, 0.00926835, 0.00565511, 0.00272413, 0.00101973" \
+              "1e-22, 0.101336, 0.087748, 0.0841999, 0.0812795, 0.0762873, 0.0762712, 0.0718439, 0.0630452, 0.0329077, 0.025102, 0.0185135, 0.0124508, 0.00926835, 0.0056551, 0.00272413, 0.00101973" \
             );
           }
           vector (ccs_template) {
@@ -47495,16 +47495,16 @@
             index_2 ("0.00426101");
             index_3 ("0.481141, 0.565956, 0.588536, 0.618165, 0.63597, 0.656318, 0.706269, 0.745232, 0.801379, 0.849978, 0.883499, 0.894283, 0.915754, 0.93337, 0.961629, 0.972803, 0.993669, 1.00667, 1.02592, 1.04076, 1.04589, 1.05386, 1.07536, 1.1059, 1.12125, 1.13294, 1.1572, 1.16831, 1.18879, 1.21565, 1.22932, 1.25665, 1.30573, 1.38126, 1.47764, 1.59795");
             values ( \
-              "0.00074283, 0.00287817, 0.00386038, 0.00556383, 0.00674033, 0.00791662, 0.0105016, 0.0123943, 0.0149159, 0.016677, 0.017582, 0.0181665, 0.0199699, 0.0206861, 0.0190355, 0.0177671, 0.0150077, 0.0136845, 0.0111495, 0.0117332, 0.0124585, 0.0125434, 0.0109852, 0.00782508, 0.00646673, 0.00562936, 0.00424462, 0.00372151, 0.00293208, 0.0021155, 0.00180382, 0.00128048, 0.000697742, 0.000248201, 7.40441e-05, 7.59167e-06" \
+              "0.00074283, 0.00287817, 0.00386038, 0.00556383, 0.00674033, 0.00791662, 0.0105016, 0.0123943, 0.0149159, 0.016677, 0.017582, 0.0181665, 0.0199699, 0.0206861, 0.0190355, 0.0177671, 0.0150077, 0.0136845, 0.0111495, 0.0117332, 0.0124585, 0.0125434, 0.0109852, 0.00782508, 0.00646673, 0.00562936, 0.00424462, 0.00372151, 0.00293208, 0.0021155, 0.00180382, 0.00128048, 0.000697742, 0.000248201, 7.40441e-05, 7.59166e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0145686");
-            index_3 ("0.520564, 0.595058, 0.614738, 0.660514, 0.728874, 0.825173, 0.890732, 0.917672, 0.963786, 1.019, 1.0288, 1.04072, 1.05113, 1.06288, 1.0863, 1.09887, 1.12401, 1.17868, 1.19318, 1.20543, 1.23415, 1.29037, 1.32187, 1.36855, 1.4125, 1.44169, 1.46248, 1.49019, 1.52349, 1.56945, 1.62721, 1.7016, 1.78187, 1.91658, 2.07791");
+            index_3 ("0.520591, 0.594487, 0.610736, 0.660277, 0.706966, 0.825149, 0.899686, 0.963941, 1.02225, 1.04075, 1.05196, 1.0643, 1.09766, 1.12586, 1.17939, 1.19356, 1.20584, 1.23425, 1.29045, 1.32201, 1.33955, 1.36846, 1.41228, 1.44867, 1.49058, 1.52378, 1.56955, 1.62722, 1.70171, 1.7812, 1.916, 2.07618");
             values ( \
-              "0.00550534, 0.00858753, 0.010526, 0.0156708, 0.0226159, 0.031845, 0.0377338, 0.0399552, 0.0432776, 0.0463808, 0.0473754, 0.0481596, 0.0486631, 0.0483448, 0.0457449, 0.0440543, 0.0403084, 0.0308963, 0.030061, 0.0300108, 0.0274232, 0.0204482, 0.0171471, 0.0135571, 0.01068, 0.00890814, 0.0078474, 0.00658508, 0.00529531, 0.00390284, 0.00264446, 0.00158525, 0.000903787, 0.000340774, 9.68071e-05" \
+              "0.00570136, 0.00849829, 0.0101074, 0.0156394, 0.0204684, 0.0318263, 0.0385036, 0.043203, 0.0466951, 0.0483117, 0.0486527, 0.0482422, 0.0443848, 0.0399034, 0.0308446, 0.0300992, 0.0300102, 0.027437, 0.0204243, 0.0171687, 0.0157839, 0.0135979, 0.0106711, 0.00854409, 0.00653867, 0.00532095, 0.00386878, 0.00261168, 0.00161856, 0.000874828, 0.000375207, 6.61618e-05" \
             );
           }
           vector (ccs_template) {
@@ -47531,7 +47531,7 @@
             index_2 ("0.582289");
             index_3 ("0.841393, 0.841413, 2.97085, 4.37217, 6.27379, 7.4591, 8.3662, 9.7254, 12.4278, 14.1678, 15.6011, 17.7182, 19.6003, 20.6717, 22.4007, 24.5434, 26.6862, 28.4011");
             values ( \
-              "1e-22, 0.0958119, 0.0869358, 0.0841981, 0.0794817, 0.0759086, 0.0718534, 0.0629738, 0.0415116, 0.0294008, 0.021461, 0.013165, 0.00830304, 0.00623539, 0.00398251, 0.002256, 0.00126166, 0.000975142" \
+              "1e-22, 0.0958119, 0.0869358, 0.0841981, 0.0794817, 0.0759086, 0.0718534, 0.0629738, 0.0415116, 0.0294008, 0.021461, 0.013165, 0.00830304, 0.00623539, 0.00398251, 0.002256, 0.00126165, 0.000975141" \
             );
           }
           vector (ccs_template) {
@@ -47556,9 +47556,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0145686");
-            index_3 ("1.65237, 2.05254, 2.14641, 2.23562, 2.26668, 2.43408, 2.56864, 2.77603, 2.82773, 2.92805, 2.9933, 3.10344, 3.14906, 3.16632, 3.19911, 3.22521, 3.25025, 3.2686, 3.28976, 3.32611, 3.34915, 3.41531, 3.6171, 3.69758, 3.79689, 3.87097, 3.96973, 4.13691, 4.16529, 4.20023, 4.25301");
+            index_3 ("1.86686, 2.10877, 2.21349, 2.63652, 2.79215, 3.05133, 3.10436, 3.1507, 3.16528, 3.19443, 3.22029, 3.24483, 3.27436, 3.29528, 3.33466, 3.35619, 3.41467, 3.63416, 3.70218, 3.80162, 3.91192, 4.03799, 4.16405, 4.2344, 4.40268, 4.56723");
             values ( \
-              "0.000296362, 0.00232578, 0.00334171, 0.00480556, 0.00549892, 0.0102986, 0.0137789, 0.0180941, 0.0190083, 0.0204282, 0.0209751, 0.0232814, 0.0232796, 0.0236715, 0.0237487, 0.0239604, 0.0237471, 0.0253118, 0.0258699, 0.0252693, 0.0241594, 0.0199095, 0.00898972, 0.00578974, 0.00321314, 0.00203004, 0.00108525, 0.000309334, 0.000281201, 0.000572603, 0.000419819" \
+              "0.00262028, 0.00291807, 0.00441616, 0.0153234, 0.0183912, 0.0220686, 0.0233925, 0.0232238, 0.023732, 0.0236767, 0.0240141, 0.023688, 0.0254522, 0.0258699, 0.0248594, 0.0237734, 0.0199121, 0.00815874, 0.00562477, 0.00312392, 0.00155487, 0.000677954, 0.000271602, 0.000498447, 0.000150957, 6.08623e-05" \
             );
           }
           vector (ccs_template) {
@@ -47574,45 +47574,45 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.170307");
-            index_3 ("2.18633, 2.72354, 3.73621, 4.15275, 4.18198, 4.53655, 4.7308, 4.8752, 4.93666, 5.05067, 5.13183, 5.19778, 5.21375, 5.24569, 5.27265, 5.31279, 5.40443, 5.55095, 5.83818, 6.70641, 6.95006, 7.26559, 7.48973, 7.74911, 7.98651, 8.38241, 8.55749, 8.81554, 9.27148, 9.47238, 9.87417, 10.4638, 11.3561, 12.4275, 13.4989");
+            index_3 ("2.30195, 2.77036, 3.34005, 3.482, 3.54817, 3.61434, 3.68051, 3.74668, 3.80003, 3.85338, 3.90673, 3.98521, 4.06057, 4.14107, 4.17349, 4.21436, 4.24992, 4.36702, 4.53703, 4.73113, 4.87848, 4.9659, 5.05171, 5.19845, 5.21439, 5.23829, 5.25974, 5.28324, 5.31331, 5.3459, 5.40507, 5.48911, 5.58539, 5.80073, 6.08923, 6.56869, 6.70701, 6.82917, 7.03008, 7.34091, 7.4901, 7.61984, 7.74957, 7.98691, 8.22722, 8.42722, 8.55921, 8.81687, 9.08321, 9.27219");
             values ( \
-              "0.0185664, 0.028912, 0.0667378, 0.080561, 0.0811291, 0.0783306, 0.0764551, 0.0754785, 0.0744031, 0.0732701, 0.0714637, 0.070764, 0.0701359, 0.0702174, 0.0705252, 0.0703256, 0.0689242, 0.0660717, 0.0594518, 0.037415, 0.0319188, 0.0255781, 0.0216817, 0.0178275, 0.0148689, 0.0108993, 0.00946557, 0.00766464, 0.00523314, 0.00441297, 0.00312606, 0.00186031, 0.000824761, 0.000298736, 0.000110862" \
+              "0.0288273, 0.0302833, 0.0518549, 0.0570608, 0.06027, 0.0639769, 0.0681814, 0.0728834, 0.0731369, 0.0735922, 0.0742492, 0.0755823, 0.0772737, 0.0797838, 0.0809749, 0.0808365, 0.0806428, 0.079731, 0.0782141, 0.0765763, 0.075219, 0.0741905, 0.0729714, 0.0705359, 0.0700743, 0.0703013, 0.0703828, 0.0703832, 0.07027, 0.0698823, 0.0688902, 0.0672924, 0.0652787, 0.0602921, 0.0530318, 0.0406553, 0.0372454, 0.0344839, 0.0302269, 0.0241319, 0.0215368, 0.0195573, 0.0177447, 0.0148611, 0.0123087, 0.0104458, 0.00935047, 0.00762173, 0.00613509, 0.00517404" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.582289");
-            index_3 ("2.50155, 3.29437, 3.99116, 4.19314, 6.64702, 7.7184, 8.53745, 8.99675, 9.23702, 9.46651, 9.63909, 9.66806, 9.72601, 9.96787, 10.6396, 11.2275, 11.9892, 14.5698, 15.894, 17.175, 18.5186, 19.3295, 20.1857, 21.3497, 22.4211, 23.3172, 24.0829, 26.1754, 28.3181, 30.4609, 32.6036, 34.7464, 35.8178");
+            index_3 ("2.39663, 3.29939, 3.96271, 4.19328, 6.64712, 7.7185, 8.53753, 8.99674, 9.46644, 9.63904, 9.66809, 9.72619, 9.96826, 10.6397, 11.2275, 11.9902, 14.691, 15.3675, 16.4389, 17.166, 17.8773, 18.6717, 19.4678, 21.0395, 21.8709, 22.9422, 24.674, 26.8168, 28.9595, 31.1023, 33.245, 34.3164, 35.3878");
             values ( \
-              "0.0413058, 0.0536593, 0.0819272, 0.0888477, 0.0841604, 0.0817012, 0.0795617, 0.0781166, 0.0770265, 0.0762316, 0.0762135, 0.0759811, 0.0759026, 0.0749843, 0.0718287, 0.0682395, 0.063021, 0.0425365, 0.0329613, 0.0251141, 0.0185137, 0.0153949, 0.0124649, 0.00936277, 0.00723096, 0.00568389, 0.00466763, 0.00269518, 0.00151911, 0.000845868, 0.000462567, 0.000245035, 0.000253902" \
+              "0.0301025, 0.0538675, 0.080819, 0.0888475, 0.084157, 0.0817046, 0.0795582, 0.0781132, 0.0762358, 0.0762178, 0.0759778, 0.0759057, 0.0749864, 0.0718319, 0.0682368, 0.0630173, 0.0416054, 0.0367007, 0.0294306, 0.0251629, 0.0214607, 0.0179599, 0.0148137, 0.0101046, 0.00829705, 0.00625212, 0.00399444, 0.00226768, 0.00127313, 0.00070494, 0.000381829, 0.000360102, 0.000198595" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("6.31251, 7.69679, 7.88275, 8.25467, 8.41124, 8.56066, 8.80313, 8.92652, 9.16548, 9.39891, 9.47396, 9.60192, 9.70224, 9.75208, 9.80209, 9.90638, 9.94018, 9.97169, 10.0034, 10.0312, 10.0429, 10.0786, 10.1154, 10.1387, 10.1623, 10.1912, 10.2248, 10.2345, 10.254, 10.2876, 10.322, 10.4264, 10.4764, 10.5384, 10.6235, 10.6549, 10.7805, 10.9343, 11.1232, 11.3771, 11.666, 12.0132, 12.4352, 12.9795");
+            index_3 ("6.31252, 7.69679, 7.88274, 8.25466, 8.41124, 8.56066, 8.80313, 8.92652, 9.16548, 9.3989, 9.47398, 9.60191, 9.70224, 9.75208, 9.80209, 9.90638, 9.94018, 9.97169, 10.0034, 10.0312, 10.0429, 10.0786, 10.1154, 10.1387, 10.1623, 10.1912, 10.2248, 10.2345, 10.254, 10.2876, 10.322, 10.4264, 10.4764, 10.5384, 10.6235, 10.6549, 10.7805, 10.9343, 11.1232, 11.3771, 11.666, 12.0132, 12.4352, 12.9795");
             values ( \
-              "9.35139e-06, 5.55084e-05, 6.97814e-05, 0.000115127, 0.000156959, 0.000219089, 0.000412251, 0.000573121, 0.000855489, 0.00106205, 0.00110832, 0.00112831, 0.00108411, 0.0011876, 0.00110472, 0.00112079, 0.0012698, 0.00166968, 0.00184862, 0.00156973, 0.00153296, 0.00173201, 0.00184907, 0.00186418, 0.00189433, 0.00188994, 0.00190075, 0.00188088, 0.00187688, 0.00179087, 0.00165191, 0.000972909, 0.000702291, 0.000448487, 0.00023287, 0.000183242, 7.20083e-05, 3.78375e-05, 1.2198e-05, 1.59779e-05, 1e-22, 8.3103e-06, 1e-22, 6.2132e-06" \
+              "9.35163e-06, 5.55084e-05, 6.97811e-05, 0.000115125, 0.00015696, 0.000219089, 0.000412251, 0.000573123, 0.000855489, 0.00106205, 0.00110833, 0.0011283, 0.0010841, 0.0011876, 0.00110472, 0.00112079, 0.0012698, 0.00166968, 0.00184862, 0.00156973, 0.00153296, 0.00173201, 0.00184907, 0.00186418, 0.00189433, 0.00188994, 0.00190075, 0.00188088, 0.00187688, 0.00179087, 0.00165191, 0.00097291, 0.000702292, 0.000448488, 0.00023287, 0.000183242, 7.20087e-05, 3.78372e-05, 1.21984e-05, 1.59775e-05, 1e-22, 8.30991e-06, 1e-22, 6.21282e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00426101");
-            index_3 ("6.31927, 7.71647, 7.91816, 8.33776, 8.43852, 8.64004, 8.83761, 9.06606, 9.24523, 9.50307, 9.56754, 9.69648, 9.72471, 9.78116, 9.86089, 9.93426, 9.94039, 9.94857, 9.96492, 9.99763, 10.0389, 10.0844, 10.127, 10.172, 10.1878, 10.201, 10.2515, 10.2923, 10.3189, 10.3518, 10.3925, 10.4273, 10.4328, 10.4437, 10.5081, 10.7125, 10.8286, 10.9084, 10.9641, 11.0757, 11.251, 11.464, 12.0927, 13.0686");
+            index_3 ("6.31928, 7.71647, 7.91816, 8.33776, 8.43852, 8.64004, 8.83761, 9.06606, 9.24523, 9.50307, 9.56754, 9.69648, 9.72471, 9.78116, 9.86089, 9.93426, 9.94039, 9.94857, 9.96492, 9.99763, 10.0389, 10.0844, 10.127, 10.172, 10.1878, 10.201, 10.2515, 10.2923, 10.3189, 10.3518, 10.3925, 10.4273, 10.4328, 10.4437, 10.5082, 10.7125, 10.8286, 10.9084, 10.9641, 11.0757, 11.251, 11.464, 12.0927, 13.0686");
             values ( \
-              "3.11359e-05, 0.000188579, 0.000240156, 0.000423965, 0.000520755, 0.000795612, 0.0012989, 0.0020923, 0.00265072, 0.00330135, 0.00341782, 0.00356603, 0.003569, 0.00353935, 0.00358185, 0.00391909, 0.00374304, 0.00375109, 0.00371524, 0.00372478, 0.00376072, 0.00397826, 0.00428846, 0.00443188, 0.00474348, 0.0047785, 0.00522616, 0.00539841, 0.00540678, 0.00549497, 0.00546476, 0.00548314, 0.00543117, 0.0054437, 0.00506765, 0.002195, 0.00111177, 0.000663461, 0.000468377, 0.000221415, 8.05654e-05, 3.11841e-05, 5.67922e-06, 1e-22" \
+              "3.11368e-05, 0.000188579, 0.000240154, 0.000423964, 0.000520755, 0.000795612, 0.0012989, 0.0020923, 0.00265072, 0.00330135, 0.00341782, 0.00356603, 0.003569, 0.00353935, 0.00358185, 0.00391909, 0.00374304, 0.00375109, 0.00371524, 0.00372478, 0.00376072, 0.00397826, 0.00428846, 0.00443188, 0.00474347, 0.0047785, 0.00522616, 0.00539841, 0.00540678, 0.00549497, 0.00546476, 0.00548314, 0.00543117, 0.0054437, 0.00506764, 0.002195, 0.00111177, 0.000663461, 0.000468377, 0.000221415, 8.05653e-05, 3.1184e-05, 5.67916e-06, 1e-22" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0145686");
-            index_3 ("6.32262, 7.778, 8.0498, 8.33906, 8.5301, 8.62691, 8.82051, 9.30279, 9.47275, 9.79928, 9.8809, 10.0441, 10.1482, 10.2471, 10.3304, 10.3401, 10.3594, 10.3981, 10.4408, 10.4783, 10.5856, 10.6119, 10.6695, 10.6986, 10.7314, 10.7744, 10.7956, 10.8379, 10.9195, 10.9612, 11.0015, 11.0501, 11.1056, 11.3932, 11.5417, 11.6329, 11.778, 11.9716, 12.1218");
+            index_3 ("6.32262, 7.778, 8.04979, 8.33906, 8.5301, 8.62691, 8.82051, 9.30279, 9.47275, 9.79928, 9.8809, 10.0441, 10.1482, 10.2471, 10.3304, 10.3401, 10.3594, 10.3981, 10.4408, 10.4783, 10.5856, 10.6119, 10.6695, 10.6986, 10.7314, 10.7744, 10.7956, 10.8379, 10.9195, 10.9612, 11.0015, 11.0501, 11.1056, 11.3932, 11.5417, 11.6329, 11.778, 11.9716, 12.1218");
             values ( \
-              "9.1313e-05, 0.000630007, 0.000858286, 0.00125126, 0.00168803, 0.00199427, 0.00286474, 0.00614101, 0.00718275, 0.00888444, 0.00921186, 0.00972735, 0.00985923, 0.0100497, 0.0105562, 0.0103215, 0.0104516, 0.0104038, 0.0105991, 0.0106646, 0.0112394, 0.0121641, 0.0127607, 0.012672, 0.012649, 0.0124844, 0.012533, 0.0124006, 0.0129305, 0.0130464, 0.0129844, 0.0126183, 0.0118436, 0.00561659, 0.003204, 0.00219525, 0.00115876, 0.000453098, 0.000254595" \
+              "9.13157e-05, 0.000630007, 0.000858281, 0.00125126, 0.00168803, 0.00199427, 0.00286474, 0.00614101, 0.00718275, 0.00888444, 0.00921186, 0.00972735, 0.00985923, 0.0100497, 0.0105562, 0.0103215, 0.0104516, 0.0104038, 0.0105991, 0.0106646, 0.0112394, 0.0121641, 0.0127607, 0.012672, 0.012649, 0.0124844, 0.012533, 0.0124006, 0.0129305, 0.0130464, 0.0129844, 0.0126183, 0.0118436, 0.00561659, 0.003204, 0.00219525, 0.00115876, 0.000453098, 0.000254595" \
             );
           }
           vector (ccs_template) {
@@ -47621,7 +47621,7 @@
             index_2 ("0.049811");
             index_3 ("7.3269, 8.33458, 8.65625, 9.02666, 9.86544, 10.3345, 10.5442, 10.9635, 11.1508, 11.2651, 11.3542, 11.6348, 11.7063, 11.7788, 12.0829, 12.2007, 12.3644, 12.5381, 13.1988, 13.4477, 13.7222, 13.8921, 14.1767, 14.6659, 15.2401, 15.976");
             values ( \
-              "0.00243213, 0.00299467, 0.00431237, 0.0069103, 0.014811, 0.0183089, 0.0196555, 0.021819, 0.0224546, 0.0230766, 0.0231217, 0.0240999, 0.0257304, 0.0262067, 0.0270086, 0.0268572, 0.0257715, 0.0229212, 0.00928048, 0.00575912, 0.00321206, 0.00222498, 0.00112445, 0.000320369, 4.60836e-05, 1.67704e-05" \
+              "0.00243214, 0.00299467, 0.00431237, 0.0069103, 0.014811, 0.0183089, 0.0196555, 0.021819, 0.0224546, 0.0230766, 0.0231217, 0.0240999, 0.0257304, 0.0262067, 0.0270086, 0.0268572, 0.0257715, 0.0229212, 0.00928048, 0.00575912, 0.00321206, 0.00222498, 0.00112445, 0.000320369, 4.60836e-05, 1.67703e-05" \
             );
           }
           vector (ccs_template) {
@@ -47630,16 +47630,16 @@
             index_2 ("0.170307");
             index_3 ("7.57856, 8.69165, 8.99467, 9.3086, 10.0485, 10.7214, 12.2725, 12.567, 13.3855, 13.9509, 14.049, 14.1099, 14.154, 14.2114, 14.3073, 14.6778, 14.9023, 15.3151, 16.3529, 16.6616, 17.2677, 17.5937, 17.8803, 18.255, 18.4664, 18.7335, 19.0897, 19.802, 20.8734, 21.9448");
             values ( \
-              "0.006745, 0.00748197, 0.00959592, 0.0123779, 0.0200237, 0.0262108, 0.0386436, 0.0407925, 0.0460445, 0.0487368, 0.0503001, 0.0503712, 0.0509566, 0.0509309, 0.0516524, 0.0519155, 0.0503053, 0.0454681, 0.0265268, 0.021523, 0.0137856, 0.0106369, 0.00842004, 0.00615325, 0.00514978, 0.00410502, 0.00302528, 0.00160194, 0.000588204, 0.000211254" \
+              "0.00674502, 0.00748196, 0.00959592, 0.0123779, 0.0200237, 0.0262108, 0.0386436, 0.0407925, 0.0460445, 0.0487368, 0.0503001, 0.0503712, 0.0509566, 0.0509309, 0.0516524, 0.0519155, 0.0503053, 0.0454681, 0.0265268, 0.021523, 0.0137856, 0.0106369, 0.00842004, 0.00615325, 0.00514978, 0.00410502, 0.00302528, 0.00160194, 0.000588203, 0.000211253" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.582289");
-            index_3 ("8.32786, 10.3358, 14.2476, 15.7696, 16.657, 16.8734, 17.9201, 18.6056, 18.7057, 18.7816, 19.0839, 19.6626, 20.0938, 21.3767, 24.2554, 25.0619, 26.1004, 26.8308, 27.6861, 28.4992, 29.7518, 30.5462, 31.5981, 32.7424, 34.2485, 35.3198, 36.3912, 38.534, 40.6767, 44.9622");
+            index_3 ("8.32786, 10.3358, 14.2476, 15.7696, 16.657, 16.8734, 17.9201, 18.6056, 18.7057, 18.7816, 19.0839, 19.6626, 20.0938, 21.3767, 24.2554, 25.0619, 26.1004, 26.8308, 27.6861, 28.4992, 29.7518, 30.5462, 31.5981, 32.7424, 34.2485, 35.3198, 36.3912, 38.534, 40.6767, 44.9623");
             values ( \
-              "0.0145256, 0.0247151, 0.0621207, 0.0754226, 0.0821809, 0.0817519, 0.0788769, 0.076341, 0.07616, 0.0762978, 0.0752002, 0.0725973, 0.0702185, 0.061374, 0.0386413, 0.0330612, 0.026482, 0.0225299, 0.0186096, 0.0153014, 0.0113182, 0.00938021, 0.00713435, 0.00532553, 0.00360163, 0.00279155, 0.00204258, 0.00114632, 0.00063485, 0.000179459" \
+              "0.0145256, 0.0247151, 0.0621207, 0.0754226, 0.0821809, 0.0817519, 0.0788769, 0.076341, 0.07616, 0.0762978, 0.0752002, 0.0725973, 0.0702185, 0.061374, 0.0386413, 0.0330612, 0.026482, 0.0225299, 0.0186096, 0.0153014, 0.0113182, 0.00938021, 0.00713435, 0.00532553, 0.00360163, 0.00279155, 0.00204258, 0.00114632, 0.000634849, 0.000179458" \
             );
           }
         }
@@ -47800,7 +47800,7 @@
             index_2 ("0.0145686");
             index_3 ("0.168352, 0.184929, 0.19787, 0.215399, 0.244423, 0.249279, 0.254458, 0.260034, 0.263811, 0.268249, 0.269612, 0.272337, 0.286075, 0.311842, 0.321019, 0.334108, 0.347294, 0.349542, 0.354037, 0.363028, 0.376642, 0.3801, 0.400272, 0.42093, 0.442829, 0.468248, 0.478185, 0.493644, 0.527565, 0.535599, 0.53768, 0.548855, 0.569724, 0.613781, 0.654317, 0.67282, 0.694731, 0.741103, 0.794504, 0.879314, 0.942793");
             values ( \
-              "-0.00883723, -0.0545824, -0.0669427, -0.0827324, -0.0992295, -0.100806, -0.10217, -0.103318, -0.106611, -0.107132, -0.106742, -0.1064, -0.104128, -0.0989051, -0.0959118, -0.0912859, -0.0900168, -0.0899295, -0.0891228, -0.087114, -0.0818495, -0.0802372, -0.0695994, -0.0579866, -0.0463482, -0.0346627, -0.0306746, -0.0250698, -0.0142433, -0.0130996, -0.0126859, -0.011361, -0.00953643, -0.00629036, -0.00404132, -0.00317674, -0.0023312, -0.00150087, -0.000977711, -0.000435306, -0.000267614" \
+              "-0.00883723, -0.0545824, -0.0669427, -0.0827324, -0.0992295, -0.100806, -0.10217, -0.103318, -0.106611, -0.107132, -0.106742, -0.1064, -0.104128, -0.0989051, -0.0959118, -0.0912859, -0.0900168, -0.0899295, -0.0891228, -0.087114, -0.0818495, -0.0802372, -0.0695994, -0.0579866, -0.0463482, -0.0346627, -0.0306746, -0.0250698, -0.0142433, -0.0130996, -0.0126859, -0.011361, -0.00953643, -0.00629036, -0.00404132, -0.00317674, -0.0023312, -0.00150087, -0.000977712, -0.000435306, -0.000267614" \
             );
           }
           vector (ccs_template) {
@@ -47834,9 +47834,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.500214, 0.525655, 0.547253, 0.570043, 0.572521, 0.607218, 0.635463, 0.682467, 0.693339, 0.706417, 0.707727, 0.710348, 0.71559, 0.72945, 0.736204, 0.744237, 0.760177, 0.765368, 0.770193, 0.80038, 0.817499, 0.841247, 0.8574, 0.865372, 0.875334, 0.887142, 0.893687, 0.905898, 0.913321, 0.937998, 0.987333, 1.02107, 1.04071, 1.05546, 1.09043, 1.12389");
+            index_3 ("0.500214, 0.525655, 0.547253, 0.570043, 0.572521, 0.607218, 0.635463, 0.682467, 0.693339, 0.706417, 0.707727, 0.710348, 0.71559, 0.72945, 0.736205, 0.744237, 0.760177, 0.765368, 0.770193, 0.80038, 0.817499, 0.841248, 0.857402, 0.865373, 0.875335, 0.887143, 0.893687, 0.905898, 0.913321, 0.937999, 0.987336, 1.02107, 1.04071, 1.05546, 1.09042, 1.12388");
             values ( \
-              "-0.000942561, -0.0025877, -0.00365208, -0.00507763, -0.00512691, -0.00701406, -0.00829172, -0.0101273, -0.010392, -0.0104149, -0.0104814, -0.0103878, -0.010388, -0.0100856, -0.00962945, -0.00861622, -0.00608161, -0.00602041, -0.00604851, -0.00396193, -0.00298637, -0.00179365, -0.00118707, -0.00103835, -0.000731337, -0.000238915, -0.000115666, -0.000139656, -0.000206919, -0.000277492, -0.000204134, -0.000103549, -9.94454e-05, -0.000256067, -7.86609e-05, -4.21838e-05" \
+              "-0.000942561, -0.0025877, -0.00365208, -0.00507763, -0.00512691, -0.00701406, -0.00829172, -0.0101273, -0.010392, -0.0104149, -0.0104814, -0.0103878, -0.010388, -0.0100856, -0.00962938, -0.00861615, -0.0060816, -0.00602041, -0.0060485, -0.00396194, -0.00298639, -0.00179362, -0.00118704, -0.00103833, -0.000731303, -0.00023891, -0.000115667, -0.000139661, -0.000206918, -0.000277489, -0.000204123, -0.000103546, -9.94416e-05, -0.000256073, -7.86702e-05, -4.21899e-05" \
             );
           }
           vector (ccs_template) {
@@ -47890,7 +47890,7 @@
             index_2 ("0.00124625");
             index_3 ("1.70215, 1.79341, 1.83952, 1.88989, 1.9618, 1.98109, 2.01968, 2.08343, 2.08431, 2.15576, 2.2157, 2.26896, 2.29336, 2.32068, 2.32174, 2.33162, 2.34291, 2.36549, 2.37385, 2.39055, 2.40897, 2.42256, 2.42888, 2.45382, 2.4906, 2.49409, 2.50106, 2.50652, 2.52538, 2.53805, 2.59078, 2.63166, 2.65551, 2.69556, 2.74516, 2.80826, 2.99443, 3.14887, 3.34983, 3.59473, 3.91611, 4.16489");
             values ( \
-              "-0.000429832, -0.000554425, -0.000659402, -0.000901366, -0.00140372, -0.00164433, -0.00202679, -0.00276874, -0.00274919, -0.00347216, -0.00402086, -0.0043871, -0.00430617, -0.00427629, -0.00426845, -0.00425646, -0.0042662, -0.00421544, -0.00422331, -0.00416788, -0.00401133, -0.0039472, -0.00409847, -0.00387859, -0.00236629, -0.00233765, -0.00241298, -0.00238462, -0.00166614, -0.00146233, -0.000901514, -0.000544976, -0.000404542, -0.000215227, -0.000110861, -3.54195e-05, -4.56537e-07, -9.25101e-06, -1e-22, -7.04781e-06, -1e-22, -6.49587e-06" \
+              "-0.000429832, -0.000554425, -0.000659402, -0.000901366, -0.00140372, -0.00164433, -0.00202679, -0.00276874, -0.00274919, -0.00347216, -0.00402086, -0.0043871, -0.00430617, -0.00427629, -0.00426845, -0.00425646, -0.0042662, -0.00421544, -0.00422331, -0.00416788, -0.00401133, -0.0039472, -0.00409847, -0.00387859, -0.00236629, -0.00233765, -0.00241298, -0.00238462, -0.00166614, -0.00146233, -0.000901514, -0.000544976, -0.000404542, -0.000215227, -0.000110861, -3.54195e-05, -4.56534e-07, -9.25101e-06, -1e-22, -7.04781e-06, -1e-22, -6.49588e-06" \
             );
           }
           vector (ccs_template) {
@@ -47908,7 +47908,7 @@
             index_2 ("0.0145686");
             index_3 ("1.79563, 1.94214, 1.99617, 2.08343, 2.36883, 2.45756, 2.53531, 2.60689, 2.64194, 2.6761, 2.70958, 2.72773, 2.74841, 2.76452, 2.77809, 2.78774, 2.80005, 2.81528, 2.94863, 2.97753, 2.99887, 3.03759, 3.06533, 3.10406, 3.1562, 3.22136, 3.29534, 3.38442, 3.65777, 4.16489");
             values ( \
-              "-0.00590589, -0.0065198, -0.0083337, -0.0122533, -0.0273879, -0.0318479, -0.0355832, -0.0377348, -0.0380826, -0.0381134, -0.036896, -0.0355156, -0.0324657, -0.0294616, -0.0277874, -0.0276703, -0.0263756, -0.0243105, -0.00945944, -0.00729512, -0.00609669, -0.00444519, -0.00354435, -0.00251901, -0.00157177, -0.000810354, -0.000386431, -0.00013239, -2.66022e-07, -1e-22" \
+              "-0.00590589, -0.0065198, -0.0083337, -0.0122533, -0.0273879, -0.0318479, -0.0355832, -0.0377348, -0.0380826, -0.0381134, -0.036896, -0.0355156, -0.0324657, -0.0294616, -0.0277874, -0.0276703, -0.0263756, -0.0243105, -0.00945944, -0.00729512, -0.00609669, -0.00444519, -0.00354435, -0.00251901, -0.00157177, -0.000810354, -0.000386431, -0.00013239, -2.66016e-07, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -47944,7 +47944,7 @@
             index_2 ("0.00124625");
             index_3 ("6.22097, 6.96443, 7.1611, 7.22592, 7.35557, 7.46091, 7.90493, 8.15112, 8.211, 8.28769, 8.31279, 8.3379, 8.34948, 8.37262, 8.41108, 8.43801, 8.46712, 8.49027, 8.49579, 8.535, 8.55273, 8.59835, 8.62347, 8.64403, 8.69895, 8.76872, 8.81864, 8.83994, 8.85889, 8.88046, 8.93203, 9.00158, 9.13995, 9.18852, 9.20865, 9.24892, 9.32945, 9.45543, 9.51159, 9.62391, 9.76731, 9.9457, 10.1677, 10.491, 10.5796");
             values ( \
-              "-6.23871e-05, -0.000156813, -0.000234669, -0.00027351, -0.000373304, -0.000506695, -0.00135784, -0.00173577, -0.00171922, -0.00159269, -0.00158269, -0.00152581, -0.00153433, -0.00150064, -0.00151082, -0.00148177, -0.00151842, -0.00181103, -0.00178432, -0.00211115, -0.00209, -0.00134508, -0.0013782, -0.00147782, -0.00154478, -0.00119288, -0.000803163, -0.000760356, -0.000820814, -0.000845074, -0.000534358, -0.000278846, -7.83796e-05, -9.50539e-05, -5.12397e-05, -7.92726e-05, -3.10714e-05, -5.82729e-05, -1.88287e-05, -5.12282e-05, -9.44969e-06, -4.05126e-05, -1e-22, -2.88799e-05, -2.37841e-05" \
+              "-6.23871e-05, -0.000156813, -0.000234669, -0.00027351, -0.000373304, -0.000506695, -0.00135784, -0.00173577, -0.00171922, -0.00159269, -0.00158269, -0.00152581, -0.00153433, -0.00150064, -0.00151082, -0.00148177, -0.00151842, -0.00181103, -0.00178432, -0.00211115, -0.00209, -0.00134508, -0.0013782, -0.00147782, -0.00154478, -0.00119288, -0.000803163, -0.000760356, -0.000820814, -0.000845074, -0.000534358, -0.000278846, -7.83797e-05, -9.50539e-05, -5.12397e-05, -7.92726e-05, -3.10714e-05, -5.82729e-05, -1.88287e-05, -5.12282e-05, -9.44969e-06, -4.05126e-05, -1e-22, -2.88799e-05, -2.3784e-05" \
             );
           }
           vector (ccs_template) {
@@ -47953,7 +47953,7 @@
             index_2 ("0.00426101");
             index_3 ("6.35709, 6.97176, 7.15374, 7.20997, 7.28494, 7.43487, 7.52158, 7.69499, 7.84015, 8.04355, 8.20764, 8.28969, 8.33752, 8.35168, 8.38, 8.42472, 8.47444, 8.49274, 8.58004, 8.68275, 8.71078, 8.72658, 8.75684, 8.77119, 8.78203, 8.80789, 8.8495, 8.88787, 8.91458, 8.97707, 8.99774, 9.01524, 9.06498, 9.08287, 9.17879, 9.28223, 9.30408, 9.34777, 9.43228, 9.54452, 9.70648, 9.89316, 10.1182, 10.3352, 10.5839, 10.7121");
             values ( \
-              "-0.00034746, -0.00050199, -0.0006978, -0.000782455, -0.000922139, -0.00128666, -0.00161477, -0.0024557, -0.00324885, -0.00429649, -0.00506783, -0.00540091, -0.00549479, -0.0054993, -0.00548115, -0.0054404, -0.00535054, -0.00526544, -0.00514214, -0.00555404, -0.00547942, -0.00546381, -0.00464877, -0.0046361, -0.00464152, -0.00497264, -0.00512743, -0.0047622, -0.00436717, -0.00303554, -0.00290119, -0.00316901, -0.00248735, -0.00211949, -0.000964133, -0.000404574, -0.000422398, -0.000252294, -0.000229589, -9.83196e-05, -0.000141757, -4.49771e-05, -0.000100011, -9.80288e-06, -7.02457e-05, -4.13655e-05" \
+              "-0.00034746, -0.00050199, -0.0006978, -0.000782455, -0.000922139, -0.00128666, -0.00161477, -0.0024557, -0.00324885, -0.00429649, -0.00506783, -0.00540091, -0.00549479, -0.0054993, -0.00548115, -0.0054404, -0.00535054, -0.00526544, -0.00514214, -0.00555404, -0.00547942, -0.00546381, -0.00464877, -0.0046361, -0.00464152, -0.00497264, -0.00512743, -0.0047622, -0.00436717, -0.00303554, -0.00290119, -0.00316901, -0.00248735, -0.00211949, -0.000964133, -0.000404574, -0.000422398, -0.000252294, -0.000229589, -9.83196e-05, -0.000141757, -4.49771e-05, -0.000100011, -9.80287e-06, -7.02458e-05, -4.13656e-05" \
             );
           }
           vector (ccs_template) {
@@ -47962,7 +47962,7 @@
             index_2 ("0.0145686");
             index_3 ("6.35719, 6.95438, 7.2174, 7.3031, 7.47451, 7.66939, 7.73998, 7.83412, 8.54987, 8.61353, 8.72911, 8.88905, 8.89655, 8.91156, 8.94158, 8.98618, 9.02277, 9.06196, 9.09332, 9.12468, 9.15605, 9.18344, 9.20583, 9.22742, 9.2472, 9.26849, 9.32317, 9.38887, 9.40563, 9.44475, 9.49919, 9.52684, 9.5608, 9.56594, 9.66124, 9.74998, 9.75839, 9.77523, 9.80889, 9.85049, 10.0443, 10.2299, 10.4443, 10.6931, 10.9838, 11.3399, 11.7814");
             values ( \
-              "-0.00102751, -0.00129956, -0.00199559, -0.00229864, -0.00310949, -0.0044795, -0.00512438, -0.00608398, -0.0137684, -0.0144165, -0.0154203, -0.0159302, -0.0157461, -0.0158668, -0.0157175, -0.015885, -0.0158575, -0.0161397, -0.0160014, -0.0161139, -0.0152188, -0.0159369, -0.0157911, -0.016045, -0.0154244, -0.0152525, -0.0137118, -0.0110826, -0.0108794, -0.0111123, -0.00918646, -0.0079709, -0.00693285, -0.00658291, -0.00385699, -0.0022218, -0.00223957, -0.00190394, -0.00165908, -0.00119019, -0.000385156, -0.000281792, -9.599e-05, -0.000159996, -1.05517e-05, -9.17566e-05, -1e-22" \
+              "-0.00102751, -0.00129956, -0.00199559, -0.00229864, -0.00310949, -0.0044795, -0.00512438, -0.00608398, -0.0137684, -0.0144165, -0.0154203, -0.0159302, -0.0157461, -0.0158668, -0.0157175, -0.015885, -0.0158575, -0.0161397, -0.0160014, -0.0161139, -0.0152188, -0.0159369, -0.0157911, -0.016045, -0.0154244, -0.0152525, -0.0137118, -0.0110826, -0.0108794, -0.0111123, -0.00918646, -0.0079709, -0.00693285, -0.00658291, -0.00385699, -0.0022218, -0.00223957, -0.00190394, -0.00165908, -0.00119019, -0.000385156, -0.000281792, -9.599e-05, -0.000159996, -1.05517e-05, -9.17567e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -48000,8 +48000,8 @@
             "0.00765909, 0.00769079, 0.00773335, 0.00776317, 0.00777575, 0.00777992", \
             "0.00871621, 0.00873949, 0.00878168, 0.00882055, 0.00883988, 0.00884671", \
             "0.00920433, 0.00920604, 0.00921443, 0.00923164, 0.00924529, 0.00925114", \
-            "0.00944526, 0.00943938, 0.00942803, 0.00941804, 0.00941679, 0.00941821", \
-            "0.00958801, 0.0095818, 0.00956655, 0.00954249, 0.00952129, 0.00951133", \
+            "0.00944526, 0.00943938, 0.00942851, 0.00941804, 0.00941679, 0.00941821", \
+            "0.00958801, 0.0095818, 0.00956665, 0.00954249, 0.00952092, 0.00951131", \
             "0.00964684, 0.00964368, 0.00963277, 0.00961068, 0.00957991, 0.0095533" \
           );
         }
@@ -48012,8 +48012,8 @@
             "0.00977221, 0.00980708, 0.00985987, 0.00990032, 0.0099177, 0.00992347", \
             "0.0105169, 0.0105112, 0.0105064, 0.0105047, 0.010504, 0.0105036", \
             "0.0110922, 0.0110281, 0.0109292, 0.0108579, 0.010813, 0.0107992", \
-            "0.011951, 0.0118061, 0.0114686, 0.0111832, 0.0110489, 0.0109998", \
-            "0.0108172, 0.010762, 0.0099646, 0.0116549, 0.0112172, 0.011048", \
+            "0.011951, 0.0118061, 0.011468, 0.0111832, 0.0110489, 0.0109998", \
+            "0.0108172, 0.010762, 0.00996456, 0.0116549, 0.0112211, 0.0110483", \
             "0.0105504, 0.0105925, 0.0106868, 0.0105954, 0.0113251, 0.011268" \
           );
         }
@@ -48029,8 +48029,8 @@
             "0.129816, 0.162337, 0.271872, 0.641986, 1.90265, 6.21037", \
             "0.137457, 0.170488, 0.281083, 0.652111, 1.91324, 6.2209", \
             "0.177957, 0.210597, 0.321314, 0.693879, 1.95644, 6.2647", \
-            "0.327683, 0.373845, 0.501737, 0.87029, 2.1323, 6.4411", \
-            "0.645513, 0.728072, 0.96928, 1.54902, 2.85648, 7.15347", \
+            "0.327683, 0.373845, 0.501792, 0.87029, 2.1323, 6.4411", \
+            "0.645513, 0.728072, 0.969554, 1.54902, 2.84609, 7.15347", \
             "1.46878, 1.60217, 2.00441, 3.0417, 5.35678, 10.0795" \
           );
         }
@@ -48041,8 +48041,8 @@
             "0.149102, 0.192686, 0.347434, 0.866008, 2.64718, 8.74179", \
             "0.148939, 0.192549, 0.347362, 0.865843, 2.64698, 8.7418", \
             "0.150784, 0.192353, 0.347217, 0.865821, 2.64705, 8.74162", \
-            "0.242699, 0.280543, 0.394677, 0.866941, 2.64706, 8.74133", \
-            "0.486121, 0.556916, 0.743637, 1.19229, 2.6915, 8.74179", \
+            "0.242699, 0.280543, 0.39485, 0.866941, 2.64706, 8.74133", \
+            "0.486121, 0.556916, 0.743822, 1.19229, 2.68163, 8.74165", \
             "1.06895, 1.18729, 1.52847, 2.37598, 4.16208, 9.08336" \
           );
         }
@@ -48052,8 +48052,8 @@
           values ( \
             "0.08246, 0.101077, 0.162838, 0.369736, 1.07237, 3.47101", \
             "0.0915464, 0.110463, 0.172633, 0.379756, 1.08231, 3.48077", \
-            "0.129215, 0.14792, 0.210741, 0.418737, 1.12181, 3.52042", \
-            "0.209229, 0.244995, 0.343635, 0.576777, 1.27939, 3.67807", \
+            "0.129236, 0.14792, 0.210741, 0.418709, 1.12177, 3.5204", \
+            "0.209229, 0.244995, 0.343623, 0.576777, 1.27942, 3.67807", \
             "0.26697, 0.334918, 0.525138, 0.978576, 1.91714, 4.31278", \
             "0.0404433, 0.164757, 0.517039, 1.37316, 3.20406, 6.78359" \
           );
@@ -48064,8 +48064,8 @@
           values ( \
             "0.0765, 0.0994836, 0.179728, 0.456185, 1.39767, 4.60412", \
             "0.0761417, 0.0991701, 0.179475, 0.455694, 1.3971, 4.60363", \
-            "0.083554, 0.103652, 0.17958, 0.455553, 1.3969, 4.60333", \
-            "0.167667, 0.19245, 0.264323, 0.484224, 1.39684, 4.60339", \
+            "0.0835485, 0.103652, 0.17958, 0.455538, 1.39693, 4.60334", \
+            "0.167667, 0.19245, 0.264329, 0.484224, 1.39683, 4.60339", \
             "0.38353, 0.427912, 0.561415, 0.87484, 1.60775, 4.60322", \
             "0.949584, 1.04784, 1.29533, 1.88827, 3.12023, 5.70707" \
           );
@@ -48113,7 +48113,7 @@
             index_2 ("0.170307");
             index_3 ("0.060491, 0.060511, 0.754046, 0.821592, 0.956685, 1.12631, 1.46555, 1.51054, 1.60052, 1.73873, 1.91042, 2.14779, 2.23763, 2.27113, 2.30294, 2.33905, 2.66535, 3.49599, 3.92475, 4.31452, 4.72336, 5.13862, 5.16987, 5.23236, 5.35735, 5.59059, 5.92394, 6.18425, 6.25819, 6.40606, 6.70179, 7.28799, 9.19142, 10.2628");
             values ( \
-              "1e-22, 0.0933166, 0.0834, 0.0833909, 0.0821624, 0.0814657, 0.0785279, 0.0785377, 0.0772582, 0.0764504, 0.0746801, 0.0708147, 0.0700304, 0.070827, 0.0697817, 0.0698991, 0.0632437, 0.0416307, 0.031616, 0.0239537, 0.0175474, 0.0126733, 0.0128975, 0.0117665, 0.0111485, 0.00876445, 0.00710754, 0.00525368, 0.00542981, 0.00431815, 0.00380021, 0.00187433, 0.000115044, 0.00038295" \
+              "1e-22, 0.0933166, 0.0834, 0.0833909, 0.0821624, 0.0814657, 0.0785279, 0.0785377, 0.0772582, 0.0764504, 0.0746801, 0.0708147, 0.0700304, 0.070827, 0.0697817, 0.0698991, 0.0632437, 0.0416307, 0.031616, 0.0239537, 0.0175474, 0.0126733, 0.0128975, 0.0117665, 0.0111485, 0.00876445, 0.00710754, 0.00525368, 0.00542981, 0.00431815, 0.00380021, 0.00187433, 0.000115044, 0.000382949" \
             );
           }
           vector (ccs_template) {
@@ -48122,7 +48122,7 @@
             index_2 ("0.582289");
             index_3 ("0.127858, 0.127878, 1.71824, 2.40633, 4.88875, 6.00006, 6.43942, 6.71644, 7.62735, 8.98032, 11.6817, 13.4121, 14.8826, 16.4702, 16.9803, 18.0006, 18.8418, 19.9131, 21.6625, 23.8053, 25.9481, 28.0908, 32.3763");
             values ( \
-              "1e-22, 0.100683, 0.0876115, 0.0867649, 0.0814353, 0.0780425, 0.07628, 0.0759059, 0.0718397, 0.0630076, 0.0415732, 0.0295122, 0.0213673, 0.0147545, 0.0131578, 0.0101649, 0.00834034, 0.00627226, 0.00398662, 0.00226015, 0.00126577, 0.00069769, 0.000191475" \
+              "1e-22, 0.100683, 0.0876115, 0.0867649, 0.0814353, 0.0780425, 0.07628, 0.0759059, 0.0718397, 0.0630076, 0.0415732, 0.0295121, 0.0213673, 0.0147545, 0.0131578, 0.0101649, 0.00834034, 0.00627225, 0.00398661, 0.00226015, 0.00126577, 0.000697688, 0.000191474" \
             );
           }
           vector (ccs_template) {
@@ -48165,18 +48165,18 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.170307");
-            index_3 ("0.0972007, 0.0972207, 0.755375, 1.16201, 1.5462, 1.90059, 2.03857, 2.24814, 2.27911, 2.34416, 2.58874, 2.84794, 3.4393, 3.79006, 4.32126, 4.72186, 5.15714, 5.29096, 5.75132, 6.3006, 7.22082, 7.98872, 9.0077, 10.0791");
+            index_3 ("0.0972007, 0.0972207, 0.755375, 1.16201, 1.5462, 1.90059, 2.03857, 2.24814, 2.27911, 2.34416, 2.58874, 2.84794, 3.4393, 3.79006, 4.32126, 4.72186, 5.15714, 5.29096, 5.75132, 6.3006, 7.22082, 7.98872, 9.00769, 10.0791");
             values ( \
-              "1e-22, 0.0937437, 0.0838402, 0.0813068, 0.0783758, 0.0751519, 0.0734525, 0.0699748, 0.0706454, 0.0701806, 0.065567, 0.0595379, 0.0441413, 0.0355983, 0.0246392, 0.018243, 0.0130325, 0.0117282, 0.00806222, 0.00506807, 0.00224795, 0.00123928, 0.000376145, 0.000238214" \
+              "1e-22, 0.0937437, 0.0838402, 0.0813068, 0.0783758, 0.0751519, 0.0734525, 0.0699748, 0.0706454, 0.0701806, 0.065567, 0.0595379, 0.0441413, 0.0355983, 0.0246392, 0.018243, 0.0130325, 0.0117282, 0.00806222, 0.00506807, 0.00224795, 0.00123928, 0.000376145, 0.000238213" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.582289");
-            index_3 ("0.0751211, 0.0751411, 0.266549, 2.43785, 4.60941, 6.77084, 7.24585, 8.22924, 8.98499, 12.1755, 13.2469, 15.1473, 17.0129, 18.6135, 19.6849, 20.9915, 22.8294, 24.9722, 27.1149, 27.5676");
+            index_3 ("0.0751213, 0.0751413, 0.266549, 2.43785, 4.60941, 6.77084, 7.24585, 8.22924, 8.98499, 12.1755, 13.2469, 15.1474, 17.0129, 18.6135, 19.6849, 20.9916, 22.8295, 24.9722, 27.115, 27.5675");
             values ( \
-              "1e-22, 0.0947473, 0.0904949, 0.0865835, 0.0820582, 0.0757792, 0.0737946, 0.0683741, 0.0631682, 0.0381445, 0.0308307, 0.0203284, 0.0130741, 0.00882006, 0.00677708, 0.00481846, 0.00298571, 0.00169342, 0.000952623, 0.000894022" \
+              "1e-22, 0.0947473, 0.0904949, 0.0865835, 0.0820582, 0.0757792, 0.0737946, 0.0683741, 0.0631682, 0.0381446, 0.0308308, 0.0203283, 0.0130741, 0.00882011, 0.00677711, 0.00481845, 0.00298568, 0.00169341, 0.000952617, 0.000894022" \
             );
           }
           vector (ccs_template) {
@@ -48230,7 +48230,7 @@
             index_2 ("0.582289");
             index_3 ("0.304521, 0.304541, 1.90026, 3.80397, 5.05757, 6.60704, 6.78172, 7.79624, 9.14465, 13.0569, 14.3317, 15.6726, 17.3435, 18.5423, 20.4865, 23.2783, 27.1732");
             values ( \
-              "1e-22, 0.101336, 0.087748, 0.0841999, 0.0812795, 0.0762873, 0.0762712, 0.0718439, 0.0630452, 0.0329077, 0.025102, 0.0185135, 0.0124508, 0.00926835, 0.00565511, 0.00272413, 0.00101973" \
+              "1e-22, 0.101336, 0.087748, 0.0841999, 0.0812795, 0.0762873, 0.0762712, 0.0718439, 0.0630452, 0.0329077, 0.025102, 0.0185135, 0.0124508, 0.00926835, 0.0056551, 0.00272413, 0.00101973" \
             );
           }
           vector (ccs_template) {
@@ -48248,16 +48248,16 @@
             index_2 ("0.00426101");
             index_3 ("0.481141, 0.565956, 0.588536, 0.618165, 0.63597, 0.656318, 0.706269, 0.745232, 0.801379, 0.849978, 0.883499, 0.894283, 0.915754, 0.93337, 0.961629, 0.972803, 0.993669, 1.00667, 1.02592, 1.04076, 1.04589, 1.05386, 1.07536, 1.1059, 1.12125, 1.13294, 1.1572, 1.16831, 1.18879, 1.21565, 1.22932, 1.25665, 1.30573, 1.38126, 1.47764, 1.59795");
             values ( \
-              "0.00074283, 0.00287817, 0.00386038, 0.00556383, 0.00674033, 0.00791662, 0.0105016, 0.0123943, 0.0149159, 0.016677, 0.017582, 0.0181665, 0.0199699, 0.0206861, 0.0190355, 0.0177671, 0.0150077, 0.0136845, 0.0111495, 0.0117332, 0.0124585, 0.0125434, 0.0109852, 0.00782508, 0.00646673, 0.00562936, 0.00424462, 0.00372151, 0.00293208, 0.0021155, 0.00180382, 0.00128048, 0.000697742, 0.000248201, 7.40441e-05, 7.59167e-06" \
+              "0.00074283, 0.00287817, 0.00386038, 0.00556383, 0.00674033, 0.00791662, 0.0105016, 0.0123943, 0.0149159, 0.016677, 0.017582, 0.0181665, 0.0199699, 0.0206861, 0.0190355, 0.0177671, 0.0150077, 0.0136845, 0.0111495, 0.0117332, 0.0124585, 0.0125434, 0.0109852, 0.00782508, 0.00646673, 0.00562936, 0.00424462, 0.00372151, 0.00293208, 0.0021155, 0.00180382, 0.00128048, 0.000697742, 0.000248201, 7.40441e-05, 7.59166e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0145686");
-            index_3 ("0.520564, 0.595058, 0.614738, 0.660514, 0.728874, 0.825173, 0.890732, 0.917672, 0.963786, 1.019, 1.0288, 1.04072, 1.05113, 1.06288, 1.0863, 1.09887, 1.12401, 1.17868, 1.19318, 1.20543, 1.23415, 1.29037, 1.32187, 1.36855, 1.4125, 1.44169, 1.46248, 1.49019, 1.52349, 1.56945, 1.62721, 1.7016, 1.78187, 1.91658, 2.07791");
+            index_3 ("0.520591, 0.594487, 0.610736, 0.660277, 0.706966, 0.825149, 0.899686, 0.963941, 1.02225, 1.04075, 1.05196, 1.0643, 1.09766, 1.12586, 1.17939, 1.19356, 1.20584, 1.23425, 1.29045, 1.32201, 1.33955, 1.36846, 1.41228, 1.44867, 1.49058, 1.52378, 1.56955, 1.62722, 1.70171, 1.7812, 1.916, 2.07618");
             values ( \
-              "0.00550534, 0.00858753, 0.010526, 0.0156708, 0.0226159, 0.031845, 0.0377338, 0.0399552, 0.0432776, 0.0463808, 0.0473754, 0.0481596, 0.0486631, 0.0483448, 0.0457449, 0.0440543, 0.0403084, 0.0308963, 0.030061, 0.0300108, 0.0274232, 0.0204482, 0.0171471, 0.0135571, 0.01068, 0.00890814, 0.0078474, 0.00658508, 0.00529531, 0.00390284, 0.00264446, 0.00158525, 0.000903787, 0.000340774, 9.68071e-05" \
+              "0.00570136, 0.00849829, 0.0101074, 0.0156394, 0.0204684, 0.0318263, 0.0385036, 0.043203, 0.0466951, 0.0483117, 0.0486527, 0.0482422, 0.0443848, 0.0399034, 0.0308446, 0.0300992, 0.0300102, 0.027437, 0.0204243, 0.0171687, 0.0157839, 0.0135979, 0.0106711, 0.00854409, 0.00653867, 0.00532095, 0.00386878, 0.00261168, 0.00161856, 0.000874828, 0.000375207, 6.61618e-05" \
             );
           }
           vector (ccs_template) {
@@ -48284,7 +48284,7 @@
             index_2 ("0.582289");
             index_3 ("0.841393, 0.841413, 2.97085, 4.37217, 6.27379, 7.4591, 8.3662, 9.7254, 12.4278, 14.1678, 15.6011, 17.7182, 19.6003, 20.6717, 22.4007, 24.5434, 26.6862, 28.4011");
             values ( \
-              "1e-22, 0.0958119, 0.0869358, 0.0841981, 0.0794817, 0.0759086, 0.0718534, 0.0629738, 0.0415116, 0.0294008, 0.021461, 0.013165, 0.00830304, 0.00623539, 0.00398251, 0.002256, 0.00126166, 0.000975142" \
+              "1e-22, 0.0958119, 0.0869358, 0.0841981, 0.0794817, 0.0759086, 0.0718534, 0.0629738, 0.0415116, 0.0294008, 0.021461, 0.013165, 0.00830304, 0.00623539, 0.00398251, 0.002256, 0.00126165, 0.000975141" \
             );
           }
           vector (ccs_template) {
@@ -48309,9 +48309,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0145686");
-            index_3 ("1.65237, 2.05254, 2.14641, 2.23562, 2.26668, 2.43408, 2.56864, 2.77603, 2.82773, 2.92805, 2.9933, 3.10344, 3.14906, 3.16632, 3.19911, 3.22521, 3.25025, 3.2686, 3.28976, 3.32611, 3.34915, 3.41531, 3.6171, 3.69758, 3.79689, 3.87097, 3.96973, 4.13691, 4.16529, 4.20023, 4.25301");
+            index_3 ("1.86686, 2.10877, 2.21349, 2.63652, 2.79215, 3.05133, 3.10436, 3.1507, 3.16528, 3.19443, 3.22029, 3.24483, 3.27436, 3.29528, 3.33466, 3.35619, 3.41467, 3.63416, 3.70218, 3.80162, 3.91192, 4.03799, 4.16405, 4.2344, 4.40268, 4.56723");
             values ( \
-              "0.000296362, 0.00232578, 0.00334171, 0.00480556, 0.00549892, 0.0102986, 0.0137789, 0.0180941, 0.0190083, 0.0204282, 0.0209751, 0.0232814, 0.0232796, 0.0236715, 0.0237487, 0.0239604, 0.0237471, 0.0253118, 0.0258699, 0.0252693, 0.0241594, 0.0199095, 0.00898972, 0.00578974, 0.00321314, 0.00203004, 0.00108525, 0.000309334, 0.000281201, 0.000572603, 0.000419819" \
+              "0.00262028, 0.00291807, 0.00441616, 0.0153234, 0.0183912, 0.0220686, 0.0233925, 0.0232238, 0.023732, 0.0236767, 0.0240141, 0.023688, 0.0254522, 0.0258699, 0.0248594, 0.0237734, 0.0199121, 0.00815874, 0.00562477, 0.00312392, 0.00155487, 0.000677954, 0.000271602, 0.000498447, 0.000150957, 6.08623e-05" \
             );
           }
           vector (ccs_template) {
@@ -48327,45 +48327,45 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.170307");
-            index_3 ("2.18633, 2.72354, 3.73621, 4.15275, 4.18198, 4.53655, 4.7308, 4.8752, 4.93666, 5.05067, 5.13183, 5.19778, 5.21375, 5.24569, 5.27265, 5.31279, 5.40443, 5.55095, 5.83818, 6.70641, 6.95006, 7.26559, 7.48973, 7.74911, 7.98651, 8.38241, 8.55749, 8.81554, 9.27148, 9.47238, 9.87417, 10.4638, 11.3561, 12.4275, 13.4989");
+            index_3 ("2.30195, 2.77036, 3.34005, 3.482, 3.54817, 3.61434, 3.68051, 3.74668, 3.80003, 3.85338, 3.90673, 3.98521, 4.06057, 4.14107, 4.17349, 4.21436, 4.24992, 4.36702, 4.53703, 4.73113, 4.87848, 4.9659, 5.05171, 5.19845, 5.21439, 5.23829, 5.25974, 5.28324, 5.31331, 5.3459, 5.40507, 5.48911, 5.58539, 5.80073, 6.08923, 6.56869, 6.70701, 6.82917, 7.03008, 7.34091, 7.4901, 7.61984, 7.74957, 7.98691, 8.22722, 8.42722, 8.55921, 8.81687, 9.08321, 9.27219");
             values ( \
-              "0.0185664, 0.028912, 0.0667378, 0.080561, 0.0811291, 0.0783306, 0.0764551, 0.0754785, 0.0744031, 0.0732701, 0.0714637, 0.070764, 0.0701359, 0.0702174, 0.0705252, 0.0703256, 0.0689242, 0.0660717, 0.0594518, 0.037415, 0.0319188, 0.0255781, 0.0216817, 0.0178275, 0.0148689, 0.0108993, 0.00946557, 0.00766464, 0.00523314, 0.00441297, 0.00312606, 0.00186031, 0.000824761, 0.000298736, 0.000110862" \
+              "0.0288273, 0.0302833, 0.0518549, 0.0570608, 0.06027, 0.0639769, 0.0681814, 0.0728834, 0.0731369, 0.0735922, 0.0742492, 0.0755823, 0.0772737, 0.0797838, 0.0809749, 0.0808365, 0.0806428, 0.079731, 0.0782141, 0.0765763, 0.075219, 0.0741905, 0.0729714, 0.0705359, 0.0700743, 0.0703013, 0.0703828, 0.0703832, 0.07027, 0.0698823, 0.0688902, 0.0672924, 0.0652787, 0.0602921, 0.0530318, 0.0406553, 0.0372454, 0.0344839, 0.0302269, 0.0241319, 0.0215368, 0.0195573, 0.0177447, 0.0148611, 0.0123087, 0.0104458, 0.00935047, 0.00762173, 0.00613509, 0.00517404" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.582289");
-            index_3 ("2.50155, 3.29437, 3.99116, 4.19314, 6.64702, 7.7184, 8.53745, 8.99675, 9.23702, 9.46651, 9.63909, 9.66806, 9.72601, 9.96787, 10.6396, 11.2275, 11.9892, 14.5698, 15.894, 17.175, 18.5186, 19.3295, 20.1857, 21.3497, 22.4211, 23.3172, 24.0829, 26.1754, 28.3181, 30.4609, 32.6036, 34.7464, 35.8178");
+            index_3 ("2.39663, 3.29939, 3.96271, 4.19328, 6.64712, 7.7185, 8.53753, 8.99674, 9.46644, 9.63904, 9.66809, 9.72619, 9.96826, 10.6397, 11.2275, 11.9902, 14.691, 15.3675, 16.4389, 17.166, 17.8773, 18.6717, 19.4678, 21.0395, 21.8709, 22.9422, 24.674, 26.8168, 28.9595, 31.1023, 33.245, 34.3164, 35.3878");
             values ( \
-              "0.0413058, 0.0536593, 0.0819272, 0.0888477, 0.0841604, 0.0817012, 0.0795617, 0.0781166, 0.0770265, 0.0762316, 0.0762135, 0.0759811, 0.0759026, 0.0749843, 0.0718287, 0.0682395, 0.063021, 0.0425365, 0.0329613, 0.0251141, 0.0185137, 0.0153949, 0.0124649, 0.00936277, 0.00723096, 0.00568389, 0.00466763, 0.00269518, 0.00151911, 0.000845868, 0.000462567, 0.000245035, 0.000253902" \
+              "0.0301025, 0.0538675, 0.080819, 0.0888475, 0.084157, 0.0817046, 0.0795582, 0.0781132, 0.0762358, 0.0762178, 0.0759778, 0.0759057, 0.0749864, 0.0718319, 0.0682368, 0.0630173, 0.0416054, 0.0367007, 0.0294306, 0.0251629, 0.0214607, 0.0179599, 0.0148137, 0.0101046, 0.00829705, 0.00625212, 0.00399444, 0.00226768, 0.00127313, 0.00070494, 0.000381829, 0.000360102, 0.000198595" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("6.31251, 7.69679, 7.88275, 8.25467, 8.41124, 8.56066, 8.80313, 8.92652, 9.16548, 9.39891, 9.47396, 9.60192, 9.70224, 9.75208, 9.80209, 9.90638, 9.94018, 9.97169, 10.0034, 10.0312, 10.0429, 10.0786, 10.1154, 10.1387, 10.1623, 10.1912, 10.2248, 10.2345, 10.254, 10.2876, 10.322, 10.4264, 10.4764, 10.5384, 10.6235, 10.6549, 10.7805, 10.9343, 11.1232, 11.3771, 11.666, 12.0132, 12.4352, 12.9795");
+            index_3 ("6.31252, 7.69679, 7.88274, 8.25466, 8.41124, 8.56066, 8.80313, 8.92652, 9.16548, 9.3989, 9.47398, 9.60191, 9.70224, 9.75208, 9.80209, 9.90638, 9.94018, 9.97169, 10.0034, 10.0312, 10.0429, 10.0786, 10.1154, 10.1387, 10.1623, 10.1912, 10.2248, 10.2345, 10.254, 10.2876, 10.322, 10.4264, 10.4764, 10.5384, 10.6235, 10.6549, 10.7805, 10.9343, 11.1232, 11.3771, 11.666, 12.0132, 12.4352, 12.9795");
             values ( \
-              "9.35139e-06, 5.55084e-05, 6.97814e-05, 0.000115127, 0.000156959, 0.000219089, 0.000412251, 0.000573121, 0.000855489, 0.00106205, 0.00110832, 0.00112831, 0.00108411, 0.0011876, 0.00110472, 0.00112079, 0.0012698, 0.00166968, 0.00184862, 0.00156973, 0.00153296, 0.00173201, 0.00184907, 0.00186418, 0.00189433, 0.00188994, 0.00190075, 0.00188088, 0.00187688, 0.00179087, 0.00165191, 0.000972909, 0.000702291, 0.000448487, 0.00023287, 0.000183242, 7.20083e-05, 3.78375e-05, 1.2198e-05, 1.59779e-05, 1e-22, 8.3103e-06, 1e-22, 6.2132e-06" \
+              "9.35163e-06, 5.55084e-05, 6.97811e-05, 0.000115125, 0.00015696, 0.000219089, 0.000412251, 0.000573123, 0.000855489, 0.00106205, 0.00110833, 0.0011283, 0.0010841, 0.0011876, 0.00110472, 0.00112079, 0.0012698, 0.00166968, 0.00184862, 0.00156973, 0.00153296, 0.00173201, 0.00184907, 0.00186418, 0.00189433, 0.00188994, 0.00190075, 0.00188088, 0.00187688, 0.00179087, 0.00165191, 0.00097291, 0.000702292, 0.000448488, 0.00023287, 0.000183242, 7.20087e-05, 3.78372e-05, 1.21984e-05, 1.59775e-05, 1e-22, 8.30991e-06, 1e-22, 6.21282e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00426101");
-            index_3 ("6.31927, 7.71647, 7.91816, 8.33776, 8.43852, 8.64004, 8.83761, 9.06606, 9.24523, 9.50307, 9.56754, 9.69648, 9.72471, 9.78116, 9.86089, 9.93426, 9.94039, 9.94857, 9.96492, 9.99763, 10.0389, 10.0844, 10.127, 10.172, 10.1878, 10.201, 10.2515, 10.2923, 10.3189, 10.3518, 10.3925, 10.4273, 10.4328, 10.4437, 10.5081, 10.7125, 10.8286, 10.9084, 10.9641, 11.0757, 11.251, 11.464, 12.0927, 13.0686");
+            index_3 ("6.31928, 7.71647, 7.91816, 8.33776, 8.43852, 8.64004, 8.83761, 9.06606, 9.24523, 9.50307, 9.56754, 9.69648, 9.72471, 9.78116, 9.86089, 9.93426, 9.94039, 9.94857, 9.96492, 9.99763, 10.0389, 10.0844, 10.127, 10.172, 10.1878, 10.201, 10.2515, 10.2923, 10.3189, 10.3518, 10.3925, 10.4273, 10.4328, 10.4437, 10.5082, 10.7125, 10.8286, 10.9084, 10.9641, 11.0757, 11.251, 11.464, 12.0927, 13.0686");
             values ( \
-              "3.11359e-05, 0.000188579, 0.000240156, 0.000423965, 0.000520755, 0.000795612, 0.0012989, 0.0020923, 0.00265072, 0.00330135, 0.00341782, 0.00356603, 0.003569, 0.00353935, 0.00358185, 0.00391909, 0.00374304, 0.00375109, 0.00371524, 0.00372478, 0.00376072, 0.00397826, 0.00428846, 0.00443188, 0.00474348, 0.0047785, 0.00522616, 0.00539841, 0.00540678, 0.00549497, 0.00546476, 0.00548314, 0.00543117, 0.0054437, 0.00506765, 0.002195, 0.00111177, 0.000663461, 0.000468377, 0.000221415, 8.05654e-05, 3.11841e-05, 5.67922e-06, 1e-22" \
+              "3.11368e-05, 0.000188579, 0.000240154, 0.000423964, 0.000520755, 0.000795612, 0.0012989, 0.0020923, 0.00265072, 0.00330135, 0.00341782, 0.00356603, 0.003569, 0.00353935, 0.00358185, 0.00391909, 0.00374304, 0.00375109, 0.00371524, 0.00372478, 0.00376072, 0.00397826, 0.00428846, 0.00443188, 0.00474347, 0.0047785, 0.00522616, 0.00539841, 0.00540678, 0.00549497, 0.00546476, 0.00548314, 0.00543117, 0.0054437, 0.00506764, 0.002195, 0.00111177, 0.000663461, 0.000468377, 0.000221415, 8.05653e-05, 3.1184e-05, 5.67916e-06, 1e-22" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0145686");
-            index_3 ("6.32262, 7.778, 8.0498, 8.33906, 8.5301, 8.62691, 8.82051, 9.30279, 9.47275, 9.79928, 9.8809, 10.0441, 10.1482, 10.2471, 10.3304, 10.3401, 10.3594, 10.3981, 10.4408, 10.4783, 10.5856, 10.6119, 10.6695, 10.6986, 10.7314, 10.7744, 10.7956, 10.8379, 10.9195, 10.9612, 11.0015, 11.0501, 11.1056, 11.3932, 11.5417, 11.6329, 11.778, 11.9716, 12.1218");
+            index_3 ("6.32262, 7.778, 8.04979, 8.33906, 8.5301, 8.62691, 8.82051, 9.30279, 9.47275, 9.79928, 9.8809, 10.0441, 10.1482, 10.2471, 10.3304, 10.3401, 10.3594, 10.3981, 10.4408, 10.4783, 10.5856, 10.6119, 10.6695, 10.6986, 10.7314, 10.7744, 10.7956, 10.8379, 10.9195, 10.9612, 11.0015, 11.0501, 11.1056, 11.3932, 11.5417, 11.6329, 11.778, 11.9716, 12.1218");
             values ( \
-              "9.1313e-05, 0.000630007, 0.000858286, 0.00125126, 0.00168803, 0.00199427, 0.00286474, 0.00614101, 0.00718275, 0.00888444, 0.00921186, 0.00972735, 0.00985923, 0.0100497, 0.0105562, 0.0103215, 0.0104516, 0.0104038, 0.0105991, 0.0106646, 0.0112394, 0.0121641, 0.0127607, 0.012672, 0.012649, 0.0124844, 0.012533, 0.0124006, 0.0129305, 0.0130464, 0.0129844, 0.0126183, 0.0118436, 0.00561659, 0.003204, 0.00219525, 0.00115876, 0.000453098, 0.000254595" \
+              "9.13157e-05, 0.000630007, 0.000858281, 0.00125126, 0.00168803, 0.00199427, 0.00286474, 0.00614101, 0.00718275, 0.00888444, 0.00921186, 0.00972735, 0.00985923, 0.0100497, 0.0105562, 0.0103215, 0.0104516, 0.0104038, 0.0105991, 0.0106646, 0.0112394, 0.0121641, 0.0127607, 0.012672, 0.012649, 0.0124844, 0.012533, 0.0124006, 0.0129305, 0.0130464, 0.0129844, 0.0126183, 0.0118436, 0.00561659, 0.003204, 0.00219525, 0.00115876, 0.000453098, 0.000254595" \
             );
           }
           vector (ccs_template) {
@@ -48374,7 +48374,7 @@
             index_2 ("0.049811");
             index_3 ("7.3269, 8.33458, 8.65625, 9.02666, 9.86544, 10.3345, 10.5442, 10.9635, 11.1508, 11.2651, 11.3542, 11.6348, 11.7063, 11.7788, 12.0829, 12.2007, 12.3644, 12.5381, 13.1988, 13.4477, 13.7222, 13.8921, 14.1767, 14.6659, 15.2401, 15.976");
             values ( \
-              "0.00243213, 0.00299467, 0.00431237, 0.0069103, 0.014811, 0.0183089, 0.0196555, 0.021819, 0.0224546, 0.0230766, 0.0231217, 0.0240999, 0.0257304, 0.0262067, 0.0270086, 0.0268572, 0.0257715, 0.0229212, 0.00928048, 0.00575912, 0.00321206, 0.00222498, 0.00112445, 0.000320369, 4.60836e-05, 1.67704e-05" \
+              "0.00243214, 0.00299467, 0.00431237, 0.0069103, 0.014811, 0.0183089, 0.0196555, 0.021819, 0.0224546, 0.0230766, 0.0231217, 0.0240999, 0.0257304, 0.0262067, 0.0270086, 0.0268572, 0.0257715, 0.0229212, 0.00928048, 0.00575912, 0.00321206, 0.00222498, 0.00112445, 0.000320369, 4.60836e-05, 1.67703e-05" \
             );
           }
           vector (ccs_template) {
@@ -48383,16 +48383,16 @@
             index_2 ("0.170307");
             index_3 ("7.57856, 8.69165, 8.99467, 9.3086, 10.0485, 10.7214, 12.2725, 12.567, 13.3855, 13.9509, 14.049, 14.1099, 14.154, 14.2114, 14.3073, 14.6778, 14.9023, 15.3151, 16.3529, 16.6616, 17.2677, 17.5937, 17.8803, 18.255, 18.4664, 18.7335, 19.0897, 19.802, 20.8734, 21.9448");
             values ( \
-              "0.006745, 0.00748197, 0.00959592, 0.0123779, 0.0200237, 0.0262108, 0.0386436, 0.0407925, 0.0460445, 0.0487368, 0.0503001, 0.0503712, 0.0509566, 0.0509309, 0.0516524, 0.0519155, 0.0503053, 0.0454681, 0.0265268, 0.021523, 0.0137856, 0.0106369, 0.00842004, 0.00615325, 0.00514978, 0.00410502, 0.00302528, 0.00160194, 0.000588204, 0.000211254" \
+              "0.00674502, 0.00748196, 0.00959592, 0.0123779, 0.0200237, 0.0262108, 0.0386436, 0.0407925, 0.0460445, 0.0487368, 0.0503001, 0.0503712, 0.0509566, 0.0509309, 0.0516524, 0.0519155, 0.0503053, 0.0454681, 0.0265268, 0.021523, 0.0137856, 0.0106369, 0.00842004, 0.00615325, 0.00514978, 0.00410502, 0.00302528, 0.00160194, 0.000588203, 0.000211253" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.582289");
-            index_3 ("8.32786, 10.3358, 14.2476, 15.7696, 16.657, 16.8734, 17.9201, 18.6056, 18.7057, 18.7816, 19.0839, 19.6626, 20.0938, 21.3767, 24.2554, 25.0619, 26.1004, 26.8308, 27.6861, 28.4992, 29.7518, 30.5462, 31.5981, 32.7424, 34.2485, 35.3198, 36.3912, 38.534, 40.6767, 44.9622");
+            index_3 ("8.32786, 10.3358, 14.2476, 15.7696, 16.657, 16.8734, 17.9201, 18.6056, 18.7057, 18.7816, 19.0839, 19.6626, 20.0938, 21.3767, 24.2554, 25.0619, 26.1004, 26.8308, 27.6861, 28.4992, 29.7518, 30.5462, 31.5981, 32.7424, 34.2485, 35.3198, 36.3912, 38.534, 40.6767, 44.9623");
             values ( \
-              "0.0145256, 0.0247151, 0.0621207, 0.0754226, 0.0821809, 0.0817519, 0.0788769, 0.076341, 0.07616, 0.0762978, 0.0752002, 0.0725973, 0.0702185, 0.061374, 0.0386413, 0.0330612, 0.026482, 0.0225299, 0.0186096, 0.0153014, 0.0113182, 0.00938021, 0.00713435, 0.00532553, 0.00360163, 0.00279155, 0.00204258, 0.00114632, 0.00063485, 0.000179459" \
+              "0.0145256, 0.0247151, 0.0621207, 0.0754226, 0.0821809, 0.0817519, 0.0788769, 0.076341, 0.07616, 0.0762978, 0.0752002, 0.0725973, 0.0702185, 0.061374, 0.0386413, 0.0330612, 0.026482, 0.0225299, 0.0186096, 0.0153014, 0.0113182, 0.00938021, 0.00713435, 0.00532553, 0.00360163, 0.00279155, 0.00204258, 0.00114632, 0.000634849, 0.000179458" \
             );
           }
         }
@@ -48402,8 +48402,8 @@
           values ( \
             "0.00808612, 0.00812515, 0.00817594, 0.00821005, 0.00822406, 0.00822866", \
             "0.0094949, 0.00954762, 0.0096343, 0.0097086, 0.00974314, 0.009755", \
-            "0.0101298, 0.0101599, 0.0102024, 0.0102666, 0.0103053, 0.01032", \
-            "0.0106041, 0.0105774, 0.0105357, 0.0105135, 0.0105164, 0.0105214", \
+            "0.0101289, 0.0101599, 0.0102024, 0.0102672, 0.0103059, 0.0103205", \
+            "0.0106041, 0.0105774, 0.0105357, 0.0105135, 0.0105163, 0.0105214", \
             "0.010991, 0.0109488, 0.0108602, 0.0107277, 0.0106282, 0.0105902", \
             "0.0114066, 0.0113393, 0.0111901, 0.0110077, 0.0108147, 0.0106735" \
           );
@@ -48414,8 +48414,8 @@
           values ( \
             "0.0101721, 0.0102032, 0.0102524, 0.0102891, 0.0103051, 0.0103105", \
             "0.0103472, 0.0103067, 0.0102483, 0.0102047, 0.0101865, 0.0101801", \
-            "0.0105553, 0.010421, 0.0102227, 0.0100394, 0.00994584, 0.00991246", \
-            "0.0105361, 0.0107943, 0.0106666, 0.0101729, 0.00991206, 0.00981557", \
+            "0.0105562, 0.010421, 0.0102227, 0.0100384, 0.00994225, 0.00991025", \
+            "0.0105361, 0.0107943, 0.0106663, 0.0101729, 0.0099112, 0.00981557", \
             "0.00929674, 0.00936728, 0.00950738, 0.00967335, 0.0102234, 0.00990645", \
             "0.00854689, 0.0086492, 0.00894207, 0.0092637, 0.00928478, 0.010311" \
           );
@@ -48533,9 +48533,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.150673, 0.184321, 0.204613, 0.231205, 0.24616, 0.252993, 0.25614, 0.257632, 0.259827, 0.260627, 0.261741, 0.263001, 0.265521, 0.26904, 0.273659, 0.279818, 0.28847, 0.305474, 0.320599, 0.325946, 0.33229, 0.343438, 0.359956, 0.380432, 0.390986, 0.39658, 0.403845, 0.412489, 0.420146, 0.425548, 0.431715, 0.444105, 0.451094, 0.460386, 0.472597, 0.490546, 0.516596, 0.548827, 0.585108, 0.630011, 0.684537");
+            index_3 ("0.157777, 0.170842, 0.204542, 0.246481, 0.262, 0.274296, 0.281093, 0.309408, 0.327108, 0.34463, 0.359288, 0.391183, 0.414369, 0.427175, 0.446126, 0.477327, 0.524008");
             values ( \
-              "-0.000412012, -0.00822285, -0.010946, -0.0140633, -0.0159588, -0.0170846, -0.0179885, -0.0180782, -0.0185819, -0.019347, -0.0196173, -0.0195401, -0.0195251, -0.0194151, -0.0190844, -0.0180575, -0.0156107, -0.0101724, -0.00633171, -0.00514213, -0.00408336, -0.00310183, -0.00220114, -0.00122355, -0.000847704, -0.000784408, -0.000640713, -0.000563294, -0.000159256, -4.34768e-05, -1e-22, -2e-22, -0.000154913, -0.000121139, -0.000289629, -0.000184048, -0.000250097, -6.23364e-05, -0.000119451, -1e-22, -6.68277e-05" \
+              "-0.000619747, -0.00626105, -0.0109382, -0.0159291, -0.0196152, -0.0190516, -0.0178357, -0.00917582, -0.00497701, -0.00304673, -0.0022572, -0.000856214, -0.000507906, -1.75391e-06, -1e-22, -0.000289529, -0.000208702" \
             );
           }
           vector (ccs_template) {
@@ -48544,7 +48544,7 @@
             index_2 ("0.00426101");
             index_3 ("0.156899, 0.181093, 0.213655, 0.259987, 0.262197, 0.266616, 0.272303, 0.277283, 0.281595, 0.285957, 0.293234, 0.309331, 0.339028, 0.362768, 0.393914, 0.401645, 0.414805, 0.426013, 0.437815, 0.450033, 0.462817, 0.472257, 0.482129, 0.490918, 0.50471, 0.514637, 0.526119, 0.538532, 0.557513, 0.580702, 0.618856, 0.661792");
             values ( \
-              "-0.00249435, -0.020768, -0.0321923, -0.0461197, -0.0497955, -0.0474278, -0.0498538, -0.0488948, -0.0504769, -0.0497208, -0.0502588, -0.0455817, -0.0267942, -0.0152366, -0.00629242, -0.00574526, -0.00290305, -0.00311448, -0.00203666, -0.00299788, -0.00186114, -0.00258289, -0.00101573, -0.00156177, -0.000319336, -0.00127421, -0.000287525, -0.00122915, -0.000159799, -0.00097811, -1e-22, -0.000599451" \
+              "-0.00249435, -0.020768, -0.0321923, -0.0461197, -0.0497955, -0.0474278, -0.0498538, -0.0488948, -0.0504769, -0.0497208, -0.0502588, -0.0455817, -0.0267942, -0.0152366, -0.00629242, -0.00574526, -0.00290305, -0.00311448, -0.00203666, -0.00299788, -0.00186114, -0.00258289, -0.00101573, -0.00156177, -0.000319336, -0.00127421, -0.000287525, -0.00122915, -0.000159799, -0.000978109, -1e-22, -0.000599451" \
             );
           }
           vector (ccs_template) {
@@ -48560,27 +48560,27 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.049811");
-            index_3 ("0.18614, 0.233011, 0.264281, 0.26945, 0.27293, 0.462445, 0.54897, 0.60992, 0.644391, 0.690353, 0.716907, 0.785302, 0.829515, 0.961192, 1.03132, 1.07272, 1.16023, 1.21363, 1.30793, 1.37696, 1.452, 1.62844, 1.65685");
+            index_3 ("0.18304, 0.217376, 0.264312, 0.269483, 0.272676, 0.44107, 0.570186, 0.592811, 0.621485, 0.658075, 0.699041, 0.750563, 0.835402, 0.940855, 1.01104, 1.0602, 1.14692, 1.19646, 1.24395, 1.3063, 1.43275, 1.60447, 1.68727");
             values ( \
-              "-0.0853722, -0.105997, -0.132692, -0.133417, -0.132826, -0.126704, -0.125069, -0.122597, -0.118827, -0.11015, -0.103375, -0.0832835, -0.0683998, -0.0414311, -0.029612, -0.0239924, -0.0150427, -0.0111259, -0.00650451, -0.00435213, -0.00279169, -0.00109483, -0.00103943" \
+              "-0.0792529, -0.0920023, -0.132689, -0.133416, -0.132834, -0.127399, -0.124577, -0.123608, -0.12156, -0.116636, -0.108026, -0.0938397, -0.0667235, -0.0452931, -0.0327393, -0.025592, -0.0161932, -0.0122347, -0.00936039, -0.00656622, -0.00312117, -0.00122535, -0.00103796" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.170307");
-            index_3 ("0.178342, 0.264485, 0.274006, 0.282015, 0.293038, 0.304091, 0.323601, 0.353252, 0.400444, 0.405698, 0.416208, 0.611282, 0.820436, 1.07806, 1.29438, 1.37132, 1.47525, 1.5803, 1.66366, 1.71587, 1.73959, 1.79832, 1.87775, 2.15603, 2.34286, 2.48112, 2.55342, 2.66598, 2.81301, 2.93157, 3.07683, 3.28165, 3.41574, 3.49971, 3.66766, 3.94525, 4.26645, 4.65294, 5.13672");
+            index_3 ("0.201681, 0.260146, 0.273516, 0.281423, 0.293125, 0.612051, 1.2523, 1.47664, 1.59041, 1.66914, 1.88133, 2.25742, 2.51944, 2.80428, 3.14579, 3.47945, 3.97942, 4.25975");
             values ( \
-              "-0.0623294, -0.151417, -0.152351, -0.151434, -0.151934, -0.151278, -0.151451, -0.150767, -0.150586, -0.150283, -0.150314, -0.148019, -0.145309, -0.141714, -0.1384, -0.136903, -0.133671, -0.128712, -0.122753, -0.118177, -0.115363, -0.111136, -0.104437, -0.0764227, -0.059263, -0.0483106, -0.0432356, -0.0361578, -0.0283941, -0.0232544, -0.0180915, -0.0125993, -0.00991865, -0.0085389, -0.00628612, -0.00374584, -0.0020266, -0.000995855, -0.000410765" \
+              "-0.146184, -0.146344, -0.152349, -0.151466, -0.151933, -0.148128, -0.139165, -0.13362, -0.128191, -0.122356, -0.104167, -0.0668965, -0.0456279, -0.0288373, -0.0160367, -0.00885903, -0.00350488, -0.00211301" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.582289");
-            index_3 ("0.176859, 0.270536, 0.277809, 0.290501, 0.304806, 0.325764, 0.333441, 0.348796, 0.379505, 0.426234, 0.519692, 0.532496, 0.558105, 0.86631, 1.54197, 2.24815, 2.93008, 3.64905, 3.97041, 4.21064, 4.46281, 4.56282, 4.63654, 4.69784, 4.76247, 4.82908, 5.00666, 5.18783, 5.41279, 6.74301, 7.3979, 7.66254, 8.04347, 8.54024, 8.8622, 9.37341, 9.95764, 10.4609, 10.9691, 11.6468, 12.398, 13.1491, 13.9003, 14.6515, 16.1538");
+            index_3 ("0.179196, 0.259072, 0.276464, 0.286357, 0.301578, 0.318569, 0.335571, 0.369575, 0.417713, 0.476077, 0.534573, 0.651565, 0.868412, 1.1924, 1.54411, 2.22248, 2.25006, 2.80167, 3.19316, 3.65119, 3.96621, 4.20222, 4.46669, 4.5662, 4.6404, 4.70179, 4.76517, 4.83179, 5.01001, 5.18999, 5.40603, 6.8598, 7.4418, 7.64629, 7.9212, 8.28383, 8.80185, 9.22985, 9.7012, 10.37, 10.7925, 11.0534, 11.5753, 12.3265, 13.0776, 13.8288, 14.58, 15.3311, 16.8335");
             values ( \
-              "-0.065975, -0.159207, -0.158288, -0.158931, -0.158265, -0.158643, -0.158244, -0.158448, -0.158115, -0.158154, -0.15763, -0.157751, -0.157523, -0.156528, -0.153962, -0.151015, -0.147919, -0.144004, -0.141776, -0.139706, -0.136718, -0.135331, -0.133825, -0.133908, -0.132654, -0.131941, -0.128199, -0.123635, -0.117284, -0.0755041, -0.0574691, -0.050975, -0.0427167, -0.0336231, -0.0286022, -0.0220776, -0.0162258, -0.0124304, -0.00945845, -0.0065059, -0.00430062, -0.00280735, -0.001857, -0.00120627, -0.000522749" \
+              "-0.0662386, -0.152698, -0.159113, -0.158301, -0.158859, -0.158204, -0.158609, -0.158063, -0.158281, -0.157708, -0.157848, -0.157095, -0.156635, -0.155104, -0.154066, -0.150973, -0.151023, -0.148551, -0.146611, -0.144003, -0.141827, -0.139808, -0.136693, -0.13531, -0.133805, -0.133897, -0.13264, -0.131935, -0.128175, -0.123636, -0.117547, -0.0721174, -0.0564348, -0.0514177, -0.0453071, -0.0381595, -0.0295185, -0.0237653, -0.018628, -0.013027, -0.0104018, -0.00902846, -0.00679533, -0.00447319, -0.00294047, -0.00192582, -0.00126861, -0.00083382, -0.000366982" \
             );
           }
           vector (ccs_template) {
@@ -48605,9 +48605,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0145686");
-            index_3 ("0.511897, 0.54879, 0.570727, 0.625782, 0.676073, 0.714824, 0.820965, 0.902414, 0.912401, 0.922547, 0.938774, 0.971228, 1.0407, 1.04405, 1.0635, 1.07782, 1.10016, 1.14082, 1.16811, 1.2, 1.22136, 1.24524, 1.29466, 1.36523, 1.48632, 1.5642");
+            index_3 ("0.504927, 0.548693, 0.58024, 0.617375, 0.642518, 0.688551, 0.771836, 0.820944, 0.902396, 0.912383, 0.92253, 0.938757, 0.971212, 1.0407, 1.04406, 1.05351, 1.06358, 1.07787, 1.10015, 1.12117, 1.14073, 1.16806, 1.17933, 1.19998, 1.22134, 1.24522, 1.29464, 1.36521, 1.41973, 1.4863, 1.56419");
             values ( \
-              "-0.0141286, -0.0143344, -0.0177985, -0.0278356, -0.0359902, -0.0418554, -0.0569809, -0.0714123, -0.0724241, -0.072859, -0.0716903, -0.0611296, -0.0295704, -0.0290738, -0.0219938, -0.0187463, -0.0150006, -0.00966227, -0.00679165, -0.00414689, -0.00311043, -0.0023661, -0.00152726, -0.000824711, -0.000240751, -0.000108154" \
+              "-0.00972678, -0.0142541, -0.0194742, -0.0263554, -0.0306242, -0.0379205, -0.050046, -0.0569626, -0.0713997, -0.0724224, -0.0728573, -0.0716891, -0.0611285, -0.0295659, -0.0290631, -0.0251153, -0.0219692, -0.0187349, -0.0150002, -0.0120602, -0.00967031, -0.00679487, -0.00575353, -0.00414705, -0.00311087, -0.00236617, -0.0015273, -0.000824754, -0.000493491, -0.000240775, -0.000108117" \
             );
           }
           vector (ccs_template) {
@@ -48623,9 +48623,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.170307");
-            index_3 ("0.617202, 0.795547, 0.946226, 1.04041, 1.06985, 1.36873, 1.58201, 1.79992, 1.9095, 1.99634, 2.07885, 2.15627, 2.20826, 2.25329, 2.28984, 2.38851, 2.80285, 2.95786, 3.06817, 3.19044, 3.352, 3.40043, 3.49728, 3.691, 3.85057, 3.92264, 4.06678, 4.29837, 4.55736, 4.88319, 5.25976, 5.78124");
+            index_3 ("0.586452, 0.688168, 0.806938, 0.946185, 1.04034, 1.06979, 1.36855, 1.67715, 1.79989, 1.90941, 1.99787, 2.07577, 2.15284, 2.20643, 2.25096, 2.29425, 2.39569, 2.76494, 2.93751, 3.04573, 3.20388, 3.35913, 3.48381, 3.6276, 3.83128, 3.96293, 4.04499, 4.19452, 4.41476, 4.72525, 5.07589, 5.53255, 6.12396");
             values ( \
-              "-0.0703102, -0.0900118, -0.126924, -0.14805, -0.149045, -0.145288, -0.142358, -0.139135, -0.137092, -0.134684, -0.131371, -0.126966, -0.123078, -0.119179, -0.115081, -0.107857, -0.0670565, -0.0538063, -0.0455606, -0.0375539, -0.0288461, -0.026564, -0.0225808, -0.0160821, -0.0121593, -0.0106615, -0.00825944, -0.00536829, -0.00333088, -0.00176952, -0.000911586, -0.000333663" \
+              "-0.0587679, -0.0618496, -0.0929355, -0.126947, -0.148033, -0.149046, -0.145291, -0.14098, -0.139095, -0.137132, -0.134595, -0.131482, -0.12722, -0.1232, -0.119416, -0.114674, -0.107247, -0.070611, -0.0554038, -0.0471298, -0.0367751, -0.0284962, -0.0230978, -0.0180149, -0.01257, -0.00993934, -0.00858577, -0.00654039, -0.00435755, -0.00241017, -0.00125741, -0.000541196, -0.00020385" \
             );
           }
           vector (ccs_template) {
@@ -48643,7 +48643,7 @@
             index_2 ("0.00124625");
             index_3 ("1.56089, 1.7419, 1.82203, 1.87543, 1.969, 2.08374, 2.20102, 2.21244, 2.23528, 2.28097, 2.29867, 2.37969, 2.4037, 2.40755, 2.41525, 2.4541, 2.45469, 2.47238, 2.49707, 2.50663, 2.53151, 2.54101, 2.55252, 2.58243, 2.59514, 2.60819, 2.6666, 2.67883, 2.72336, 2.76393, 2.81551, 2.87767, 2.96044, 3.07437");
             values ( \
-              "-0.000136925, -0.000358975, -0.000521546, -0.000678115, -0.001074, -0.00195772, -0.00272587, -0.00276725, -0.00293583, -0.00320142, -0.00335039, -0.00423033, -0.00436428, -0.00436308, -0.00439447, -0.00441772, -0.00440674, -0.00439476, -0.00421174, -0.00444672, -0.00469055, -0.0045198, -0.00406886, -0.00206287, -0.00153561, -0.00130876, -0.000666688, -0.000553694, -0.000258756, -0.000149814, -3.63509e-05, -3.66007e-05, -1e-22, -2.11677e-05" \
+              "-0.000136925, -0.000358975, -0.000521546, -0.000678115, -0.001074, -0.00195772, -0.00272587, -0.00276725, -0.00293583, -0.00320142, -0.00335039, -0.00423033, -0.00436428, -0.00436308, -0.00439447, -0.00441772, -0.00440674, -0.00439476, -0.00421174, -0.00444672, -0.00469055, -0.0045198, -0.00406886, -0.00206287, -0.00153561, -0.00130876, -0.000666688, -0.000553694, -0.000258758, -0.000149816, -3.63515e-05, -3.6601e-05, -1e-22, -2.1168e-05" \
             );
           }
           vector (ccs_template) {
@@ -48697,7 +48697,7 @@
             index_2 ("0.00124625");
             index_3 ("5.10762, 5.47264, 6.14149, 6.4604, 6.60737, 6.80333, 6.96766, 7.02359, 7.13546, 7.35487, 7.44017, 7.61077, 7.81174, 8.03895, 8.2218, 8.28, 8.37504, 8.41096, 8.44283, 8.4774, 8.51258, 8.55203, 8.62182, 8.66008, 8.69692, 8.71888, 8.73486, 8.74346, 8.75283, 8.80512, 8.83468, 8.86924, 8.91149, 8.97655, 9.02573, 9.07421, 9.11194, 9.15125, 9.20493, 9.26447, 9.31773, 9.37561, 9.43232, 9.51119, 9.65241, 9.83151, 10.0694, 10.1291");
             values ( \
-              "-6.24949e-06, -1.10942e-05, -4.46793e-05, -7.99811e-05, -0.00010054, -0.000134676, -0.000170631, -0.00018664, -0.000220904, -0.000320852, -0.0003844, -0.000563695, -0.000862359, -0.00111733, -0.00133794, -0.00142781, -0.00160757, -0.00163758, -0.00164628, -0.00163256, -0.00159919, -0.00160111, -0.00164461, -0.00220831, -0.00241691, -0.00171949, -0.00151161, -0.00153605, -0.00153089, -0.00188159, -0.00191802, -0.00190755, -0.00160528, -0.000874679, -0.000478403, -0.000249481, -0.000186842, -8.68716e-05, -8.26246e-05, -2.29209e-05, -5.31346e-05, -9.45272e-06, -4.51182e-05, -3.34229e-06, -3.72458e-05, -1e-22, -2.84425e-05, -2.25092e-05" \
+              "-6.24949e-06, -1.10942e-05, -4.46793e-05, -7.99811e-05, -0.00010054, -0.000134676, -0.000170631, -0.00018664, -0.000220904, -0.000320852, -0.0003844, -0.000563695, -0.000862359, -0.00111733, -0.00133794, -0.00142781, -0.00160757, -0.00163758, -0.00164628, -0.00163256, -0.00159919, -0.00160111, -0.00164461, -0.00220831, -0.00241691, -0.00171949, -0.00151161, -0.00153605, -0.00153089, -0.00188159, -0.00191802, -0.00190755, -0.00160528, -0.000874679, -0.000478403, -0.000249481, -0.000186842, -8.68716e-05, -8.26246e-05, -2.29209e-05, -5.31346e-05, -9.45273e-06, -4.51182e-05, -3.34229e-06, -3.72458e-05, -1e-22, -2.84425e-05, -2.25092e-05" \
             );
           }
           vector (ccs_template) {
@@ -48706,7 +48706,7 @@
             index_2 ("0.00426101");
             index_3 ("5.50847, 6.53816, 6.63821, 6.83831, 7.00363, 7.05987, 7.17233, 7.39727, 7.48768, 7.66851, 7.88305, 8.3294, 8.3861, 8.49841, 8.57062, 8.62305, 8.64623, 8.69258, 8.74288, 8.76679, 8.79018, 8.80872, 8.83402, 8.85276, 8.88122, 8.90374, 8.95823, 8.98445, 9.0216, 9.06374, 9.1606, 9.20759, 9.24587, 9.27491, 9.32653, 9.38845, 9.46519, 9.61945, 9.69012, 9.7795, 9.93715, 10.1656, 10.4409, 10.7795, 11.1927");
             values ( \
-              "-2.74993e-06, -0.000295364, -0.000339762, -0.000455615, -0.000574049, -0.000626391, -0.000737179, -0.00106077, -0.00126378, -0.00182862, -0.00271069, -0.00416089, -0.00440044, -0.00496681, -0.00524795, -0.00530476, -0.00519841, -0.00525406, -0.00529465, -0.00554383, -0.00563339, -0.00590579, -0.00587327, -0.00576083, -0.00493481, -0.00477955, -0.00575673, -0.00591484, -0.00599489, -0.00552586, -0.0029852, -0.00190229, -0.00129722, -0.000924216, -0.000524159, -0.000288857, -0.000133675, -6.20611e-05, -7.1878e-05, -4.30459e-05, -5.04094e-05, -1.84053e-05, -2.75779e-05, -8.44675e-07, -1.51166e-05" \
+              "-2.74994e-06, -0.000295364, -0.000339762, -0.000455615, -0.000574049, -0.000626391, -0.000737179, -0.00106077, -0.00126378, -0.00182862, -0.00271069, -0.00416089, -0.00440044, -0.00496681, -0.00524795, -0.00530476, -0.00519841, -0.00525406, -0.00529465, -0.00554383, -0.00563339, -0.00590579, -0.00587327, -0.00576083, -0.00493481, -0.00477955, -0.00575673, -0.00591484, -0.00599489, -0.00552586, -0.0029852, -0.00190229, -0.00129722, -0.000924216, -0.000524159, -0.000288857, -0.000133675, -6.20611e-05, -7.1878e-05, -4.30459e-05, -5.04094e-05, -1.84053e-05, -2.75779e-05, -8.44682e-07, -1.51166e-05" \
             );
           }
           vector (ccs_template) {
@@ -48753,8 +48753,8 @@
             "0.00765909, 0.00769079, 0.00773335, 0.00776317, 0.00777575, 0.00777992", \
             "0.00871621, 0.00873949, 0.00878168, 0.00882055, 0.00883988, 0.00884671", \
             "0.00920433, 0.00920604, 0.00921443, 0.00923164, 0.00924529, 0.00925114", \
-            "0.00944526, 0.00943938, 0.00942803, 0.00941804, 0.00941679, 0.00941821", \
-            "0.00958801, 0.0095818, 0.00956655, 0.00954249, 0.00952129, 0.00951133", \
+            "0.00944526, 0.00943938, 0.00942851, 0.00941804, 0.00941679, 0.00941821", \
+            "0.00958801, 0.0095818, 0.00956665, 0.00954249, 0.00952092, 0.00951131", \
             "0.00964684, 0.00964368, 0.00963277, 0.00961068, 0.00957991, 0.0095533" \
           );
         }
@@ -48765,8 +48765,8 @@
             "0.00977221, 0.00980708, 0.00985987, 0.00990032, 0.0099177, 0.00992347", \
             "0.0105169, 0.0105112, 0.0105064, 0.0105047, 0.010504, 0.0105036", \
             "0.0110922, 0.0110281, 0.0109292, 0.0108579, 0.010813, 0.0107992", \
-            "0.011951, 0.0118061, 0.0114686, 0.0111832, 0.0110489, 0.0109998", \
-            "0.0108172, 0.010762, 0.0099646, 0.0116549, 0.0112172, 0.011048", \
+            "0.011951, 0.0118061, 0.011468, 0.0111832, 0.0110489, 0.0109998", \
+            "0.0108172, 0.010762, 0.00996456, 0.0116549, 0.0112211, 0.0110483", \
             "0.0105504, 0.0105925, 0.0106868, 0.0105954, 0.0113251, 0.011268" \
           );
         }
@@ -48807,7 +48807,7 @@
           values ( \
             "0.0766246, 0.0963731, 0.162443, 0.385308, 1.14427, 3.73723", \
             "0.0860294, 0.105938, 0.172295, 0.395405, 1.1545, 3.74749", \
-            "0.124699, 0.144348, 0.211225, 0.434844, 1.19432, 3.7875", \
+            "0.124699, 0.144613, 0.211247, 0.434844, 1.19424, 3.78751", \
             "0.203325, 0.241689, 0.346803, 0.594162, 1.35299, 3.94584", \
             "0.257236, 0.329706, 0.532961, 1.01343, 1.99826, 4.58484", \
             "0.00653307, 0.140541, 0.518894, 1.42995, 3.36113, 7.10945" \
@@ -48819,7 +48819,7 @@
           values ( \
             "0.0798924, 0.104999, 0.192735, 0.493446, 1.5196, 5.01371", \
             "0.0798004, 0.104893, 0.192717, 0.493376, 1.5196, 5.01383", \
-            "0.089777, 0.110733, 0.193038, 0.493381, 1.51967, 5.01391", \
+            "0.089777, 0.111022, 0.193036, 0.493381, 1.51957, 5.01385", \
             "0.17861, 0.204466, 0.281188, 0.520637, 1.51969, 5.01378", \
             "0.408832, 0.453715, 0.589666, 0.916884, 1.71417, 5.01385", \
             "1.03234, 1.13109, 1.37751, 1.97583, 3.25823, 6.03723" \
@@ -48940,7 +48940,7 @@
             index_2 ("0.00124625");
             index_3 ("0.145558, 0.16716, 0.173562, 0.182487, 0.20075, 0.227675, 0.25468, 0.260071, 0.263673, 0.268038, 0.288517, 0.289216, 0.293413, 0.298745, 0.306119, 0.315143, 0.326186, 0.337541, 0.345473, 0.35221, 0.355507, 0.362102, 0.370048, 0.376268, 0.384517, 0.39272, 0.402254, 0.405584, 0.412242, 0.421541, 0.429904, 0.438606, 0.447804, 0.457425, 0.463766, 0.472048, 0.476627, 0.489344, 0.507975, 0.540017, 0.570185, 0.615025, 0.641053, 0.69311, 0.777945, 0.878101");
             values ( \
-              "0.000530884, 0.00362764, 0.0041853, 0.0052941, 0.00698892, 0.00967609, 0.0114881, 0.0116195, 0.0122555, 0.0118742, 0.0098282, 0.00982314, 0.00939924, 0.00896798, 0.008454, 0.00722377, 0.00604395, 0.00509888, 0.00487525, 0.00485741, 0.00488277, 0.00479928, 0.00480313, 0.0050966, 0.00492655, 0.0046838, 0.00422823, 0.00412172, 0.00383958, 0.0035913, 0.00322188, 0.0030576, 0.00302953, 0.00289412, 0.00276477, 0.00250825, 0.00233978, 0.00195919, 0.00160909, 0.00117518, 0.000849583, 0.000506345, 0.000387981, 0.000193422, 7.55381e-05, 8.64017e-06" \
+              "0.000530884, 0.00362764, 0.0041853, 0.0052941, 0.00698892, 0.00967609, 0.0114881, 0.0116195, 0.0122555, 0.0118742, 0.0098282, 0.00982314, 0.00939924, 0.00896798, 0.008454, 0.00722377, 0.00604395, 0.00509888, 0.00487525, 0.00485741, 0.00488277, 0.00479928, 0.00480313, 0.0050966, 0.00492655, 0.0046838, 0.00422823, 0.00412172, 0.00383958, 0.0035913, 0.00322188, 0.0030576, 0.00302953, 0.00289412, 0.00276477, 0.00250825, 0.00233978, 0.00195919, 0.00160909, 0.00117518, 0.000849583, 0.000506345, 0.000387981, 0.000193422, 7.55381e-05, 8.64018e-06" \
             );
           }
           vector (ccs_template) {
@@ -49111,7 +49111,7 @@
             index_2 ("0.00426101");
             index_3 ("6.4166, 7.70618, 8.09606, 8.33671, 8.71968, 9.11907, 9.32828, 9.48223, 9.60602, 9.71756, 9.81485, 9.92057, 9.98709, 9.99992, 10.0528, 10.1745, 10.1886, 10.2068, 10.2242, 10.2427, 10.263, 10.287, 10.2977, 10.3191, 10.3587, 10.4068, 10.4751, 10.5635, 10.8527, 10.9657, 11.0328, 11.1351, 11.2445, 11.4523, 12.0381, 12.4929");
             values ( \
-              "6.11398e-05, 0.000209184, 0.000354394, 0.000541245, 0.00132169, 0.00261943, 0.00314415, 0.00341609, 0.00346278, 0.00333349, 0.00338336, 0.00270163, 0.00251667, 0.00238963, 0.00277693, 0.00419841, 0.0039973, 0.00408647, 0.00403536, 0.00417133, 0.00415958, 0.00427306, 0.00423408, 0.00431225, 0.00430187, 0.00437271, 0.00431055, 0.00408769, 0.00156624, 0.000911454, 0.000651386, 0.000385103, 0.000239695, 7.01542e-05, 8.47952e-07, 1.43659e-05" \
+              "6.11398e-05, 0.000209184, 0.000354394, 0.000541245, 0.00132169, 0.00261943, 0.00314415, 0.00341609, 0.00346278, 0.00333349, 0.00338336, 0.00270163, 0.00251667, 0.00238963, 0.00277693, 0.00419841, 0.0039973, 0.00408647, 0.00403536, 0.00417133, 0.00415958, 0.00427306, 0.00423408, 0.00431225, 0.00430187, 0.00437271, 0.00431055, 0.00408769, 0.00156624, 0.000911455, 0.000651385, 0.000385102, 0.000239695, 7.01537e-05, 8.47452e-07, 1.43664e-05" \
             );
           }
           vector (ccs_template) {
@@ -49120,7 +49120,7 @@
             index_2 ("0.0145686");
             index_3 ("6.43443, 7.69604, 7.89427, 8.11538, 8.33649, 8.43717, 8.63853, 8.88305, 9.25359, 9.57102, 9.70658, 9.97771, 10.0206, 10.1708, 10.2623, 10.3962, 10.4498, 10.4948, 10.5286, 10.5568, 10.5884, 10.613, 10.6712, 10.7343, 10.7663, 10.799, 10.8411, 10.8821, 10.9817, 11.0902, 11.1701, 11.4992, 11.6132, 11.7504, 11.8309, 11.992, 12.2186, 12.5226, 12.8937");
             values ( \
-              "0.000198281, 0.000633445, 0.000801719, 0.00105984, 0.00146584, 0.00173212, 0.0024937, 0.00393688, 0.00634272, 0.00809788, 0.00871953, 0.00961816, 0.00965764, 0.00965573, 0.00974484, 0.00859983, 0.00833187, 0.00868567, 0.00948751, 0.00954364, 0.00995372, 0.0107908, 0.0112604, 0.0111122, 0.0109528, 0.0109347, 0.0110416, 0.0113812, 0.0116033, 0.0111087, 0.0100909, 0.00460021, 0.00315434, 0.00192009, 0.00142841, 0.000733223, 0.000283104, 5.74316e-05, 1.78018e-05" \
+              "0.000198281, 0.000633445, 0.000801719, 0.00105984, 0.00146584, 0.00173212, 0.0024937, 0.00393688, 0.00634272, 0.00809788, 0.00871953, 0.00961816, 0.00965764, 0.00965573, 0.00974484, 0.00859983, 0.00833187, 0.00868567, 0.00948751, 0.00954364, 0.00995372, 0.0107908, 0.0112604, 0.0111122, 0.0109528, 0.0109347, 0.0110416, 0.0113812, 0.0116033, 0.0111087, 0.0100909, 0.00460021, 0.00315434, 0.00192009, 0.00142841, 0.000733223, 0.000283104, 5.74315e-05, 1.78019e-05" \
             );
           }
           vector (ccs_template) {
@@ -49129,7 +49129,7 @@
             index_2 ("0.049811");
             index_3 ("6.43518, 7.89265, 8.11519, 8.33773, 8.6174, 8.91138, 9.52015, 9.92844, 10.1425, 10.5073, 10.6659, 10.9445, 11.1593, 11.2058, 11.351, 11.5696, 11.6195, 11.7155, 11.7477, 11.7533, 11.7644, 11.7868, 11.8158, 11.8616, 11.9196, 12.0081, 12.1103, 12.2266, 12.3445, 12.4703, 12.7164, 13.1015, 13.3085, 13.5667, 13.7631, 13.8657, 14.071, 14.4772, 14.9862, 15.6337");
             values ( \
-              "0.000448712, 0.00201378, 0.00253538, 0.00323078, 0.00447003, 0.00646618, 0.0120074, 0.015373, 0.0169489, 0.0193381, 0.0202593, 0.0215404, 0.0221327, 0.0224095, 0.0223371, 0.0214643, 0.0223134, 0.0233421, 0.0246124, 0.0243493, 0.0246709, 0.0246826, 0.0249732, 0.0249821, 0.0252599, 0.0253071, 0.0255514, 0.0253135, 0.0248535, 0.0234161, 0.0194503, 0.011972, 0.00853286, 0.00532577, 0.00363165, 0.00297003, 0.00192412, 0.000774307, 0.000207427, 4.11082e-05" \
+              "0.000448711, 0.00201378, 0.00253538, 0.00323078, 0.00447003, 0.00646618, 0.0120074, 0.015373, 0.0169489, 0.0193381, 0.0202593, 0.0215404, 0.0221327, 0.0224095, 0.0223371, 0.0214643, 0.0223134, 0.0233421, 0.0246124, 0.0243493, 0.0246709, 0.0246826, 0.0249732, 0.0249821, 0.0252599, 0.0253071, 0.0255514, 0.0253135, 0.0248535, 0.0234161, 0.0194503, 0.011972, 0.00853286, 0.00532577, 0.00363165, 0.00297003, 0.00192412, 0.000774307, 0.000207427, 4.11083e-05" \
             );
           }
           vector (ccs_template) {
@@ -49157,7 +49157,7 @@
           values ( \
             "0.00632509, 0.00634944, 0.00638144, 0.00640297, 0.00641182, 0.00641473", \
             "0.00730073, 0.0073218, 0.00735784, 0.00738877, 0.00740327, 0.00740826", \
-            "0.00770551, 0.00770757, 0.00772006, 0.00773639, 0.00774674, 0.00775223", \
+            "0.00770551, 0.00770881, 0.00771889, 0.00773639, 0.00774781, 0.00775118", \
             "0.00795483, 0.00793742, 0.00790752, 0.00788338, 0.00787556, 0.00787407", \
             "0.00812641, 0.00809728, 0.00804565, 0.00798204, 0.00793076, 0.00790942", \
             "0.0084163, 0.00834362, 0.00822109, 0.00809829, 0.00801158, 0.00794845" \
@@ -49169,7 +49169,7 @@
           values ( \
             "0.00784486, 0.00785412, 0.00786876, 0.00787908, 0.00788335, 0.00788476", \
             "0.00790358, 0.00786589, 0.00781121, 0.00776915, 0.00775049, 0.00774422", \
-            "0.00792396, 0.00783983, 0.00770525, 0.00759764, 0.00754374, 0.00752157", \
+            "0.00792396, 0.00783801, 0.00770714, 0.00759764, 0.00754147, 0.00752371", \
             "0.00872674, 0.00847073, 0.00801857, 0.00766738, 0.00750063, 0.00743599", \
             "0.0082403, 0.0082921, 0.00837487, 0.00820338, 0.00771366, 0.0074967", \
             "0.00756946, 0.00770159, 0.00795793, 0.00826195, 0.00798154, 0.00777329" \
@@ -49297,18 +49297,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00426101");
-            index_3 ("0.145929, 0.161982, 0.175261, 0.192283, 0.218398, 0.256756, 0.259772, 0.26193, 0.264008, 0.266235, 0.274141, 0.282032, 0.290279, 0.305019, 0.338464, 0.348158, 0.364077, 0.373391, 0.390575, 0.403151, 0.409523, 0.419465, 0.45026, 0.466398, 0.480419, 0.501792, 0.513107, 0.589906, 0.624527, 0.726768, 0.791663");
+            index_3 ("0.140767, 0.167757, 0.17553, 0.191075, 0.211183, 0.230565, 0.258193, 0.26235, 0.266271, 0.269054, 0.27468, 0.281216, 0.290848, 0.305338, 0.308229, 0.329267, 0.344351, 0.359922, 0.36416, 0.37144, 0.37976, 0.391971, 0.401096, 0.409086, 0.417716, 0.440195, 0.450661, 0.466895, 0.481025, 0.502488, 0.513801, 0.590361, 0.624873, 0.726898, 0.791633");
             values ( \
-              "-0.00422533, -0.0149244, -0.0197475, -0.025336, -0.0333573, -0.044029, -0.0450455, -0.0464453, -0.0467479, -0.0465325, -0.0472552, -0.0476712, -0.0468575, -0.0424571, -0.0251413, -0.0206868, -0.0147856, -0.0121249, -0.00826438, -0.00607986, -0.00538276, -0.00445552, -0.00259133, -0.00204778, -0.00171049, -0.000837698, -0.000590115, -0.000505519, -0.000334067, -8.8227e-05, -8.06475e-05" \
+              "-0.000945047, -0.0169451, -0.019718, -0.0248484, -0.0311262, -0.0367279, -0.044317, -0.0464224, -0.0467402, -0.046596, -0.0473513, -0.0475672, -0.0469482, -0.0424875, -0.041371, -0.0300629, -0.02261, -0.0163553, -0.0149333, -0.0128043, -0.0107071, -0.00816809, -0.00644268, -0.00545776, -0.00463977, -0.00318689, -0.00261431, -0.00206414, -0.00169107, -0.00081684, -0.000604883, -0.000489184, -0.000351352, -0.000105465, -6.4502e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0145686");
-            index_3 ("0.151055, 0.17258, 0.191382, 0.215093, 0.251086, 0.259964, 0.264887, 0.27141, 0.276131, 0.280922, 0.290505, 0.310953, 0.33153, 0.341254, 0.356302, 0.371451, 0.393342, 0.42089, 0.450873, 0.478684, 0.496213, 0.524381, 0.545873, 0.554085, 0.565773, 0.574858, 0.593029, 0.615129, 0.646651, 0.685983, 0.70713, 0.728974, 0.778906, 0.842864, 0.954985, 1.03253");
+            index_3 ("0.156797, 0.172594, 0.205962, 0.233329, 0.259924, 0.26484, 0.276093, 0.280904, 0.290524, 0.310935, 0.331474, 0.341235, 0.356284, 0.371433, 0.393326, 0.420872, 0.450855, 0.478665, 0.496194, 0.524363, 0.545855, 0.565755, 0.59301, 0.615109, 0.646631, 0.685966, 0.707112, 0.728957, 0.778889, 0.842847, 0.95497, 1.03251");
             values ( \
-              "-0.0131518, -0.0356369, -0.0480195, -0.062552, -0.0829848, -0.0875811, -0.0895602, -0.0889347, -0.0882323, -0.0881802, -0.0874201, -0.0866415, -0.0868007, -0.0873287, -0.0871714, -0.0853975, -0.0796908, -0.0668685, -0.0516146, -0.0393834, -0.0329614, -0.0239949, -0.0179428, -0.0160736, -0.0139331, -0.0126022, -0.0104266, -0.00835917, -0.00598749, -0.00389848, -0.00308133, -0.00252858, -0.00175821, -0.00111789, -0.000430327, -0.000193546" \
+              "-0.0309031, -0.0355717, -0.0571009, -0.0732531, -0.08761, -0.0895646, -0.0882344, -0.0881797, -0.0874181, -0.0866418, -0.0867997, -0.0873287, -0.0871715, -0.0853974, -0.0796903, -0.0668685, -0.0516147, -0.0393835, -0.0329615, -0.0239949, -0.0179426, -0.013933, -0.0104267, -0.00835932, -0.00598755, -0.00389847, -0.00308129, -0.00252858, -0.00175822, -0.0011179, -0.000430336, -0.000193518" \
             );
           }
           vector (ccs_template) {
@@ -49324,18 +49324,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.170307");
-            index_3 ("0.18738, 0.265765, 0.860426, 1.32533, 1.52769, 1.64749, 1.75879, 2.34725, 2.64871, 3.0113, 3.35038, 3.70722, 4.14302, 4.48004, 4.73617");
+            index_3 ("0.159709, 0.261385, 0.287422, 0.855906, 1.3241, 1.48424, 1.56681, 1.65042, 1.76779, 2.3022, 2.64712, 2.77217, 2.99923, 3.15251, 3.39939, 3.61758, 3.88632, 4.13692, 4.46706, 4.85566, 5.07205");
             values ( \
-              "-0.110032, -0.14155, -0.134969, -0.128838, -0.124374, -0.119638, -0.113647, -0.0659179, -0.0449254, -0.0269282, -0.0162313, -0.00933284, -0.00466756, -0.00268467, -0.0018809" \
+              "-0.0419514, -0.142302, -0.141055, -0.134934, -0.128833, -0.125627, -0.122943, -0.119405, -0.112884, -0.0693822, -0.0449403, -0.0378616, -0.0273295, -0.0217963, -0.0150039, -0.0106955, -0.00701434, -0.00468575, -0.00273323, -0.00146859, -0.00124018" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.582289");
-            index_3 ("0.158809, 0.265736, 0.28762, 0.305089, 0.32073, 0.336381, 0.367685, 0.413831, 0.482347, 0.551027, 0.688386, 0.911287, 1.272, 1.6406, 2.52471, 3.39992, 3.91697, 4.12266, 4.38669, 4.74859, 5.00836, 5.10866, 5.29215, 5.5911, 5.96525, 7.24384, 7.9767, 8.23035, 8.61573, 9.04544, 9.64517, 10.1642, 10.6957, 11.4557, 11.785, 12.4438, 13.2264, 14.0091, 14.7917, 15.5743, 17.1396, 18.7048");
+            index_3 ("0.162013, 0.259925, 0.264124, 0.279149, 0.290583, 0.303912, 0.522471, 1.2625, 1.64294, 2.4059, 3.01876, 3.38982, 3.88456, 4.09128, 4.23016, 4.367, 4.71514, 4.75755, 4.9457, 5.0827, 5.29932, 5.40698, 5.51701, 5.69285, 5.88989, 6.31559, 6.65776, 6.94844, 7.23913, 7.4229, 7.60667, 7.79044, 7.97421, 8.16714, 8.3999, 8.62449, 8.81667, 9.00884, 9.32471, 9.48264, 9.77524, 9.9099, 10.0894, 10.1792, 10.4427, 10.7061, 10.8952, 11.2734, 11.5437, 11.7874");
             values ( \
-              "-0.0443953, -0.147285, -0.146815, -0.147129, -0.146717, -0.146982, -0.146604, -0.146719, -0.146255, -0.146276, -0.145606, -0.145113, -0.143694, -0.142658, -0.139339, -0.135775, -0.133242, -0.131966, -0.129959, -0.126832, -0.123121, -0.121095, -0.118389, -0.112164, -0.102777, -0.0691229, -0.0525009, -0.0474322, -0.040538, -0.0337799, -0.0260084, -0.0206242, -0.0161601, -0.0113498, -0.00970819, -0.00711732, -0.00486619, -0.00334635, -0.00227482, -0.00157425, -0.000748332, -0.000361485" \
+              "-0.045418, -0.147226, -0.147316, -0.147022, -0.147013, -0.146914, -0.146261, -0.143836, -0.142523, -0.139792, -0.137394, -0.135781, -0.133421, -0.132164, -0.131194, -0.130127, -0.127155, -0.126731, -0.124104, -0.12166, -0.11822, -0.116212, -0.113874, -0.109715, -0.104708, -0.0933039, -0.0838046, -0.0762405, -0.0690372, -0.064689, -0.0604943, -0.0564533, -0.0525659, -0.0487126, -0.0442169, -0.040104, -0.037079, -0.0342213, -0.0299589, -0.0279443, -0.0244172, -0.0229085, -0.0210514, -0.0201855, -0.0179693, -0.0159464, -0.0146588, -0.012292, -0.0107705, -0.00952062" \
             );
           }
           vector (ccs_template) {
@@ -49344,7 +49344,7 @@
             index_2 ("0.00124625");
             index_3 ("0.431683, 0.517939, 0.590778, 0.61865, 0.69404, 0.723839, 0.738711, 0.761649, 0.772856, 0.791939, 0.797263, 0.807911, 0.817081, 0.832271, 0.833439, 0.835777, 0.840452, 0.849599, 0.88217, 0.889891, 0.895452, 0.901816, 0.916397, 0.928682, 0.935239, 0.942261, 0.950471, 0.96173, 0.97646, 1.00153, 1.03668, 1.04081, 1.04908, 1.06975, 1.11857, 1.24204");
             values ( \
-              "-0.000204425, -0.00197729, -0.00423663, -0.00499883, -0.00690704, -0.00816225, -0.0089691, -0.00965037, -0.00963656, -0.00904369, -0.00826928, -0.00722402, -0.00569477, -0.00441328, -0.00450431, -0.00424261, -0.00406968, -0.00344403, -0.00175391, -0.00151618, -0.00120217, -0.00104461, -0.000299327, -1e-22, -5.19148e-05, -4.77088e-06, -0.000105177, -8.65704e-05, -0.000184246, -0.000147248, -0.000184358, -0.000124012, -0.000316866, -0.000195688, -7.42623e-05, -6.44787e-06" \
+              "-0.000204425, -0.00197729, -0.00423663, -0.00499883, -0.00690704, -0.00816225, -0.0089691, -0.00965037, -0.00963656, -0.00904369, -0.00826928, -0.00722402, -0.00569477, -0.00441328, -0.00450431, -0.00424261, -0.00406968, -0.00344403, -0.00175391, -0.00151618, -0.00120217, -0.00104461, -0.000299327, -1e-22, -5.19148e-05, -4.77087e-06, -0.000105177, -8.65704e-05, -0.000184246, -0.000147248, -0.000184358, -0.000124012, -0.000316866, -0.000195688, -7.42623e-05, -6.44787e-06" \
             );
           }
           vector (ccs_template) {
@@ -49398,7 +49398,7 @@
             index_2 ("0.00124625");
             index_3 ("1.54999, 1.7111, 1.77715, 1.8865, 1.93667, 2.13346, 2.27269, 2.37214, 2.39571, 2.48047, 2.49474, 2.50921, 2.52469, 2.53859, 2.611, 2.6379, 2.6784, 2.72839, 2.81859, 2.9398, 3.12869, 3.81127");
             values ( \
-              "-0.000222475, -0.000334746, -0.000467761, -0.000819595, -0.00106955, -0.00231558, -0.00306882, -0.00390072, -0.00399407, -0.0040872, -0.00387352, -0.00436843, -0.0044072, -0.00457259, -0.00112655, -0.00076378, -0.000510864, -0.000308329, -0.000105943, -2.1564e-05, -1e-22, -6.19323e-06" \
+              "-0.000222475, -0.000334746, -0.000467761, -0.000819595, -0.00106955, -0.00231558, -0.00306882, -0.00390072, -0.00399407, -0.0040872, -0.00387352, -0.00436843, -0.0044072, -0.00457259, -0.00112655, -0.00076378, -0.000510864, -0.000308329, -0.000105943, -2.15641e-05, -1e-22, -6.19322e-06" \
             );
           }
           vector (ccs_template) {
@@ -49416,7 +49416,7 @@
             index_2 ("0.0145686");
             index_3 ("1.6197, 1.78879, 1.83258, 1.94753, 2.01528, 2.30621, 2.56484, 2.70214, 2.78368, 2.83873, 2.86129, 2.88459, 2.956, 3.04594, 3.09754, 3.15878, 3.22482, 3.28726, 3.35602, 3.43677, 3.53351, 3.85299");
             values ( \
-              "-0.00273195, -0.00347584, -0.00420087, -0.00668551, -0.00866053, -0.0188026, -0.0266826, -0.0315985, -0.0328167, -0.0331397, -0.0327361, -0.0312191, -0.0207199, -0.0106484, -0.00684361, -0.00426027, -0.00249613, -0.0014345, -0.000749207, -0.000329488, -0.000122398, -9.44313e-06" \
+              "-0.00273195, -0.00347584, -0.00420087, -0.00668551, -0.00866053, -0.0188026, -0.0266826, -0.0315985, -0.0328167, -0.0331397, -0.0327361, -0.0312191, -0.0207199, -0.0106484, -0.00684361, -0.00426027, -0.00249613, -0.0014345, -0.000749207, -0.000329488, -0.000122398, -9.44311e-06" \
             );
           }
           vector (ccs_template) {
@@ -49461,7 +49461,7 @@
             index_2 ("0.00426101");
             index_3 ("6.11105, 6.8005, 7.00237, 7.06563, 7.14998, 7.31866, 7.4328, 7.66107, 7.82167, 8.07788, 8.28971, 8.33749, 8.41022, 8.47426, 8.55662, 8.60039, 8.64168, 8.71653, 8.76215, 8.81875, 8.83237, 8.84235, 8.85264, 8.86429, 8.87641, 8.89083, 8.92441, 8.93696, 8.94993, 8.9532, 8.95974, 9.0229, 9.06275, 9.10688, 9.13462, 9.22537, 9.29049, 9.35402, 9.38917, 9.45455, 9.54171, 9.68691, 9.83789, 10.0058, 10.1933, 10.4165, 10.684, 11.0099, 11.4108, 11.906");
             values ( \
-              "-0.000313968, -0.000448708, -0.000616363, -0.000683513, -0.000787812, -0.00106554, -0.00134194, -0.00207766, -0.00261687, -0.00337012, -0.0038885, -0.0040454, -0.00421159, -0.00442339, -0.00461283, -0.00468632, -0.00458396, -0.00466383, -0.00485907, -0.00538108, -0.00534797, -0.0053495, -0.00528407, -0.00531274, -0.00532523, -0.00536556, -0.00461154, -0.00446654, -0.00438822, -0.00442239, -0.00441265, -0.00481691, -0.00487748, -0.00464525, -0.00425317, -0.00242875, -0.00138788, -0.000784417, -0.000556557, -0.00032797, -0.000209266, -0.000108687, -0.000110441, -6.57448e-05, -7.50187e-05, -3.26374e-05, -4.41328e-05, -5.47962e-06, -2.25327e-05, -1e-22" \
+              "-0.000313968, -0.000448708, -0.000616363, -0.000683513, -0.000787812, -0.00106554, -0.00134194, -0.00207766, -0.00261687, -0.00337012, -0.0038885, -0.0040454, -0.00421159, -0.00442339, -0.00461283, -0.00468632, -0.00458396, -0.00466383, -0.00485907, -0.00538108, -0.00534797, -0.0053495, -0.00528407, -0.00531274, -0.00532523, -0.00536556, -0.00461154, -0.00446654, -0.00438822, -0.00442239, -0.00441265, -0.00481691, -0.00487748, -0.00464525, -0.00425317, -0.00242875, -0.00138788, -0.000784417, -0.000556557, -0.00032797, -0.000209266, -0.000108687, -0.000110441, -6.57449e-05, -7.50187e-05, -3.26374e-05, -4.41328e-05, -5.47963e-06, -2.25327e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -49470,7 +49470,7 @@
             index_2 ("0.0145686");
             index_3 ("6.11113, 6.73242, 6.99671, 7.1468, 7.44699, 7.59394, 8.05569, 8.33732, 8.806, 9.00328, 9.05696, 9.14467, 9.19873, 9.24814, 9.26017, 9.31513, 9.37166, 9.40231, 9.52386, 9.58948, 9.63303, 9.80689, 9.88041, 9.97975, 10.0557, 10.1921, 10.3788, 10.5937, 11.1136, 11.8674");
             values ( \
-              "-0.00098753, -0.00114832, -0.00165527, -0.00204528, -0.00316824, -0.0040313, -0.00735041, -0.00921468, -0.0119832, -0.013233, -0.0131373, -0.0135774, -0.0139016, -0.0144795, -0.0144927, -0.0144009, -0.0138116, -0.0138806, -0.0133671, -0.0128494, -0.0118984, -0.00578031, -0.00374173, -0.00195738, -0.00121648, -0.000495758, -0.000234083, -0.000122476, -4.55803e-05, -2.28955e-06" \
+              "-0.00098753, -0.00114832, -0.00165527, -0.00204528, -0.00316824, -0.0040313, -0.00735041, -0.00921468, -0.0119832, -0.013233, -0.0131373, -0.0135774, -0.0139016, -0.0144795, -0.0144927, -0.0144009, -0.0138116, -0.0138806, -0.0133671, -0.0128494, -0.0118984, -0.00578031, -0.00374173, -0.00195738, -0.00121648, -0.000495758, -0.000234083, -0.000122477, -4.55803e-05, -2.28957e-06" \
             );
           }
           vector (ccs_template) {
@@ -49562,7 +49562,7 @@
           values ( \
             "0.0592702, 0.0773763, 0.138245, 0.344623, 1.04761, 3.44703", \
             "0.0683535, 0.0867704, 0.148016, 0.354686, 1.0578, 3.45731", \
-            "0.104633, 0.125259, 0.186726, 0.393795, 1.09718, 3.49683", \
+            "0.104633, 0.125293, 0.186694, 0.393795, 1.09723, 3.49683", \
             "0.162996, 0.203558, 0.310954, 0.552712, 1.25466, 3.65406", \
             "0.180776, 0.256869, 0.463901, 0.937373, 1.89046, 4.288", \
             "-0.143119, -0.00582807, 0.380561, 1.281, 3.14921, 6.75083" \
@@ -49574,7 +49574,7 @@
           values ( \
             "0.070367, 0.0933767, 0.173829, 0.449802, 1.3921, 4.59861", \
             "0.0703427, 0.0933689, 0.173826, 0.449706, 1.39219, 4.59869", \
-            "0.0870521, 0.104351, 0.17564, 0.449752, 1.39221, 4.59862", \
+            "0.0870521, 0.104328, 0.175628, 0.449752, 1.39221, 4.59862", \
             "0.181028, 0.203452, 0.272332, 0.484947, 1.3921, 4.59862", \
             "0.423484, 0.460431, 0.581695, 0.885921, 1.61254, 4.59861", \
             "1.10497, 1.18217, 1.38706, 1.93437, 3.13679, 5.71377" \
@@ -49621,7 +49621,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.170307");
-            index_3 ("0.0317917, 0.0318117, 0.0535964, 0.108702, 1.24809, 1.57645, 1.99928, 2.10024, 2.22692, 2.4835, 2.52543, 2.60093, 2.89458, 3.90079, 4.5088, 4.81853, 5.15844, 5.72278, 6.48062, 7.02099, 7.74634, 8.71347, 9.86947, 11.0255");
+            index_3 ("0.0317917, 0.0318117, 0.0535964, 0.108702, 1.24809, 1.57645, 1.99928, 2.10024, 2.22692, 2.4835, 2.52543, 2.60093, 2.89458, 3.90079, 4.5088, 4.81853, 5.15844, 5.72278, 6.48062, 7.02099, 7.74634, 8.71347, 9.86948, 11.0255");
             values ( \
               "1e-22, 0.088572, 0.0797012, 0.0781197, 0.0726799, 0.0708203, 0.0679778, 0.0667752, 0.0660121, 0.0629966, 0.0632928, 0.0624823, 0.0576608, 0.0370749, 0.0260133, 0.0213394, 0.0170771, 0.011651, 0.00678849, 0.00455284, 0.00263239, 0.00126974, 0.000458604, 0.000217186" \
             );
@@ -49632,7 +49632,7 @@
             index_2 ("0.582289");
             index_3 ("0.136215, 0.136235, 1.93081, 4.05617, 6.11744, 7.51904, 8.50698, 9.87681, 13.148, 15.3239, 16.9112, 19.0341, 20.4054, 22.5068, 24.3514, 26.6634, 31.2874, 31.3102");
             values ( \
-              "1e-22, 0.090664, 0.07824, 0.0751317, 0.0713575, 0.0681862, 0.0644569, 0.0572011, 0.0363128, 0.0245377, 0.0180376, 0.011533, 0.00857501, 0.00537422, 0.00353547, 0.00207092, 0.000686963, 0.000685192" \
+              "1e-22, 0.090664, 0.07824, 0.0751317, 0.0713575, 0.0681862, 0.0644569, 0.0572011, 0.0363128, 0.0245377, 0.0180376, 0.011533, 0.00857501, 0.00537422, 0.00353547, 0.00207092, 0.000686963, 0.000685193" \
             );
           }
           vector (ccs_template) {
@@ -49677,7 +49677,7 @@
             index_2 ("0.170307");
             index_3 ("0.0975355, 0.0975555, 0.648793, 1.20196, 1.44063, 1.71078, 2.06709, 2.15738, 2.22281, 2.24049, 2.26645, 2.29245, 2.44849, 2.49084, 2.53471, 2.57871, 2.63408, 2.73923, 2.98341, 3.44298, 3.93332, 4.14486, 4.56794, 4.8526, 5.17028, 5.41987, 5.751, 5.96256, 6.24465, 6.62669, 7.06453, 7.67471, 8.48828, 9.64429, 10.8003, 11.9563");
             values ( \
-              "1e-22, 0.0877804, 0.0757038, 0.0730808, 0.0718169, 0.0701444, 0.0675829, 0.0666098, 0.0661131, 0.0661092, 0.0659446, 0.0655006, 0.0637134, 0.0630867, 0.0632463, 0.0629818, 0.0624242, 0.0608619, 0.0565998, 0.0473119, 0.0371166, 0.0330554, 0.025619, 0.0213488, 0.0173395, 0.0147267, 0.0117093, 0.0101031, 0.0083013, 0.00625885, 0.00453046, 0.00286615, 0.00155046, 0.000585208, 0.000250895, 7.57024e-05" \
+              "1e-22, 0.0877804, 0.0757038, 0.0730808, 0.0718169, 0.0701444, 0.0675829, 0.0666098, 0.0661131, 0.0661092, 0.0659446, 0.0655006, 0.0637134, 0.0630867, 0.0632463, 0.0629818, 0.0624242, 0.0608619, 0.0565998, 0.0473119, 0.0371166, 0.0330554, 0.025619, 0.0213488, 0.0173395, 0.0147267, 0.0117093, 0.0101031, 0.0083013, 0.00625885, 0.00453046, 0.00286615, 0.00155046, 0.000585208, 0.000250895, 7.57025e-05" \
             );
           }
           vector (ccs_template) {
@@ -49713,7 +49713,7 @@
             index_2 ("0.0145686");
             index_3 ("0.15345, 0.191979, 0.265007, 0.270951, 0.276634, 0.299299, 0.354833, 0.392126, 0.409606, 0.467452, 0.496861, 0.521765, 0.582725, 0.636848, 0.651152, 0.687069, 0.778598, 0.825505, 0.895022, 0.950653, 1.02818, 1.11111, 1.1587, 1.25389, 1.40659, 1.60067");
             values ( \
-              "0.00315426, 0.0240917, 0.0530517, 0.0528897, 0.0515029, 0.0504343, 0.0488741, 0.0475668, 0.0468615, 0.0438305, 0.0435569, 0.041599, 0.03577, 0.028519, 0.0280759, 0.0257361, 0.0170055, 0.0139138, 0.00993689, 0.00737493, 0.00476539, 0.00294655, 0.00227943, 0.00125047, 0.000511873, 0.000110755" \
+              "0.00315426, 0.0240917, 0.0530517, 0.0528897, 0.0515029, 0.0504343, 0.0488741, 0.0475668, 0.0468615, 0.0438305, 0.0435569, 0.041599, 0.03577, 0.028519, 0.0280759, 0.0257361, 0.0170055, 0.0139138, 0.00993689, 0.00737493, 0.00476539, 0.00294655, 0.00227943, 0.00125047, 0.000511873, 0.000110754" \
             );
           }
           vector (ccs_template) {
@@ -49857,7 +49857,7 @@
             index_2 ("0.00124625");
             index_3 ("6.9545, 8.02272, 8.33751, 8.52605, 8.75052, 9.02106, 9.13866, 9.3816, 9.54381, 9.59325, 9.69212, 9.75018, 9.80213, 9.80583, 9.81324, 9.82806, 9.85586, 9.90918, 9.94344, 9.95346, 9.99104, 10.0195, 10.04, 10.0703, 10.1485, 10.208, 10.2416, 10.2807, 10.3462, 10.5094, 10.6152, 10.731, 10.7842, 10.855, 10.9968, 11.4075, 11.6431, 11.9346, 12.3553, 13.1968");
             values ( \
-              "4.17402e-05, 8.34264e-05, 0.000142977, 0.00022306, 0.000409675, 0.000706899, 0.000822944, 0.0010233, 0.00108643, 0.00107416, 0.00101366, 0.00111954, 0.00101083, 0.00105197, 0.00101069, 0.00102691, 0.000990269, 0.00104257, 0.00119669, 0.00119849, 0.00158553, 0.00170455, 0.00161817, 0.00133129, 0.00164335, 0.00171453, 0.00171003, 0.0017164, 0.00159818, 0.00078087, 0.000405277, 0.000189001, 0.000136423, 9.8786e-05, 4.39838e-05, 1.16262e-05, 1.30984e-05, 2.55651e-07, 5.45822e-06, 1e-22" \
+              "4.17402e-05, 8.34264e-05, 0.000142977, 0.00022306, 0.000409675, 0.000706899, 0.000822944, 0.0010233, 0.00108643, 0.00107416, 0.00101366, 0.00111954, 0.00101083, 0.00105197, 0.00101069, 0.00102691, 0.000990269, 0.00104257, 0.00119669, 0.00119849, 0.00158553, 0.00170455, 0.00161817, 0.00133129, 0.00164335, 0.00171453, 0.00171003, 0.0017164, 0.00159818, 0.00078087, 0.000405277, 0.000189001, 0.000136423, 9.8786e-05, 4.39838e-05, 1.16262e-05, 1.30984e-05, 2.55649e-07, 5.45822e-06, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -49866,7 +49866,7 @@
             index_2 ("0.00426101");
             index_3 ("6.95146, 8.03122, 8.33648, 8.43081, 8.61946, 8.84226, 9.20197, 9.27281, 9.49354, 9.62037, 9.72527, 9.83888, 9.89616, 9.94851, 10.005, 10.0277, 10.0533, 10.0798, 10.085, 10.094, 10.1149, 10.1604, 10.1955, 10.2127, 10.2266, 10.2405, 10.2568, 10.2766, 10.2955, 10.3145, 10.3326, 10.361, 10.3967, 10.4412, 10.485, 10.561, 10.7496, 10.8553, 10.9333, 10.9857, 11.076, 11.1964, 11.3936, 11.9262, 12.2755, 12.7239");
             values ( \
-              "0.000138808, 0.000277311, 0.000453266, 0.000553778, 0.000838011, 0.00142903, 0.00248874, 0.00267044, 0.00316239, 0.00335155, 0.00340243, 0.00332375, 0.00363458, 0.00347169, 0.0034808, 0.00342208, 0.00352251, 0.0037364, 0.0037513, 0.00369994, 0.00376237, 0.00403764, 0.00412587, 0.00400838, 0.00444021, 0.00438826, 0.00461709, 0.00460645, 0.0048166, 0.00481582, 0.00495648, 0.00496008, 0.00506984, 0.00503956, 0.00505945, 0.00468129, 0.002417, 0.00142231, 0.000919497, 0.00068174, 0.000407769, 0.000220869, 7.34318e-05, 9.24912e-06, 1.46169e-05, 1e-22" \
+              "0.000138809, 0.000277311, 0.000453266, 0.000553778, 0.000838011, 0.00142903, 0.00248874, 0.00267044, 0.00316239, 0.00335155, 0.00340243, 0.00332375, 0.00363458, 0.00347169, 0.0034808, 0.00342208, 0.00352251, 0.0037364, 0.0037513, 0.00369994, 0.00376237, 0.00403764, 0.00412587, 0.00400838, 0.00444021, 0.00438826, 0.00461709, 0.00460645, 0.0048166, 0.00481582, 0.00495648, 0.00496008, 0.00506984, 0.00503956, 0.00505945, 0.00468129, 0.002417, 0.00142231, 0.000919497, 0.00068174, 0.000407769, 0.000220869, 7.34318e-05, 9.24912e-06, 1.46169e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -49875,7 +49875,7 @@
             index_2 ("0.0145686");
             index_3 ("6.94996, 7.83589, 8.11469, 8.33718, 8.53018, 8.62814, 8.82407, 9.35346, 9.6778, 9.81784, 10.111, 10.1891, 10.2891, 10.3604, 10.3839, 10.4311, 10.4561, 10.5119, 10.5427, 10.6387, 10.6513, 10.7214, 10.7529, 10.7831, 10.8172, 10.8584, 10.8659, 10.9108, 10.9457, 11.0381, 11.0829, 11.1347, 11.5567, 11.6825, 11.8038, 11.883, 12.0415, 12.3072, 12.6214, 13.0172");
             values ( \
-              "0.000590393, 0.000661603, 0.000934937, 0.00127612, 0.00174901, 0.00208347, 0.00300695, 0.00620615, 0.00787005, 0.00848162, 0.0094163, 0.00945583, 0.00962951, 0.0100362, 0.00982411, 0.0100241, 0.00993412, 0.0104276, 0.0103183, 0.0107215, 0.0106053, 0.0117549, 0.0119473, 0.0119767, 0.0118414, 0.0117952, 0.0117162, 0.0117244, 0.0120231, 0.0124052, 0.0122574, 0.0119078, 0.00434163, 0.00275323, 0.00172378, 0.00125119, 0.000637635, 0.000179654, 3.97971e-05, 6.21228e-06" \
+              "0.000590393, 0.000661603, 0.000934937, 0.00127612, 0.00174901, 0.00208347, 0.00300695, 0.00620615, 0.00787005, 0.00848162, 0.0094163, 0.00945583, 0.00962951, 0.0100362, 0.00982411, 0.0100241, 0.00993412, 0.0104276, 0.0103183, 0.0107215, 0.0106053, 0.0117549, 0.0119473, 0.0119767, 0.0118414, 0.0117952, 0.0117162, 0.0117244, 0.0120231, 0.0124052, 0.0122574, 0.0119078, 0.00434163, 0.00275323, 0.00172378, 0.00125119, 0.000637635, 0.000179654, 3.9797e-05, 6.21244e-06" \
             );
           }
           vector (ccs_template) {
@@ -49884,14 +49884,14 @@
             index_2 ("0.049811");
             index_3 ("6.94993, 7.97852, 8.33722, 8.68669, 9.03603, 9.59265, 9.91441, 10.4083, 10.6286, 10.9197, 11.4099, 11.4969, 11.6137, 11.6284, 11.8367, 11.878, 11.8858, 11.9014, 11.9325, 11.9741, 12.0328, 12.1093, 12.2251, 12.24, 12.27, 12.3298, 12.4495, 12.5837, 12.7714, 13.2663, 13.4516, 13.6621, 13.968, 14.1857, 14.4759, 14.9686, 15.5967, 16.376");
             values ( \
-              "0.00150076, 0.00198778, 0.0029135, 0.00436812, 0.00676825, 0.0116054, 0.0141116, 0.0174263, 0.0187174, 0.0201907, 0.0220415, 0.0221377, 0.022897, 0.0227891, 0.023563, 0.0247341, 0.0244712, 0.0248421, 0.0248545, 0.0252178, 0.0252328, 0.025575, 0.0255751, 0.0257136, 0.0255847, 0.0256171, 0.0249691, 0.0236592, 0.0206172, 0.0112072, 0.00827829, 0.00569295, 0.00317761, 0.00205572, 0.00114736, 0.000339899, 8.83789e-05, 1e-22" \
+              "0.00150076, 0.00198778, 0.0029135, 0.00436812, 0.00676825, 0.0116054, 0.0141116, 0.0174263, 0.0187174, 0.0201907, 0.0220415, 0.0221377, 0.022897, 0.0227891, 0.023563, 0.0247341, 0.0244712, 0.0248421, 0.0248545, 0.0252178, 0.0252328, 0.025575, 0.0255751, 0.0257136, 0.0255847, 0.0256171, 0.0249691, 0.0236592, 0.0206172, 0.0112072, 0.00827829, 0.00569295, 0.00317761, 0.00205572, 0.00114736, 0.000339899, 8.83788e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.170307");
-            index_3 ("7.52597, 8.71954, 9.23376, 10.3659, 11.1596, 12.3569, 13.2604, 14.0226, 14.2923, 14.4274, 14.5068, 14.5631, 14.6186, 14.7284, 15.0609, 15.5715, 17.1146, 17.6828, 18.346, 19.1094, 19.9026, 20.8091, 21.3067");
+            index_3 ("7.52597, 8.71955, 9.23376, 10.3659, 11.1596, 12.3569, 13.2604, 14.0226, 14.2923, 14.4274, 14.5068, 14.5631, 14.6186, 14.7284, 15.0609, 15.5715, 17.1146, 17.6828, 18.346, 19.1094, 19.9026, 20.8091, 21.3067");
             values ( \
               "0.00587791, 0.00721542, 0.0109057, 0.0211135, 0.027283, 0.0357936, 0.0415511, 0.0456776, 0.046796, 0.0482854, 0.0483067, 0.0488626, 0.0487001, 0.0492217, 0.0485458, 0.0436079, 0.020078, 0.0137659, 0.00865284, 0.00494768, 0.00275127, 0.00133834, 0.000993909" \
             );
@@ -49902,7 +49902,7 @@
             index_2 ("0.582289");
             index_3 ("8.5412, 10.3228, 14.5864, 15.5609, 16.6589, 17.2838, 18.3657, 19.4651, 19.5916, 19.6976, 20.6684, 21.586, 23.339, 24.9735, 26.5781, 28.0213, 29.4241, 31.0414, 31.5424, 33.271, 35.1671, 35.345, 35.7008, 37.5683, 39.8803, 42.1923, 43.3483, 44.5044, 45.6604, 46.8164, 47.9724, 49.1284");
             values ( \
-              "0.0184204, 0.0224416, 0.0585875, 0.0663227, 0.0743407, 0.0733038, 0.071174, 0.0683905, 0.0681652, 0.0681481, 0.0644572, 0.0598134, 0.0489912, 0.0383479, 0.0290677, 0.0220922, 0.0166741, 0.0118936, 0.0106878, 0.00732334, 0.00478397, 0.00472193, 0.00424035, 0.00275879, 0.00160208, 0.000917091, 0.000810317, 0.000513474, 0.000500174, 0.000276374, 0.0003179, 0.000137374" \
+              "0.0184205, 0.0224416, 0.0585875, 0.0663227, 0.0743407, 0.0733038, 0.071174, 0.0683905, 0.0681652, 0.0681481, 0.0644572, 0.0598134, 0.0489912, 0.0383479, 0.0290677, 0.0220922, 0.0166741, 0.0118936, 0.0106878, 0.00732334, 0.00478397, 0.00472193, 0.00424035, 0.00275879, 0.00160208, 0.000917091, 0.000810317, 0.000513474, 0.000500174, 0.000276374, 0.0003179, 0.000137374" \
             );
           }
         }
@@ -49912,7 +49912,7 @@
           values ( \
             "0.00672097, 0.00675521, 0.00679728, 0.00682405, 0.00683477, 0.00683825", \
             "0.00797114, 0.00800688, 0.00806011, 0.00810004, 0.00811733, 0.00812309", \
-            "0.00860094, 0.008605, 0.00861948, 0.00864092, 0.008654, 0.00865884", \
+            "0.00860094, 0.00860444, 0.00861998, 0.00864092, 0.00865359, 0.00865884", \
             "0.00897153, 0.00894471, 0.00890077, 0.00886964, 0.00886049, 0.00885871", \
             "0.00919916, 0.00914901, 0.00907447, 0.00899949, 0.00894221, 0.00891873", \
             "0.00937295, 0.00939982, 0.00928268, 0.00913053, 0.00903365, 0.00896595" \
@@ -49924,7 +49924,7 @@
           values ( \
             "0.00843538, 0.00846157, 0.00848919, 0.00850295, 0.00850777, 0.00850927", \
             "0.00852545, 0.00846559, 0.00838739, 0.00833227, 0.00830927, 0.00830171", \
-            "0.00859828, 0.00844193, 0.00823562, 0.00808988, 0.00802442, 0.00800154", \
+            "0.00859828, 0.00844304, 0.00823491, 0.00808988, 0.00802423, 0.00800154", \
             "0.00835405, 0.00852191, 0.00854844, 0.0081366, 0.00793985, 0.00787022", \
             "0.00779794, 0.00787984, 0.0080024, 0.00779422, 0.00816511, 0.007922", \
             "0.00748725, 0.00745225, 0.00764752, 0.00789642, 0.00777783, 0.00822441" \
@@ -49964,7 +49964,7 @@
             index_2 ("0.049811");
             index_3 ("0.0236078, 0.0236278, 0.0273947, 0.0519262, 0.248646, 0.304542, 0.364465, 0.373804, 0.383489, 0.396122, 0.409449, 0.445283, 0.470504, 0.504624, 0.595814, 0.670491, 0.787286, 0.823926, 0.872892, 0.912275, 0.960033, 1.02218, 1.058, 1.10725, 1.22713, 1.39104, 1.62461, 1.81156");
             values ( \
-              "-1e-22, -0.148311, -0.146238, -0.143148, -0.133889, -0.130075, -0.121181, -0.120924, -0.122824, -0.123001, -0.122344, -0.118439, -0.114172, -0.106952, -0.0815661, -0.0583948, -0.0376336, -0.032039, -0.0250993, -0.0205952, -0.0161197, -0.0114038, -0.00932955, -0.00706356, -0.00351887, -0.0014283, -0.000474572, -0.000266323" \
+              "-1e-22, -0.148311, -0.146238, -0.143148, -0.133889, -0.130075, -0.121181, -0.120924, -0.122824, -0.123001, -0.122344, -0.118439, -0.114172, -0.106952, -0.0815661, -0.0583948, -0.0376336, -0.032039, -0.0250993, -0.0205952, -0.0161197, -0.0114038, -0.00932955, -0.00706356, -0.00351887, -0.0014283, -0.000474571, -0.000266323" \
             );
           }
           vector (ccs_template) {
@@ -50052,18 +50052,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00426101");
-            index_3 ("0.144561, 0.158093, 0.168984, 0.183143, 0.205257, 0.223536, 0.239877, 0.24805, 0.255335, 0.260086, 0.262037, 0.269629, 0.271771, 0.278438, 0.283332, 0.288044, 0.295542, 0.320724, 0.340834, 0.359765, 0.376033, 0.390815, 0.415873, 0.426155, 0.438178, 0.445324, 0.471807, 0.482941, 0.502728, 0.51296, 0.523792, 0.535485, 0.551876, 0.570747, 0.597743");
+            index_3 ("0.140431, 0.169038, 0.1832, 0.205322, 0.223602, 0.239933, 0.248104, 0.255387, 0.260104, 0.262052, 0.269628, 0.271821, 0.278494, 0.283429, 0.295341, 0.325724, 0.341578, 0.353142, 0.373973, 0.382015, 0.392737, 0.417521, 0.43099, 0.458464, 0.47214, 0.493316, 0.51574, 0.554829, 0.608947");
             values ( \
-              "-0.00433503, -0.0183718, -0.0239721, -0.0302538, -0.0390257, -0.0449701, -0.0489051, -0.0500768, -0.0495168, -0.0481154, -0.0483302, -0.0434372, -0.0429266, -0.0449347, -0.0449784, -0.0433109, -0.0395726, -0.0257493, -0.0167799, -0.0107582, -0.00692115, -0.00475397, -0.00248038, -0.00209951, -0.00188061, -0.00196034, -0.00167007, -0.0010907, -0.000485483, -0.00059394, -0.000475767, -0.0006308, -0.000476563, -0.000547901, -0.000316136" \
+              "-0.00298079, -0.0238421, -0.030354, -0.0390187, -0.0450271, -0.0488747, -0.0501439, -0.0494707, -0.0481888, -0.0483577, -0.0434618, -0.0429237, -0.0449348, -0.0449692, -0.0397076, -0.0232717, -0.016509, -0.012633, -0.00735912, -0.00591475, -0.00456213, -0.00238404, -0.00197015, -0.00184282, -0.00158151, -0.000672261, -0.000495736, -0.000564216, -0.000324991" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0145686");
-            index_3 ("0.151246, 0.168971, 0.177284, 0.19823, 0.222894, 0.238715, 0.260085, 0.265021, 0.274244, 0.282041, 0.290189, 0.301283, 0.313861, 0.316831, 0.32277, 0.336266, 0.346567, 0.355732, 0.369437, 0.392574, 0.420312, 0.455253, 0.469074, 0.47239, 0.479022, 0.492287, 0.50649, 0.526704, 0.533189, 0.534838, 0.538135, 0.544526, 0.551827, 0.565504, 0.587303, 0.611831, 0.625247, 0.652078, 0.671126, 0.694623, 0.727898, 0.752257, 0.779817, 0.811733, 0.855776, 0.920196, 0.999924");
+            index_3 ("0.144583, 0.168897, 0.185371, 0.204547, 0.217515, 0.22944, 0.238629, 0.253373, 0.260053, 0.262572, 0.264943, 0.268187, 0.274676, 0.281473, 0.290135, 0.301022, 0.313836, 0.331238, 0.336058, 0.341333, 0.346504, 0.355967, 0.36263, 0.377086, 0.392182, 0.432133, 0.455744, 0.469134, 0.478775, 0.506033, 0.526445, 0.534762, 0.544544, 0.551768, 0.565033, 0.611032, 0.644247, 0.663637, 0.683971, 0.71632, 0.740229, 0.795592, 0.888773, 0.963196");
             values ( \
-              "-0.0182799, -0.0409677, -0.0480461, -0.0642222, -0.0817994, -0.0919997, -0.104394, -0.108631, -0.102714, -0.10486, -0.100907, -0.100616, -0.0943437, -0.0942438, -0.0903371, -0.084855, -0.0882999, -0.0884995, -0.0838835, -0.0723993, -0.0564714, -0.0387465, -0.0333969, -0.0314982, -0.0295829, -0.0242347, -0.0201823, -0.0134468, -0.0133652, -0.0123461, -0.0127128, -0.011157, -0.0112751, -0.00927613, -0.00843841, -0.00584616, -0.00591132, -0.0036114, -0.00350805, -0.00186031, -0.00208575, -0.000943929, -0.0015379, -0.000423148, -0.000997742, -1e-22, -0.0005443" \
+              "-0.0022633, -0.0409245, -0.0544211, -0.0689003, -0.0780643, -0.0861419, -0.0919606, -0.100736, -0.104286, -0.106522, -0.106642, -0.105576, -0.104639, -0.103433, -0.102077, -0.0996894, -0.095176, -0.086518, -0.0851914, -0.086556, -0.0886044, -0.0881586, -0.0863801, -0.0803496, -0.0722764, -0.0502523, -0.0388269, -0.0330111, -0.0292974, -0.0199602, -0.0138459, -0.0127271, -0.0115415, -0.0108756, -0.00970768, -0.00629582, -0.0044379, -0.00343203, -0.00257519, -0.00184794, -0.00149398, -0.000965028, -0.000398075, -0.000191155" \
             );
           }
           vector (ccs_template) {
@@ -50079,9 +50079,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.170307");
-            index_3 ("0.194039, 0.268296, 0.272057, 0.279579, 0.307288, 0.59477, 0.942225, 1.06404, 1.19023, 1.22761, 1.26041, 1.37785, 1.45159, 1.56544, 1.63761, 1.72262, 1.77582, 1.89073, 2.25313, 2.48957, 2.61377, 2.78247, 2.93902, 3.12301, 3.28649, 3.50159, 3.72995, 3.99634, 4.31159, 4.68327, 5.17933");
+            index_3 ("0.182271, 0.266265, 0.288532, 0.293637, 0.594975, 0.940466, 1.06454, 1.17838, 1.22782, 1.26031, 1.4518, 1.56563, 1.63792, 1.89073, 2.25331, 2.48979, 2.61402, 2.78269, 2.9392, 3.12335, 3.28672, 3.50174, 3.73012, 3.99669, 4.31197, 4.68379, 5.1799");
             values ( \
-              "-0.152501, -0.155898, -0.154932, -0.155135, -0.154374, -0.150733, -0.145468, -0.142795, -0.138777, -0.139496, -0.139101, -0.136017, -0.133411, -0.12787, -0.122811, -0.115098, -0.109059, -0.100192, -0.0650861, -0.0461633, -0.038045, -0.0289038, -0.0222175, -0.0161666, -0.0121094, -0.00824696, -0.00544954, -0.00329389, -0.00183531, -0.000909688, -0.000389979" \
+              "-0.108524, -0.155401, -0.154498, -0.154859, -0.150736, -0.145507, -0.142791, -0.138998, -0.139491, -0.139111, -0.133415, -0.127867, -0.1228, -0.100212, -0.065088, -0.046162, -0.0380422, -0.028903, -0.0222181, -0.0161632, -0.0121084, -0.00824741, -0.00545034, -0.00329247, -0.00183511, -0.000908684, -0.000390176" \
             );
           }
           vector (ccs_template) {
@@ -50207,7 +50207,7 @@
             index_2 ("0.00124625");
             index_3 ("5.5065, 6.40668, 6.63884, 6.83164, 7.0293, 7.20699, 7.26986, 7.39558, 7.60118, 7.81513, 7.99805, 8.05664, 8.13902, 8.19021, 8.22473, 8.25216, 8.27726, 8.3067, 8.33725, 8.37472, 8.42123, 8.46853, 8.50185, 8.52934, 8.54793, 8.56342, 8.58567, 8.59623, 8.61715, 8.64956, 8.70633, 8.77537, 8.81724, 8.86042, 8.96717, 9.03316, 9.14375, 9.17917, 9.1944, 9.22484, 9.28574, 9.36818, 9.51863, 9.76729, 10.1723, 10.8257");
             values ( \
-              "-1.20239e-05, -8.77011e-05, -0.000129504, -0.000177828, -0.000256391, -0.000377021, -0.000443819, -0.000610428, -0.000928668, -0.00116779, -0.00128655, -0.00125582, -0.00107857, -0.000993714, -0.0012222, -0.00127662, -0.00123838, -0.0012477, -0.00121564, -0.00121968, -0.00121376, -0.00122895, -0.00127608, -0.00158023, -0.00159269, -0.00178568, -0.00178348, -0.00185483, -0.00133267, -0.00107244, -0.00135083, -0.00152339, -0.00148223, -0.00138422, -0.000699297, -0.000368161, -0.000118012, -0.0001119, -7.79091e-05, -8.71166e-05, -4.50265e-05, -5.68179e-05, -2.33907e-05, -3.77061e-05, -3.80836e-06, -1.62646e-05" \
+              "-1.20239e-05, -8.77011e-05, -0.000129504, -0.000177828, -0.000256391, -0.000377021, -0.000443819, -0.000610428, -0.000928668, -0.00116779, -0.00128655, -0.00125582, -0.00107857, -0.000993714, -0.0012222, -0.00127662, -0.00123838, -0.0012477, -0.00121564, -0.00121968, -0.00121376, -0.00122895, -0.00127608, -0.00158023, -0.00159269, -0.00178568, -0.00178348, -0.00185483, -0.00133267, -0.00107244, -0.00135083, -0.00152339, -0.00148223, -0.00138422, -0.000699297, -0.000368161, -0.000118012, -0.0001119, -7.79091e-05, -8.71166e-05, -4.50265e-05, -5.68179e-05, -2.33907e-05, -3.77061e-05, -3.80835e-06, -1.62646e-05" \
             );
           }
           vector (ccs_template) {
@@ -50315,7 +50315,7 @@
           values ( \
             "0.0766246, 0.0963731, 0.162443, 0.385308, 1.14427, 3.73723", \
             "0.0860294, 0.105938, 0.172295, 0.395405, 1.1545, 3.74749", \
-            "0.124699, 0.144348, 0.211225, 0.434844, 1.19432, 3.7875", \
+            "0.124699, 0.144613, 0.211247, 0.434844, 1.19424, 3.78751", \
             "0.203325, 0.241689, 0.346803, 0.594162, 1.35299, 3.94584", \
             "0.257236, 0.329706, 0.532961, 1.01343, 1.99826, 4.58484", \
             "0.00653307, 0.140541, 0.518894, 1.42995, 3.36113, 7.10945" \
@@ -50327,7 +50327,7 @@
           values ( \
             "0.0798924, 0.104999, 0.192735, 0.493446, 1.5196, 5.01371", \
             "0.0798004, 0.104893, 0.192717, 0.493376, 1.5196, 5.01383", \
-            "0.089777, 0.110733, 0.193038, 0.493381, 1.51967, 5.01391", \
+            "0.089777, 0.111022, 0.193036, 0.493381, 1.51957, 5.01385", \
             "0.17861, 0.204466, 0.281188, 0.520637, 1.51969, 5.01378", \
             "0.408832, 0.453715, 0.589666, 0.916884, 1.71417, 5.01385", \
             "1.03234, 1.13109, 1.37751, 1.97583, 3.25823, 6.03723" \
@@ -50374,7 +50374,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.170307");
-            index_3 ("0.0317917, 0.0318117, 0.0535964, 0.108702, 1.24809, 1.57645, 1.99928, 2.10024, 2.22692, 2.4835, 2.52543, 2.60093, 2.89458, 3.90079, 4.5088, 4.81853, 5.15844, 5.72278, 6.48062, 7.02099, 7.74634, 8.71347, 9.86947, 11.0255");
+            index_3 ("0.0317917, 0.0318117, 0.0535964, 0.108702, 1.24809, 1.57645, 1.99928, 2.10024, 2.22692, 2.4835, 2.52543, 2.60093, 2.89458, 3.90079, 4.5088, 4.81853, 5.15844, 5.72278, 6.48062, 7.02099, 7.74634, 8.71347, 9.86948, 11.0255");
             values ( \
               "1e-22, 0.088572, 0.0797012, 0.0781197, 0.0726799, 0.0708203, 0.0679778, 0.0667752, 0.0660121, 0.0629966, 0.0632928, 0.0624823, 0.0576608, 0.0370749, 0.0260133, 0.0213394, 0.0170771, 0.011651, 0.00678849, 0.00455284, 0.00263239, 0.00126974, 0.000458604, 0.000217186" \
             );
@@ -50385,7 +50385,7 @@
             index_2 ("0.582289");
             index_3 ("0.136215, 0.136235, 1.93081, 4.05617, 6.11744, 7.51904, 8.50698, 9.87681, 13.148, 15.3239, 16.9112, 19.0341, 20.4054, 22.5068, 24.3514, 26.6634, 31.2874, 31.3102");
             values ( \
-              "1e-22, 0.090664, 0.07824, 0.0751317, 0.0713575, 0.0681862, 0.0644569, 0.0572011, 0.0363128, 0.0245377, 0.0180376, 0.011533, 0.00857501, 0.00537422, 0.00353547, 0.00207092, 0.000686963, 0.000685192" \
+              "1e-22, 0.090664, 0.07824, 0.0751317, 0.0713575, 0.0681862, 0.0644569, 0.0572011, 0.0363128, 0.0245377, 0.0180376, 0.011533, 0.00857501, 0.00537422, 0.00353547, 0.00207092, 0.000686963, 0.000685193" \
             );
           }
           vector (ccs_template) {
@@ -50430,7 +50430,7 @@
             index_2 ("0.170307");
             index_3 ("0.0975355, 0.0975555, 0.648793, 1.20196, 1.44063, 1.71078, 2.06709, 2.15738, 2.22281, 2.24049, 2.26645, 2.29245, 2.44849, 2.49084, 2.53471, 2.57871, 2.63408, 2.73923, 2.98341, 3.44298, 3.93332, 4.14486, 4.56794, 4.8526, 5.17028, 5.41987, 5.751, 5.96256, 6.24465, 6.62669, 7.06453, 7.67471, 8.48828, 9.64429, 10.8003, 11.9563");
             values ( \
-              "1e-22, 0.0877804, 0.0757038, 0.0730808, 0.0718169, 0.0701444, 0.0675829, 0.0666098, 0.0661131, 0.0661092, 0.0659446, 0.0655006, 0.0637134, 0.0630867, 0.0632463, 0.0629818, 0.0624242, 0.0608619, 0.0565998, 0.0473119, 0.0371166, 0.0330554, 0.025619, 0.0213488, 0.0173395, 0.0147267, 0.0117093, 0.0101031, 0.0083013, 0.00625885, 0.00453046, 0.00286615, 0.00155046, 0.000585208, 0.000250895, 7.57024e-05" \
+              "1e-22, 0.0877804, 0.0757038, 0.0730808, 0.0718169, 0.0701444, 0.0675829, 0.0666098, 0.0661131, 0.0661092, 0.0659446, 0.0655006, 0.0637134, 0.0630867, 0.0632463, 0.0629818, 0.0624242, 0.0608619, 0.0565998, 0.0473119, 0.0371166, 0.0330554, 0.025619, 0.0213488, 0.0173395, 0.0147267, 0.0117093, 0.0101031, 0.0083013, 0.00625885, 0.00453046, 0.00286615, 0.00155046, 0.000585208, 0.000250895, 7.57025e-05" \
             );
           }
           vector (ccs_template) {
@@ -50466,7 +50466,7 @@
             index_2 ("0.0145686");
             index_3 ("0.15345, 0.191979, 0.265007, 0.270951, 0.276634, 0.299299, 0.354833, 0.392126, 0.409606, 0.467452, 0.496861, 0.521765, 0.582725, 0.636848, 0.651152, 0.687069, 0.778598, 0.825505, 0.895022, 0.950653, 1.02818, 1.11111, 1.1587, 1.25389, 1.40659, 1.60067");
             values ( \
-              "0.00315426, 0.0240917, 0.0530517, 0.0528897, 0.0515029, 0.0504343, 0.0488741, 0.0475668, 0.0468615, 0.0438305, 0.0435569, 0.041599, 0.03577, 0.028519, 0.0280759, 0.0257361, 0.0170055, 0.0139138, 0.00993689, 0.00737493, 0.00476539, 0.00294655, 0.00227943, 0.00125047, 0.000511873, 0.000110755" \
+              "0.00315426, 0.0240917, 0.0530517, 0.0528897, 0.0515029, 0.0504343, 0.0488741, 0.0475668, 0.0468615, 0.0438305, 0.0435569, 0.041599, 0.03577, 0.028519, 0.0280759, 0.0257361, 0.0170055, 0.0139138, 0.00993689, 0.00737493, 0.00476539, 0.00294655, 0.00227943, 0.00125047, 0.000511873, 0.000110754" \
             );
           }
           vector (ccs_template) {
@@ -50610,7 +50610,7 @@
             index_2 ("0.00124625");
             index_3 ("6.9545, 8.02272, 8.33751, 8.52605, 8.75052, 9.02106, 9.13866, 9.3816, 9.54381, 9.59325, 9.69212, 9.75018, 9.80213, 9.80583, 9.81324, 9.82806, 9.85586, 9.90918, 9.94344, 9.95346, 9.99104, 10.0195, 10.04, 10.0703, 10.1485, 10.208, 10.2416, 10.2807, 10.3462, 10.5094, 10.6152, 10.731, 10.7842, 10.855, 10.9968, 11.4075, 11.6431, 11.9346, 12.3553, 13.1968");
             values ( \
-              "4.17402e-05, 8.34264e-05, 0.000142977, 0.00022306, 0.000409675, 0.000706899, 0.000822944, 0.0010233, 0.00108643, 0.00107416, 0.00101366, 0.00111954, 0.00101083, 0.00105197, 0.00101069, 0.00102691, 0.000990269, 0.00104257, 0.00119669, 0.00119849, 0.00158553, 0.00170455, 0.00161817, 0.00133129, 0.00164335, 0.00171453, 0.00171003, 0.0017164, 0.00159818, 0.00078087, 0.000405277, 0.000189001, 0.000136423, 9.8786e-05, 4.39838e-05, 1.16262e-05, 1.30984e-05, 2.55651e-07, 5.45822e-06, 1e-22" \
+              "4.17402e-05, 8.34264e-05, 0.000142977, 0.00022306, 0.000409675, 0.000706899, 0.000822944, 0.0010233, 0.00108643, 0.00107416, 0.00101366, 0.00111954, 0.00101083, 0.00105197, 0.00101069, 0.00102691, 0.000990269, 0.00104257, 0.00119669, 0.00119849, 0.00158553, 0.00170455, 0.00161817, 0.00133129, 0.00164335, 0.00171453, 0.00171003, 0.0017164, 0.00159818, 0.00078087, 0.000405277, 0.000189001, 0.000136423, 9.8786e-05, 4.39838e-05, 1.16262e-05, 1.30984e-05, 2.55649e-07, 5.45822e-06, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -50619,7 +50619,7 @@
             index_2 ("0.00426101");
             index_3 ("6.95146, 8.03122, 8.33648, 8.43081, 8.61946, 8.84226, 9.20197, 9.27281, 9.49354, 9.62037, 9.72527, 9.83888, 9.89616, 9.94851, 10.005, 10.0277, 10.0533, 10.0798, 10.085, 10.094, 10.1149, 10.1604, 10.1955, 10.2127, 10.2266, 10.2405, 10.2568, 10.2766, 10.2955, 10.3145, 10.3326, 10.361, 10.3967, 10.4412, 10.485, 10.561, 10.7496, 10.8553, 10.9333, 10.9857, 11.076, 11.1964, 11.3936, 11.9262, 12.2755, 12.7239");
             values ( \
-              "0.000138808, 0.000277311, 0.000453266, 0.000553778, 0.000838011, 0.00142903, 0.00248874, 0.00267044, 0.00316239, 0.00335155, 0.00340243, 0.00332375, 0.00363458, 0.00347169, 0.0034808, 0.00342208, 0.00352251, 0.0037364, 0.0037513, 0.00369994, 0.00376237, 0.00403764, 0.00412587, 0.00400838, 0.00444021, 0.00438826, 0.00461709, 0.00460645, 0.0048166, 0.00481582, 0.00495648, 0.00496008, 0.00506984, 0.00503956, 0.00505945, 0.00468129, 0.002417, 0.00142231, 0.000919497, 0.00068174, 0.000407769, 0.000220869, 7.34318e-05, 9.24912e-06, 1.46169e-05, 1e-22" \
+              "0.000138809, 0.000277311, 0.000453266, 0.000553778, 0.000838011, 0.00142903, 0.00248874, 0.00267044, 0.00316239, 0.00335155, 0.00340243, 0.00332375, 0.00363458, 0.00347169, 0.0034808, 0.00342208, 0.00352251, 0.0037364, 0.0037513, 0.00369994, 0.00376237, 0.00403764, 0.00412587, 0.00400838, 0.00444021, 0.00438826, 0.00461709, 0.00460645, 0.0048166, 0.00481582, 0.00495648, 0.00496008, 0.00506984, 0.00503956, 0.00505945, 0.00468129, 0.002417, 0.00142231, 0.000919497, 0.00068174, 0.000407769, 0.000220869, 7.34318e-05, 9.24912e-06, 1.46169e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -50628,7 +50628,7 @@
             index_2 ("0.0145686");
             index_3 ("6.94996, 7.83589, 8.11469, 8.33718, 8.53018, 8.62814, 8.82407, 9.35346, 9.6778, 9.81784, 10.111, 10.1891, 10.2891, 10.3604, 10.3839, 10.4311, 10.4561, 10.5119, 10.5427, 10.6387, 10.6513, 10.7214, 10.7529, 10.7831, 10.8172, 10.8584, 10.8659, 10.9108, 10.9457, 11.0381, 11.0829, 11.1347, 11.5567, 11.6825, 11.8038, 11.883, 12.0415, 12.3072, 12.6214, 13.0172");
             values ( \
-              "0.000590393, 0.000661603, 0.000934937, 0.00127612, 0.00174901, 0.00208347, 0.00300695, 0.00620615, 0.00787005, 0.00848162, 0.0094163, 0.00945583, 0.00962951, 0.0100362, 0.00982411, 0.0100241, 0.00993412, 0.0104276, 0.0103183, 0.0107215, 0.0106053, 0.0117549, 0.0119473, 0.0119767, 0.0118414, 0.0117952, 0.0117162, 0.0117244, 0.0120231, 0.0124052, 0.0122574, 0.0119078, 0.00434163, 0.00275323, 0.00172378, 0.00125119, 0.000637635, 0.000179654, 3.97971e-05, 6.21228e-06" \
+              "0.000590393, 0.000661603, 0.000934937, 0.00127612, 0.00174901, 0.00208347, 0.00300695, 0.00620615, 0.00787005, 0.00848162, 0.0094163, 0.00945583, 0.00962951, 0.0100362, 0.00982411, 0.0100241, 0.00993412, 0.0104276, 0.0103183, 0.0107215, 0.0106053, 0.0117549, 0.0119473, 0.0119767, 0.0118414, 0.0117952, 0.0117162, 0.0117244, 0.0120231, 0.0124052, 0.0122574, 0.0119078, 0.00434163, 0.00275323, 0.00172378, 0.00125119, 0.000637635, 0.000179654, 3.9797e-05, 6.21244e-06" \
             );
           }
           vector (ccs_template) {
@@ -50637,14 +50637,14 @@
             index_2 ("0.049811");
             index_3 ("6.94993, 7.97852, 8.33722, 8.68669, 9.03603, 9.59265, 9.91441, 10.4083, 10.6286, 10.9197, 11.4099, 11.4969, 11.6137, 11.6284, 11.8367, 11.878, 11.8858, 11.9014, 11.9325, 11.9741, 12.0328, 12.1093, 12.2251, 12.24, 12.27, 12.3298, 12.4495, 12.5837, 12.7714, 13.2663, 13.4516, 13.6621, 13.968, 14.1857, 14.4759, 14.9686, 15.5967, 16.376");
             values ( \
-              "0.00150076, 0.00198778, 0.0029135, 0.00436812, 0.00676825, 0.0116054, 0.0141116, 0.0174263, 0.0187174, 0.0201907, 0.0220415, 0.0221377, 0.022897, 0.0227891, 0.023563, 0.0247341, 0.0244712, 0.0248421, 0.0248545, 0.0252178, 0.0252328, 0.025575, 0.0255751, 0.0257136, 0.0255847, 0.0256171, 0.0249691, 0.0236592, 0.0206172, 0.0112072, 0.00827829, 0.00569295, 0.00317761, 0.00205572, 0.00114736, 0.000339899, 8.83789e-05, 1e-22" \
+              "0.00150076, 0.00198778, 0.0029135, 0.00436812, 0.00676825, 0.0116054, 0.0141116, 0.0174263, 0.0187174, 0.0201907, 0.0220415, 0.0221377, 0.022897, 0.0227891, 0.023563, 0.0247341, 0.0244712, 0.0248421, 0.0248545, 0.0252178, 0.0252328, 0.025575, 0.0255751, 0.0257136, 0.0255847, 0.0256171, 0.0249691, 0.0236592, 0.0206172, 0.0112072, 0.00827829, 0.00569295, 0.00317761, 0.00205572, 0.00114736, 0.000339899, 8.83788e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.170307");
-            index_3 ("7.52597, 8.71954, 9.23376, 10.3659, 11.1596, 12.3569, 13.2604, 14.0226, 14.2923, 14.4274, 14.5068, 14.5631, 14.6186, 14.7284, 15.0609, 15.5715, 17.1146, 17.6828, 18.346, 19.1094, 19.9026, 20.8091, 21.3067");
+            index_3 ("7.52597, 8.71955, 9.23376, 10.3659, 11.1596, 12.3569, 13.2604, 14.0226, 14.2923, 14.4274, 14.5068, 14.5631, 14.6186, 14.7284, 15.0609, 15.5715, 17.1146, 17.6828, 18.346, 19.1094, 19.9026, 20.8091, 21.3067");
             values ( \
               "0.00587791, 0.00721542, 0.0109057, 0.0211135, 0.027283, 0.0357936, 0.0415511, 0.0456776, 0.046796, 0.0482854, 0.0483067, 0.0488626, 0.0487001, 0.0492217, 0.0485458, 0.0436079, 0.020078, 0.0137659, 0.00865284, 0.00494768, 0.00275127, 0.00133834, 0.000993909" \
             );
@@ -50655,7 +50655,7 @@
             index_2 ("0.582289");
             index_3 ("8.5412, 10.3228, 14.5864, 15.5609, 16.6589, 17.2838, 18.3657, 19.4651, 19.5916, 19.6976, 20.6684, 21.586, 23.339, 24.9735, 26.5781, 28.0213, 29.4241, 31.0414, 31.5424, 33.271, 35.1671, 35.345, 35.7008, 37.5683, 39.8803, 42.1923, 43.3483, 44.5044, 45.6604, 46.8164, 47.9724, 49.1284");
             values ( \
-              "0.0184204, 0.0224416, 0.0585875, 0.0663227, 0.0743407, 0.0733038, 0.071174, 0.0683905, 0.0681652, 0.0681481, 0.0644572, 0.0598134, 0.0489912, 0.0383479, 0.0290677, 0.0220922, 0.0166741, 0.0118936, 0.0106878, 0.00732334, 0.00478397, 0.00472193, 0.00424035, 0.00275879, 0.00160208, 0.000917091, 0.000810317, 0.000513474, 0.000500174, 0.000276374, 0.0003179, 0.000137374" \
+              "0.0184205, 0.0224416, 0.0585875, 0.0663227, 0.0743407, 0.0733038, 0.071174, 0.0683905, 0.0681652, 0.0681481, 0.0644572, 0.0598134, 0.0489912, 0.0383479, 0.0290677, 0.0220922, 0.0166741, 0.0118936, 0.0106878, 0.00732334, 0.00478397, 0.00472193, 0.00424035, 0.00275879, 0.00160208, 0.000917091, 0.000810317, 0.000513474, 0.000500174, 0.000276374, 0.0003179, 0.000137374" \
             );
           }
         }
@@ -50665,7 +50665,7 @@
           values ( \
             "0.00632509, 0.00634944, 0.00638144, 0.00640297, 0.00641182, 0.00641473", \
             "0.00730073, 0.0073218, 0.00735784, 0.00738877, 0.00740327, 0.00740826", \
-            "0.00770551, 0.00770757, 0.00772006, 0.00773639, 0.00774674, 0.00775223", \
+            "0.00770551, 0.00770881, 0.00771889, 0.00773639, 0.00774781, 0.00775118", \
             "0.00795483, 0.00793742, 0.00790752, 0.00788338, 0.00787556, 0.00787407", \
             "0.00812641, 0.00809728, 0.00804565, 0.00798204, 0.00793076, 0.00790942", \
             "0.0084163, 0.00834362, 0.00822109, 0.00809829, 0.00801158, 0.00794845" \
@@ -50677,7 +50677,7 @@
           values ( \
             "0.00784486, 0.00785412, 0.00786876, 0.00787908, 0.00788335, 0.00788476", \
             "0.00790358, 0.00786589, 0.00781121, 0.00776915, 0.00775049, 0.00774422", \
-            "0.00792396, 0.00783983, 0.00770525, 0.00759764, 0.00754374, 0.00752157", \
+            "0.00792396, 0.00783801, 0.00770714, 0.00759764, 0.00754147, 0.00752371", \
             "0.00872674, 0.00847073, 0.00801857, 0.00766738, 0.00750063, 0.00743599", \
             "0.0082403, 0.0082921, 0.00837487, 0.00820338, 0.00771366, 0.0074967", \
             "0.00756946, 0.00770159, 0.00795793, 0.00826195, 0.00798154, 0.00777329" \
@@ -50805,18 +50805,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00426101");
-            index_3 ("0.145929, 0.161982, 0.175261, 0.192283, 0.218398, 0.256756, 0.259772, 0.26193, 0.264008, 0.266235, 0.274141, 0.282032, 0.290279, 0.305019, 0.338464, 0.348158, 0.364077, 0.373391, 0.390575, 0.403151, 0.409523, 0.419465, 0.45026, 0.466398, 0.480419, 0.501792, 0.513107, 0.589906, 0.624527, 0.726768, 0.791663");
+            index_3 ("0.140767, 0.167757, 0.17553, 0.191075, 0.211183, 0.230565, 0.258193, 0.26235, 0.266271, 0.269054, 0.27468, 0.281216, 0.290848, 0.305338, 0.308229, 0.329267, 0.344351, 0.359922, 0.36416, 0.37144, 0.37976, 0.391971, 0.401096, 0.409086, 0.417716, 0.440195, 0.450661, 0.466895, 0.481025, 0.502488, 0.513801, 0.590361, 0.624873, 0.726898, 0.791633");
             values ( \
-              "-0.00422533, -0.0149244, -0.0197475, -0.025336, -0.0333573, -0.044029, -0.0450455, -0.0464453, -0.0467479, -0.0465325, -0.0472552, -0.0476712, -0.0468575, -0.0424571, -0.0251413, -0.0206868, -0.0147856, -0.0121249, -0.00826438, -0.00607986, -0.00538276, -0.00445552, -0.00259133, -0.00204778, -0.00171049, -0.000837698, -0.000590115, -0.000505519, -0.000334067, -8.8227e-05, -8.06475e-05" \
+              "-0.000945047, -0.0169451, -0.019718, -0.0248484, -0.0311262, -0.0367279, -0.044317, -0.0464224, -0.0467402, -0.046596, -0.0473513, -0.0475672, -0.0469482, -0.0424875, -0.041371, -0.0300629, -0.02261, -0.0163553, -0.0149333, -0.0128043, -0.0107071, -0.00816809, -0.00644268, -0.00545776, -0.00463977, -0.00318689, -0.00261431, -0.00206414, -0.00169107, -0.00081684, -0.000604883, -0.000489184, -0.000351352, -0.000105465, -6.4502e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0145686");
-            index_3 ("0.151055, 0.17258, 0.191382, 0.215093, 0.251086, 0.259964, 0.264887, 0.27141, 0.276131, 0.280922, 0.290505, 0.310953, 0.33153, 0.341254, 0.356302, 0.371451, 0.393342, 0.42089, 0.450873, 0.478684, 0.496213, 0.524381, 0.545873, 0.554085, 0.565773, 0.574858, 0.593029, 0.615129, 0.646651, 0.685983, 0.70713, 0.728974, 0.778906, 0.842864, 0.954985, 1.03253");
+            index_3 ("0.156797, 0.172594, 0.205962, 0.233329, 0.259924, 0.26484, 0.276093, 0.280904, 0.290524, 0.310935, 0.331474, 0.341235, 0.356284, 0.371433, 0.393326, 0.420872, 0.450855, 0.478665, 0.496194, 0.524363, 0.545855, 0.565755, 0.59301, 0.615109, 0.646631, 0.685966, 0.707112, 0.728957, 0.778889, 0.842847, 0.95497, 1.03251");
             values ( \
-              "-0.0131518, -0.0356369, -0.0480195, -0.062552, -0.0829848, -0.0875811, -0.0895602, -0.0889347, -0.0882323, -0.0881802, -0.0874201, -0.0866415, -0.0868007, -0.0873287, -0.0871714, -0.0853975, -0.0796908, -0.0668685, -0.0516146, -0.0393834, -0.0329614, -0.0239949, -0.0179428, -0.0160736, -0.0139331, -0.0126022, -0.0104266, -0.00835917, -0.00598749, -0.00389848, -0.00308133, -0.00252858, -0.00175821, -0.00111789, -0.000430327, -0.000193546" \
+              "-0.0309031, -0.0355717, -0.0571009, -0.0732531, -0.08761, -0.0895646, -0.0882344, -0.0881797, -0.0874181, -0.0866418, -0.0867997, -0.0873287, -0.0871715, -0.0853974, -0.0796903, -0.0668685, -0.0516147, -0.0393835, -0.0329615, -0.0239949, -0.0179426, -0.013933, -0.0104267, -0.00835932, -0.00598755, -0.00389847, -0.00308129, -0.00252858, -0.00175822, -0.0011179, -0.000430336, -0.000193518" \
             );
           }
           vector (ccs_template) {
@@ -50832,18 +50832,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.170307");
-            index_3 ("0.18738, 0.265765, 0.860426, 1.32533, 1.52769, 1.64749, 1.75879, 2.34725, 2.64871, 3.0113, 3.35038, 3.70722, 4.14302, 4.48004, 4.73617");
+            index_3 ("0.159709, 0.261385, 0.287422, 0.855906, 1.3241, 1.48424, 1.56681, 1.65042, 1.76779, 2.3022, 2.64712, 2.77217, 2.99923, 3.15251, 3.39939, 3.61758, 3.88632, 4.13692, 4.46706, 4.85566, 5.07205");
             values ( \
-              "-0.110032, -0.14155, -0.134969, -0.128838, -0.124374, -0.119638, -0.113647, -0.0659179, -0.0449254, -0.0269282, -0.0162313, -0.00933284, -0.00466756, -0.00268467, -0.0018809" \
+              "-0.0419514, -0.142302, -0.141055, -0.134934, -0.128833, -0.125627, -0.122943, -0.119405, -0.112884, -0.0693822, -0.0449403, -0.0378616, -0.0273295, -0.0217963, -0.0150039, -0.0106955, -0.00701434, -0.00468575, -0.00273323, -0.00146859, -0.00124018" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.582289");
-            index_3 ("0.158809, 0.265736, 0.28762, 0.305089, 0.32073, 0.336381, 0.367685, 0.413831, 0.482347, 0.551027, 0.688386, 0.911287, 1.272, 1.6406, 2.52471, 3.39992, 3.91697, 4.12266, 4.38669, 4.74859, 5.00836, 5.10866, 5.29215, 5.5911, 5.96525, 7.24384, 7.9767, 8.23035, 8.61573, 9.04544, 9.64517, 10.1642, 10.6957, 11.4557, 11.785, 12.4438, 13.2264, 14.0091, 14.7917, 15.5743, 17.1396, 18.7048");
+            index_3 ("0.162013, 0.259925, 0.264124, 0.279149, 0.290583, 0.303912, 0.522471, 1.2625, 1.64294, 2.4059, 3.01876, 3.38982, 3.88456, 4.09128, 4.23016, 4.367, 4.71514, 4.75755, 4.9457, 5.0827, 5.29932, 5.40698, 5.51701, 5.69285, 5.88989, 6.31559, 6.65776, 6.94844, 7.23913, 7.4229, 7.60667, 7.79044, 7.97421, 8.16714, 8.3999, 8.62449, 8.81667, 9.00884, 9.32471, 9.48264, 9.77524, 9.9099, 10.0894, 10.1792, 10.4427, 10.7061, 10.8952, 11.2734, 11.5437, 11.7874");
             values ( \
-              "-0.0443953, -0.147285, -0.146815, -0.147129, -0.146717, -0.146982, -0.146604, -0.146719, -0.146255, -0.146276, -0.145606, -0.145113, -0.143694, -0.142658, -0.139339, -0.135775, -0.133242, -0.131966, -0.129959, -0.126832, -0.123121, -0.121095, -0.118389, -0.112164, -0.102777, -0.0691229, -0.0525009, -0.0474322, -0.040538, -0.0337799, -0.0260084, -0.0206242, -0.0161601, -0.0113498, -0.00970819, -0.00711732, -0.00486619, -0.00334635, -0.00227482, -0.00157425, -0.000748332, -0.000361485" \
+              "-0.045418, -0.147226, -0.147316, -0.147022, -0.147013, -0.146914, -0.146261, -0.143836, -0.142523, -0.139792, -0.137394, -0.135781, -0.133421, -0.132164, -0.131194, -0.130127, -0.127155, -0.126731, -0.124104, -0.12166, -0.11822, -0.116212, -0.113874, -0.109715, -0.104708, -0.0933039, -0.0838046, -0.0762405, -0.0690372, -0.064689, -0.0604943, -0.0564533, -0.0525659, -0.0487126, -0.0442169, -0.040104, -0.037079, -0.0342213, -0.0299589, -0.0279443, -0.0244172, -0.0229085, -0.0210514, -0.0201855, -0.0179693, -0.0159464, -0.0146588, -0.012292, -0.0107705, -0.00952062" \
             );
           }
           vector (ccs_template) {
@@ -50852,7 +50852,7 @@
             index_2 ("0.00124625");
             index_3 ("0.431683, 0.517939, 0.590778, 0.61865, 0.69404, 0.723839, 0.738711, 0.761649, 0.772856, 0.791939, 0.797263, 0.807911, 0.817081, 0.832271, 0.833439, 0.835777, 0.840452, 0.849599, 0.88217, 0.889891, 0.895452, 0.901816, 0.916397, 0.928682, 0.935239, 0.942261, 0.950471, 0.96173, 0.97646, 1.00153, 1.03668, 1.04081, 1.04908, 1.06975, 1.11857, 1.24204");
             values ( \
-              "-0.000204425, -0.00197729, -0.00423663, -0.00499883, -0.00690704, -0.00816225, -0.0089691, -0.00965037, -0.00963656, -0.00904369, -0.00826928, -0.00722402, -0.00569477, -0.00441328, -0.00450431, -0.00424261, -0.00406968, -0.00344403, -0.00175391, -0.00151618, -0.00120217, -0.00104461, -0.000299327, -1e-22, -5.19148e-05, -4.77088e-06, -0.000105177, -8.65704e-05, -0.000184246, -0.000147248, -0.000184358, -0.000124012, -0.000316866, -0.000195688, -7.42623e-05, -6.44787e-06" \
+              "-0.000204425, -0.00197729, -0.00423663, -0.00499883, -0.00690704, -0.00816225, -0.0089691, -0.00965037, -0.00963656, -0.00904369, -0.00826928, -0.00722402, -0.00569477, -0.00441328, -0.00450431, -0.00424261, -0.00406968, -0.00344403, -0.00175391, -0.00151618, -0.00120217, -0.00104461, -0.000299327, -1e-22, -5.19148e-05, -4.77087e-06, -0.000105177, -8.65704e-05, -0.000184246, -0.000147248, -0.000184358, -0.000124012, -0.000316866, -0.000195688, -7.42623e-05, -6.44787e-06" \
             );
           }
           vector (ccs_template) {
@@ -50906,7 +50906,7 @@
             index_2 ("0.00124625");
             index_3 ("1.54999, 1.7111, 1.77715, 1.8865, 1.93667, 2.13346, 2.27269, 2.37214, 2.39571, 2.48047, 2.49474, 2.50921, 2.52469, 2.53859, 2.611, 2.6379, 2.6784, 2.72839, 2.81859, 2.9398, 3.12869, 3.81127");
             values ( \
-              "-0.000222475, -0.000334746, -0.000467761, -0.000819595, -0.00106955, -0.00231558, -0.00306882, -0.00390072, -0.00399407, -0.0040872, -0.00387352, -0.00436843, -0.0044072, -0.00457259, -0.00112655, -0.00076378, -0.000510864, -0.000308329, -0.000105943, -2.1564e-05, -1e-22, -6.19323e-06" \
+              "-0.000222475, -0.000334746, -0.000467761, -0.000819595, -0.00106955, -0.00231558, -0.00306882, -0.00390072, -0.00399407, -0.0040872, -0.00387352, -0.00436843, -0.0044072, -0.00457259, -0.00112655, -0.00076378, -0.000510864, -0.000308329, -0.000105943, -2.15641e-05, -1e-22, -6.19322e-06" \
             );
           }
           vector (ccs_template) {
@@ -50924,7 +50924,7 @@
             index_2 ("0.0145686");
             index_3 ("1.6197, 1.78879, 1.83258, 1.94753, 2.01528, 2.30621, 2.56484, 2.70214, 2.78368, 2.83873, 2.86129, 2.88459, 2.956, 3.04594, 3.09754, 3.15878, 3.22482, 3.28726, 3.35602, 3.43677, 3.53351, 3.85299");
             values ( \
-              "-0.00273195, -0.00347584, -0.00420087, -0.00668551, -0.00866053, -0.0188026, -0.0266826, -0.0315985, -0.0328167, -0.0331397, -0.0327361, -0.0312191, -0.0207199, -0.0106484, -0.00684361, -0.00426027, -0.00249613, -0.0014345, -0.000749207, -0.000329488, -0.000122398, -9.44313e-06" \
+              "-0.00273195, -0.00347584, -0.00420087, -0.00668551, -0.00866053, -0.0188026, -0.0266826, -0.0315985, -0.0328167, -0.0331397, -0.0327361, -0.0312191, -0.0207199, -0.0106484, -0.00684361, -0.00426027, -0.00249613, -0.0014345, -0.000749207, -0.000329488, -0.000122398, -9.44311e-06" \
             );
           }
           vector (ccs_template) {
@@ -50969,7 +50969,7 @@
             index_2 ("0.00426101");
             index_3 ("6.11105, 6.8005, 7.00237, 7.06563, 7.14998, 7.31866, 7.4328, 7.66107, 7.82167, 8.07788, 8.28971, 8.33749, 8.41022, 8.47426, 8.55662, 8.60039, 8.64168, 8.71653, 8.76215, 8.81875, 8.83237, 8.84235, 8.85264, 8.86429, 8.87641, 8.89083, 8.92441, 8.93696, 8.94993, 8.9532, 8.95974, 9.0229, 9.06275, 9.10688, 9.13462, 9.22537, 9.29049, 9.35402, 9.38917, 9.45455, 9.54171, 9.68691, 9.83789, 10.0058, 10.1933, 10.4165, 10.684, 11.0099, 11.4108, 11.906");
             values ( \
-              "-0.000313968, -0.000448708, -0.000616363, -0.000683513, -0.000787812, -0.00106554, -0.00134194, -0.00207766, -0.00261687, -0.00337012, -0.0038885, -0.0040454, -0.00421159, -0.00442339, -0.00461283, -0.00468632, -0.00458396, -0.00466383, -0.00485907, -0.00538108, -0.00534797, -0.0053495, -0.00528407, -0.00531274, -0.00532523, -0.00536556, -0.00461154, -0.00446654, -0.00438822, -0.00442239, -0.00441265, -0.00481691, -0.00487748, -0.00464525, -0.00425317, -0.00242875, -0.00138788, -0.000784417, -0.000556557, -0.00032797, -0.000209266, -0.000108687, -0.000110441, -6.57448e-05, -7.50187e-05, -3.26374e-05, -4.41328e-05, -5.47962e-06, -2.25327e-05, -1e-22" \
+              "-0.000313968, -0.000448708, -0.000616363, -0.000683513, -0.000787812, -0.00106554, -0.00134194, -0.00207766, -0.00261687, -0.00337012, -0.0038885, -0.0040454, -0.00421159, -0.00442339, -0.00461283, -0.00468632, -0.00458396, -0.00466383, -0.00485907, -0.00538108, -0.00534797, -0.0053495, -0.00528407, -0.00531274, -0.00532523, -0.00536556, -0.00461154, -0.00446654, -0.00438822, -0.00442239, -0.00441265, -0.00481691, -0.00487748, -0.00464525, -0.00425317, -0.00242875, -0.00138788, -0.000784417, -0.000556557, -0.00032797, -0.000209266, -0.000108687, -0.000110441, -6.57449e-05, -7.50187e-05, -3.26374e-05, -4.41328e-05, -5.47963e-06, -2.25327e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -50978,7 +50978,7 @@
             index_2 ("0.0145686");
             index_3 ("6.11113, 6.73242, 6.99671, 7.1468, 7.44699, 7.59394, 8.05569, 8.33732, 8.806, 9.00328, 9.05696, 9.14467, 9.19873, 9.24814, 9.26017, 9.31513, 9.37166, 9.40231, 9.52386, 9.58948, 9.63303, 9.80689, 9.88041, 9.97975, 10.0557, 10.1921, 10.3788, 10.5937, 11.1136, 11.8674");
             values ( \
-              "-0.00098753, -0.00114832, -0.00165527, -0.00204528, -0.00316824, -0.0040313, -0.00735041, -0.00921468, -0.0119832, -0.013233, -0.0131373, -0.0135774, -0.0139016, -0.0144795, -0.0144927, -0.0144009, -0.0138116, -0.0138806, -0.0133671, -0.0128494, -0.0118984, -0.00578031, -0.00374173, -0.00195738, -0.00121648, -0.000495758, -0.000234083, -0.000122476, -4.55803e-05, -2.28955e-06" \
+              "-0.00098753, -0.00114832, -0.00165527, -0.00204528, -0.00316824, -0.0040313, -0.00735041, -0.00921468, -0.0119832, -0.013233, -0.0131373, -0.0135774, -0.0139016, -0.0144795, -0.0144927, -0.0144009, -0.0138116, -0.0138806, -0.0133671, -0.0128494, -0.0118984, -0.00578031, -0.00374173, -0.00195738, -0.00121648, -0.000495758, -0.000234083, -0.000122477, -4.55803e-05, -2.28957e-06" \
             );
           }
           vector (ccs_template) {
@@ -51243,8 +51243,8 @@
           values ( \
             "0.00326671, 0.00332378, 0.00339228, 0.00355995, 0.00414964, 0.00662099", \
             "0.00320201, 0.00326164, 0.0033391, 0.00353193, 0.00413867, 0.00662633", \
-            "0.00297569, 0.00309062, 0.00323354, 0.00344938, 0.00413917, 0.00661367", \
-            "0.00278309, 0.00285142, 0.00302676, 0.00335734, 0.00404529, 0.00659726", \
+            "0.00297605, 0.00309062, 0.00323354, 0.00346945, 0.00412519, 0.0066068", \
+            "0.00278309, 0.00285142, 0.00302618, 0.00335734, 0.00404112, 0.00659726", \
             "0.00367379, 0.00368167, 0.00367046, 0.00373231, 0.00425377, 0.00659873", \
             "0.00922973, 0.00899649, 0.00853918, 0.00788935, 0.00756965, 0.00818505" \
           );
@@ -51290,8 +51290,8 @@
             "0.00898894, 0.00907007, 0.00918669, 0.00940921, 0.0104175, 0.014751", \
             "0.0088818, 0.00898055, 0.00912837, 0.0093559, 0.0104093, 0.0146944", \
             "0.00871311, 0.00882391, 0.0090247, 0.00930548, 0.0104451, 0.0147658", \
-            "0.00869919, 0.00875258, 0.0088837, 0.00924345, 0.0104057, 0.0147157", \
-            "0.0100035, 0.00985999, 0.00979485, 0.00986649, 0.0105088, 0.014895", \
+            "0.00869919, 0.00875258, 0.00890089, 0.00924345, 0.0104057, 0.0147157", \
+            "0.0100035, 0.00985999, 0.00984252, 0.00986649, 0.0102811, 0.0148967", \
             "0.0164236, 0.0160464, 0.0155117, 0.0150574, 0.014642, 0.0161653" \
           );
         }
@@ -51332,8 +51332,8 @@
             "0.00898894, 0.00907007, 0.00918669, 0.00940921, 0.0104175, 0.014751", \
             "0.0088818, 0.00898055, 0.00912837, 0.0093559, 0.0104093, 0.0146944", \
             "0.00871311, 0.00882391, 0.0090247, 0.00930548, 0.0104451, 0.0147658", \
-            "0.00869919, 0.00875258, 0.0088837, 0.00924345, 0.0104057, 0.0147157", \
-            "0.0100035, 0.00985999, 0.00979485, 0.00986649, 0.0105088, 0.014895", \
+            "0.00869919, 0.00875258, 0.00890089, 0.00924345, 0.0104057, 0.0147157", \
+            "0.0100035, 0.00985999, 0.00984252, 0.00986649, 0.0102811, 0.0148967", \
             "0.0164236, 0.0160464, 0.0155117, 0.0150574, 0.014642, 0.0161653" \
           );
         }
@@ -51343,8 +51343,8 @@
           values ( \
             "0.00326671, 0.00332378, 0.00339228, 0.00355995, 0.00414964, 0.00662099", \
             "0.00320201, 0.00326164, 0.0033391, 0.00353193, 0.00413867, 0.00662633", \
-            "0.00297569, 0.00309062, 0.00323354, 0.00344938, 0.00413917, 0.00661367", \
-            "0.00278309, 0.00285142, 0.00302676, 0.00335734, 0.00404529, 0.00659726", \
+            "0.00297605, 0.00309062, 0.00323354, 0.00346945, 0.00412519, 0.0066068", \
+            "0.00278309, 0.00285142, 0.00302618, 0.00335734, 0.00404112, 0.00659726", \
             "0.00367379, 0.00368167, 0.00367046, 0.00373231, 0.00425377, 0.00659873", \
             "0.00922973, 0.00899649, 0.00853918, 0.00788935, 0.00756965, 0.00818505" \
           );
@@ -51401,7 +51401,7 @@
           values ( \
             "0.00329769, 0.00335263, 0.00344219, 0.00365306, 0.00442549, 0.0073753", \
             "0.00328119, 0.00334872, 0.00344368, 0.00366586, 0.0044402, 0.00739334", \
-            "0.00320343, 0.00327115, 0.00340159, 0.00365864, 0.00445507, 0.00740605", \
+            "0.00320343, 0.00327599, 0.00340149, 0.00365864, 0.00445081, 0.00741497", \
             "0.00325906, 0.0033009, 0.00340199, 0.003618, 0.00443508, 0.00740059", \
             "0.00434593, 0.00432431, 0.00418265, 0.00426591, 0.00467125, 0.00749651", \
             "0.0106972, 0.0103396, 0.00953268, 0.00843151, 0.00819734, 0.00897079" \
@@ -51459,7 +51459,7 @@
           values ( \
             "0.00294196, 0.00301026, 0.00312262, 0.00332818, 0.00401092, 0.00661936", \
             "0.00291092, 0.00299157, 0.0031084, 0.0032976, 0.00397809, 0.00659632", \
-            "0.00276297, 0.00287602, 0.00306201, 0.00329165, 0.00396452, 0.00655989", \
+            "0.00276297, 0.002876, 0.00305694, 0.00329165, 0.00395563, 0.00655989", \
             "0.00278534, 0.00282487, 0.00295614, 0.00324321, 0.00392127, 0.00654056", \
             "0.0039402, 0.00381574, 0.00369987, 0.00377798, 0.00409652, 0.00655859", \
             "0.0105058, 0.0100584, 0.00913477, 0.00783683, 0.00738106, 0.0081975" \
@@ -51501,7 +51501,7 @@
           values ( \
             "0.00329769, 0.00335263, 0.00344219, 0.00365306, 0.00442549, 0.0073753", \
             "0.00328119, 0.00334872, 0.00344368, 0.00366586, 0.0044402, 0.00739334", \
-            "0.00320343, 0.00327115, 0.00340159, 0.00365864, 0.00445507, 0.00740605", \
+            "0.00320343, 0.00327599, 0.00340149, 0.00365864, 0.00445081, 0.00741497", \
             "0.00325906, 0.0033009, 0.00340199, 0.003618, 0.00443508, 0.00740059", \
             "0.00434593, 0.00432431, 0.00418265, 0.00426591, 0.00467125, 0.00749651", \
             "0.0106972, 0.0103396, 0.00953268, 0.00843151, 0.00819734, 0.00897079" \
@@ -51553,8 +51553,8 @@
             "0.159557, 0.18317, 0.249821, 0.470055, 1.31926, 4.65864", \
             "0.17097, 0.194775, 0.261321, 0.481232, 1.33035, 4.66966", \
             "0.21181, 0.23608, 0.303213, 0.523018, 1.37193, 4.71122", \
-            "0.333353, 0.36071, 0.433107, 0.656529, 1.50548, 4.84463", \
-            "0.614172, 0.651991, 0.742652, 0.978499, 1.82993, 5.16981", \
+            "0.333353, 0.36071, 0.433147, 0.656529, 1.50548, 4.84463", \
+            "0.614172, 0.651991, 0.742288, 0.978499, 1.82993, 5.16981", \
             "1.3765, 1.4301, 1.55749, 1.83659, 2.69568, 6.03739" \
           );
         }
@@ -51565,8 +51565,8 @@
             "0.0304672, 0.0506965, 0.119623, 0.396199, 1.52018, 5.95423", \
             "0.0304781, 0.05069, 0.119632, 0.396325, 1.52034, 5.95422", \
             "0.0305061, 0.0506339, 0.11957, 0.396323, 1.52034, 5.95281", \
-            "0.0367974, 0.0575497, 0.125561, 0.39828, 1.52025, 5.95419", \
-            "0.0585448, 0.0835395, 0.151411, 0.409204, 1.52464, 5.95444", \
+            "0.0367974, 0.0575497, 0.12556, 0.39828, 1.52025, 5.95419", \
+            "0.0585448, 0.0835395, 0.151511, 0.409204, 1.52464, 5.95444", \
             "0.0989665, 0.1317, 0.215844, 0.454548, 1.53143, 5.96001" \
           );
         }
@@ -51739,7 +51739,7 @@
             index_2 ("0.00124625");
             index_3 ("0.67528, 0.694406, 0.696999, 0.704402, 0.720637, 0.73352, 0.7406, 0.747113, 0.753406, 0.759686, 0.77045, 0.778988, 0.787051, 0.796306, 0.807981, 0.824283, 0.838816, 0.842577");
             values ( \
-              "0.000340411, 0.00322446, 0.00414587, 0.00891206, 0.0217308, 0.0300215, 0.0333257, 0.0354518, 0.0360161, 0.0339754, 0.0205427, 0.0130576, 0.00841481, 0.00498208, 0.00254496, 0.00105089, 0.000506455, 0.000473506" \
+              "0.000340411, 0.00322446, 0.00414587, 0.00891206, 0.0217308, 0.0300215, 0.0333257, 0.0354518, 0.0360161, 0.0339754, 0.0205427, 0.0130576, 0.00841481, 0.00498208, 0.00254496, 0.00105089, 0.000506455, 0.000473505" \
             );
           }
           vector (ccs_template) {
@@ -51820,7 +51820,7 @@
             index_2 ("0.0760062");
             index_3 ("2.28736, 2.34882, 2.40013, 2.42904, 2.45563, 2.4972, 2.53885, 2.56485, 2.59952, 2.73175, 2.83051, 2.93041, 3.01312, 3.25946, 3.41999, 3.55675, 3.6418, 3.71298, 3.79035, 3.93759, 4.09768, 4.22014, 4.47239, 4.47915");
             values ( \
-              "0.0321272, 0.0569711, 0.103291, 0.125736, 0.139959, 0.150725, 0.153178, 0.15271, 0.151204, 0.142549, 0.13443, 0.123445, 0.111079, 0.0681758, 0.0449541, 0.0303581, 0.0234675, 0.0188301, 0.0147427, 0.0091582, 0.00541875, 0.00364441, 0.00156904, 0.00154405" \
+              "0.0321272, 0.0569711, 0.103291, 0.125736, 0.139959, 0.150725, 0.153178, 0.15271, 0.151204, 0.142549, 0.13443, 0.123445, 0.111079, 0.0681758, 0.0449541, 0.0303581, 0.0234675, 0.0188301, 0.0147427, 0.0091582, 0.00541876, 0.00364441, 0.00156904, 0.00154405" \
             );
           }
           vector (ccs_template) {
@@ -52105,9 +52105,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0193094");
-            index_3 ("0.813013, 0.827952, 0.84641, 0.86382, 0.890503, 0.913064, 0.933763, 0.953713, 0.973422, 0.993124, 1.0003, 1.0161, 1.04098, 1.05752, 1.07846, 1.08946, 1.10412, 1.11579, 1.13349, 1.1571, 1.17512");
+            index_3 ("0.812576, 0.829808, 0.854617, 0.880223, 0.89058, 0.913135, 0.933842, 0.953793, 0.973501, 0.993202, 1.00039, 1.00765, 1.05643, 1.07583, 1.09512, 1.10982, 1.12488, 1.13639, 1.15849");
             values ( \
-              "-0.025812, -0.0482432, -0.0855055, -0.113739, -0.145534, -0.16276, -0.17254, -0.176622, -0.176374, -0.168236, -0.161547, -0.137111, -0.0911852, -0.0660888, -0.0417927, -0.0324157, -0.0228029, -0.0171636, -0.0110584, -0.00601005, -0.00421587" \
+              "-0.0253988, -0.0516749, -0.0994527, -0.134771, -0.145296, -0.162981, -0.17233, -0.176827, -0.176181, -0.168425, -0.16152, -0.151757, -0.0677714, -0.0444649, -0.028422, -0.0199046, -0.0137534, -0.0103139, -0.00587741" \
             );
           }
           vector (ccs_template) {
@@ -52123,9 +52123,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299178");
-            index_3 ("0.86473, 0.971874, 1.00907, 1.04045, 1.07761, 1.13961, 1.25444, 1.43552, 1.78131, 2.02611, 2.26861, 2.36926, 2.45136, 2.53891, 2.67438, 3.08736, 3.25428, 3.37777, 3.54242, 3.70739, 3.91308, 4.13951, 4.39226, 4.59199, 4.99145, 5.61603, 6.36938");
+            index_3 ("0.865328, 0.984318, 1.00922, 1.05698, 1.09832, 1.1399, 1.25464, 1.43557, 1.78136, 2.02616, 2.26865, 2.36931, 2.4514, 2.53896, 2.67442, 3.08741, 3.25433, 3.37782, 3.54247, 3.70744, 3.91312, 4.13956, 4.39231, 4.59204, 4.99149, 5.61608, 6.36943");
             values ( \
-              "-0.225018, -0.225331, -0.233756, -0.236847, -0.239604, -0.241044, -0.239592, -0.235702, -0.227359, -0.220503, -0.21192, -0.207165, -0.202297, -0.195513, -0.180304, -0.115782, -0.0921841, -0.0770146, -0.0598192, -0.045961, -0.0327114, -0.0221892, -0.0143233, -0.0100569, -0.00481216, -0.00136283, -0.000269854" \
+              "-0.22443, -0.228359, -0.23376, -0.238213, -0.240454, -0.241045, -0.239589, -0.235702, -0.227359, -0.220503, -0.21192, -0.207165, -0.202297, -0.195513, -0.180304, -0.115782, -0.0921841, -0.0770146, -0.0598192, -0.045961, -0.0327114, -0.0221892, -0.0143233, -0.0100569, -0.00481216, -0.00136282, -0.000269853" \
             );
           }
           vector (ccs_template) {
@@ -52159,9 +52159,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0193094");
-            index_3 ("2.6128, 2.65358, 2.71956, 2.74437, 2.77471, 2.80106, 2.8252, 2.84829, 2.87113, 2.89394, 2.92849, 2.94605, 2.96635, 2.97707, 2.99212, 3.00749, 3.01922, 3.04268, 3.07438");
+            index_3 ("2.62269, 2.6497, 2.66984, 2.70492, 2.73031, 2.74375, 2.78112, 2.7951, 2.81135, 2.8247, 2.84777, 2.87062, 2.89345, 2.92798, 2.9456, 2.96583, 2.97656, 2.99162, 3.00702, 3.01878, 3.04229, 3.08532, 3.13658");
             values ( \
-              "-0.00692461, -0.0302407, -0.0861439, -0.10461, -0.124797, -0.138883, -0.14846, -0.153264, -0.151526, -0.13167, -0.0778702, -0.0550905, -0.0354836, -0.0277775, -0.019465, -0.0134646, -0.0100997, -0.00557973, -0.00272476" \
+              "-0.0239687, -0.0275109, -0.043168, -0.074384, -0.0943076, -0.104328, -0.128519, -0.136156, -0.143516, -0.148213, -0.153241, -0.151351, -0.131717, -0.0778379, -0.055025, -0.0355125, -0.0277808, -0.0194753, -0.0134595, -0.0100871, -0.00556748, -0.00168253, -0.000386361" \
             );
           }
           vector (ccs_template) {
@@ -52253,8 +52253,8 @@
             "0.00778897, 0.0078067, 0.00782957, 0.0078434, 0.00784823, 0.00784957", \
             "0.00894555, 0.00895066, 0.00896312, 0.00897543, 0.00898088, 0.00898252", \
             "0.00937049, 0.00937094, 0.00937219, 0.00937621, 0.00938, 0.00938152", \
-            "0.00954159, 0.00954119, 0.00954158, 0.00954165, 0.00954254, 0.0095431", \
-            "0.00975897, 0.00975919, 0.00975928, 0.00975883, 0.00975826, 0.00975816", \
+            "0.00954159, 0.00954119, 0.00954118, 0.00954165, 0.00954215, 0.0095431", \
+            "0.00975897, 0.00975919, 0.00975908, 0.00975883, 0.00975826, 0.00975816", \
             "0.00984228, 0.00984227, 0.00984227, 0.00984225, 0.00984223, 0.00984219" \
           );
         }
@@ -52265,8 +52265,8 @@
             "0.00979877, 0.00980358, 0.00982772, 0.00984407, 0.00985014, 0.00985186", \
             "0.0105372, 0.0105381, 0.0105423, 0.0105483, 0.0105515, 0.0105524", \
             "0.0114986, 0.011491, 0.01148, 0.011471, 0.0114677, 0.011467", \
-            "0.0122577, 0.012277, 0.0122861, 0.0122848, 0.0122802, 0.0122789", \
-            "0.0126564, 0.0126559, 0.0126563, 0.0126663, 0.0127281, 0.012743", \
+            "0.0122577, 0.012277, 0.0122866, 0.0122848, 0.012281, 0.0122789", \
+            "0.0126564, 0.0126559, 0.0126568, 0.0126663, 0.0127281, 0.012743", \
             "0.0125567, 0.0125567, 0.0125591, 0.0125588, 0.0125618, 0.0126198" \
           );
         }
@@ -52286,7 +52286,7 @@
             "0.154335, 0.18068, 0.268152, 0.597277, 1.88487, 6.94783", \
             "0.228776, 0.257559, 0.345525, 0.675509, 1.96383, 7.02691", \
             "0.285947, 0.325788, 0.423188, 0.75258, 2.04139, 7.10386", \
-            "0.0431175, 0.102863, 0.237968, 0.577824, 1.86745, 6.93169" \
+            "0.0431175, 0.102863, 0.237981, 0.578472, 1.86745, 6.93169" \
           );
         }
         rise_transition (delay_template) {
@@ -52298,7 +52298,7 @@
             "0.0337285, 0.0630161, 0.18083, 0.658541, 2.54477, 9.96891", \
             "0.040209, 0.0677546, 0.182671, 0.659206, 2.54472, 9.96872", \
             "0.062335, 0.0903771, 0.192281, 0.660417, 2.54568, 9.96903", \
-            "0.102402, 0.144148, 0.243195, 0.671239, 2.55028, 9.96969" \
+            "0.102402, 0.144148, 0.243177, 0.671291, 2.55028, 9.96969" \
           );
         }
         cell_fall (delay_template) {
@@ -52548,7 +52548,7 @@
             index_2 ("0.00124625");
             index_3 ("2.24121, 2.28357, 2.28664, 2.28822, 2.29034, 2.29456, 2.30206, 2.30447, 2.30928, 2.31784, 2.33476, 2.34761, 2.35867, 2.36867, 2.37804, 2.38719, 2.39632, 2.39711, 2.39869, 2.40183, 2.40813, 2.41242, 2.41413, 2.41756, 2.42441, 2.42809, 2.4297, 2.43293, 2.4387, 2.44732, 2.45091, 2.45225, 2.45493, 2.46029, 2.47102, 2.49247, 2.50952");
             values ( \
-              "0.000305105, 0.00170486, 0.00212135, 0.00236966, 0.00271347, 0.00348221, 0.00527557, 0.00605881, 0.0076951, 0.0107713, 0.0157808, 0.0191171, 0.021504, 0.0233593, 0.0244784, 0.0246597, 0.0209461, 0.0199499, 0.0188187, 0.016275, 0.0122409, 0.0101502, 0.00938079, 0.00799047, 0.00571685, 0.0047766, 0.00441182, 0.00374729, 0.00280166, 0.00182154, 0.00154444, 0.00145052, 0.00128934, 0.00102439, 0.000677613, 0.000340455, 0.000237097" \
+              "0.000305105, 0.00170486, 0.00212135, 0.00236966, 0.00271347, 0.0034822, 0.00527557, 0.00605881, 0.00769511, 0.0107713, 0.0157808, 0.0191171, 0.021504, 0.0233593, 0.0244784, 0.0246597, 0.0209461, 0.0199499, 0.0188187, 0.016275, 0.0122409, 0.0101502, 0.00938079, 0.00799047, 0.00571685, 0.0047766, 0.00441182, 0.00374729, 0.00280166, 0.00182154, 0.00154444, 0.00145052, 0.00128934, 0.00102439, 0.000677613, 0.000340455, 0.000237097" \
             );
           }
           vector (ccs_template) {
@@ -52557,7 +52557,7 @@
             index_2 ("0.00490555");
             index_3 ("2.24561, 2.28464, 2.29749, 2.3092, 2.37857, 2.39418, 2.40822, 2.42146, 2.4347, 2.46347, 2.47722, 2.49527, 2.51051, 2.5221, 2.53475, 2.56413, 2.5887");
             values ( \
-              "0.00108142, 0.0045929, 0.00861996, 0.0138248, 0.0529473, 0.0603323, 0.0655213, 0.0678961, 0.064045, 0.0351975, 0.0246035, 0.0145494, 0.00910012, 0.00630365, 0.00423037, 0.00164604, 0.000830484" \
+              "0.00108142, 0.00459291, 0.00861996, 0.0138247, 0.0529473, 0.0603323, 0.0655213, 0.0678961, 0.064045, 0.0351975, 0.0246035, 0.0145494, 0.00910012, 0.00630365, 0.00423037, 0.00164604, 0.000830492" \
             );
           }
           vector (ccs_template) {
@@ -52618,18 +52618,18 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0193094");
-            index_3 ("8.18281, 8.27637, 8.28072, 8.30683, 8.3299, 8.48532, 8.50967, 8.53439, 8.57145, 8.60699, 8.64242, 8.72526, 8.76779, 8.78657, 8.81159, 8.83422, 8.86441, 8.90211, 8.92613, 8.97418, 9.06059, 9.07797");
+            index_3 ("8.18267, 8.2764, 8.2816, 8.31275, 8.3795, 8.48511, 8.50969, 8.53426, 8.57131, 8.60685, 8.64228, 8.72505, 8.74951, 8.76771, 8.78647, 8.81146, 8.83406, 8.86419, 8.88994, 8.90197, 8.92605, 8.97419, 9.06063, 9.16208, 9.28988");
             values ( \
-              "0.00225949, 0.012607, 0.0135508, 0.0202191, 0.0272212, 0.076654, 0.083785, 0.0902309, 0.0973662, 0.0988485, 0.0899505, 0.0494762, 0.032945, 0.0271645, 0.020808, 0.0162471, 0.0115613, 0.00750497, 0.00567806, 0.00319652, 0.00105298, 0.000921474" \
+              "0.0021819, 0.0126548, 0.013787, 0.0219908, 0.0428066, 0.0766484, 0.0838436, 0.0902317, 0.0973786, 0.0988452, 0.0899588, 0.0495096, 0.0394054, 0.0329276, 0.0271557, 0.0208047, 0.0162517, 0.0115724, 0.00861914, 0.00750528, 0.00567442, 0.00319056, 0.0010505, 0.00028481, 6.94617e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0760062");
-            index_3 ("8.28567, 8.39997, 8.56294, 8.62074, 8.64916, 8.6778, 8.71615, 8.76325, 8.81148, 8.8912, 8.95101, 9.01805, 9.12695, 9.34211, 9.50379, 9.64411, 9.72816, 9.79856, 9.87708, 9.98865, 10.193, 10.3702, 10.5667");
+            index_3 ("8.26026, 8.34781, 8.58367, 8.62186, 8.67284, 8.71721, 8.78051, 8.86069, 8.91213, 9.01313, 9.09455, 9.34177, 9.50396, 9.6494, 9.79714, 9.8765, 9.98872, 10.1069, 10.1976, 10.3791, 10.5561");
             values ( \
-              "0.0350509, 0.0555863, 0.123083, 0.13914, 0.143182, 0.145129, 0.145481, 0.143884, 0.141075, 0.135157, 0.129657, 0.121856, 0.105177, 0.0678714, 0.0446903, 0.029908, 0.0232131, 0.0186899, 0.0145963, 0.0102032, 0.00525313, 0.00289829, 0.00167847" \
+              "0.0264422, 0.0361087, 0.129468, 0.139044, 0.145061, 0.145521, 0.143152, 0.137703, 0.133391, 0.122715, 0.110694, 0.068079, 0.0447811, 0.0295227, 0.0188293, 0.014667, 0.0102321, 0.00698871, 0.00518976, 0.00281978, 0.0017527" \
             );
           }
           vector (ccs_template) {
@@ -52660,7 +52660,7 @@
             "0.0110366, 0.0110393, 0.0110505, 0.0110684, 0.0110787, 0.0110822", \
             "0.0115256, 0.0115252, 0.0115221, 0.0115218, 0.0115255, 0.0115285", \
             "0.0117291, 0.0117288, 0.0117282, 0.0117273, 0.0117267, 0.0117274", \
-            "0.0119754, 0.0119894, 0.0119969, 0.0119975, 0.011996, 0.0119957" \
+            "0.0119754, 0.0119894, 0.0119969, 0.0119972, 0.011996, 0.0119957" \
           );
         }
         receiver_capacitance2_rise (delay_template) {
@@ -52672,7 +52672,7 @@
             "0.0110701, 0.0110621, 0.0110399, 0.0110185, 0.0110098, 0.011007", \
             "0.0112074, 0.0112159, 0.0113066, 0.0113287, 0.0113294, 0.0113274", \
             "0.0109457, 0.0109492, 0.0109491, 0.0109805, 0.0110529, 0.0110657", \
-            "0.0105538, 0.0105348, 0.0105229, 0.0105215, 0.0105387, 0.0106189" \
+            "0.0105538, 0.0105348, 0.0105229, 0.0105221, 0.0105387, 0.0106189" \
           );
         }
         output_current_fall () {
@@ -52898,7 +52898,7 @@
             index_2 ("0.00124625");
             index_3 ("2.61219, 2.63729, 2.6468, 2.65684, 2.67185, 2.70488, 2.72642, 2.73583, 2.74483, 2.7537, 2.76034, 2.77219, 2.77973, 2.78859, 2.79652, 2.80786, 2.82175, 2.98066");
             values ( \
-              "-0.0012751, -0.0023017, -0.00331436, -0.00480328, -0.0087797, -0.0184521, -0.0230893, -0.0245118, -0.0254568, -0.0252108, -0.0219006, -0.00977203, -0.00474697, -0.00183279, -0.000742801, -0.000197355, -9.62328e-05, -2.02572e-05" \
+              "-0.0012751, -0.0023017, -0.00331435, -0.00480328, -0.0087797, -0.0184521, -0.0230893, -0.0245118, -0.0254568, -0.0252108, -0.0219006, -0.00977203, -0.00474697, -0.00183279, -0.000742801, -0.000197355, -9.62328e-05, -2.02572e-05" \
             );
           }
           vector (ccs_template) {
@@ -52952,7 +52952,7 @@
             index_2 ("0.00124625");
             index_3 ("9.55672, 9.61328, 9.63055, 9.64933, 9.65682, 9.67178, 9.68832, 9.72428, 9.74786, 9.76758, 9.7852, 9.80146, 9.81698, 9.83217, 9.84354, 9.86279, 9.8727, 9.88041, 9.88667, 9.8992, 9.91636, 9.96722, 10.2022");
             values ( \
-              "-0.000618429, -0.000968735, -0.00137925, -0.00195474, -0.00228638, -0.0030759, -0.00453364, -0.00840088, -0.0105876, -0.0121614, -0.013332, -0.0142043, -0.0147576, -0.0148317, -0.0136457, -0.00590543, -0.00301818, -0.0017379, -0.0011007, -0.000447051, -0.000170881, -4.45278e-05, -9.00699e-06" \
+              "-0.000618429, -0.000968735, -0.00137925, -0.00195474, -0.00228638, -0.0030759, -0.00453364, -0.00840089, -0.0105876, -0.0121614, -0.013332, -0.0142043, -0.0147576, -0.0148317, -0.0136457, -0.00590543, -0.00301818, -0.0017379, -0.0011007, -0.000447051, -0.000170881, -4.45278e-05, -9.00699e-06" \
             );
           }
           vector (ccs_template) {
@@ -53274,7 +53274,7 @@
             index_2 ("0.0760062");
             index_3 ("1.94445, 2.00977, 2.04187, 2.06521, 2.08367, 2.10828, 2.13445, 2.15994, 2.20065, 2.32757, 2.43466, 2.48028, 2.53241, 2.57723, 2.637, 2.84514, 2.99518, 3.05448, 3.11961, 3.20645, 3.30174, 3.35532, 3.46248, 3.55675, 3.70042, 3.89198, 4.11913, 4.40528, 4.77305");
             values ( \
-              "0.0700195, 0.103715, 0.133702, 0.1467, 0.15217, 0.15549, 0.156152, 0.155425, 0.153258, 0.144299, 0.135392, 0.130889, 0.124748, 0.118479, 0.108898, 0.072196, 0.0494059, 0.0419747, 0.0348527, 0.0269429, 0.0201175, 0.0170295, 0.0120761, 0.00890556, 0.00555747, 0.00290056, 0.00130313, 0.000469082, 0.000104333" \
+              "0.0700195, 0.103715, 0.133702, 0.1467, 0.15217, 0.15549, 0.156152, 0.155425, 0.153258, 0.144299, 0.135392, 0.130889, 0.124748, 0.118479, 0.108898, 0.072196, 0.0494059, 0.0419747, 0.0348527, 0.0269429, 0.0201175, 0.0170295, 0.0120762, 0.00890556, 0.00555746, 0.00290055, 0.00130313, 0.00046908, 0.000104332" \
             );
           }
           vector (ccs_template) {
@@ -53667,9 +53667,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0193094");
-            index_3 ("2.85655, 2.8847, 2.89971, 2.93957, 2.9569, 2.96576, 2.98348, 3.00038, 3.01102, 3.0323, 3.05362, 3.06413, 3.07723, 3.10662, 3.12713, 3.14494, 3.15443, 3.17101, 3.18964, 3.20447, 3.23413, 3.2562");
+            index_3 ("2.85655, 2.8847, 2.89971, 2.93957, 2.9569, 2.96576, 2.98348, 3.00038, 3.01102, 3.0323, 3.05362, 3.06413, 3.07723, 3.10662, 3.12712, 3.14494, 3.15444, 3.17101, 3.18963, 3.20445, 3.23409, 3.2562");
             values ( \
-              "-0.0487333, -0.069822, -0.0850963, -0.122051, -0.136299, -0.142439, -0.152417, -0.159138, -0.162013, -0.164463, -0.159693, -0.15129, -0.132997, -0.0830617, -0.0550148, -0.0371467, -0.0298538, -0.020091, -0.0127804, -0.00883652, -0.00407054, -0.00263509" \
+              "-0.0487333, -0.069822, -0.0850963, -0.122051, -0.136299, -0.142439, -0.152417, -0.159138, -0.162013, -0.164463, -0.159693, -0.151291, -0.132997, -0.0830579, -0.0550219, -0.0371441, -0.0298468, -0.0200894, -0.012783, -0.00884078, -0.00407511, -0.00263536" \
             );
           }
           vector (ccs_template) {
@@ -53714,7 +53714,7 @@
             index_2 ("0.00490555");
             index_3 ("8.7405, 8.7642, 8.78689, 8.80708, 8.82356, 8.84686, 8.86417, 8.87589, 8.88747, 8.893, 8.90775, 8.91374, 8.92036, 8.92616, 8.93226, 8.93696, 8.94635, 8.96313, 8.98298, 9.00839");
             values ( \
-              "-0.00199834, -0.018656, -0.0373922, -0.0498204, -0.0573827, -0.0662774, -0.0734876, -0.0770736, -0.0743169, -0.0660142, -0.0364708, -0.0265048, -0.0180042, -0.0125266, -0.00846525, -0.00620397, -0.00325387, -0.000940691, -0.000273865, -0.000115832" \
+              "-0.00199834, -0.018656, -0.0373922, -0.0498204, -0.0573827, -0.0662774, -0.0734876, -0.0770736, -0.0743169, -0.0660142, -0.0364708, -0.0265048, -0.0180042, -0.0125266, -0.00846525, -0.00620397, -0.00325387, -0.000940689, -0.000273864, -0.000115832" \
             );
           }
           vector (ccs_template) {
@@ -53818,7 +53818,7 @@
             "0.263572, 0.32151, 0.514419, 1.17746, 3.58778, 12.7613", \
             "0.35249, 0.423645, 0.641493, 1.3166, 3.72892, 12.9026", \
             "0.459057, 0.570294, 0.907472, 1.78482, 4.28978, 13.4688", \
-            "0.332617, 0.518489, 1.08588, 2.54718, 6.05455, 15.6755" \
+            "0.332608, 0.518489, 1.08588, 2.54718, 6.05455, 15.6755" \
           );
         }
         fall_transition (delay_template) {
@@ -53830,7 +53830,7 @@
             "0.0288796, 0.0490548, 0.119148, 0.398902, 1.525, 5.96072", \
             "0.029109, 0.0493165, 0.119384, 0.398807, 1.52455, 5.96084", \
             "0.0311344, 0.0515266, 0.121086, 0.399014, 1.52453, 5.96093", \
-            "0.040833, 0.0605287, 0.127573, 0.401662, 1.52471, 5.96526" \
+            "0.040842, 0.0605287, 0.127573, 0.401662, 1.52471, 5.96526" \
           );
         }
         output_current_rise () {
@@ -54029,7 +54029,7 @@
             index_2 ("0.0760062");
             index_3 ("1.94445, 2.00977, 2.04187, 2.06521, 2.08367, 2.10828, 2.13445, 2.15994, 2.20065, 2.32757, 2.43466, 2.48028, 2.53241, 2.57723, 2.637, 2.84514, 2.99518, 3.05448, 3.11961, 3.20645, 3.30174, 3.35532, 3.46248, 3.55675, 3.70042, 3.89198, 4.11913, 4.40528, 4.77305");
             values ( \
-              "0.0700195, 0.103715, 0.133702, 0.1467, 0.15217, 0.15549, 0.156152, 0.155425, 0.153258, 0.144299, 0.135392, 0.130889, 0.124748, 0.118479, 0.108898, 0.072196, 0.0494059, 0.0419747, 0.0348527, 0.0269429, 0.0201175, 0.0170295, 0.0120761, 0.00890556, 0.00555747, 0.00290056, 0.00130313, 0.000469082, 0.000104333" \
+              "0.0700195, 0.103715, 0.133702, 0.1467, 0.15217, 0.15549, 0.156152, 0.155425, 0.153258, 0.144299, 0.135392, 0.130889, 0.124748, 0.118479, 0.108898, 0.072196, 0.0494059, 0.0419747, 0.0348527, 0.0269429, 0.0201175, 0.0170295, 0.0120762, 0.00890556, 0.00555746, 0.00290055, 0.00130313, 0.00046908, 0.000104332" \
             );
           }
           vector (ccs_template) {
@@ -54180,7 +54180,7 @@
             "0.0108197, 0.0107066, 0.0105455, 0.0104329, 0.0103883, 0.0103765", \
             "0.0114816, 0.0114129, 0.0108498, 0.0103864, 0.0102154, 0.0101639", \
             "0.010983, 0.0110373, 0.0111304, 0.0109757, 0.0103869, 0.0101986", \
-            "0.010478, 0.0106314, 0.0108778, 0.0110932, 0.0104686, 0.010395" \
+            "0.0104779, 0.0106314, 0.0108778, 0.0110932, 0.0104686, 0.010395" \
           );
         }
         output_current_fall () {
@@ -54377,7 +54377,7 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0760062");
-            index_3 ("1.49165, 1.52721, 1.54771, 1.56492, 1.58269, 1.6051, 1.62922, 1.65086, 1.68226, 1.71243, 1.76275, 1.79669, 1.83708, 1.90031, 1.92666, 1.94798, 1.97069, 2.00593, 2.11084, 2.15555, 2.18943, 2.23461, 2.27357, 2.32228, 2.38354, 2.41137, 2.45349, 2.50965, 2.62197, 2.79045, 2.99643");
+            index_3 ("1.49165, 1.52721, 1.54771, 1.56492, 1.58269, 1.6051, 1.62921, 1.65086, 1.68226, 1.71243, 1.76275, 1.79669, 1.83708, 1.90031, 1.92666, 1.94798, 1.97069, 2.00593, 2.11084, 2.15555, 2.18943, 2.23461, 2.27357, 2.32228, 2.38354, 2.41137, 2.45349, 2.50965, 2.62197, 2.79045, 2.99643");
             values ( \
               "-0.106469, -0.121186, -0.155974, -0.177588, -0.193531, -0.206939, -0.215743, -0.220145, -0.222982, -0.223218, -0.22099, -0.218369, -0.214554, -0.206684, -0.202119, -0.197458, -0.190953, -0.176318, -0.114813, -0.0908301, -0.0750936, -0.0574125, -0.0451289, -0.0330692, -0.0220246, -0.0182945, -0.0137742, -0.00936209, -0.004159, -0.00109013, -0.000187446" \
             );
@@ -54433,7 +54433,7 @@
             index_2 ("0.0760062");
             index_3 ("3.49682, 3.57685, 3.61631, 3.65356, 3.68193, 3.71362, 3.74363, 3.80529, 3.86833, 3.9435, 4.00196, 4.0372, 4.21919, 4.29469, 4.3839, 4.47224, 4.53372, 4.57525");
             values ( \
-              "-0.0281408, -0.149788, -0.193086, -0.212598, -0.219461, -0.222554, -0.223024, -0.220125, -0.21455, -0.204713, -0.190938, -0.1763, -0.0764247, -0.0485469, -0.027356, -0.015165, -0.00993747, -0.00794874" \
+              "-0.0281407, -0.149788, -0.193086, -0.212598, -0.219461, -0.222554, -0.223024, -0.220125, -0.21455, -0.204713, -0.190938, -0.1763, -0.0764247, -0.0485469, -0.027356, -0.015165, -0.00993747, -0.00794873" \
             );
           }
           vector (ccs_template) {
@@ -54451,16 +54451,16 @@
             index_2 ("1.17764");
             index_3 ("10.9773, 11.468, 11.6802, 12.1455, 13.4487, 15.5348, 16.5315, 17.2992, 18.0983, 20.8546, 22.0128, 22.9522, 24.1478, 25.6209, 26.9467");
             values ( \
-              "-0.204294, -0.2341, -0.23874, -0.240664, -0.235443, -0.221607, -0.212475, -0.201819, -0.181035, -0.0772859, -0.0487475, -0.0328388, -0.0194582, -0.0100679, -0.00600503" \
+              "-0.204294, -0.2341, -0.23874, -0.240664, -0.235443, -0.221607, -0.212475, -0.201819, -0.181035, -0.0772859, -0.0487475, -0.0328388, -0.0194582, -0.0100679, -0.00600504" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("8.59921, 8.61887, 8.64282, 8.65923, 8.67204, 8.67787, 8.68369, 8.69681, 8.70108, 8.70357, 8.70747, 8.71268, 8.72078, 8.74287, 8.78867");
+            index_3 ("8.59724, 8.61856, 8.64286, 8.65928, 8.66597, 8.6721, 8.67792, 8.68374, 8.69686, 8.70114, 8.70362, 8.70753, 8.71273, 8.72083, 8.74292, 8.78873");
             values ( \
-              "-0.00113216, -0.00755626, -0.0227189, -0.0319557, -0.0378216, -0.0393683, -0.0381402, -0.0131201, -0.00775788, -0.00556569, -0.00329406, -0.00165338, -0.000521231, -0.000109759, -2.17659e-05" \
+              "-0.000393825, -0.00746428, -0.0226746, -0.0319203, -0.0352109, -0.037793, -0.0393862, -0.0381172, -0.0131195, -0.00775674, -0.0055661, -0.00329489, -0.00165244, -0.000522133, -0.000110687, -2.26603e-05" \
             );
           }
           vector (ccs_template) {
@@ -54469,7 +54469,7 @@
             index_2 ("0.00490555");
             index_3 ("8.7454, 8.75771, 8.76549, 8.77469, 8.77796, 8.78449, 8.78822, 8.81853, 8.83146, 8.84239, 8.85212, 8.86116, 8.86993, 8.87868, 8.88134, 8.88987, 8.89369, 8.89663, 8.90065, 8.90386, 8.90757, 8.91282, 8.91464, 8.91626, 8.91843, 8.92277, 8.93143, 8.93575");
             values ( \
-              "-0.00368686, -0.00476238, -0.00827241, -0.0139507, -0.0164249, -0.0220359, -0.0261991, -0.0610986, -0.0754027, -0.0865695, -0.0948499, -0.100275, -0.101662, -0.0918334, -0.0848403, -0.0589041, -0.0481079, -0.0406149, -0.0317256, -0.0258158, -0.0201443, -0.0139874, -0.012309, -0.0109736, -0.00940413, -0.00687559, -0.00360399, -0.00288903" \
+              "-0.00368686, -0.00476238, -0.00827242, -0.0139507, -0.0164249, -0.0220359, -0.0261991, -0.0610986, -0.0754027, -0.0865695, -0.0948499, -0.100275, -0.101662, -0.0918334, -0.0848403, -0.0589041, -0.0481079, -0.0406149, -0.0317256, -0.0258158, -0.0201443, -0.0139874, -0.012309, -0.0109736, -0.00940413, -0.00687559, -0.00360399, -0.00288903" \
             );
           }
           vector (ccs_template) {
@@ -54496,7 +54496,7 @@
             index_2 ("0.299178");
             index_3 ("13.1492, 13.2385, 13.2956, 13.3343, 13.3769, 13.4337, 13.4977, 13.5538, 13.618, 13.7956, 14.1428, 14.3882, 14.6314, 14.7319, 14.8144, 14.9023, 15.038, 15.4513, 15.6185, 15.7422, 15.9072, 16.072, 16.2775, 16.5044, 16.6577, 16.84, 17.0019, 17.3258, 17.9736, 18.7434");
             values ( \
-              "-0.111933, -0.129154, -0.178487, -0.204001, -0.220932, -0.232655, -0.237187, -0.238064, -0.237817, -0.234646, -0.226592, -0.219847, -0.211397, -0.206719, -0.201892, -0.195153, -0.180035, -0.115704, -0.092113, -0.0769394, -0.0597403, -0.0459195, -0.0326973, -0.0221677, -0.0170137, -0.0123998, -0.00931397, -0.00516917, -0.00138464, -0.000256271" \
+              "-0.111933, -0.129154, -0.178487, -0.204001, -0.220932, -0.232655, -0.237187, -0.238064, -0.237817, -0.234646, -0.226592, -0.219847, -0.211397, -0.206719, -0.201892, -0.195153, -0.180035, -0.115704, -0.092113, -0.0769394, -0.0597403, -0.0459195, -0.0326973, -0.0221677, -0.0170137, -0.0123998, -0.00931397, -0.00516916, -0.00138464, -0.000256271" \
             );
           }
           vector (ccs_template) {
@@ -54674,9 +54674,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0760062");
-            index_3 ("1.14592, 1.23376, 1.25519, 1.27319, 1.29573, 1.3208, 1.35171, 1.4495, 1.57031, 1.67408, 1.73942, 1.79402, 2.07956, 2.23357, 2.29939, 2.38715, 2.51029, 2.58321, 2.70417, 2.84066, 2.94875, 3.16495, 3.26984");
+            index_3 ("1.14592, 1.23376, 1.25519, 1.27319, 1.29573, 1.3208, 1.35171, 1.4495, 1.57031, 1.67408, 1.73942, 1.79402, 2.07955, 2.23357, 2.2994, 2.38716, 2.51029, 2.5832, 2.70417, 2.84066, 2.94877, 3.16499, 3.26984");
             values ( \
-              "0.0381374, 0.141428, 0.153162, 0.157225, 0.158547, 0.158049, 0.156414, 0.149936, 0.140895, 0.131496, 0.123844, 0.115901, 0.0665565, 0.0445113, 0.0369624, 0.0285607, 0.0195825, 0.0155913, 0.010533, 0.00675801, 0.00474432, 0.00223239, 0.00169867" \
+              "0.0381374, 0.141428, 0.153162, 0.157225, 0.158547, 0.158049, 0.156414, 0.149936, 0.140895, 0.131496, 0.123844, 0.115901, 0.0665575, 0.0445114, 0.0369622, 0.02856, 0.0195827, 0.0155917, 0.0105331, 0.00675786, 0.00474407, 0.00223211, 0.00169862" \
             );
           }
           vector (ccs_template) {
@@ -54685,7 +54685,7 @@
             index_2 ("0.299178");
             index_3 ("3.44123, 3.64319, 3.67204, 3.77048, 3.91602, 4.12396, 4.52241, 4.88434, 5.38764, 5.67623, 5.93948, 6.77744, 7.36914, 7.90118, 8.48005, 9.04929, 9.43369, 9.87349, 10.4416, 11.1344, 11.4803");
             values ( \
-              "0.0724154, 0.154095, 0.158634, 0.161675, 0.161068, 0.158875, 0.152512, 0.14545, 0.133907, 0.124878, 0.114554, 0.0759739, 0.0518301, 0.0351853, 0.022324, 0.0140729, 0.0101419, 0.00698038, 0.00430569, 0.00228873, 0.00193177" \
+              "0.0724154, 0.154095, 0.158634, 0.161675, 0.161068, 0.158875, 0.152512, 0.14545, 0.133907, 0.124878, 0.114554, 0.075974, 0.0518301, 0.0351853, 0.022324, 0.0140729, 0.0101419, 0.00698037, 0.00430569, 0.00228872, 0.00193177" \
             );
           }
           vector (ccs_template) {
@@ -54820,7 +54820,7 @@
             index_2 ("0.00490555");
             index_3 ("2.96936, 2.98603, 2.99279, 2.99504, 2.99608, 2.99921, 3.00129, 3.00338, 3.00546, 3.00754, 3.00971, 3.01189, 3.01406, 3.01668, 3.018, 3.01931, 3.02166, 3.02401, 3.02636, 3.02871, 3.03106, 3.03341, 3.03576, 3.03835, 3.03957, 3.04129, 3.04262, 3.04516, 3.05099, 3.05682, 3.06432, 3.06864, 3.07176, 3.07487, 3.07891, 3.0851, 3.08724, 3.08938, 3.09366, 3.09794, 3.10445, 3.10712, 3.10979, 3.11514, 3.11692, 3.1199, 3.12287, 3.12584, 3.12882, 3.13406");
             values ( \
-              "0.0503901, 0.0556033, 0.0681583, 0.0721896, 0.0738252, 0.0783807, 0.0810487, 0.0835138, 0.085776, 0.0878354, 0.0897654, 0.0914034, 0.0927495, 0.0931745, 0.0933195, 0.0934195, 0.0934861, 0.0934089, 0.0931876, 0.0928225, 0.0921808, 0.0913422, 0.0903066, 0.0889151, 0.0877806, 0.0858569, 0.0842083, 0.0807705, 0.0716984, 0.0622712, 0.0511502, 0.0452323, 0.0415378, 0.0380569, 0.0339472, 0.0280161, 0.026187, 0.0244786, 0.0215234, 0.0188512, 0.0153301, 0.0139745, 0.0127027, 0.0107199, 0.0101184, 0.00920309, 0.00835585, 0.00757665, 0.0068655, 0.00576293" \
+              "0.0503901, 0.0556033, 0.0681583, 0.0721896, 0.0738252, 0.0783807, 0.0810488, 0.0835139, 0.0857761, 0.0878354, 0.0897653, 0.0914033, 0.0927495, 0.0931745, 0.0933195, 0.0934195, 0.0934862, 0.0934089, 0.0931876, 0.0928225, 0.0921808, 0.0913422, 0.0903066, 0.0889151, 0.0877806, 0.0858569, 0.0842083, 0.0807705, 0.0716984, 0.0622712, 0.0511502, 0.0452323, 0.0415378, 0.0380569, 0.0339472, 0.0280161, 0.026187, 0.0244786, 0.0215234, 0.0188512, 0.0153301, 0.0139745, 0.0127027, 0.0107199, 0.0101184, 0.00920309, 0.00835585, 0.00757665, 0.0068655, 0.00576293" \
             );
           }
           vector (ccs_template) {
@@ -54892,7 +54892,7 @@
             index_2 ("0.0760062");
             index_3 ("12.0002, 12.0805, 12.1296, 12.1683, 12.1813, 12.2073, 12.2345, 12.2653, 12.3007, 12.3992, 12.4542, 12.5488, 12.6089, 12.6591, 12.7595, 12.9902, 13.1047, 13.1665, 13.2408, 13.3398, 13.4451, 13.5208, 13.6287, 13.7654, 13.9138, 14.0878, 14.2873, 14.5254, 14.8446");
             values ( \
-              "0.0108019, 0.0573725, 0.110457, 0.142642, 0.148271, 0.153991, 0.155309, 0.154612, 0.152863, 0.146651, 0.142705, 0.134928, 0.128851, 0.122633, 0.107046, 0.0667049, 0.0497361, 0.041963, 0.0339251, 0.025196, 0.0182169, 0.0143557, 0.0101232, 0.00648121, 0.00395812, 0.00218116, 0.00110862, 0.000471281, 0.000155191" \
+              "0.0108019, 0.0573725, 0.110457, 0.142642, 0.148271, 0.153991, 0.155309, 0.154612, 0.152863, 0.146651, 0.142705, 0.134928, 0.128851, 0.122633, 0.107046, 0.066705, 0.0497362, 0.041963, 0.033925, 0.0251959, 0.018217, 0.0143558, 0.0101233, 0.00648118, 0.0039581, 0.00218115, 0.00110861, 0.000471276, 0.000155188" \
             );
           }
           vector (ccs_template) {
@@ -55177,9 +55177,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0193094");
-            index_3 ("2.85655, 2.8847, 2.89971, 2.93957, 2.9569, 2.96576, 2.98348, 3.00038, 3.01102, 3.0323, 3.05362, 3.06413, 3.07723, 3.10662, 3.12713, 3.14494, 3.15443, 3.17101, 3.18964, 3.20447, 3.23413, 3.2562");
+            index_3 ("2.85655, 2.8847, 2.89971, 2.93957, 2.9569, 2.96576, 2.98348, 3.00038, 3.01102, 3.0323, 3.05362, 3.06413, 3.07723, 3.10662, 3.12712, 3.14494, 3.15444, 3.17101, 3.18963, 3.20445, 3.23409, 3.2562");
             values ( \
-              "-0.0487333, -0.069822, -0.0850963, -0.122051, -0.136299, -0.142439, -0.152417, -0.159138, -0.162013, -0.164463, -0.159693, -0.15129, -0.132997, -0.0830617, -0.0550148, -0.0371467, -0.0298538, -0.020091, -0.0127804, -0.00883652, -0.00407054, -0.00263509" \
+              "-0.0487333, -0.069822, -0.0850963, -0.122051, -0.136299, -0.142439, -0.152417, -0.159138, -0.162013, -0.164463, -0.159693, -0.151291, -0.132997, -0.0830579, -0.0550219, -0.0371441, -0.0298468, -0.0200894, -0.012783, -0.00884078, -0.00407511, -0.00263536" \
             );
           }
           vector (ccs_template) {
@@ -55224,7 +55224,7 @@
             index_2 ("0.00490555");
             index_3 ("8.7405, 8.7642, 8.78689, 8.80708, 8.82356, 8.84686, 8.86417, 8.87589, 8.88747, 8.893, 8.90775, 8.91374, 8.92036, 8.92616, 8.93226, 8.93696, 8.94635, 8.96313, 8.98298, 9.00839");
             values ( \
-              "-0.00199834, -0.018656, -0.0373922, -0.0498204, -0.0573827, -0.0662774, -0.0734876, -0.0770736, -0.0743169, -0.0660142, -0.0364708, -0.0265048, -0.0180042, -0.0125266, -0.00846525, -0.00620397, -0.00325387, -0.000940691, -0.000273865, -0.000115832" \
+              "-0.00199834, -0.018656, -0.0373922, -0.0498204, -0.0573827, -0.0662774, -0.0734876, -0.0770736, -0.0743169, -0.0660142, -0.0364708, -0.0265048, -0.0180042, -0.0125266, -0.00846525, -0.00620397, -0.00325387, -0.000940689, -0.000273864, -0.000115832" \
             );
           }
           vector (ccs_template) {
@@ -56006,7 +56006,7 @@
             index_2 ("0.299178");
             index_3 ("9.49243, 9.67594, 9.87364, 9.91855, 9.96989, 10.0387, 10.2167, 10.2766, 10.3966, 10.4813, 10.5725, 10.6639, 10.8467, 11.0307, 11.1593, 11.4129, 11.4965, 11.5921, 11.9761, 12.1313, 12.2874, 12.4956, 12.5769, 12.7296, 12.8664, 13.0582, 13.1335, 13.2843, 13.5857, 14.1486, 14.8038");
             values ( \
-              "-0.0805929, -0.109235, -0.175123, -0.186656, -0.196583, -0.204701, -0.219096, -0.22213, -0.225096, -0.225391, -0.2248, -0.223548, -0.219863, -0.214756, -0.210156, -0.195667, -0.187527, -0.175374, -0.115335, -0.0934399, -0.0744482, -0.0538673, -0.0472991, -0.0368453, -0.0292921, -0.0210834, -0.0185178, -0.0142388, -0.00829121, -0.00276855, -0.000725829" \
+              "-0.0805929, -0.109235, -0.175123, -0.186656, -0.196583, -0.204701, -0.219096, -0.22213, -0.225096, -0.225391, -0.2248, -0.223548, -0.219863, -0.214756, -0.210156, -0.195667, -0.187527, -0.175374, -0.115335, -0.0934399, -0.0744482, -0.0538673, -0.0472991, -0.0368453, -0.0292921, -0.0210834, -0.0185178, -0.0142388, -0.00829121, -0.00276855, -0.000725828" \
             );
           }
           vector (ccs_template) {
@@ -56375,7 +56375,7 @@
             index_2 ("0.00124625");
             index_3 ("7.79729, 7.81734, 7.89794, 7.91398, 7.93432, 7.95439, 7.95942, 7.96612, 8.00189, 8.02135, 8.04715, 8.06947, 8.09, 8.10946, 8.12843, 8.14739, 8.15107, 8.17556, 8.1916, 8.20092, 8.21334, 8.22883, 8.24086, 8.26492, 8.29773, 8.33758, 8.39027, 8.43105, 8.51833, 8.55931, 8.57862, 8.62414, 8.65047, 8.79757, 9.0133, 9.93951");
             values ( \
-              "7.99414e-05, 0.000125299, 0.000633101, 0.000972212, 0.00149063, 0.00232723, 0.00263427, 0.00311509, 0.0063893, 0.00791159, 0.00951659, 0.0105706, 0.0112935, 0.011752, 0.0119247, 0.0114499, 0.0109927, 0.00603781, 0.00365801, 0.00269317, 0.00175624, 0.00100151, 0.000626651, 0.000242396, 6.20401e-05, 3.65745e-05, 2.30803e-05, 4.19058e-05, 4.9712e-05, 2.87008e-05, 1e-22, 4.69204e-06, 2.91673e-05, 5.39184e-06, 8.5223e-07, 4.81616e-06" \
+              "7.99414e-05, 0.000125299, 0.000633101, 0.000972212, 0.00149063, 0.00232723, 0.00263427, 0.00311509, 0.0063893, 0.00791159, 0.00951659, 0.0105706, 0.0112935, 0.011752, 0.0119247, 0.0114499, 0.0109927, 0.00603781, 0.00365801, 0.00269317, 0.00175624, 0.00100151, 0.000626651, 0.000242396, 6.20401e-05, 3.65745e-05, 2.30803e-05, 4.19058e-05, 4.9712e-05, 2.87008e-05, 1e-22, 4.69204e-06, 2.91673e-05, 5.39184e-06, 8.52232e-07, 4.81616e-06" \
             );
           }
           vector (ccs_template) {
@@ -56400,9 +56400,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0760062");
-            index_3 ("7.7743, 7.96841, 8.03563, 8.1198, 8.37243, 8.4196, 8.45045, 8.51722, 8.53846, 8.56011, 8.58155, 8.62443, 8.68441, 8.77852, 8.88552, 9.09799, 9.25027, 9.38004, 9.47078, 9.56513, 9.61854, 9.72536, 9.83057, 9.9932, 10.21, 10.5426, 10.9446");
+            index_3 ("7.7743, 7.96841, 8.03563, 8.1198, 8.37243, 8.4196, 8.45045, 8.51722, 8.53846, 8.56011, 8.58155, 8.62443, 8.68441, 8.77852, 8.88552, 9.09799, 9.25027, 9.38004, 9.4708, 9.56513, 9.61852, 9.72531, 9.83056, 9.99326, 10.2102, 10.5427, 10.9448");
             values ( \
-              "0.000910542, 0.0139948, 0.0274731, 0.0483529, 0.120663, 0.128124, 0.130502, 0.133931, 0.13484, 0.135206, 0.135086, 0.133783, 0.130244, 0.121506, 0.106525, 0.070761, 0.0484823, 0.0338817, 0.025976, 0.0195409, 0.0165863, 0.0118531, 0.00849271, 0.00503997, 0.00245423, 0.000767772, 0.000202097" \
+              "0.000910542, 0.0139948, 0.0274731, 0.0483529, 0.120663, 0.128124, 0.130502, 0.133931, 0.13484, 0.135206, 0.135086, 0.133783, 0.130244, 0.121506, 0.106526, 0.0707612, 0.0484832, 0.033881, 0.0259746, 0.0195413, 0.0165874, 0.0118549, 0.00849284, 0.00503901, 0.00245302, 0.000767346, 0.000201969" \
             );
           }
           vector (ccs_template) {
@@ -56833,10 +56833,10 @@
           values ( \
             "0.225494, 0.282346, 0.474019, 1.13736, 3.54741, 12.7192", \
             "0.234437, 0.291632, 0.484329, 1.14665, 3.55754, 12.7309", \
-            "0.269999, 0.328367, 0.522742, 1.18665, 3.5972, 12.7692", \
-            "0.365157, 0.441731, 0.670583, 1.34397, 3.75457, 12.9256", \
+            "0.269999, 0.328367, 0.522742, 1.18665, 3.5972, 12.7713", \
+            "0.365266, 0.441731, 0.670583, 1.34397, 3.75482, 12.9256", \
             "0.472886, 0.591241, 0.952188, 1.8905, 4.39269, 13.5604", \
-            "0.342596, 0.532821, 1.12391, 2.67115, 6.4379, 16.1188" \
+            "0.342735, 0.532821, 1.12391, 2.67115, 6.4379, 16.1188" \
           );
         }
         fall_transition (delay_template) {
@@ -56845,10 +56845,10 @@
           values ( \
             "0.0288957, 0.0490593, 0.119276, 0.398771, 1.52499, 5.96094", \
             "0.0288776, 0.0490314, 0.119137, 0.398812, 1.52453, 5.96094", \
-            "0.0288965, 0.0490699, 0.119135, 0.398749, 1.52466, 5.96094", \
-            "0.0286957, 0.0489559, 0.119501, 0.399277, 1.52455, 5.96301", \
+            "0.0288965, 0.0490699, 0.119135, 0.398749, 1.52466, 5.96025", \
+            "0.0287163, 0.0489559, 0.119501, 0.399277, 1.52455, 5.96301", \
             "0.0316879, 0.0519489, 0.122204, 0.399335, 1.52453, 5.96093", \
-            "0.0437292, 0.0640614, 0.131878, 0.404173, 1.52516, 5.96094" \
+            "0.0435758, 0.0640614, 0.131878, 0.404173, 1.52516, 5.96094" \
           );
         }
         output_current_rise () {
@@ -56928,9 +56928,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0193094");
-            index_3 ("0.538827, 0.566078, 0.588578, 0.598998, 0.608132, 0.625075, 0.636712, 0.650012, 0.666975, 0.678363, 0.701141, 0.713824, 0.730736, 0.753202, 0.82055, 0.865593, 0.893444, 0.915814, 0.941852, 0.956073, 0.984517, 1.0125, 1.05499, 1.11164, 1.17276");
+            index_3 ("0.538827, 0.566078, 0.588578, 0.598998, 0.608132, 0.625075, 0.636712, 0.650012, 0.666975, 0.678363, 0.701141, 0.713824, 0.730736, 0.753202, 0.82055, 0.865594, 0.893442, 0.915809, 0.941853, 0.956078, 0.984528, 1.0125, 1.05497, 1.1116, 1.17276");
             values ( \
-              "0.00106697, 0.050011, 0.0978073, 0.113716, 0.123379, 0.133222, 0.135536, 0.135869, 0.134041, 0.131998, 0.126585, 0.12272, 0.116244, 0.104723, 0.063783, 0.0417508, 0.0314067, 0.0247038, 0.0185314, 0.0157902, 0.0113722, 0.00820514, 0.00495568, 0.00245806, 0.00127798" \
+              "0.00106697, 0.050011, 0.0978073, 0.113716, 0.123379, 0.133222, 0.135536, 0.135869, 0.134041, 0.131998, 0.126585, 0.12272, 0.116244, 0.104723, 0.0637827, 0.0417505, 0.0314075, 0.0247051, 0.0185311, 0.0157893, 0.0113706, 0.00820503, 0.00495655, 0.00245918, 0.00127786" \
             );
           }
           vector (ccs_template) {
@@ -56948,7 +56948,7 @@
             index_2 ("0.299178");
             index_3 ("3.72544, 3.85678, 3.91232, 3.93739, 3.97583, 4.02821, 4.17939, 4.34423, 4.56326, 4.76412, 5.2906, 5.66796, 5.88357, 6.11081, 6.38214, 7.1742, 7.40863, 7.77753, 8.07694, 8.30989, 8.63242, 8.90182, 9.20084, 9.62536, 10.0612, 10.3942, 11.0602, 11.8443, 12.8105, 14.0118");
             values ( \
-              "0.133907, 0.146803, 0.158183, 0.159752, 0.160827, 0.161168, 0.160582, 0.158945, 0.155843, 0.152439, 0.14207, 0.132839, 0.12609, 0.117481, 0.105664, 0.0691738, 0.0594425, 0.0460125, 0.0369082, 0.0309044, 0.0239577, 0.0192885, 0.0150958, 0.0105573, 0.00730391, 0.00549808, 0.00304768, 0.00151252, 0.000612988, 0.0001985" \
+              "0.133907, 0.146803, 0.158183, 0.159752, 0.160827, 0.161168, 0.160582, 0.158945, 0.155843, 0.152439, 0.14207, 0.132839, 0.12609, 0.117481, 0.105664, 0.0691738, 0.0594425, 0.0460125, 0.0369081, 0.0309044, 0.0239577, 0.0192885, 0.0150958, 0.0105573, 0.00730391, 0.00549808, 0.00304768, 0.00151252, 0.000612988, 0.0001985" \
             );
           }
           vector (ccs_template) {
@@ -57183,10 +57183,10 @@
           values ( \
             "0.00808612, 0.00813091, 0.0081859, 0.00821657, 0.00822683, 0.00822965", \
             "0.0094949, 0.00955629, 0.00965467, 0.00972437, 0.00975025, 0.0097576", \
-            "0.0101289, 0.0101637, 0.0102179, 0.0102835, 0.010314, 0.0103233", \
-            "0.0106041, 0.010572, 0.0105276, 0.010513, 0.010519, 0.0105229", \
+            "0.0101289, 0.0101637, 0.0102179, 0.0102835, 0.010314, 0.0103238", \
+            "0.010604, 0.010572, 0.0105276, 0.010513, 0.0105191, 0.0105229", \
             "0.0109921, 0.0109417, 0.0108336, 0.0106855, 0.0106053, 0.0105821", \
-            "0.0114072, 0.01133, 0.0111539, 0.010944, 0.0107387, 0.0106321" \
+            "0.0114068, 0.01133, 0.0111539, 0.010944, 0.0107387, 0.0106321" \
           );
         }
         receiver_capacitance2_rise (delay_template) {
@@ -57195,10 +57195,10 @@
           values ( \
             "0.0101721, 0.0102082, 0.0102627, 0.0102965, 0.0103084, 0.0103117", \
             "0.0103472, 0.0103006, 0.0102357, 0.0101966, 0.0101827, 0.0101787", \
-            "0.0105608, 0.0104046, 0.0101769, 0.00999731, 0.00992581, 0.00990536", \
-            "0.0105359, 0.0108194, 0.0105409, 0.0100494, 0.00984946, 0.00978899", \
+            "0.0105608, 0.0104046, 0.0101769, 0.00999731, 0.00992581, 0.00990312", \
+            "0.0105368, 0.0108194, 0.0105409, 0.0100494, 0.00985715, 0.00978899", \
             "0.00929595, 0.00937953, 0.00953274, 0.0105666, 0.0100347, 0.00981286", \
-            "0.0085336, 0.00867511, 0.00900711, 0.00938165, 0.00983366, 0.0100473" \
+            "0.00853608, 0.00867511, 0.00900711, 0.00938165, 0.00983366, 0.0100473" \
           );
         }
         output_current_fall () {
@@ -57359,18 +57359,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.17764");
-            index_3 ("8.36439, 8.91251, 9.14383, 9.49139, 10.1425, 11.0395, 11.9573, 12.9007, 13.4937, 13.8776, 14.6454, 14.9117, 15.4443, 17.0609, 17.7156, 18.2007, 18.8475, 19.3589, 19.7616, 20.2984, 21.1877, 21.494, 22.1813, 22.9669, 23.942, 24.9172, 26.8675, 28.8179");
+            index_3 ("8.27652, 8.52041, 8.63196, 8.71837, 8.81482, 9.03143, 9.26036, 9.48798, 9.93477, 10.5578, 12.4537, 12.9015, 13.8523, 14.2452, 14.5678, 14.9117, 15.4426, 17.0616, 17.7159, 18.2004, 18.8463, 19.3587, 19.762, 20.2999, 21.1882, 21.4943, 22.1803, 22.9643, 23.9395, 24.9146, 26.865, 28.8153");
             values ( \
-              "-0.234574, -0.236896, -0.239735, -0.240777, -0.238882, -0.233954, -0.22817, -0.221374, -0.216336, -0.212477, -0.201815, -0.196368, -0.181037, -0.116258, -0.0925457, -0.0772853, -0.0599913, -0.0487466, -0.0412486, -0.032839, -0.0222698, -0.0194595, -0.0143628, -0.01007, -0.00645505, -0.00411248, -0.00166525, -0.00067061" \
+              "-0.165233, -0.182645, -0.215349, -0.227716, -0.234049, -0.238731, -0.240345, -0.240735, -0.23977, -0.236722, -0.224718, -0.22137, -0.21277, -0.208049, -0.203177, -0.196371, -0.181093, -0.116236, -0.0925353, -0.0772955, -0.0600263, -0.0487504, -0.0412387, -0.0328219, -0.0222626, -0.0194603, -0.0143673, -0.0100848, -0.00646023, -0.00412017, -0.00166996, -0.000674099" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.848323, 0.863488, 0.870295, 0.875914, 0.885573, 0.890036, 0.89442, 0.8988, 0.906334, 0.911456, 0.916118, 0.922359, 0.927913, 0.93607, 0.937042");
+            index_3 ("0.850327, 0.861824, 0.870242, 0.875866, 0.885535, 0.890002, 0.894386, 0.898768, 0.906291, 0.911441, 0.916095, 0.922306, 0.927832, 0.935982, 0.959598");
             values ( \
-              "-0.000560924, -0.0290629, -0.0368632, -0.043063, -0.0497157, -0.0509901, -0.05148, -0.0467453, -0.0242315, -0.0127278, -0.00658004, -0.00263079, -0.00113609, -0.000361572, -0.000356975" \
+              "-0.00438848, -0.0263513, -0.037056, -0.0427848, -0.0495107, -0.0511167, -0.0513256, -0.0468544, -0.0242639, -0.0127014, -0.00657329, -0.00264039, -0.00114497, -0.000364494, -0.000251798" \
             );
           }
           vector (ccs_template) {
@@ -57404,9 +57404,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299178");
-            index_3 ("3.05151, 3.2283, 3.28382, 3.34643, 3.43696, 3.80239, 4.27875, 4.52193, 4.70474, 4.92845, 5.63252, 5.96227, 6.39451, 6.84804, 7.03421");
+            index_3 ("3.02736, 3.19701, 3.22674, 3.27786, 3.32679, 3.38121, 3.44179, 3.50632, 3.68459, 4.0318, 4.27726, 4.52044, 4.70324, 4.79125, 4.92695, 5.34014, 5.50731, 5.63103, 5.79598, 5.96077, 6.16623, 6.39302, 6.64632, 6.84655, 7.24702, 7.59195");
             values ( \
-              "-0.103199, -0.212551, -0.230035, -0.237131, -0.238936, -0.232103, -0.21987, -0.211419, -0.201927, -0.18006, -0.076954, -0.0459326, -0.0221794, -0.0100377, -0.00760048" \
+              "-0.0540779, -0.195469, -0.212534, -0.228809, -0.235938, -0.238539, -0.238733, -0.238235, -0.234739, -0.226669, -0.219863, -0.211426, -0.201928, -0.195181, -0.18006, -0.11572, -0.0921274, -0.0769541, -0.0597548, -0.0459323, -0.0327099, -0.0221795, -0.0143065, -0.0100374, -0.00479503, -0.00291665" \
             );
           }
           vector (ccs_template) {
@@ -57442,7 +57442,7 @@
             index_2 ("0.0193094");
             index_3 ("2.89072, 2.91291, 2.95029, 2.97105, 2.98172, 2.99612, 3.01609, 3.03547, 3.05474, 3.07401, 3.07804, 3.09186, 3.12221, 3.13653, 3.15577, 3.17498, 3.18966, 3.20477, 3.21633, 3.23944, 3.23973");
             values ( \
-              "-0.0180234, -0.0455568, -0.118687, -0.148846, -0.159358, -0.169664, -0.178128, -0.181211, -0.179686, -0.170733, -0.16697, -0.147335, -0.0904565, -0.0679194, -0.0445745, -0.0284863, -0.0199374, -0.0137479, -0.0102931, -0.00566247, -0.00563587" \
+              "-0.0180234, -0.0455568, -0.118687, -0.148845, -0.15936, -0.169664, -0.178128, -0.181211, -0.179686, -0.170733, -0.16697, -0.147335, -0.0904565, -0.0679195, -0.0445745, -0.0284863, -0.0199374, -0.0137479, -0.0102931, -0.00566247, -0.0056359" \
             );
           }
           vector (ccs_template) {
@@ -57476,9 +57476,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("8.60122, 8.61117, 8.61571, 8.62764, 8.63444, 8.63945, 8.65176, 8.66114, 8.66914, 8.67633, 8.68295, 8.68925, 8.6955, 8.71087, 8.71646, 8.72052, 8.72595, 8.72748");
+            index_3 ("8.60852, 8.61975, 8.62446, 8.62983, 8.66056, 8.6757, 8.68858, 8.69482, 8.71017, 8.71575, 8.71982, 8.72524, 8.73361, 8.75667, 8.8004");
             values ( \
-              "-0.00207478, -0.00285592, -0.00360139, -0.00744938, -0.0113994, -0.0149511, -0.0216686, -0.0262705, -0.0298524, -0.0326598, -0.0350003, -0.0363601, -0.035562, -0.0105453, -0.00529835, -0.00313251, -0.00155274, -0.00136488" \
+              "-0.00294159, -0.00510443, -0.00677968, -0.00912511, -0.026373, -0.0328358, -0.0364963, -0.0355556, -0.0105544, -0.00530418, -0.00313691, -0.00155262, -0.000522068, -0.000125368, -4.15074e-05" \
             );
           }
           vector (ccs_template) {
@@ -57496,7 +57496,7 @@
             index_2 ("0.0193094");
             index_3 ("9.27647, 9.32125, 9.38926, 9.41434, 9.43645, 9.45724, 9.47761, 9.49797, 9.50814, 9.51865, 9.55036, 9.56159, 9.58094, 9.60018, 9.61501, 9.63027, 9.64194, 9.66528, 9.69695");
             values ( \
-              "-0.00108015, -0.046347, -0.126827, -0.150551, -0.163899, -0.170241, -0.171437, -0.164801, -0.155326, -0.139755, -0.0836708, -0.0669461, -0.0440991, -0.0283164, -0.0198186, -0.0136636, -0.010231, -0.00561933, -0.00271111" \
+              "-0.00108019, -0.0463467, -0.126827, -0.150551, -0.163899, -0.170241, -0.171437, -0.164801, -0.155326, -0.139755, -0.083671, -0.0669454, -0.044099, -0.0283165, -0.0198186, -0.0136636, -0.0102309, -0.00561922, -0.002711" \
             );
           }
           vector (ccs_template) {
@@ -57588,10 +57588,10 @@
           values ( \
             "0.225494, 0.282346, 0.474019, 1.13736, 3.54741, 12.7192", \
             "0.234437, 0.291632, 0.484329, 1.14665, 3.55754, 12.7309", \
-            "0.269999, 0.328367, 0.522742, 1.18665, 3.5972, 12.7692", \
-            "0.365157, 0.441731, 0.670583, 1.34397, 3.75457, 12.9256", \
+            "0.269999, 0.328367, 0.522742, 1.18665, 3.5972, 12.7713", \
+            "0.365266, 0.441731, 0.670583, 1.34397, 3.75482, 12.9256", \
             "0.472886, 0.591241, 0.952188, 1.8905, 4.39269, 13.5604", \
-            "0.342596, 0.532821, 1.12391, 2.67115, 6.4379, 16.1188" \
+            "0.342735, 0.532821, 1.12391, 2.67115, 6.4379, 16.1188" \
           );
         }
         fall_transition (delay_template) {
@@ -57600,10 +57600,10 @@
           values ( \
             "0.0288957, 0.0490593, 0.119276, 0.398771, 1.52499, 5.96094", \
             "0.0288776, 0.0490314, 0.119137, 0.398812, 1.52453, 5.96094", \
-            "0.0288965, 0.0490699, 0.119135, 0.398749, 1.52466, 5.96094", \
-            "0.0286957, 0.0489559, 0.119501, 0.399277, 1.52455, 5.96301", \
+            "0.0288965, 0.0490699, 0.119135, 0.398749, 1.52466, 5.96025", \
+            "0.0287163, 0.0489559, 0.119501, 0.399277, 1.52455, 5.96301", \
             "0.0316879, 0.0519489, 0.122204, 0.399335, 1.52453, 5.96093", \
-            "0.0437292, 0.0640614, 0.131878, 0.404173, 1.52516, 5.96094" \
+            "0.0435758, 0.0640614, 0.131878, 0.404173, 1.52516, 5.96094" \
           );
         }
         output_current_rise () {
@@ -57683,9 +57683,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0193094");
-            index_3 ("0.538827, 0.566078, 0.588578, 0.598998, 0.608132, 0.625075, 0.636712, 0.650012, 0.666975, 0.678363, 0.701141, 0.713824, 0.730736, 0.753202, 0.82055, 0.865593, 0.893444, 0.915814, 0.941852, 0.956073, 0.984517, 1.0125, 1.05499, 1.11164, 1.17276");
+            index_3 ("0.538827, 0.566078, 0.588578, 0.598998, 0.608132, 0.625075, 0.636712, 0.650012, 0.666975, 0.678363, 0.701141, 0.713824, 0.730736, 0.753202, 0.82055, 0.865594, 0.893442, 0.915809, 0.941853, 0.956078, 0.984528, 1.0125, 1.05497, 1.1116, 1.17276");
             values ( \
-              "0.00106697, 0.050011, 0.0978073, 0.113716, 0.123379, 0.133222, 0.135536, 0.135869, 0.134041, 0.131998, 0.126585, 0.12272, 0.116244, 0.104723, 0.063783, 0.0417508, 0.0314067, 0.0247038, 0.0185314, 0.0157902, 0.0113722, 0.00820514, 0.00495568, 0.00245806, 0.00127798" \
+              "0.00106697, 0.050011, 0.0978073, 0.113716, 0.123379, 0.133222, 0.135536, 0.135869, 0.134041, 0.131998, 0.126585, 0.12272, 0.116244, 0.104723, 0.0637827, 0.0417505, 0.0314075, 0.0247051, 0.0185311, 0.0157893, 0.0113706, 0.00820503, 0.00495655, 0.00245918, 0.00127786" \
             );
           }
           vector (ccs_template) {
@@ -57703,7 +57703,7 @@
             index_2 ("0.299178");
             index_3 ("3.72544, 3.85678, 3.91232, 3.93739, 3.97583, 4.02821, 4.17939, 4.34423, 4.56326, 4.76412, 5.2906, 5.66796, 5.88357, 6.11081, 6.38214, 7.1742, 7.40863, 7.77753, 8.07694, 8.30989, 8.63242, 8.90182, 9.20084, 9.62536, 10.0612, 10.3942, 11.0602, 11.8443, 12.8105, 14.0118");
             values ( \
-              "0.133907, 0.146803, 0.158183, 0.159752, 0.160827, 0.161168, 0.160582, 0.158945, 0.155843, 0.152439, 0.14207, 0.132839, 0.12609, 0.117481, 0.105664, 0.0691738, 0.0594425, 0.0460125, 0.0369082, 0.0309044, 0.0239577, 0.0192885, 0.0150958, 0.0105573, 0.00730391, 0.00549808, 0.00304768, 0.00151252, 0.000612988, 0.0001985" \
+              "0.133907, 0.146803, 0.158183, 0.159752, 0.160827, 0.161168, 0.160582, 0.158945, 0.155843, 0.152439, 0.14207, 0.132839, 0.12609, 0.117481, 0.105664, 0.0691738, 0.0594425, 0.0460125, 0.0369081, 0.0309044, 0.0239577, 0.0192885, 0.0150958, 0.0105573, 0.00730391, 0.00549808, 0.00304768, 0.00151252, 0.000612988, 0.0001985" \
             );
           }
           vector (ccs_template) {
@@ -57938,10 +57938,10 @@
           values ( \
             "0.00808612, 0.00813091, 0.0081859, 0.00821657, 0.00822683, 0.00822965", \
             "0.0094949, 0.00955629, 0.00965467, 0.00972437, 0.00975025, 0.0097576", \
-            "0.0101289, 0.0101637, 0.0102179, 0.0102835, 0.010314, 0.0103233", \
-            "0.0106041, 0.010572, 0.0105276, 0.010513, 0.010519, 0.0105229", \
+            "0.0101289, 0.0101637, 0.0102179, 0.0102835, 0.010314, 0.0103238", \
+            "0.010604, 0.010572, 0.0105276, 0.010513, 0.0105191, 0.0105229", \
             "0.0109921, 0.0109417, 0.0108336, 0.0106855, 0.0106053, 0.0105821", \
-            "0.0114072, 0.01133, 0.0111539, 0.010944, 0.0107387, 0.0106321" \
+            "0.0114068, 0.01133, 0.0111539, 0.010944, 0.0107387, 0.0106321" \
           );
         }
         receiver_capacitance2_rise (delay_template) {
@@ -57950,10 +57950,10 @@
           values ( \
             "0.0101721, 0.0102082, 0.0102627, 0.0102965, 0.0103084, 0.0103117", \
             "0.0103472, 0.0103006, 0.0102357, 0.0101966, 0.0101827, 0.0101787", \
-            "0.0105608, 0.0104046, 0.0101769, 0.00999731, 0.00992581, 0.00990536", \
-            "0.0105359, 0.0108194, 0.0105409, 0.0100494, 0.00984946, 0.00978899", \
+            "0.0105608, 0.0104046, 0.0101769, 0.00999731, 0.00992581, 0.00990312", \
+            "0.0105368, 0.0108194, 0.0105409, 0.0100494, 0.00985715, 0.00978899", \
             "0.00929595, 0.00937953, 0.00953274, 0.0105666, 0.0100347, 0.00981286", \
-            "0.0085336, 0.00867511, 0.00900711, 0.00938165, 0.00983366, 0.0100473" \
+            "0.00853608, 0.00867511, 0.00900711, 0.00938165, 0.00983366, 0.0100473" \
           );
         }
         output_current_fall () {
@@ -58114,18 +58114,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.17764");
-            index_3 ("8.36439, 8.91251, 9.14383, 9.49139, 10.1425, 11.0395, 11.9573, 12.9007, 13.4937, 13.8776, 14.6454, 14.9117, 15.4443, 17.0609, 17.7156, 18.2007, 18.8475, 19.3589, 19.7616, 20.2984, 21.1877, 21.494, 22.1813, 22.9669, 23.942, 24.9172, 26.8675, 28.8179");
+            index_3 ("8.27652, 8.52041, 8.63196, 8.71837, 8.81482, 9.03143, 9.26036, 9.48798, 9.93477, 10.5578, 12.4537, 12.9015, 13.8523, 14.2452, 14.5678, 14.9117, 15.4426, 17.0616, 17.7159, 18.2004, 18.8463, 19.3587, 19.762, 20.2999, 21.1882, 21.4943, 22.1803, 22.9643, 23.9395, 24.9146, 26.865, 28.8153");
             values ( \
-              "-0.234574, -0.236896, -0.239735, -0.240777, -0.238882, -0.233954, -0.22817, -0.221374, -0.216336, -0.212477, -0.201815, -0.196368, -0.181037, -0.116258, -0.0925457, -0.0772853, -0.0599913, -0.0487466, -0.0412486, -0.032839, -0.0222698, -0.0194595, -0.0143628, -0.01007, -0.00645505, -0.00411248, -0.00166525, -0.00067061" \
+              "-0.165233, -0.182645, -0.215349, -0.227716, -0.234049, -0.238731, -0.240345, -0.240735, -0.23977, -0.236722, -0.224718, -0.22137, -0.21277, -0.208049, -0.203177, -0.196371, -0.181093, -0.116236, -0.0925353, -0.0772955, -0.0600263, -0.0487504, -0.0412387, -0.0328219, -0.0222626, -0.0194603, -0.0143673, -0.0100848, -0.00646023, -0.00412017, -0.00166996, -0.000674099" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.848323, 0.863488, 0.870295, 0.875914, 0.885573, 0.890036, 0.89442, 0.8988, 0.906334, 0.911456, 0.916118, 0.922359, 0.927913, 0.93607, 0.937042");
+            index_3 ("0.850327, 0.861824, 0.870242, 0.875866, 0.885535, 0.890002, 0.894386, 0.898768, 0.906291, 0.911441, 0.916095, 0.922306, 0.927832, 0.935982, 0.959598");
             values ( \
-              "-0.000560924, -0.0290629, -0.0368632, -0.043063, -0.0497157, -0.0509901, -0.05148, -0.0467453, -0.0242315, -0.0127278, -0.00658004, -0.00263079, -0.00113609, -0.000361572, -0.000356975" \
+              "-0.00438848, -0.0263513, -0.037056, -0.0427848, -0.0495107, -0.0511167, -0.0513256, -0.0468544, -0.0242639, -0.0127014, -0.00657329, -0.00264039, -0.00114497, -0.000364494, -0.000251798" \
             );
           }
           vector (ccs_template) {
@@ -58159,9 +58159,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299178");
-            index_3 ("3.05151, 3.2283, 3.28382, 3.34643, 3.43696, 3.80239, 4.27875, 4.52193, 4.70474, 4.92845, 5.63252, 5.96227, 6.39451, 6.84804, 7.03421");
+            index_3 ("3.02736, 3.19701, 3.22674, 3.27786, 3.32679, 3.38121, 3.44179, 3.50632, 3.68459, 4.0318, 4.27726, 4.52044, 4.70324, 4.79125, 4.92695, 5.34014, 5.50731, 5.63103, 5.79598, 5.96077, 6.16623, 6.39302, 6.64632, 6.84655, 7.24702, 7.59195");
             values ( \
-              "-0.103199, -0.212551, -0.230035, -0.237131, -0.238936, -0.232103, -0.21987, -0.211419, -0.201927, -0.18006, -0.076954, -0.0459326, -0.0221794, -0.0100377, -0.00760048" \
+              "-0.0540779, -0.195469, -0.212534, -0.228809, -0.235938, -0.238539, -0.238733, -0.238235, -0.234739, -0.226669, -0.219863, -0.211426, -0.201928, -0.195181, -0.18006, -0.11572, -0.0921274, -0.0769541, -0.0597548, -0.0459323, -0.0327099, -0.0221795, -0.0143065, -0.0100374, -0.00479503, -0.00291665" \
             );
           }
           vector (ccs_template) {
@@ -58197,7 +58197,7 @@
             index_2 ("0.0193094");
             index_3 ("2.89072, 2.91291, 2.95029, 2.97105, 2.98172, 2.99612, 3.01609, 3.03547, 3.05474, 3.07401, 3.07804, 3.09186, 3.12221, 3.13653, 3.15577, 3.17498, 3.18966, 3.20477, 3.21633, 3.23944, 3.23973");
             values ( \
-              "-0.0180234, -0.0455568, -0.118687, -0.148846, -0.159358, -0.169664, -0.178128, -0.181211, -0.179686, -0.170733, -0.16697, -0.147335, -0.0904565, -0.0679194, -0.0445745, -0.0284863, -0.0199374, -0.0137479, -0.0102931, -0.00566247, -0.00563587" \
+              "-0.0180234, -0.0455568, -0.118687, -0.148845, -0.15936, -0.169664, -0.178128, -0.181211, -0.179686, -0.170733, -0.16697, -0.147335, -0.0904565, -0.0679195, -0.0445745, -0.0284863, -0.0199374, -0.0137479, -0.0102931, -0.00566247, -0.0056359" \
             );
           }
           vector (ccs_template) {
@@ -58231,9 +58231,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("8.60122, 8.61117, 8.61571, 8.62764, 8.63444, 8.63945, 8.65176, 8.66114, 8.66914, 8.67633, 8.68295, 8.68925, 8.6955, 8.71087, 8.71646, 8.72052, 8.72595, 8.72748");
+            index_3 ("8.60852, 8.61975, 8.62446, 8.62983, 8.66056, 8.6757, 8.68858, 8.69482, 8.71017, 8.71575, 8.71982, 8.72524, 8.73361, 8.75667, 8.8004");
             values ( \
-              "-0.00207478, -0.00285592, -0.00360139, -0.00744938, -0.0113994, -0.0149511, -0.0216686, -0.0262705, -0.0298524, -0.0326598, -0.0350003, -0.0363601, -0.035562, -0.0105453, -0.00529835, -0.00313251, -0.00155274, -0.00136488" \
+              "-0.00294159, -0.00510443, -0.00677968, -0.00912511, -0.026373, -0.0328358, -0.0364963, -0.0355556, -0.0105544, -0.00530418, -0.00313691, -0.00155262, -0.000522068, -0.000125368, -4.15074e-05" \
             );
           }
           vector (ccs_template) {
@@ -58251,7 +58251,7 @@
             index_2 ("0.0193094");
             index_3 ("9.27647, 9.32125, 9.38926, 9.41434, 9.43645, 9.45724, 9.47761, 9.49797, 9.50814, 9.51865, 9.55036, 9.56159, 9.58094, 9.60018, 9.61501, 9.63027, 9.64194, 9.66528, 9.69695");
             values ( \
-              "-0.00108015, -0.046347, -0.126827, -0.150551, -0.163899, -0.170241, -0.171437, -0.164801, -0.155326, -0.139755, -0.0836708, -0.0669461, -0.0440991, -0.0283164, -0.0198186, -0.0136636, -0.010231, -0.00561933, -0.00271111" \
+              "-0.00108019, -0.0463467, -0.126827, -0.150551, -0.163899, -0.170241, -0.171437, -0.164801, -0.155326, -0.139755, -0.083671, -0.0669454, -0.044099, -0.0283165, -0.0198186, -0.0136636, -0.0102309, -0.00561922, -0.002711" \
             );
           }
           vector (ccs_template) {
@@ -58320,8 +58320,8 @@
             "0.264591, 0.341011, 0.612453, 1.588, 5.21132, 19.1116", \
             "0.272021, 0.349086, 0.622035, 1.59882, 5.22157, 19.1239", \
             "0.311454, 0.388594, 0.66261, 1.64037, 5.26535, 19.1653", \
-            "0.466042, 0.553998, 0.838107, 1.81681, 5.4402, 19.3449", \
-            "0.810167, 0.942126, 1.36564, 2.53521, 6.15827, 20.0519", \
+            "0.466302, 0.553523, 0.838576, 1.81683, 5.4419, 19.3449", \
+            "0.809846, 0.942126, 1.36615, 2.53521, 6.15827, 20.0509", \
             "1.65777, 1.85184, 2.48688, 4.30591, 9.01152, 22.938" \
           );
         }
@@ -58332,8 +58332,8 @@
             "0.0321991, 0.0614165, 0.180229, 0.659869, 2.55169, 10.0033", \
             "0.0321953, 0.0614729, 0.180211, 0.659821, 2.55172, 10.0034", \
             "0.0322343, 0.0614406, 0.18022, 0.659874, 2.55168, 10.0037", \
-            "0.0328318, 0.0620903, 0.180286, 0.659826, 2.55169, 10.0035", \
-            "0.0380807, 0.065509, 0.181445, 0.65998, 2.55137, 10.0041", \
+            "0.0328469, 0.0621533, 0.180276, 0.65987, 2.55169, 10.0035", \
+            "0.0380859, 0.065509, 0.181449, 0.65998, 2.55137, 10.0028", \
             "0.0519869, 0.0782241, 0.188119, 0.663469, 2.5537, 10.0036" \
           );
         }
@@ -58528,45 +58528,45 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.946728, 0.958423, 0.970561, 0.975746, 0.979537, 0.981465, 0.986375, 0.988484, 0.991264, 0.996175, 1.00319, 1.00966, 1.01782, 1.02707, 1.03597, 1.04913, 1.06417, 1.07204");
+            index_3 ("0.955781, 0.964936, 0.968418, 0.970329, 0.972241, 0.973516, 0.97479, 0.97623, 0.977389, 0.978549, 0.980042, 0.980962, 0.981882, 0.982802, 0.983722, 0.984642, 0.985561, 0.986178, 0.987401, 0.988009, 0.989225, 0.990454, 0.991683, 0.992912, 0.99414, 0.995369, 0.996598, 0.996965, 0.999899, 1.0021, 1.00514, 1.00689, 1.00932, 1.01119, 1.01226, 1.01438, 1.01744, 1.01817, 1.01964, 1.0211, 1.02232, 1.02475, 1.0271, 1.02825, 1.02977, 1.03205, 1.03358, 1.0351, 1.03662, 1.04398");
             values ( \
-              "0.000241205, 0.0188877, 0.0360481, 0.041386, 0.0440644, 0.045007, 0.0462086, 0.0461629, 0.0452433, 0.0401836, 0.0268027, 0.0182414, 0.0112711, 0.0064222, 0.00373412, 0.00171207, 0.00067715, 0.000548544" \
+              "0.0279147, 0.0280022, 0.0329523, 0.0353009, 0.037472, 0.0388208, 0.0400907, 0.0414245, 0.0423698, 0.0432241, 0.0441936, 0.0446737, 0.0450924, 0.0454497, 0.0457455, 0.0459798, 0.0461528, 0.0461609, 0.0461171, 0.0460658, 0.0459044, 0.045386, 0.0446748, 0.0437708, 0.0426739, 0.0413843, 0.0399019, 0.0392651, 0.033351, 0.0292772, 0.0243407, 0.0218057, 0.0189817, 0.017031, 0.0160088, 0.0141426, 0.0117372, 0.0111899, 0.0102716, 0.00941535, 0.00878321, 0.0075867, 0.0065122, 0.00605458, 0.00550566, 0.00477237, 0.00434127, 0.00395545, 0.00361491, 0.00240753" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490555");
-            index_3 ("1.01813, 1.0303, 1.0358, 1.03741, 1.03936, 1.04013, 1.0409, 1.04163, 1.04235, 1.0438, 1.05046, 1.05327, 1.05468, 1.05608, 1.0584, 1.06071, 1.06303, 1.06534, 1.06764, 1.06993, 1.07222, 1.07451, 1.0768, 1.07909, 1.08138, 1.08391, 1.08464, 1.08593, 1.08851, 1.09238, 1.0946, 1.10024, 1.10374, 1.11059, 1.11282, 1.11505, 1.11728, 1.12173, 1.12526, 1.13278, 1.13764, 1.14007, 1.14493, 1.14995, 1.15336, 1.15677, 1.16187, 1.16633, 1.17186, 1.17883");
+            index_3 ("1.01927, 1.03318, 1.03652, 1.03919, 1.0409, 1.04155, 1.0422, 1.04349, 1.04982, 1.05126, 1.0527, 1.05414, 1.05558, 1.0579, 1.06022, 1.06254, 1.06486, 1.06715, 1.06945, 1.07174, 1.07403, 1.07633, 1.07862, 1.08091, 1.08344, 1.08416, 1.08544, 1.08799, 1.09182, 1.09414, 1.09935, 1.10363, 1.10993, 1.11299, 1.11401, 1.11809, 1.12218, 1.12919, 1.1333, 1.13821, 1.14201, 1.14633, 1.14987, 1.15125, 1.15402, 1.15678, 1.15955, 1.16508, 1.16976, 1.17838");
             values ( \
-              "0.0513629, 0.0535239, 0.0664312, 0.0698345, 0.0735235, 0.0764257, 0.0799742, 0.0802812, 0.0806993, 0.0818692, 0.0900658, 0.0927582, 0.0938737, 0.0948355, 0.0953122, 0.0956505, 0.0958506, 0.0959124, 0.0958375, 0.0956273, 0.0952818, 0.0948011, 0.0939677, 0.09292, 0.0916581, 0.0900136, 0.0893707, 0.0879143, 0.0845649, 0.0789946, 0.0754938, 0.0659449, 0.060371, 0.0501314, 0.0470878, 0.0442103, 0.0415735, 0.0366492, 0.033135, 0.0261897, 0.0225658, 0.0209487, 0.0179935, 0.015345, 0.0136718, 0.0121161, 0.0103005, 0.00891911, 0.00743855, 0.0058987" \
+              "0.0598141, 0.0612433, 0.0684585, 0.0735845, 0.0800275, 0.0803003, 0.0806762, 0.0817368, 0.089686, 0.0911784, 0.0925026, 0.0936585, 0.0946461, 0.0951416, 0.0954978, 0.0957144, 0.0957917, 0.0957311, 0.0955344, 0.0952015, 0.0947325, 0.0939152, 0.09288, 0.0916269, 0.0899895, 0.0893511, 0.0879105, 0.0846106, 0.0791117, 0.0754775, 0.0666512, 0.0598368, 0.0504297, 0.0462233, 0.0448994, 0.0401185, 0.0357158, 0.0289873, 0.0253656, 0.0218675, 0.0194847, 0.0169891, 0.0150518, 0.0143224, 0.0130601, 0.0119041, 0.0109182, 0.00913704, 0.00785557, 0.00581803" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0193094");
-            index_3 ("1.19298, 1.2673, 1.28137, 1.29423, 1.30641, 1.32541, 1.34481, 1.35858, 1.38566, 1.40764, 1.46167, 1.49427, 1.52003, 1.54143, 1.55798, 1.58833, 1.62314, 1.65377, 1.70888, 1.75658, 1.81959");
+            index_3 ("1.1929, 1.2684, 1.28184, 1.29468, 1.30688, 1.32605, 1.34498, 1.35905, 1.38612, 1.40814, 1.46213, 1.49483, 1.52052, 1.54181, 1.55827, 1.5888, 1.62366, 1.65427, 1.70921, 1.75676, 1.82003");
             values ( \
-              "0.00371667, 0.132219, 0.138274, 0.139574, 0.138619, 0.135351, 0.130533, 0.126402, 0.116112, 0.104178, 0.070514, 0.0527997, 0.0410963, 0.0330452, 0.0277389, 0.019904, 0.0134356, 0.00941059, 0.00492602, 0.00275341, 0.00140251" \
+              "0.00317261, 0.132761, 0.138324, 0.139601, 0.138638, 0.135322, 0.130617, 0.126409, 0.116115, 0.104163, 0.0705156, 0.0527538, 0.041088, 0.0330733, 0.0277914, 0.0199044, 0.0134271, 0.00940698, 0.00493393, 0.0027632, 0.00140191" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0760062");
-            index_3 ("1.82748, 1.92342, 1.95489, 1.96921, 1.99164, 2.01631, 2.05601, 2.23591, 2.32834, 2.39087, 2.44344, 2.54858, 2.71594, 2.81846, 2.9231, 3.01736, 3.07641, 3.2041, 3.27214, 3.3979, 3.54291, 3.65933, 3.88586, 4.05151");
+            index_3 ("1.84159, 1.88642, 1.92288, 1.93972, 1.96162, 1.96864, 1.98268, 2.00568, 2.0304, 2.09916, 2.14493, 2.28067, 2.33671, 2.3824, 2.44285, 2.55114, 2.7707, 2.84005, 2.92819, 2.99709, 3.08896, 3.15277, 3.20396, 3.27222, 3.39755, 3.45495, 3.54155, 3.65702, 3.88334, 4.15324, 4.50672");
             values ( \
-              "0.0080646, 0.13584, 0.154337, 0.157331, 0.158469, 0.158152, 0.156011, 0.143367, 0.135581, 0.129263, 0.122751, 0.106303, 0.0765633, 0.0598833, 0.0453288, 0.0347134, 0.029161, 0.0197477, 0.0159479, 0.0106292, 0.00663614, 0.00450887, 0.00205433, 0.00127358" \
+              "0.0369243, 0.0852013, 0.136312, 0.14877, 0.156372, 0.157322, 0.15839, 0.158371, 0.157381, 0.153125, 0.149931, 0.139658, 0.134744, 0.130137, 0.122754, 0.105766, 0.0672966, 0.0565788, 0.0446467, 0.0367526, 0.0280257, 0.0230826, 0.0197108, 0.0159143, 0.0106145, 0.00881711, 0.00664843, 0.00453684, 0.00206475, 0.000789541, 0.000201892" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299178");
-            index_3 ("4.16658, 4.33988, 4.36962, 4.44984, 4.53701, 4.74762, 5.12588, 5.94773, 6.17643, 6.76845, 7.65444, 8.24803, 8.84204, 9.31215, 9.59065, 10.058, 10.5869, 11.0064, 11.703, 12.2793");
+            index_3 ("4.1622, 4.26476, 4.30427, 4.36839, 4.41397, 4.49566, 4.61578, 4.87239, 5.21922, 5.58071, 5.96166, 6.1752, 6.37245, 6.76696, 7.65153, 8.2459, 8.50283, 8.8454, 9.30931, 9.58428, 10.0549, 10.5895, 11.0145, 11.7125, 12.5015");
             values ( \
-              "0.108999, 0.153225, 0.158479, 0.161641, 0.161614, 0.159882, 0.154287, 0.137566, 0.131273, 0.108826, 0.0681588, 0.0455804, 0.0291447, 0.0200631, 0.0159724, 0.010797, 0.00690998, 0.00481081, 0.00260327, 0.00164056" \
+              "0.113697, 0.119892, 0.142685, 0.158546, 0.161123, 0.161614, 0.161022, 0.158142, 0.152484, 0.145529, 0.137034, 0.131273, 0.124845, 0.108836, 0.0682287, 0.0456086, 0.037771, 0.0290352, 0.0200893, 0.0160368, 0.0108138, 0.00688821, 0.00476864, 0.00258007, 0.00127216" \
             );
           }
           vector (ccs_template) {
@@ -58582,9 +58582,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.8401, 2.84893, 2.88052, 2.88687, 2.89264, 2.89821, 2.90376, 2.91213, 2.92098, 2.92959, 2.93571, 2.94953, 2.9627, 2.97348");
+            index_3 ("2.83991, 2.84843, 2.88018, 2.88654, 2.89231, 2.89788, 2.90343, 2.9118, 2.92068, 2.92923, 2.93538, 2.94427, 2.95757, 2.97405");
             values ( \
-              "0.00315765, 0.00663079, 0.033199, 0.0374498, 0.0401427, 0.0406914, 0.0373817, 0.0240362, 0.0145357, 0.00889912, 0.00622492, 0.00277869, 0.00133292, 0.000952068" \
+              "0.00317637, 0.00652342, 0.0331919, 0.0374455, 0.0401322, 0.0406897, 0.0373742, 0.0240299, 0.014502, 0.00891496, 0.00622469, 0.00369434, 0.00173512, 0.000930359" \
             );
           }
           vector (ccs_template) {
@@ -58600,9 +58600,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0193094");
-            index_3 ("3.26955, 3.29619, 3.35487, 3.36948, 3.38851, 3.4079, 3.42092, 3.46034, 3.48662, 3.58447, 3.63002, 3.67775, 3.71315, 3.78288, 3.83527, 3.87995");
+            index_3 ("3.27969, 3.30381, 3.34177, 3.35445, 3.37049, 3.38909, 3.39618, 3.4089, 3.42191, 3.44782, 3.46133, 3.47574, 3.48778, 3.49819, 3.55191, 3.58575, 3.61066, 3.63061, 3.65721, 3.67374, 3.68707, 3.70483, 3.74037, 3.75729, 3.78341, 3.81824, 3.88791, 3.92258");
             values ( \
-              "0.0069466, 0.0314853, 0.122967, 0.132639, 0.136866, 0.13546, 0.133026, 0.121835, 0.109835, 0.0522796, 0.0332698, 0.0198818, 0.0133376, 0.00590451, 0.00311447, 0.00196295" \
+              "0.0164812, 0.041175, 0.10563, 0.121521, 0.132651, 0.136818, 0.136675, 0.135447, 0.133016, 0.126438, 0.121839, 0.11585, 0.109747, 0.103822, 0.0704335, 0.0521387, 0.0409295, 0.0334036, 0.0251629, 0.0210007, 0.0181164, 0.0148286, 0.0098175, 0.00806612, 0.00593714, 0.0039127, 0.00161923, 0.00118396" \
             );
           }
           vector (ccs_template) {
@@ -58627,9 +58627,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.17764");
-            index_3 ("15.0113, 15.604, 15.7325, 16.1419, 16.5209, 17.0374, 18.3172, 19.2233, 21.2836, 22.8335, 23.7443, 25.166, 28.2733, 30.4983, 32.3612, 34.7181, 37.4049, 38.9598, 41.1413, 45.4064, 46.3863");
+            index_3 ("15.0511, 15.41, 15.5015, 15.6246, 15.7411, 15.8453, 16.1484, 16.521, 17.0376, 17.859, 18.3375, 19.2239, 19.7206, 20.6419, 22.135, 23.1305, 23.7453, 24.9751, 28.2352, 28.9631, 30.3848, 31.2118, 32.5019, 33.9236, 34.7281, 35.6611, 36.795, 38.2167, 39.0194, 40.4411, 41.8628, 43.2845, 46.1279, 48.9713, 53.2364");
             values ( \
-              "0.0870946, 0.149033, 0.153203, 0.157074, 0.158511, 0.158877, 0.156342, 0.152798, 0.142643, 0.132872, 0.125398, 0.110764, 0.0739829, 0.050958, 0.0360163, 0.0224981, 0.0128057, 0.00917237, 0.00570384, 0.00222702, 0.00198297" \
+              "0.111246, 0.12513, 0.139382, 0.15005, 0.153264, 0.154668, 0.157094, 0.15844, 0.158941, 0.157682, 0.156273, 0.152864, 0.150578, 0.146116, 0.137655, 0.130588, 0.12542, 0.112868, 0.0744386, 0.0662914, 0.0520233, 0.0447298, 0.035069, 0.0264015, 0.0224201, 0.0184909, 0.0146056, 0.0107393, 0.0090745, 0.00662341, 0.00488385, 0.00353501, 0.00187373, 0.00098688, 0.000409683" \
             );
           }
           vector (ccs_template) {
@@ -58943,7 +58943,7 @@
             index_2 ("0.00490555");
             index_3 ("2.54043, 2.54939, 2.55144, 2.55555, 2.56545, 2.57448, 2.5815, 2.59023, 2.59523, 2.60109, 2.60967, 2.61793, 2.6261, 2.63427, 2.64562, 2.65156, 2.65572, 2.65933, 2.66416, 2.66826, 2.67388, 2.68138, 2.68735");
             values ( \
-              "-0.0136106, -0.0230404, -0.0257742, -0.0329707, -0.0519991, -0.0672879, -0.0780108, -0.0896487, -0.0949834, -0.100142, -0.105714, -0.108561, -0.107691, -0.0955159, -0.0593476, -0.0423926, -0.0326776, -0.0257719, -0.0185086, -0.0138116, -0.00919185, -0.0052495, -0.00371438" \
+              "-0.0136106, -0.0230404, -0.0257742, -0.0329706, -0.0519992, -0.0672879, -0.0780108, -0.0896487, -0.0949834, -0.100142, -0.105714, -0.108561, -0.107691, -0.0955159, -0.0593476, -0.0423926, -0.0326776, -0.0257719, -0.0185086, -0.0138116, -0.00919185, -0.0052495, -0.00371438" \
             );
           }
           vector (ccs_template) {
@@ -58979,7 +58979,7 @@
             index_2 ("1.17764");
             index_3 ("10.8533, 10.9681, 11.1113, 11.3559, 11.4481, 11.5219, 11.6437, 11.7398, 11.8389, 12.0372, 12.4194, 12.8562, 13.6843, 14.79, 15.7127, 16.3543, 16.7105, 17.4228, 17.7442, 18.2756, 19.8939, 20.5483, 21.0329, 21.6791, 22.1912, 22.5943, 23.1319, 24.0204, 24.3265, 25.0129, 25.7973, 26.7673, 27.7374, 29.6774, 31.6175");
             values ( \
-              "-0.00782535, -0.0294466, -0.0779673, -0.18794, -0.214854, -0.226087, -0.234536, -0.236992, -0.238519, -0.240133, -0.240642, -0.239336, -0.234986, -0.228103, -0.221518, -0.216064, -0.212466, -0.202785, -0.196365, -0.181077, -0.116244, -0.0925428, -0.0772969, -0.0600167, -0.0487531, -0.0412453, -0.032827, -0.0222676, -0.0194594, -0.0143685, -0.0100794, -0.00647631, -0.00413651, -0.00168337, -0.000681419" \
+              "-0.00782535, -0.0294466, -0.0779673, -0.18794, -0.214854, -0.226087, -0.234536, -0.236992, -0.238519, -0.240133, -0.240642, -0.239336, -0.234986, -0.228103, -0.221518, -0.216064, -0.212466, -0.202785, -0.196365, -0.181077, -0.116244, -0.0925428, -0.0772969, -0.0600167, -0.0487531, -0.0412453, -0.032827, -0.0222676, -0.0194594, -0.0143685, -0.0100794, -0.00647631, -0.00413651, -0.00168337, -0.00068142" \
             );
           }
           vector (ccs_template) {
@@ -58988,7 +58988,7 @@
             index_2 ("0.00124625");
             index_3 ("8.4615, 8.4812, 8.49444, 8.5071, 8.51677, 8.52504, 8.53245, 8.53929, 8.54581, 8.55227, 8.56567, 8.57105, 8.57773, 8.58318, 8.59174, 8.60196, 8.62002");
             values ( \
-              "-0.00181779, -0.00690449, -0.0144833, -0.0210266, -0.0253477, -0.0289562, -0.0316004, -0.0338574, -0.0351223, -0.0344615, -0.0133971, -0.0072354, -0.00309759, -0.00154139, -0.000500709, -0.000245036, -0.000203598" \
+              "-0.00181783, -0.00690445, -0.0144833, -0.0210266, -0.0253477, -0.0289562, -0.0316004, -0.0338574, -0.0351223, -0.0344615, -0.0133971, -0.0072354, -0.00309759, -0.00154139, -0.000500709, -0.000245036, -0.000203597" \
             );
           }
           vector (ccs_template) {
@@ -58997,7 +58997,7 @@
             index_2 ("0.00490555");
             index_3 ("8.63643, 8.65237, 8.65866, 8.71027, 8.73255, 8.7423, 8.7517, 8.76109, 8.78155, 8.7884, 8.7984, 8.80632, 8.81688, 8.82296");
             values ( \
-              "-0.0081381, -0.0156951, -0.0205902, -0.0705717, -0.0879779, -0.0929015, -0.0953829, -0.0871133, -0.0352269, -0.0230578, -0.0117576, -0.00672537, -0.00306777, -0.00222964" \
+              "-0.0081381, -0.0156951, -0.0205901, -0.0705717, -0.0879779, -0.0929015, -0.0953829, -0.0871132, -0.0352269, -0.0230578, -0.0117576, -0.00672537, -0.00306777, -0.00222964" \
             );
           }
           vector (ccs_template) {
@@ -59006,7 +59006,7 @@
             index_2 ("0.0193094");
             index_3 ("9.15899, 9.19846, 9.2606, 9.27121, 9.29244, 9.303, 9.318, 9.33885, 9.35932, 9.37979, 9.3906, 9.40177, 9.44201, 9.46264, 9.48299, 9.50383, 9.51627, 9.54116, 9.56107, 9.56168");
             values ( \
-              "-0.000329403, -0.0400352, -0.116738, -0.128905, -0.14889, -0.15612, -0.163598, -0.169534, -0.170342, -0.163816, -0.153767, -0.137109, -0.0691029, -0.0444416, -0.0278463, -0.0168635, -0.0124172, -0.00656421, -0.00394227, -0.00389522" \
+              "-0.000329402, -0.0400352, -0.116738, -0.128905, -0.14889, -0.15612, -0.163598, -0.169534, -0.170342, -0.163816, -0.153767, -0.137109, -0.0691029, -0.0444416, -0.0278463, -0.0168635, -0.0124172, -0.00656421, -0.00394227, -0.00389522" \
             );
           }
           vector (ccs_template) {
@@ -59044,8 +59044,8 @@
             "0.00765909, 0.00769557, 0.00774195, 0.007769, 0.00777826, 0.00778082", \
             "0.00871621, 0.00874353, 0.00879201, 0.00882927, 0.00884396, 0.00884822", \
             "0.00920433, 0.00920653, 0.00921789, 0.0092373, 0.00924872, 0.00925251", \
-            "0.00944526, 0.00943787, 0.00942564, 0.00941708, 0.009417, 0.00941879", \
-            "0.00958829, 0.00958057, 0.00956166, 0.00953435, 0.00951541, 0.00950915", \
+            "0.00944478, 0.00943827, 0.00942515, 0.00941661, 0.00941743, 0.00941879", \
+            "0.00958793, 0.00958057, 0.00956178, 0.00953435, 0.00951541, 0.00950858", \
             "0.00964773, 0.00964316, 0.00962952, 0.00960101, 0.00956619, 0.00954405" \
           );
         }
@@ -59056,8 +59056,8 @@
             "0.00977221, 0.00981247, 0.00987137, 0.00990836, 0.00992117, 0.00992472", \
             "0.0105169, 0.0105105, 0.0105058, 0.0105044, 0.0105038, 0.0105035", \
             "0.0110964, 0.0110235, 0.0109159, 0.0108405, 0.0108048, 0.0107962", \
-            "0.011941, 0.0117721, 0.0113913, 0.0111236, 0.0110201, 0.0109885", \
-            "0.01082, 0.0107382, 0.0100181, 0.0114783, 0.0111145, 0.0110079", \
+            "0.0119454, 0.011777, 0.0113944, 0.0111242, 0.0110198, 0.0109885", \
+            "0.0108204, 0.0107382, 0.0100225, 0.0114783, 0.0111145, 0.0110036", \
             "0.010556, 0.010603, 0.0107083, 0.0100306, 0.0115219, 0.0111297" \
           );
         }
@@ -59087,7 +59087,7 @@
             "0.0363926, 0.0656157, 0.182304, 0.659705, 2.54597, 9.97005", \
             "0.0364105, 0.0656511, 0.182324, 0.659716, 2.54527, 9.97006", \
             "0.0367751, 0.0658742, 0.182486, 0.65977, 2.54548, 9.97008", \
-            "0.0430937, 0.0711927, 0.184831, 0.661776, 2.54585, 9.97006", \
+            "0.0430937, 0.0711932, 0.184831, 0.661776, 2.54585, 9.97006", \
             "0.0661063, 0.0945165, 0.195469, 0.66272, 2.55012, 9.97054", \
             "0.110534, 0.154744, 0.259663, 0.688586, 2.56554, 9.97714" \
           );
@@ -59213,7 +59213,7 @@
             index_2 ("0.299178");
             index_3 ("0.174136, 0.174156, 0.813248, 1.15807, 1.68319, 2.06256, 2.28098, 2.47273, 2.69187, 3.36063, 3.81035, 4.1668, 4.35082, 4.69807, 5.0223, 5.29342, 5.59184, 6.01575, 6.44995, 6.7815, 7.44461, 8.51568, 9.58675");
             values ( \
-              "1e-22, 0.177996, 0.159327, 0.153094, 0.142263, 0.132889, 0.125994, 0.118774, 0.109429, 0.0783035, 0.0590561, 0.0460754, 0.040294, 0.0309721, 0.0239911, 0.019288, 0.0150871, 0.0105681, 0.00732196, 0.00550622, 0.00307024, 0.00112307, 0.000413134" \
+              "1e-22, 0.177996, 0.159327, 0.153094, 0.142263, 0.132889, 0.125994, 0.118774, 0.109429, 0.0783035, 0.0590561, 0.0460754, 0.0402941, 0.0309721, 0.0239911, 0.019288, 0.0150871, 0.0105681, 0.00732196, 0.00550623, 0.00307024, 0.00112307, 0.000413134" \
             );
           }
           vector (ccs_template) {
@@ -59292,9 +59292,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490555");
-            index_3 ("0.000258741, 0.00125874, 0.00325874, 0.0222651, 0.0376538, 0.287292, 0.352939, 0.449501, 0.467059, 0.48026, 0.498148, 0.514423, 0.598934, 0.649365, 0.709414, 0.712258, 0.716923, 0.723142, 0.731013, 0.754195, 0.75978, 0.770951, 0.775834, 0.782344, 0.786866, 0.792894, 0.79454, 0.79783, 0.80441, 0.80667, 0.809685, 0.815714, 0.829381, 0.834294, 0.840845, 0.850788, 0.85472, 0.860649, 0.868554, 0.873985, 0.877819, 0.88293, 0.893154, 0.900598, 0.903169, 0.908311, 0.918595, 0.939164, 0.947644");
+            index_3 ("0.000256696, 0.0012567, 0.0032567, 0.0222631, 0.0376518, 0.28729, 0.352937, 0.449499, 0.467057, 0.480258, 0.498146, 0.514421, 0.560007, 0.598932, 0.649363, 0.709412, 0.712256, 0.716921, 0.72314, 0.731012, 0.754193, 0.759778, 0.770949, 0.772577, 0.775832, 0.782342, 0.786864, 0.792893, 0.794537, 0.797826, 0.804404, 0.806675, 0.809702, 0.815757, 0.828117, 0.836793, 0.847691, 0.853637, 0.860829, 0.870418, 0.874341, 0.877235, 0.881093, 0.888624, 0.899103, 0.903568, 0.912497, 0.930355, 0.94686");
             values ( \
-              "1e-22, 5.14279e-05, 5.45108e-05, 1.25067e-05, 1e-22, 1.2625e-06, 1.75293e-05, 0.000101425, 1e-22, 2e-22, 8.64517e-05, 0.000109351, 1e-22, 2e-22, 0.00511572, 0.00716648, 0.0110874, 0.0173733, 0.0269088, 0.0563112, 0.0628503, 0.0736255, 0.0771528, 0.0807323, 0.0823934, 0.0835477, 0.08368, 0.0835732, 0.0820327, 0.0808514, 0.0786861, 0.0724945, 0.0536601, 0.0475057, 0.0399475, 0.0302174, 0.0269572, 0.0225731, 0.017705, 0.0149003, 0.0131862, 0.0112133, 0.00799159, 0.00628264, 0.00574333, 0.00487455, 0.00343439, 0.00171893, 0.00140991" \
+              "1e-22, 5.14279e-05, 5.45108e-05, 1.25067e-05, 1e-22, 1.2625e-06, 1.75293e-05, 0.000101425, 1e-22, 2e-22, 8.64517e-05, 0.000109351, 4.1e-05, 1e-22, 2e-22, 0.00511572, 0.00716648, 0.0110874, 0.0173733, 0.0269088, 0.0563112, 0.0628503, 0.0736255, 0.0748938, 0.0771528, 0.0807323, 0.0823935, 0.0835477, 0.08368, 0.0835733, 0.0820341, 0.0808476, 0.0786704, 0.0724394, 0.0553622, 0.0444751, 0.0329891, 0.0278265, 0.0224427, 0.0166804, 0.0147211, 0.013423, 0.0118875, 0.00927926, 0.00658933, 0.00566242, 0.00423419, 0.00228526, 0.00148591" \
             );
           }
           vector (ccs_template) {
@@ -59303,7 +59303,7 @@
             index_2 ("0.0193094");
             index_3 ("0.70722, 0.734593, 0.764957, 0.773504, 0.790599, 0.803579, 0.829539, 0.843545, 0.856905, 0.883476, 0.907325, 0.925588, 1.00474, 1.04079, 1.0695, 1.08707, 1.10989, 1.1289, 1.15064, 1.18139, 1.21301, 1.23717, 1.28549, 1.33723");
             values ( \
-              "0.0120079, 0.0388182, 0.0901332, 0.101641, 0.118947, 0.126286, 0.132311, 0.131696, 0.130047, 0.124335, 0.116331, 0.107763, 0.060984, 0.0435982, 0.03283, 0.0273205, 0.0213294, 0.0172824, 0.0135146, 0.00945623, 0.00653892, 0.00491081, 0.00272157, 0.00165253" \
+              "0.0120079, 0.0388182, 0.0901332, 0.101641, 0.118947, 0.126286, 0.132311, 0.131696, 0.130047, 0.124335, 0.116331, 0.107763, 0.0609841, 0.0435982, 0.03283, 0.0273205, 0.0213294, 0.0172824, 0.0135146, 0.00945623, 0.00653892, 0.00491081, 0.00272157, 0.00165253" \
             );
           }
           vector (ccs_template) {
@@ -60583,7 +60583,7 @@
             "0.26148, 0.343012, 0.632403, 1.68127, 5.61624, 20.7973", \
             "0.26984, 0.351871, 0.642184, 1.69189, 5.62656, 20.8067", \
             "0.310968, 0.392751, 0.683176, 1.73321, 5.6703, 20.8518", \
-            "0.471716, 0.565055, 0.86079, 1.90769, 5.84302, 21.0246", \
+            "0.471468, 0.565055, 0.86079, 1.90769, 5.84302, 21.0246", \
             "0.826095, 0.968816, 1.41741, 2.63739, 6.55672, 21.7287", \
             "1.67703, 1.88889, 2.57191, 4.50908, 9.45811, 24.5921" \
           );
@@ -60595,7 +60595,7 @@
             "0.0362831, 0.0653302, 0.182189, 0.659788, 2.55136, 10.0077", \
             "0.0363058, 0.0653206, 0.182188, 0.659818, 2.55124, 10.0069", \
             "0.0363224, 0.0652851, 0.182194, 0.659816, 2.55163, 10.0077", \
-            "0.037365, 0.0659856, 0.182221, 0.659819, 2.55138, 10.0079", \
+            "0.037399, 0.0659856, 0.182221, 0.659819, 2.55138, 10.0079", \
             "0.0446838, 0.0719403, 0.184607, 0.659863, 2.55163, 10.0076", \
             "0.0614279, 0.0888506, 0.194934, 0.664522, 2.55255, 10.0076" \
           );
@@ -60607,7 +60607,7 @@
             "0.22191, 0.286587, 0.498284, 1.21056, 3.78246, 13.5778", \
             "0.231294, 0.296205, 0.50808, 1.22048, 3.7923, 13.591", \
             "0.269295, 0.3348, 0.547403, 1.26004, 3.83036, 13.6312", \
-            "0.369073, 0.454564, 0.701839, 1.41914, 3.99017, 13.7881", \
+            "0.369073, 0.454254, 0.701839, 1.41914, 3.99017, 13.7881", \
             "0.479201, 0.610915, 1.00229, 1.99294, 4.63315, 14.427", \
             "0.343535, 0.554042, 1.19796, 2.85003, 6.79262, 16.9999" \
           );
@@ -60619,7 +60619,7 @@
             "0.0351663, 0.0598681, 0.133418, 0.407803, 1.52566, 5.96153", \
             "0.0351703, 0.0598992, 0.133456, 0.407404, 1.52565, 5.96184", \
             "0.0351788, 0.0598866, 0.133438, 0.407799, 1.52606, 5.96196", \
-            "0.0357902, 0.0606492, 0.133715, 0.407354, 1.52561, 5.96159", \
+            "0.0357902, 0.0606755, 0.133715, 0.407354, 1.52561, 5.96159", \
             "0.0401714, 0.065892, 0.139272, 0.409752, 1.52598, 5.96128", \
             "0.0558028, 0.0861817, 0.158681, 0.419479, 1.52658, 5.96153" \
           );
@@ -60719,9 +60719,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.299178");
-            index_3 ("3.82535, 3.96416, 4.05665, 4.11162, 4.17319, 4.22924, 4.29377, 4.3808, 4.53574, 4.63335, 4.91615, 5.27795, 5.61675, 5.89786, 6.06909, 6.41155, 7.21491, 7.40067, 7.7722, 7.97639, 8.29677, 8.66292, 8.87201, 9.11671, 9.40389, 9.80405, 10.2932, 10.8485, 11.5154, 12.2895, 13.2182, 14.4692");
+            index_3 ("3.82535, 3.96416, 4.05665, 4.11162, 4.17319, 4.22924, 4.29377, 4.3808, 4.53574, 4.63335, 4.91615, 5.27795, 5.61675, 5.89785, 6.06909, 6.41155, 7.21491, 7.40067, 7.7722, 7.97639, 8.29677, 8.66292, 8.87201, 9.11671, 9.40389, 9.80405, 10.2932, 10.8485, 11.5154, 12.2895, 13.2182, 14.4692");
             values ( \
-              "0.104518, 0.110527, 0.146692, 0.15581, 0.159082, 0.159577, 0.159632, 0.15913, 0.1578, 0.156608, 0.152294, 0.145539, 0.138145, 0.130543, 0.124945, 0.111162, 0.0740684, 0.065974, 0.0514572, 0.0444715, 0.0350959, 0.0263739, 0.022334, 0.0183244, 0.0144911, 0.0103285, 0.00681496, 0.00422649, 0.00232039, 0.00117781, 0.000481452, 0.000160796" \
+              "0.104518, 0.110527, 0.146692, 0.15581, 0.159082, 0.159577, 0.159632, 0.15913, 0.1578, 0.156608, 0.152294, 0.145539, 0.138145, 0.130543, 0.124945, 0.111162, 0.0740684, 0.065974, 0.0514572, 0.0444715, 0.0350959, 0.026374, 0.022334, 0.0183244, 0.0144911, 0.0103285, 0.00681497, 0.0042265, 0.0023204, 0.00117781, 0.000481453, 0.000160796" \
             );
           }
           vector (ccs_template) {
@@ -60791,9 +60791,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.943256, 0.955809, 0.973283, 0.979614, 0.986441, 0.990853, 0.994631, 0.997633, 1.00314, 1.01175, 1.01729, 1.02179, 1.02906, 1.03416, 1.0408, 1.04801, 1.05417, 1.06242, 1.07343, 1.09296");
+            index_3 ("0.943637, 0.955462, 0.972992, 0.980044, 0.986173, 0.991842, 0.997378, 1.0029, 1.00983, 1.01856, 1.02857, 1.03763, 1.04515, 1.05279, 1.06798, 1.08419, 1.08614");
             values ( \
-              "0.00175762, 0.0123628, 0.0288376, 0.0342124, 0.0384995, 0.0402157, 0.0408375, 0.0406098, 0.0372238, 0.0236081, 0.0172367, 0.0133628, 0.0087984, 0.00650601, 0.00440717, 0.00299786, 0.00213251, 0.0013734, 0.000780109, 0.000300251" \
+              "0.00249878, 0.0121881, 0.0288942, 0.0347076, 0.0385894, 0.0404784, 0.0407269, 0.0371519, 0.0258709, 0.015763, 0.0089105, 0.0052268, 0.00341621, 0.00223263, 0.00100617, 0.000448856, 0.000433382" \
             );
           }
           vector (ccs_template) {
@@ -60802,7 +60802,7 @@
             index_2 ("0.00490555");
             index_3 ("1.01555, 1.02416, 1.04577, 1.05195, 1.05827, 1.0652, 1.07535, 1.08518, 1.095, 1.09988, 1.10492, 1.12196, 1.13207, 1.14034, 1.14701, 1.15589, 1.16749, 1.17449, 1.1868, 1.20145, 1.21322, 1.23676, 1.27096, 1.31308");
             values ( \
-              "0.0264145, 0.0265052, 0.0614608, 0.0706869, 0.0782359, 0.0843914, 0.0896196, 0.0904141, 0.0867586, 0.0821303, 0.0755823, 0.050132, 0.037914, 0.0297842, 0.0243602, 0.018454, 0.0127573, 0.010165, 0.00677529, 0.00420236, 0.00285119, 0.00127954, 0.000373193, 7.69084e-05" \
+              "0.0264145, 0.0265052, 0.0614608, 0.0706869, 0.0782359, 0.0843914, 0.0896196, 0.0904141, 0.0867586, 0.0821303, 0.0755823, 0.050132, 0.037914, 0.0297842, 0.0243602, 0.018454, 0.0127573, 0.010165, 0.00677529, 0.00420236, 0.00285119, 0.00127954, 0.000373193, 7.69083e-05" \
             );
           }
           vector (ccs_template) {
@@ -60847,7 +60847,7 @@
             index_2 ("0.00124625");
             index_3 ("2.84145, 2.85363, 2.86019, 2.86808, 2.89391, 2.90167, 2.9086, 2.91147, 2.91509, 2.92151, 2.92419, 2.93127, 2.93385, 2.93902, 2.94612, 2.95119, 2.95544, 2.96088, 2.96813, 2.97241, 2.97753, 2.98435, 2.998, 3.01875, 3.02349");
             values ( \
-              "0.00190011, 0.00546685, 0.0080426, 0.01222, 0.026846, 0.0308978, 0.0337865, 0.0346329, 0.0352259, 0.0337089, 0.0307809, 0.0215331, 0.0187411, 0.0142016, 0.00973383, 0.00733409, 0.00578508, 0.00425739, 0.00282579, 0.00223988, 0.00170813, 0.0012024, 0.00061289, 0.000240315, 0.000212095" \
+              "0.00190011, 0.00546685, 0.0080426, 0.01222, 0.026846, 0.0308978, 0.0337865, 0.0346329, 0.0352259, 0.0337089, 0.0307809, 0.0215331, 0.0187411, 0.0142016, 0.00973382, 0.00733408, 0.00578508, 0.0042574, 0.00282579, 0.00223988, 0.00170813, 0.0012024, 0.000612886, 0.000240314, 0.000212095" \
             );
           }
           vector (ccs_template) {
@@ -60957,7 +60957,7 @@
             "0.00632509, 0.00635305, 0.00638772, 0.00640709, 0.00641357, 0.00641535", \
             "0.00730073, 0.0073253, 0.00736626, 0.00739538, 0.00740626, 0.00740936", \
             "0.00770551, 0.0077096, 0.00772381, 0.00774026, 0.00775043, 0.00775324", \
-            "0.00795479, 0.00793475, 0.00790054, 0.00787931, 0.00787457, 0.00787386", \
+            "0.00795479, 0.00793468, 0.00790054, 0.00787931, 0.00787457, 0.00787386", \
             "0.00812798, 0.00809297, 0.008032, 0.00796114, 0.00791826, 0.00790473", \
             "0.00841716, 0.00833326, 0.00819225, 0.00806674, 0.00797873, 0.00792862" \
           );
@@ -60969,7 +60969,7 @@
             "0.00784486, 0.00785569, 0.00787177, 0.00788106, 0.0078842, 0.00788506", \
             "0.0079036, 0.00786017, 0.00779941, 0.00776057, 0.00774672, 0.00774285", \
             "0.0079243, 0.00782545, 0.00767624, 0.0075738, 0.00752971, 0.00751701", \
-            "0.00872557, 0.00841953, 0.00791997, 0.00758327, 0.00745688, 0.00742018", \
+            "0.00872557, 0.00841761, 0.00791997, 0.00758327, 0.00745688, 0.00742018", \
             "0.00823816, 0.00829999, 0.0083782, 0.00804265, 0.007568, 0.00742902", \
             "0.00757198, 0.00772256, 0.0080292, 0.0083359, 0.00807532, 0.00758669" \
           );
@@ -61089,7 +61089,7 @@
             index_2 ("0.00124625");
             index_3 ("0.359577, 0.364309, 0.373294, 0.381065, 0.387689, 0.393752, 0.399495, 0.405075, 0.410587, 0.416098, 0.417182, 0.430973, 0.437483, 0.444375, 0.444487");
             values ( \
-              "-0.0102075, -0.0134979, -0.0255033, -0.0319243, -0.0358188, -0.038242, -0.039837, -0.0406656, -0.040784, -0.0384372, -0.0368653, -0.010855, -0.00476884, -0.00188696, -0.00186927" \
+              "-0.0102075, -0.0134979, -0.0255033, -0.0319243, -0.0358188, -0.038242, -0.039837, -0.0406656, -0.040784, -0.0384372, -0.0368653, -0.010855, -0.00476884, -0.00188696, -0.00186926" \
             );
           }
           vector (ccs_template) {
@@ -61150,9 +61150,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490555");
-            index_3 ("0.904637, 0.914016, 0.921093, 0.928444, 0.929713, 0.93225, 0.937325, 0.943318, 0.949364, 0.954993, 0.962793, 0.965643, 0.97082, 0.975582, 0.985059, 0.994322, 1.00358, 1.0063, 1.00941, 1.01521, 1.01777, 1.01938, 1.02253, 1.02654, 1.02758, 1.02968, 1.03357, 1.03905, 1.04098, 1.04141, 1.044, 1.04616, 1.05048, 1.05531");
+            index_3 ("0.903584, 0.90936, 0.912868, 0.913563, 0.914951, 0.917727, 0.920734, 0.926114, 0.929398, 0.935968, 0.943007, 0.943115, 0.943259, 0.943548, 0.944125, 0.945279, 0.947588, 0.951313, 0.954722, 0.960352, 0.965376, 0.975327, 0.984802, 0.994072, 1.00333, 1.0045, 1.00605, 1.00916, 1.01495, 1.01632, 1.01753, 1.01914, 1.02229, 1.02629, 1.02734, 1.02943, 1.03332, 1.0388, 1.04073, 1.04147, 1.04176, 1.04343, 1.04555, 1.04967, 1.054");
             values ( \
-              "-0.0184428, -0.0305457, -0.0443598, -0.0561226, -0.0574511, -0.060705, -0.066053, -0.0714714, -0.075905, -0.079671, -0.084469, -0.0861861, -0.0890566, -0.0914444, -0.0950184, -0.0957686, -0.0873135, -0.0811018, -0.0728905, -0.056769, -0.0500113, -0.0459678, -0.0386025, -0.0304671, -0.0285807, -0.0250833, -0.0195083, -0.0134844, -0.011822, -0.011477, -0.00968133, -0.00833634, -0.00617696, -0.0044792" \
+              "-0.0182072, -0.0241052, -0.0283885, -0.0300793, -0.0326835, -0.0380529, -0.0440418, -0.0529015, -0.057252, -0.0649562, -0.0712871, -0.0713984, -0.0715236, -0.0717702, -0.0722271, -0.0731143, -0.0747982, -0.0773616, -0.0795857, -0.0831348, -0.0861436, -0.0914174, -0.0949881, -0.0957688, -0.0872968, -0.0846794, -0.0811058, -0.0729087, -0.0567815, -0.0531527, -0.0499936, -0.0459319, -0.0385812, -0.0304594, -0.0285787, -0.0250915, -0.0195119, -0.0134852, -0.0118213, -0.0112402, -0.0110562, -0.00988118, -0.00853826, -0.00640985, -0.00484154" \
             );
           }
           vector (ccs_template) {
@@ -61170,7 +61170,7 @@
             index_2 ("0.0760062");
             index_3 ("1.54835, 1.59616, 1.67082, 1.70012, 1.74504, 1.77967, 1.81148, 1.86938, 1.9117, 1.93938, 1.99473, 2.02479, 2.06487, 2.07385, 2.09179, 2.1194, 2.2169, 2.25683, 2.29687, 2.35026, 2.37173, 2.41198, 2.44711, 2.49638, 2.51559, 2.55403, 2.63091, 2.77628, 2.94523");
             values ( \
-              "-0.0824657, -0.0918383, -0.161739, -0.180937, -0.200248, -0.20857, -0.212861, -0.21526, -0.213919, -0.212144, -0.20661, -0.202089, -0.193124, -0.1904, -0.183811, -0.170642, -0.113069, -0.0917468, -0.0732696, -0.053187, -0.0465662, -0.0360986, -0.0287368, -0.0207204, -0.0182227, -0.0140608, -0.00823793, -0.0027503, -0.000718607" \
+              "-0.0824657, -0.0918383, -0.161739, -0.180937, -0.200248, -0.20857, -0.212861, -0.21526, -0.213919, -0.212144, -0.20661, -0.202089, -0.193124, -0.1904, -0.183811, -0.170643, -0.113069, -0.0917468, -0.0732696, -0.053187, -0.0465662, -0.0360986, -0.0287368, -0.0207204, -0.0182227, -0.0140608, -0.00823793, -0.0027503, -0.000718606" \
             );
           }
           vector (ccs_template) {
@@ -61188,7 +61188,7 @@
             index_2 ("1.17764");
             index_3 ("9.67737, 10.2706, 10.3852, 10.5194, 10.6666, 10.8509, 11.098, 11.7126, 12.4492, 13.8932, 15.1456, 15.5641, 16.122, 16.3213, 16.72, 17.4324, 18.7146, 19.5107, 19.9559, 20.6927, 21.1659, 22.015, 23.0128, 23.5588, 24.5535, 25.5483, 26.5431, 27.5378, 29.5274");
             values ( \
-              "-0.174264, -0.227549, -0.233992, -0.237558, -0.239157, -0.239968, -0.239963, -0.237898, -0.233884, -0.224489, -0.213976, -0.20922, -0.200522, -0.196361, -0.185435, -0.158674, -0.107042, -0.0802592, -0.0676534, -0.0502658, -0.0413258, -0.0287804, -0.0185794, -0.0145927, -0.0092747, -0.0058936, -0.0037114, -0.00235689, -0.000941792" \
+              "-0.174264, -0.227549, -0.233992, -0.237558, -0.239157, -0.239968, -0.239963, -0.237898, -0.233884, -0.224489, -0.213976, -0.20922, -0.200522, -0.196361, -0.185435, -0.158674, -0.107041, -0.0802592, -0.0676535, -0.0502658, -0.0413258, -0.0287804, -0.0185794, -0.0145927, -0.00927471, -0.0058936, -0.0037114, -0.00235689, -0.000941792" \
             );
           }
           vector (ccs_template) {
@@ -61307,7 +61307,7 @@
             "0.0060113, 0.00602944, 0.0060533, 0.00606729, 0.00607209, 0.00607342", \
             "0.00674966, 0.00676069, 0.00677973, 0.00679424, 0.00680014, 0.00680184", \
             "0.00705178, 0.0070518, 0.00705474, 0.00706076, 0.00706448, 0.00706579", \
-            "0.00718817, 0.00718437, 0.00718672, 0.00717983, 0.00717778, 0.00717753", \
+            "0.00718872, 0.00718437, 0.00718672, 0.00717983, 0.00717778, 0.00717753", \
             "0.00727778, 0.0072736, 0.00726322, 0.00724821, 0.00723767, 0.0072339", \
             "0.00731128, 0.00730857, 0.00730083, 0.00728568, 0.0072677, 0.00725627" \
           );
@@ -61319,7 +61319,7 @@
             "0.00757714, 0.00758962, 0.00760565, 0.00761673, 0.00762037, 0.00762138", \
             "0.0080276, 0.00801608, 0.00800174, 0.00799206, 0.00798927, 0.00798851", \
             "0.00840853, 0.00835142, 0.00828105, 0.00823469, 0.00821688, 0.00821162", \
-            "0.00896197, 0.00880183, 0.00853901, 0.00837329, 0.00831352, 0.0082954", \
+            "0.0089638, 0.00880183, 0.00853901, 0.00837329, 0.00831352, 0.0082954", \
             "0.00965252, 0.0095087, 0.00880919, 0.00858928, 0.00836293, 0.00829733", \
             "0.00940021, 0.00942004, 0.00957351, 0.00868368, 0.00860664, 0.00835779" \
           );
@@ -61338,7 +61338,7 @@
             "0.273467, 0.354942, 0.642534, 1.66482, 5.44298, 19.9004", \
             "0.281837, 0.363832, 0.652251, 1.67593, 5.4543, 19.9135", \
             "0.322943, 0.40465, 0.69361, 1.71844, 5.49544, 19.9575", \
-            "0.479875, 0.571514, 0.868163, 1.89198, 5.67037, 20.1291", \
+            "0.479518, 0.570757, 0.868163, 1.89198, 5.67037, 20.1291", \
             "0.820213, 0.959018, 1.40179, 2.61148, 6.38392, 20.8358", \
             "1.6267, 1.83229, 2.50033, 4.39644, 9.24358, 23.696" \
           );
@@ -61350,7 +61350,7 @@
             "0.0351637, 0.064379, 0.181996, 0.660292, 2.55059, 10.0004", \
             "0.0351267, 0.0643614, 0.181999, 0.660301, 2.5506, 10.0002", \
             "0.0351122, 0.0643814, 0.182052, 0.660353, 2.55061, 10.0002", \
-            "0.0352518, 0.0643809, 0.182034, 0.660298, 2.55072, 9.99933", \
+            "0.0352673, 0.0644256, 0.182034, 0.660298, 2.55072, 9.99933", \
             "0.0397975, 0.0670569, 0.182102, 0.660372, 2.55046, 10.0002", \
             "0.0547707, 0.080501, 0.187717, 0.663062, 2.55288, 10.0002" \
           );
@@ -61362,7 +61362,7 @@
             "0.22191, 0.286587, 0.498284, 1.21056, 3.78246, 13.5778", \
             "0.231294, 0.296205, 0.50808, 1.22048, 3.7923, 13.591", \
             "0.269295, 0.3348, 0.547403, 1.26004, 3.83036, 13.6312", \
-            "0.369073, 0.454564, 0.701839, 1.41914, 3.99017, 13.7881", \
+            "0.369073, 0.454254, 0.701839, 1.41914, 3.99017, 13.7881", \
             "0.479201, 0.610915, 1.00229, 1.99294, 4.63315, 14.427", \
             "0.343535, 0.554042, 1.19796, 2.85003, 6.79262, 16.9999" \
           );
@@ -61374,7 +61374,7 @@
             "0.0351663, 0.0598681, 0.133418, 0.407803, 1.52566, 5.96153", \
             "0.0351703, 0.0598992, 0.133456, 0.407404, 1.52565, 5.96184", \
             "0.0351788, 0.0598866, 0.133438, 0.407799, 1.52606, 5.96196", \
-            "0.0357902, 0.0606492, 0.133715, 0.407354, 1.52561, 5.96159", \
+            "0.0357902, 0.0606755, 0.133715, 0.407354, 1.52561, 5.96159", \
             "0.0401714, 0.065892, 0.139272, 0.409752, 1.52598, 5.96128", \
             "0.0558028, 0.0861817, 0.158681, 0.419479, 1.52658, 5.96153" \
           );
@@ -61546,18 +61546,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.955031, 0.956733, 0.960969, 0.967475, 0.982851, 0.988356, 0.99493, 1.00025, 1.00551, 1.01076, 1.0177, 1.02418, 1.02871, 1.03304, 1.03636, 1.04358, 1.05204, 1.05806, 1.06743, 1.07993, 1.09829, 1.12097");
+            index_3 ("0.955179, 0.962748, 0.965858, 0.982431, 0.988857, 0.994521, 0.999846, 1.0051, 1.01035, 1.01858, 1.02377, 1.0283, 1.03263, 1.03595, 1.0403, 1.04549, 1.04961, 1.05796, 1.06305, 1.07321, 1.09018, 1.11052, 1.13652");
             values ( \
-              "0.00363506, 0.00419216, 0.00670722, 0.0137108, 0.0320356, 0.0370988, 0.0413034, 0.0428999, 0.0425784, 0.0384517, 0.0264978, 0.0182717, 0.0141078, 0.0109846, 0.00901787, 0.00586738, 0.00350891, 0.00244652, 0.00140808, 0.000677686, 0.000240751, 7.50657e-05" \
+              "0.00286389, 0.00899947, 0.0122315, 0.0320456, 0.0378266, 0.0413568, 0.0428754, 0.0426182, 0.0384249, 0.024631, 0.0182724, 0.0141092, 0.0109849, 0.00901841, 0.00694118, 0.00510509, 0.00398171, 0.00240555, 0.0017782, 0.000976842, 0.00036376, 0.000124858, 3.33236e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490555");
-            index_3 ("1.01983, 1.02947, 1.03442, 1.04954, 1.05609, 1.06229, 1.07283, 1.08257, 1.09215, 1.10171, 1.10546, 1.10889, 1.12061, 1.12672, 1.13241, 1.13867, 1.14677, 1.15314, 1.16164, 1.16809, 1.17334, 1.18033, 1.19208, 1.19754, 1.20562, 1.2164, 1.23795, 1.24428");
+            index_3 ("1.01665, 1.02708, 1.0535, 1.06174, 1.0723, 1.08206, 1.09164, 1.10121, 1.13815, 1.15266, 1.17282, 1.19156, 1.21599, 1.22018");
             values ( \
-              "0.00869699, 0.0188151, 0.0278572, 0.0585367, 0.0701807, 0.0789192, 0.0886753, 0.0924866, 0.0922368, 0.0877599, 0.0841455, 0.07999, 0.0619728, 0.0531478, 0.0456291, 0.0382851, 0.0302089, 0.0249177, 0.0190899, 0.0155313, 0.0131006, 0.0104027, 0.00700721, 0.00583731, 0.00444657, 0.00307813, 0.00143489, 0.00123691" \
+              "0.00781996, 0.0157568, 0.0664947, 0.0786844, 0.0885623, 0.0924018, 0.0922122, 0.0877233, 0.0383068, 0.0249062, 0.0131072, 0.00701139, 0.00306817, 0.00274998" \
             );
           }
           vector (ccs_template) {
@@ -61712,7 +61712,7 @@
             "0.00632509, 0.00635305, 0.00638772, 0.00640709, 0.00641357, 0.00641535", \
             "0.00730073, 0.0073253, 0.00736626, 0.00739538, 0.00740626, 0.00740936", \
             "0.00770551, 0.0077096, 0.00772381, 0.00774026, 0.00775043, 0.00775324", \
-            "0.00795479, 0.00793475, 0.00790054, 0.00787931, 0.00787457, 0.00787386", \
+            "0.00795479, 0.00793468, 0.00790054, 0.00787931, 0.00787457, 0.00787386", \
             "0.00812798, 0.00809297, 0.008032, 0.00796114, 0.00791826, 0.00790473", \
             "0.00841716, 0.00833326, 0.00819225, 0.00806674, 0.00797873, 0.00792862" \
           );
@@ -61724,7 +61724,7 @@
             "0.00784486, 0.00785569, 0.00787177, 0.00788106, 0.0078842, 0.00788506", \
             "0.0079036, 0.00786017, 0.00779941, 0.00776057, 0.00774672, 0.00774285", \
             "0.0079243, 0.00782545, 0.00767624, 0.0075738, 0.00752971, 0.00751701", \
-            "0.00872557, 0.00841953, 0.00791997, 0.00758327, 0.00745688, 0.00742018", \
+            "0.00872557, 0.00841761, 0.00791997, 0.00758327, 0.00745688, 0.00742018", \
             "0.00823816, 0.00829999, 0.0083782, 0.00804265, 0.007568, 0.00742902", \
             "0.00757198, 0.00772256, 0.0080292, 0.0083359, 0.00807532, 0.00758669" \
           );
@@ -61844,7 +61844,7 @@
             index_2 ("0.00124625");
             index_3 ("0.359577, 0.364309, 0.373294, 0.381065, 0.387689, 0.393752, 0.399495, 0.405075, 0.410587, 0.416098, 0.417182, 0.430973, 0.437483, 0.444375, 0.444487");
             values ( \
-              "-0.0102075, -0.0134979, -0.0255033, -0.0319243, -0.0358188, -0.038242, -0.039837, -0.0406656, -0.040784, -0.0384372, -0.0368653, -0.010855, -0.00476884, -0.00188696, -0.00186927" \
+              "-0.0102075, -0.0134979, -0.0255033, -0.0319243, -0.0358188, -0.038242, -0.039837, -0.0406656, -0.040784, -0.0384372, -0.0368653, -0.010855, -0.00476884, -0.00188696, -0.00186926" \
             );
           }
           vector (ccs_template) {
@@ -61905,9 +61905,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490555");
-            index_3 ("0.904637, 0.914016, 0.921093, 0.928444, 0.929713, 0.93225, 0.937325, 0.943318, 0.949364, 0.954993, 0.962793, 0.965643, 0.97082, 0.975582, 0.985059, 0.994322, 1.00358, 1.0063, 1.00941, 1.01521, 1.01777, 1.01938, 1.02253, 1.02654, 1.02758, 1.02968, 1.03357, 1.03905, 1.04098, 1.04141, 1.044, 1.04616, 1.05048, 1.05531");
+            index_3 ("0.903584, 0.90936, 0.912868, 0.913563, 0.914951, 0.917727, 0.920734, 0.926114, 0.929398, 0.935968, 0.943007, 0.943115, 0.943259, 0.943548, 0.944125, 0.945279, 0.947588, 0.951313, 0.954722, 0.960352, 0.965376, 0.975327, 0.984802, 0.994072, 1.00333, 1.0045, 1.00605, 1.00916, 1.01495, 1.01632, 1.01753, 1.01914, 1.02229, 1.02629, 1.02734, 1.02943, 1.03332, 1.0388, 1.04073, 1.04147, 1.04176, 1.04343, 1.04555, 1.04967, 1.054");
             values ( \
-              "-0.0184428, -0.0305457, -0.0443598, -0.0561226, -0.0574511, -0.060705, -0.066053, -0.0714714, -0.075905, -0.079671, -0.084469, -0.0861861, -0.0890566, -0.0914444, -0.0950184, -0.0957686, -0.0873135, -0.0811018, -0.0728905, -0.056769, -0.0500113, -0.0459678, -0.0386025, -0.0304671, -0.0285807, -0.0250833, -0.0195083, -0.0134844, -0.011822, -0.011477, -0.00968133, -0.00833634, -0.00617696, -0.0044792" \
+              "-0.0182072, -0.0241052, -0.0283885, -0.0300793, -0.0326835, -0.0380529, -0.0440418, -0.0529015, -0.057252, -0.0649562, -0.0712871, -0.0713984, -0.0715236, -0.0717702, -0.0722271, -0.0731143, -0.0747982, -0.0773616, -0.0795857, -0.0831348, -0.0861436, -0.0914174, -0.0949881, -0.0957688, -0.0872968, -0.0846794, -0.0811058, -0.0729087, -0.0567815, -0.0531527, -0.0499936, -0.0459319, -0.0385812, -0.0304594, -0.0285787, -0.0250915, -0.0195119, -0.0134852, -0.0118213, -0.0112402, -0.0110562, -0.00988118, -0.00853826, -0.00640985, -0.00484154" \
             );
           }
           vector (ccs_template) {
@@ -61925,7 +61925,7 @@
             index_2 ("0.0760062");
             index_3 ("1.54835, 1.59616, 1.67082, 1.70012, 1.74504, 1.77967, 1.81148, 1.86938, 1.9117, 1.93938, 1.99473, 2.02479, 2.06487, 2.07385, 2.09179, 2.1194, 2.2169, 2.25683, 2.29687, 2.35026, 2.37173, 2.41198, 2.44711, 2.49638, 2.51559, 2.55403, 2.63091, 2.77628, 2.94523");
             values ( \
-              "-0.0824657, -0.0918383, -0.161739, -0.180937, -0.200248, -0.20857, -0.212861, -0.21526, -0.213919, -0.212144, -0.20661, -0.202089, -0.193124, -0.1904, -0.183811, -0.170642, -0.113069, -0.0917468, -0.0732696, -0.053187, -0.0465662, -0.0360986, -0.0287368, -0.0207204, -0.0182227, -0.0140608, -0.00823793, -0.0027503, -0.000718607" \
+              "-0.0824657, -0.0918383, -0.161739, -0.180937, -0.200248, -0.20857, -0.212861, -0.21526, -0.213919, -0.212144, -0.20661, -0.202089, -0.193124, -0.1904, -0.183811, -0.170643, -0.113069, -0.0917468, -0.0732696, -0.053187, -0.0465662, -0.0360986, -0.0287368, -0.0207204, -0.0182227, -0.0140608, -0.00823793, -0.0027503, -0.000718606" \
             );
           }
           vector (ccs_template) {
@@ -61943,7 +61943,7 @@
             index_2 ("1.17764");
             index_3 ("9.67737, 10.2706, 10.3852, 10.5194, 10.6666, 10.8509, 11.098, 11.7126, 12.4492, 13.8932, 15.1456, 15.5641, 16.122, 16.3213, 16.72, 17.4324, 18.7146, 19.5107, 19.9559, 20.6927, 21.1659, 22.015, 23.0128, 23.5588, 24.5535, 25.5483, 26.5431, 27.5378, 29.5274");
             values ( \
-              "-0.174264, -0.227549, -0.233992, -0.237558, -0.239157, -0.239968, -0.239963, -0.237898, -0.233884, -0.224489, -0.213976, -0.20922, -0.200522, -0.196361, -0.185435, -0.158674, -0.107042, -0.0802592, -0.0676534, -0.0502658, -0.0413258, -0.0287804, -0.0185794, -0.0145927, -0.0092747, -0.0058936, -0.0037114, -0.00235689, -0.000941792" \
+              "-0.174264, -0.227549, -0.233992, -0.237558, -0.239157, -0.239968, -0.239963, -0.237898, -0.233884, -0.224489, -0.213976, -0.20922, -0.200522, -0.196361, -0.185435, -0.158674, -0.107041, -0.0802592, -0.0676535, -0.0502658, -0.0413258, -0.0287804, -0.0185794, -0.0145927, -0.00927471, -0.0058936, -0.0037114, -0.00235689, -0.000941792" \
             );
           }
           vector (ccs_template) {
@@ -62062,7 +62062,7 @@
             "0.00627503, 0.0062993, 0.00632843, 0.00634445, 0.00634978, 0.00635125", \
             "0.00711763, 0.00713313, 0.0071584, 0.00717628, 0.00718313, 0.00718507", \
             "0.0074644, 0.00746406, 0.00746751, 0.00747486, 0.00747924, 0.00748072", \
-            "0.0076231, 0.0076173, 0.00761221, 0.00760563, 0.00760415, 0.00760397", \
+            "0.00762339, 0.00761767, 0.00761221, 0.00760563, 0.00760415, 0.00760397", \
             "0.00772944, 0.00772294, 0.00770931, 0.00769103, 0.00767885, 0.00767461", \
             "0.00777214, 0.0077684, 0.00775827, 0.00773978, 0.007719, 0.00770632" \
           );
@@ -62074,7 +62074,7 @@
             "0.00788355, 0.00790347, 0.00792708, 0.00794051, 0.00794464, 0.00794575", \
             "0.00823963, 0.00822449, 0.00820787, 0.00819851, 0.00819598, 0.00819525", \
             "0.00859599, 0.00851596, 0.00841552, 0.00835501, 0.00833301, 0.00832669", \
-            "0.00923378, 0.00913438, 0.00881568, 0.00860751, 0.00853377, 0.00851205", \
+            "0.00923314, 0.00911195, 0.00881568, 0.00860751, 0.00853377, 0.00851205", \
             "0.00867016, 0.00853234, 0.00791284, 0.00887516, 0.00862571, 0.00855335", \
             "0.00857166, 0.00857461, 0.0085894, 0.00786868, 0.00887319, 0.00860931" \
           );
@@ -62093,7 +62093,7 @@
             "0.26148, 0.343012, 0.632403, 1.68127, 5.61624, 20.7973", \
             "0.26984, 0.351871, 0.642184, 1.69189, 5.62656, 20.8067", \
             "0.310968, 0.392751, 0.683176, 1.73321, 5.6703, 20.8518", \
-            "0.471716, 0.565055, 0.86079, 1.90769, 5.84302, 21.0246", \
+            "0.471468, 0.565055, 0.86079, 1.90769, 5.84302, 21.0246", \
             "0.826095, 0.968816, 1.41741, 2.63739, 6.55672, 21.7287", \
             "1.67703, 1.88889, 2.57191, 4.50908, 9.45811, 24.5921" \
           );
@@ -62105,7 +62105,7 @@
             "0.0362831, 0.0653302, 0.182189, 0.659788, 2.55136, 10.0077", \
             "0.0363058, 0.0653206, 0.182188, 0.659818, 2.55124, 10.0069", \
             "0.0363224, 0.0652851, 0.182194, 0.659816, 2.55163, 10.0077", \
-            "0.037365, 0.0659856, 0.182221, 0.659819, 2.55138, 10.0079", \
+            "0.037399, 0.0659856, 0.182221, 0.659819, 2.55138, 10.0079", \
             "0.0446838, 0.0719403, 0.184607, 0.659863, 2.55163, 10.0076", \
             "0.0614279, 0.0888506, 0.194934, 0.664522, 2.55255, 10.0076" \
           );
@@ -62116,7 +62116,7 @@
           values ( \
             "0.223236, 0.281688, 0.477748, 1.15254, 3.59743, 12.8874", \
             "0.233534, 0.292279, 0.488637, 1.16324, 3.60847, 12.897", \
-            "0.268463, 0.329135, 0.527704, 1.20341, 3.64895, 12.9333", \
+            "0.268463, 0.329135, 0.527942, 1.20388, 3.64895, 12.9359", \
             "0.353544, 0.43505, 0.674044, 1.36225, 3.80646, 13.0924", \
             "0.438834, 0.563768, 0.941413, 1.90647, 4.44561, 13.7275", \
             "0.242008, 0.441698, 1.06336, 2.6637, 6.4897, 16.2836" \
@@ -62128,7 +62128,7 @@
           values ( \
             "0.0306768, 0.0511474, 0.121361, 0.399983, 1.52527, 5.96067", \
             "0.0307342, 0.0511878, 0.121346, 0.400129, 1.52572, 5.96068", \
-            "0.0307794, 0.0512034, 0.121396, 0.400131, 1.52532, 5.96327", \
+            "0.0307794, 0.0512034, 0.121308, 0.400093, 1.52532, 5.96322", \
             "0.0304846, 0.0510895, 0.12154, 0.400054, 1.52533, 5.96072", \
             "0.0339184, 0.0540114, 0.123601, 0.400657, 1.52533, 5.96076", \
             "0.0482988, 0.0680076, 0.132927, 0.404031, 1.526, 5.96076" \
@@ -62229,9 +62229,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.299178");
-            index_3 ("3.82535, 3.96416, 4.05665, 4.11162, 4.17319, 4.22924, 4.29377, 4.3808, 4.53574, 4.63335, 4.91615, 5.27795, 5.61675, 5.89786, 6.06909, 6.41155, 7.21491, 7.40067, 7.7722, 7.97639, 8.29677, 8.66292, 8.87201, 9.11671, 9.40389, 9.80405, 10.2932, 10.8485, 11.5154, 12.2895, 13.2182, 14.4692");
+            index_3 ("3.82535, 3.96416, 4.05665, 4.11162, 4.17319, 4.22924, 4.29377, 4.3808, 4.53574, 4.63335, 4.91615, 5.27795, 5.61675, 5.89785, 6.06909, 6.41155, 7.21491, 7.40067, 7.7722, 7.97639, 8.29677, 8.66292, 8.87201, 9.11671, 9.40389, 9.80405, 10.2932, 10.8485, 11.5154, 12.2895, 13.2182, 14.4692");
             values ( \
-              "0.104518, 0.110527, 0.146692, 0.15581, 0.159082, 0.159577, 0.159632, 0.15913, 0.1578, 0.156608, 0.152294, 0.145539, 0.138145, 0.130543, 0.124945, 0.111162, 0.0740684, 0.065974, 0.0514572, 0.0444715, 0.0350959, 0.0263739, 0.022334, 0.0183244, 0.0144911, 0.0103285, 0.00681496, 0.00422649, 0.00232039, 0.00117781, 0.000481452, 0.000160796" \
+              "0.104518, 0.110527, 0.146692, 0.15581, 0.159082, 0.159577, 0.159632, 0.15913, 0.1578, 0.156608, 0.152294, 0.145539, 0.138145, 0.130543, 0.124945, 0.111162, 0.0740684, 0.065974, 0.0514572, 0.0444715, 0.0350959, 0.026374, 0.022334, 0.0183244, 0.0144911, 0.0103285, 0.00681497, 0.0042265, 0.0023204, 0.00117781, 0.000481453, 0.000160796" \
             );
           }
           vector (ccs_template) {
@@ -62301,9 +62301,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.943256, 0.955809, 0.973283, 0.979614, 0.986441, 0.990853, 0.994631, 0.997633, 1.00314, 1.01175, 1.01729, 1.02179, 1.02906, 1.03416, 1.0408, 1.04801, 1.05417, 1.06242, 1.07343, 1.09296");
+            index_3 ("0.943637, 0.955462, 0.972992, 0.980044, 0.986173, 0.991842, 0.997378, 1.0029, 1.00983, 1.01856, 1.02857, 1.03763, 1.04515, 1.05279, 1.06798, 1.08419, 1.08614");
             values ( \
-              "0.00175762, 0.0123628, 0.0288376, 0.0342124, 0.0384995, 0.0402157, 0.0408375, 0.0406098, 0.0372238, 0.0236081, 0.0172367, 0.0133628, 0.0087984, 0.00650601, 0.00440717, 0.00299786, 0.00213251, 0.0013734, 0.000780109, 0.000300251" \
+              "0.00249878, 0.0121881, 0.0288942, 0.0347076, 0.0385894, 0.0404784, 0.0407269, 0.0371519, 0.0258709, 0.015763, 0.0089105, 0.0052268, 0.00341621, 0.00223263, 0.00100617, 0.000448856, 0.000433382" \
             );
           }
           vector (ccs_template) {
@@ -62312,7 +62312,7 @@
             index_2 ("0.00490555");
             index_3 ("1.01555, 1.02416, 1.04577, 1.05195, 1.05827, 1.0652, 1.07535, 1.08518, 1.095, 1.09988, 1.10492, 1.12196, 1.13207, 1.14034, 1.14701, 1.15589, 1.16749, 1.17449, 1.1868, 1.20145, 1.21322, 1.23676, 1.27096, 1.31308");
             values ( \
-              "0.0264145, 0.0265052, 0.0614608, 0.0706869, 0.0782359, 0.0843914, 0.0896196, 0.0904141, 0.0867586, 0.0821303, 0.0755823, 0.050132, 0.037914, 0.0297842, 0.0243602, 0.018454, 0.0127573, 0.010165, 0.00677529, 0.00420236, 0.00285119, 0.00127954, 0.000373193, 7.69084e-05" \
+              "0.0264145, 0.0265052, 0.0614608, 0.0706869, 0.0782359, 0.0843914, 0.0896196, 0.0904141, 0.0867586, 0.0821303, 0.0755823, 0.050132, 0.037914, 0.0297842, 0.0243602, 0.018454, 0.0127573, 0.010165, 0.00677529, 0.00420236, 0.00285119, 0.00127954, 0.000373193, 7.69083e-05" \
             );
           }
           vector (ccs_template) {
@@ -62357,7 +62357,7 @@
             index_2 ("0.00124625");
             index_3 ("2.84145, 2.85363, 2.86019, 2.86808, 2.89391, 2.90167, 2.9086, 2.91147, 2.91509, 2.92151, 2.92419, 2.93127, 2.93385, 2.93902, 2.94612, 2.95119, 2.95544, 2.96088, 2.96813, 2.97241, 2.97753, 2.98435, 2.998, 3.01875, 3.02349");
             values ( \
-              "0.00190011, 0.00546685, 0.0080426, 0.01222, 0.026846, 0.0308978, 0.0337865, 0.0346329, 0.0352259, 0.0337089, 0.0307809, 0.0215331, 0.0187411, 0.0142016, 0.00973383, 0.00733409, 0.00578508, 0.00425739, 0.00282579, 0.00223988, 0.00170813, 0.0012024, 0.00061289, 0.000240315, 0.000212095" \
+              "0.00190011, 0.00546685, 0.0080426, 0.01222, 0.026846, 0.0308978, 0.0337865, 0.0346329, 0.0352259, 0.0337089, 0.0307809, 0.0215331, 0.0187411, 0.0142016, 0.00973382, 0.00733408, 0.00578508, 0.0042574, 0.00282579, 0.00223988, 0.00170813, 0.0012024, 0.000612886, 0.000240314, 0.000212095" \
             );
           }
           vector (ccs_template) {
@@ -62466,7 +62466,7 @@
           values ( \
             "0.00672097, 0.00676012, 0.0068052, 0.00682906, 0.00683687, 0.006839", \
             "0.00797114, 0.00801251, 0.00807144, 0.00810803, 0.00812079, 0.00812434", \
-            "0.00860153, 0.00860595, 0.0086248, 0.00864669, 0.00865688, 0.00865993", \
+            "0.00860153, 0.00860595, 0.00862432, 0.00864627, 0.00865688, 0.00865953", \
             "0.00897163, 0.00894048, 0.00889147, 0.00886504, 0.00885927, 0.00885848", \
             "0.00919932, 0.00914129, 0.00905758, 0.00897583, 0.00892824, 0.00891352", \
             "0.00937274, 0.00939612, 0.00924551, 0.0090937, 0.00899841, 0.0089445" \
@@ -62478,7 +62478,7 @@
           values ( \
             "0.00843538, 0.0084651, 0.00849357, 0.00850524, 0.00850868, 0.00850959", \
             "0.00852545, 0.0084569, 0.00837152, 0.00832158, 0.00830471, 0.00830008", \
-            "0.00860221, 0.00842439, 0.00819387, 0.00805965, 0.00801082, 0.00799637", \
+            "0.00860221, 0.00842439, 0.00819574, 0.00805976, 0.00801082, 0.00799621", \
             "0.00835427, 0.00854585, 0.00845029, 0.00804645, 0.00789205, 0.00785058", \
             "0.00779701, 0.00789301, 0.00801804, 0.00842393, 0.00801455, 0.00785956", \
             "0.00749472, 0.00745418, 0.00770613, 0.00795883, 0.00790129, 0.00802631" \
@@ -62491,7 +62491,7 @@
             index_2 ("0.00124625");
             index_3 ("0.189514, 0.212016, 0.214826, 0.220933, 0.231314, 0.236067, 0.240707, 0.245344, 0.254559, 0.258392, 0.263116, 0.269347, 0.274884, 0.283742, 0.292745");
             values ( \
-              "-0.00078853, -0.0310064, -0.0338964, -0.0395684, -0.0464261, -0.0481717, -0.0486316, -0.0448801, -0.0199732, -0.0123632, -0.00642552, -0.00260882, -0.00114262, -0.000324576, -0.000290148" \
+              "-0.000788529, -0.0310064, -0.0338964, -0.0395684, -0.0464261, -0.0481717, -0.0486316, -0.0448801, -0.0199732, -0.0123632, -0.00642552, -0.00260882, -0.00114262, -0.000324576, -0.000290148" \
             );
           }
           vector (ccs_template) {
@@ -62615,18 +62615,18 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0193094");
-            index_3 ("0.528013, 0.557268, 0.567166, 0.584879, 0.597658, 0.618884, 0.638668, 0.657919, 0.677151, 0.696307, 0.700295, 0.713969, 0.744575, 0.75848, 0.777867, 0.797181, 0.811817, 0.826814, 0.838267, 0.861174, 0.886224");
+            index_3 ("0.519696, 0.567496, 0.58495, 0.597804, 0.618992, 0.638755, 0.657994, 0.677214, 0.696363, 0.700387, 0.704985, 0.714182, 0.744431, 0.758806, 0.777989, 0.786725, 0.797153, 0.811824, 0.82695, 0.838517, 0.861651, 0.906059, 0.958539");
             values ( \
-              "-0.0269461, -0.0942064, -0.114364, -0.141828, -0.156035, -0.171622, -0.179495, -0.182144, -0.180451, -0.171211, -0.167468, -0.14789, -0.0902961, -0.0683291, -0.0446802, -0.0284641, -0.0199354, -0.0137817, -0.0103427, -0.00571954, -0.0033914" \
+              "-0.000623408, -0.115552, -0.142339, -0.156308, -0.171951, -0.179539, -0.182329, -0.180421, -0.171333, -0.167474, -0.162052, -0.147639, -0.0906408, -0.0679444, -0.0446133, -0.0364965, -0.0285229, -0.0199582, -0.0137535, -0.010292, -0.00565517, -0.00157695, -0.000317985" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0760062");
-            index_3 ("0.966708, 1.04169, 1.08096, 1.11068, 1.14232, 1.17424, 1.2089, 1.27085, 1.36102, 1.3991, 1.46473, 1.51256, 1.69091, 1.76569, 1.84084, 1.90941, 2.02503, 2.0683");
+            index_3 ("0.978473, 1.00963, 1.04196, 1.0611, 1.08101, 1.10999, 1.14172, 1.15703, 1.17746, 1.20882, 1.27076, 1.31859, 1.36409, 1.399, 1.46469, 1.48662, 1.51168, 1.61064, 1.69085, 1.76563, 1.80543, 1.84064, 1.90931, 1.94794, 2.02522, 2.17679, 2.35328");
             values ( \
-              "-0.0351112, -0.152518, -0.192489, -0.208486, -0.21729, -0.221022, -0.221953, -0.219445, -0.211128, -0.206034, -0.191826, -0.171326, -0.0733106, -0.0465969, -0.0287871, -0.0182395, -0.00822389, -0.00661359" \
+              "-0.0774846, -0.098245, -0.154043, -0.176448, -0.19314, -0.208444, -0.21742, -0.219632, -0.221267, -0.222013, -0.219485, -0.215591, -0.210778, -0.206015, -0.19183, -0.183766, -0.171745, -0.113264, -0.0732942, -0.0465893, -0.0362001, -0.0288063, -0.0182404, -0.0140442, -0.00820777, -0.00257758, -0.000625286" \
             );
           }
           vector (ccs_template) {
@@ -62642,9 +62642,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.17764");
-            index_3 ("8.52543, 9.01422, 9.24423, 9.71711, 10.3037, 11.2014, 13.0629, 13.9188, 14.2758, 14.6603, 15.0731, 15.8987, 17.4715, 18.2252, 18.6121, 19.3859, 20.0046, 20.4466, 21.3305, 21.7553, 22.3771, 23.2062, 24.1853, 25.1643, 27.1225, 29.0807");
+            index_3 ("8.52116, 9.26155, 9.71659, 10.3078, 11.2055, 13.067, 14.2823, 14.6657, 15.0772, 15.9003, 17.4827, 18.2271, 19.3729, 20.4963, 21.3844, 21.9427, 23.0281, 24.0072, 25.8373");
             values ( \
-              "-0.235061, -0.235699, -0.239317, -0.240716, -0.238832, -0.233924, -0.221355, -0.213757, -0.209728, -0.204309, -0.196369, -0.170319, -0.106967, -0.0814652, -0.0703084, -0.0515225, -0.0398723, -0.0330889, -0.0224588, -0.0186341, -0.0141399, -0.00975221, -0.00620125, -0.00397929, -0.00161827, -0.000662551" \
+              "-0.227828, -0.239507, -0.240784, -0.23888, -0.233968, -0.221355, -0.209697, -0.20429, -0.196369, -0.170416, -0.106701, -0.0815324, -0.0518868, -0.0324507, -0.0219717, -0.0172196, -0.0105972, -0.00674543, -0.00298734" \
             );
           }
           vector (ccs_template) {
@@ -62707,7 +62707,7 @@
             index_2 ("0.00124625");
             index_3 ("2.47338, 2.47967, 2.48036, 2.48175, 2.48321, 2.48475, 2.48551, 2.48629, 2.48967, 2.49279, 2.49723, 2.49998, 2.50272, 2.5063, 2.50988, 2.51141, 2.51447, 2.516, 2.51737, 2.51875, 2.5215, 2.52406, 2.52663, 2.52787, 2.52911, 2.53035, 2.53159, 2.53283, 2.53407, 2.53531, 2.53654, 2.53731, 2.53775, 2.5382, 2.53908, 2.53996, 2.54417, 2.5446, 2.54587, 2.54697, 2.54824, 2.54904, 2.55001, 2.55098, 2.55195, 2.55266, 2.55337, 2.55408, 2.55479, 2.556");
             values ( \
-              "-0.0067878, -0.00750894, -0.00790864, -0.00878388, -0.00981367, -0.0110146, -0.0116612, -0.0123998, -0.0160556, -0.0192938, -0.0234778, -0.0260031, -0.0284653, -0.03158, -0.0345875, -0.0358097, -0.0381637, -0.0392956, -0.040223, -0.0411248, -0.0428516, -0.0443702, -0.0457997, -0.0456604, -0.0454492, -0.0451661, -0.0448111, -0.044385, -0.0438872, -0.0433177, -0.0426765, -0.0411582, -0.0402046, -0.0392082, -0.0370288, -0.0347942, -0.0236124, -0.0225987, -0.0197067, -0.0173909, -0.0148829, -0.0133897, -0.0117637, -0.0104, -0.00915951, -0.0083483, -0.00759328, -0.00689445, -0.00625181, -0.00527704" \
+              "-0.0067878, -0.00750894, -0.00790864, -0.00878388, -0.00981367, -0.0110146, -0.0116612, -0.0123998, -0.0160555, -0.019294, -0.0234776, -0.026003, -0.0284653, -0.03158, -0.0345875, -0.0358097, -0.0381637, -0.0392956, -0.040223, -0.0411248, -0.0428516, -0.0443702, -0.0457997, -0.0456604, -0.0454492, -0.0451661, -0.0448111, -0.044385, -0.0438872, -0.0433177, -0.0426765, -0.0411582, -0.0402046, -0.0392082, -0.0370288, -0.0347942, -0.0236124, -0.0225987, -0.0197067, -0.0173909, -0.0148829, -0.0133897, -0.0117637, -0.0104, -0.00915951, -0.0083483, -0.00759328, -0.00689445, -0.00625181, -0.00527704" \
             );
           }
           vector (ccs_template) {
@@ -62716,7 +62716,7 @@
             index_2 ("0.00490555");
             index_3 ("2.56811, 2.58788, 2.6037, 2.61822, 2.62825, 2.63763, 2.6463, 2.65461, 2.66282, 2.67101, 2.68831, 2.69604, 2.70496, 2.71063, 2.71819, 2.72929");
             values ( \
-              "-0.000527788, -0.0258396, -0.0532298, -0.0760169, -0.0893636, -0.0987779, -0.104767, -0.108051, -0.107443, -0.0954579, -0.0423538, -0.0258221, -0.0138386, -0.00917627, -0.00519155, -0.00239007" \
+              "-0.000527787, -0.0258396, -0.0532298, -0.0760169, -0.0893636, -0.0987779, -0.104767, -0.108051, -0.107443, -0.0954579, -0.0423538, -0.0258221, -0.0138386, -0.00917627, -0.00519155, -0.00239007" \
             );
           }
           vector (ccs_template) {
@@ -62817,7 +62817,7 @@
             "0.0060113, 0.00602944, 0.0060533, 0.00606729, 0.00607209, 0.00607342", \
             "0.00674966, 0.00676069, 0.00677973, 0.00679424, 0.00680014, 0.00680184", \
             "0.00705178, 0.0070518, 0.00705474, 0.00706076, 0.00706448, 0.00706579", \
-            "0.00718817, 0.00718437, 0.00718672, 0.00717983, 0.00717778, 0.00717753", \
+            "0.00718872, 0.00718437, 0.00718672, 0.00717983, 0.00717778, 0.00717753", \
             "0.00727778, 0.0072736, 0.00726322, 0.00724821, 0.00723767, 0.0072339", \
             "0.00731128, 0.00730857, 0.00730083, 0.00728568, 0.0072677, 0.00725627" \
           );
@@ -62829,7 +62829,7 @@
             "0.00757714, 0.00758962, 0.00760565, 0.00761673, 0.00762037, 0.00762138", \
             "0.0080276, 0.00801608, 0.00800174, 0.00799206, 0.00798927, 0.00798851", \
             "0.00840853, 0.00835142, 0.00828105, 0.00823469, 0.00821688, 0.00821162", \
-            "0.00896197, 0.00880183, 0.00853901, 0.00837329, 0.00831352, 0.0082954", \
+            "0.0089638, 0.00880183, 0.00853901, 0.00837329, 0.00831352, 0.0082954", \
             "0.00965252, 0.0095087, 0.00880919, 0.00858928, 0.00836293, 0.00829733", \
             "0.00940021, 0.00942004, 0.00957351, 0.00868368, 0.00860664, 0.00835779" \
           );
@@ -62848,7 +62848,7 @@
             "0.0779128, 0.103562, 0.190197, 0.524577, 1.84023, 7.01933", \
             "0.08759, 0.11318, 0.199943, 0.534337, 1.84994, 7.02904", \
             "0.123106, 0.148835, 0.235999, 0.570814, 1.88648, 7.06557", \
-            "0.181669, 0.212612, 0.301927, 0.637506, 1.95357, 7.13251", \
+            "0.181669, 0.212625, 0.301919, 0.637494, 1.95356, 7.13251", \
             "0.211071, 0.258532, 0.366169, 0.703727, 2.01936, 7.1988", \
             "-0.036908, 0.0382721, 0.219018, 0.612661, 1.9579, 7.1366" \
           );
@@ -62860,7 +62860,7 @@
             "0.0307689, 0.0600371, 0.181346, 0.669883, 2.59385, 10.1666", \
             "0.0307838, 0.0600652, 0.181348, 0.669883, 2.59344, 10.1666", \
             "0.0333257, 0.0615507, 0.181506, 0.669885, 2.59344, 10.1666", \
-            "0.047216, 0.0716675, 0.184265, 0.67052, 2.59422, 10.1666", \
+            "0.047216, 0.0716729, 0.184261, 0.670448, 2.59422, 10.1666", \
             "0.0796766, 0.110479, 0.205447, 0.673408, 2.59448, 10.1669", \
             "0.146355, 0.200835, 0.325097, 0.733133, 2.62289, 10.1662" \
           );
@@ -63041,36 +63041,36 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490555");
-            index_3 ("0.637039, 0.651456, 0.659889, 0.67119, 0.710799, 0.722316, 0.732981, 0.743533, 0.754073, 0.76905, 0.785927, 0.806354, 0.819972, 0.837185, 0.854645, 0.874599, 0.879767");
+            index_3 ("0.637423, 0.651561, 0.65589, 0.660837, 0.665298, 0.676336, 0.697449, 0.710908, 0.722426, 0.733092, 0.743644, 0.754184, 0.765998, 0.769161, 0.775488, 0.786039, 0.791497, 0.79791, 0.806461, 0.812718, 0.820088, 0.829916, 0.8373, 0.844775, 0.854741, 0.874674, 0.894831");
             values ( \
-              "0.00957268, 0.0149441, 0.0200054, 0.0303067, 0.0725051, 0.0809759, 0.0845416, 0.0830668, 0.0736075, 0.0523444, 0.0330889, 0.0178452, 0.0115362, 0.00652516, 0.00361836, 0.00181236, 0.00160415" \
+              "0.00994384, 0.0150547, 0.0173925, 0.0206894, 0.0245026, 0.0355532, 0.0587904, 0.072493, 0.0809755, 0.0845344, 0.083071, 0.0736012, 0.0565178, 0.052357, 0.0443152, 0.0331006, 0.0282617, 0.0233034, 0.0178332, 0.0146213, 0.0115194, 0.00833755, 0.00650943, 0.00507074, 0.00363504, 0.00179991, 0.00100624" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0193094");
-            index_3 ("0.653864, 0.672029, 0.68708, 0.712513, 0.727423, 0.742482, 0.763796, 0.768906, 0.779126, 0.795132, 0.82148, 0.835085, 0.849598, 0.863238, 0.878826, 0.920415, 0.939848, 0.959617, 0.985374, 1.00692, 1.02354, 1.04083, 1.0602, 1.07127, 1.09099, 1.11563, 1.13393, 1.15661, 1.18686, 1.24734, 1.29814");
+            index_3 ("0.654023, 0.672172, 0.712656, 0.727573, 0.742626, 0.76399, 0.779169, 0.795276, 0.821623, 0.835243, 0.849772, 0.863209, 0.935303, 0.981862, 1.01854, 1.04098, 1.06075, 1.0928, 1.11809, 1.15352, 1.17945, 1.23131, 1.26895");
             values ( \
-              "0.0380213, 0.0385677, 0.0603799, 0.0999326, 0.117776, 0.128301, 0.133828, 0.133798, 0.133237, 0.130736, 0.124462, 0.120056, 0.114362, 0.107761, 0.099026, 0.0735881, 0.0626003, 0.0525069, 0.040944, 0.0329729, 0.0277043, 0.0230106, 0.0185385, 0.0164322, 0.0131837, 0.00993728, 0.00804165, 0.00617065, 0.0043114, 0.00203549, 0.00124482" \
+              "0.0380936, 0.0385643, 0.0999339, 0.117775, 0.128303, 0.133827, 0.133245, 0.130739, 0.12446, 0.120052, 0.114349, 0.107851, 0.0651842, 0.0424255, 0.0292497, 0.0229859, 0.0184358, 0.0129229, 0.00966198, 0.00640121, 0.00471331, 0.00250027, 0.00177365" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0760062");
-            index_3 ("0.684296, 0.729184, 0.754551, 0.774752, 0.799581, 0.859872, 1.08804, 1.15764, 1.22158, 1.26565, 1.35378, 1.5666, 1.71319, 1.85017, 1.99052, 2.06643, 2.14141, 2.24597, 2.37313, 2.54266, 2.84675, 3.20305");
+            index_3 ("0.684461, 0.729743, 0.737874, 0.754136, 0.769543, 0.783248, 0.805511, 0.83174, 0.867018, 0.96242, 1.04917, 1.09135, 1.17182, 1.19958, 1.2551, 1.32857, 1.38812, 1.58308, 1.63791, 1.74642, 1.81351, 1.85891, 1.94973, 2.00166, 2.05958, 2.13678, 2.24355, 2.28832, 2.37785, 2.55692, 2.86251, 3.22254");
             values ( \
-              "0.120794, 0.132563, 0.150583, 0.155698, 0.156822, 0.154013, 0.138156, 0.132396, 0.126067, 0.12079, 0.107906, 0.0715956, 0.0497279, 0.0340012, 0.0223776, 0.0177372, 0.0139991, 0.0100288, 0.00664711, 0.00375572, 0.00126072, 0.00031477" \
+              "0.12016, 0.132908, 0.140575, 0.150302, 0.154752, 0.156295, 0.156763, 0.155652, 0.153554, 0.147, 0.140651, 0.137924, 0.13111, 0.128417, 0.122163, 0.111882, 0.10221, 0.0689527, 0.0604347, 0.0454899, 0.0377747, 0.0331776, 0.0253346, 0.0216469, 0.0181132, 0.0142227, 0.0100962, 0.00874961, 0.00653344, 0.00358527, 0.00117975, 0.000302731" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299178");
-            index_3 ("0.717645, 0.717665, 1.27398, 1.71739, 2.25882, 2.47428, 2.86842, 3.0368, 3.19052, 3.36711, 4.02272, 4.4005, 4.77589, 4.9677, 5.09025, 5.33379, 5.64719, 5.90606, 6.21093, 6.64166, 6.83231, 7.08973, 7.43296, 8.11943, 9.20536, 10.2913");
+            index_3 ("0.717377, 0.717397, 1.27398, 1.71739, 2.25882, 2.47428, 2.86842, 3.0368, 3.19052, 3.36711, 4.02272, 4.40049, 4.77589, 4.9677, 5.09025, 5.33379, 5.64719, 5.90605, 6.21093, 6.64165, 6.83231, 7.08973, 7.43296, 8.11943, 9.20536, 10.2913");
             values ( \
-              "1e-22, 0.178006, 0.157851, 0.149767, 0.139141, 0.134375, 0.123512, 0.117584, 0.11154, 0.104037, 0.0746121, 0.0590079, 0.0456257, 0.0397451, 0.0363169, 0.0302047, 0.0236584, 0.0192529, 0.01502, 0.0104991, 0.00895562, 0.00721534, 0.00538571, 0.00295552, 0.00107455, 0.000393521" \
+              "1e-22, 0.177844, 0.157851, 0.149767, 0.139141, 0.134375, 0.123512, 0.117584, 0.11154, 0.104037, 0.0746121, 0.0590079, 0.0456257, 0.0397451, 0.0363169, 0.0302047, 0.0236584, 0.0192529, 0.01502, 0.0104991, 0.00895562, 0.00721535, 0.00538571, 0.00295552, 0.00107455, 0.000393522" \
             );
           }
           vector (ccs_template) {
@@ -63169,7 +63169,7 @@
             index_2 ("0.0760062");
             index_3 ("8.12297, 8.26247, 8.39732, 8.61285, 8.70874, 8.73072, 8.77469, 8.8381, 8.92993, 8.94637, 8.97926, 9.06059, 9.09171, 9.15394, 9.41904, 9.5157, 9.57427, 9.66483, 9.76833, 9.86519, 9.93455, 10.052, 10.1704, 10.2621, 10.4454, 10.7742, 11.1642");
             values ( \
-              "0.0237089, 0.0302828, 0.0578703, 0.10621, 0.12247, 0.12495, 0.127932, 0.128508, 0.125008, 0.124026, 0.121898, 0.115046, 0.111674, 0.103716, 0.062914, 0.0496002, 0.0425571, 0.0331208, 0.0245026, 0.0183033, 0.014763, 0.0101835, 0.00697655, 0.00517327, 0.00280384, 0.000833335, 0.000188059" \
+              "0.0237089, 0.0302828, 0.0578703, 0.10621, 0.12247, 0.12495, 0.127932, 0.128508, 0.125008, 0.124026, 0.121898, 0.115046, 0.111674, 0.103716, 0.062914, 0.0496002, 0.0425571, 0.0331208, 0.0245026, 0.0183033, 0.014763, 0.0101835, 0.00697655, 0.00517327, 0.00280384, 0.000833335, 0.00018806" \
             );
           }
           vector (ccs_template) {
@@ -63784,7 +63784,7 @@
             index_2 ("0.299178");
             index_3 ("0.798809, 0.929212, 0.976823, 1.01952, 1.06602, 1.1071, 1.15868, 1.22149, 1.28389, 1.51267, 1.98742, 2.19653, 2.31716, 2.478, 2.54361, 2.63109, 3.13973, 3.29419, 3.52516, 3.67104, 3.8407, 4.0669, 4.2029, 4.4113, 4.68916, 5.24489, 5.9141");
             values ( \
-              "-0.191349, -0.200715, -0.218872, -0.228151, -0.234245, -0.237318, -0.238831, -0.238863, -0.238013, -0.233042, -0.220442, -0.213216, -0.2078, -0.197395, -0.191287, -0.180884, -0.102508, -0.0823304, -0.0578845, -0.0458337, -0.0346598, -0.0235573, -0.0186428, -0.0129635, -0.00787633, -0.00267348, -0.000671396" \
+              "-0.191349, -0.200715, -0.218872, -0.228151, -0.234245, -0.237318, -0.238831, -0.238863, -0.238013, -0.233042, -0.220442, -0.213216, -0.2078, -0.197395, -0.191287, -0.180884, -0.102508, -0.0823304, -0.0578845, -0.0458337, -0.0346598, -0.0235573, -0.0186428, -0.0129635, -0.00787634, -0.00267349, -0.000671399" \
             );
           }
           vector (ccs_template) {
@@ -64195,7 +64195,7 @@
             index_2 ("0.299178");
             index_3 ("2.26903, 2.39532, 2.43175, 2.46402, 2.50567, 2.54717, 2.62799, 2.83264, 3.2158, 3.48032, 3.66576, 4.03065, 4.292, 4.54695, 4.72006, 4.92153, 5.65574, 5.85433, 6.2515, 6.41015, 6.57564, 6.79628, 7.15439, 7.25947, 7.46964, 7.88997, 8.20821, 8.56079, 9.03091, 9.97113, 11.0375, 12.104");
             values ( \
-              "0.119019, 0.141069, 0.155357, 0.161436, 0.164336, 0.164967, 0.164499, 0.161637, 0.154727, 0.149575, 0.145759, 0.137601, 0.130498, 0.121667, 0.114637, 0.105702, 0.0716638, 0.0632213, 0.04817, 0.0429706, 0.0380256, 0.0321694, 0.0242625, 0.0223202, 0.0188208, 0.0132878, 0.0101611, 0.00753845, 0.00503128, 0.00212692, 0.000789541, 0.00028269" \
+              "0.119019, 0.141069, 0.155357, 0.161436, 0.164336, 0.164967, 0.164499, 0.161637, 0.154727, 0.149575, 0.145759, 0.137601, 0.130498, 0.121667, 0.114637, 0.105702, 0.0716638, 0.0632213, 0.04817, 0.0429706, 0.0380256, 0.0321694, 0.0242625, 0.0223202, 0.0188208, 0.0132878, 0.0101611, 0.00753845, 0.00503128, 0.00212692, 0.000789541, 0.000282689" \
             );
           }
           vector (ccs_template) {
@@ -64238,9 +64238,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0760062");
-            index_3 ("7.96006, 8.13178, 8.21181, 8.28803, 8.498, 8.62566, 8.64604, 8.6732, 8.7267, 8.82827, 8.86413, 8.90344, 8.94543, 9.02941, 9.07089, 9.25156, 9.29999, 9.39686, 9.46558, 9.54039, 9.64014, 9.70858, 9.74682, 9.82331, 9.93112, 9.97627, 10.0666, 10.2472, 10.5522, 10.9116");
+            index_3 ("7.96006, 8.13178, 8.21181, 8.28803, 8.498, 8.62566, 8.64604, 8.67321, 8.72669, 8.82827, 8.86413, 8.90345, 8.94543, 9.02941, 9.07089, 9.25156, 9.29999, 9.39686, 9.46558, 9.54039, 9.64014, 9.70858, 9.74682, 9.82331, 9.93112, 9.97627, 10.0666, 10.2472, 10.5522, 10.9116");
             values ( \
-              "0.0204311, 0.0271705, 0.041917, 0.0572072, 0.102511, 0.123899, 0.12609, 0.128167, 0.129764, 0.126809, 0.124435, 0.121297, 0.117173, 0.106519, 0.100229, 0.0708996, 0.0634576, 0.0498003, 0.0414442, 0.0336044, 0.0250773, 0.0203757, 0.0181321, 0.0142638, 0.0101176, 0.0087398, 0.00652817, 0.00354702, 0.0011815, 0.000292168" \
+              "0.0204311, 0.0271705, 0.041917, 0.0572073, 0.102511, 0.123899, 0.12609, 0.128168, 0.129764, 0.126809, 0.124435, 0.121296, 0.117173, 0.10652, 0.100229, 0.0708996, 0.0634575, 0.0498003, 0.0414442, 0.0336045, 0.0250774, 0.0203756, 0.0181321, 0.0142637, 0.0101175, 0.0087398, 0.0065282, 0.00354708, 0.00118152, 0.000292175" \
             );
           }
           vector (ccs_template) {
@@ -64277,7 +64277,7 @@
             "0.104862, 0.125621, 0.186791, 0.403827, 1.25321, 4.59577", \
             "0.150293, 0.170988, 0.232499, 0.44966, 1.29904, 4.64164", \
             "0.266528, 0.292229, 0.357727, 0.577741, 1.42728, 4.76986", \
-            "0.505416, 0.545078, 0.631514, 0.858262, 1.70935, 5.05205", \
+            "0.505575, 0.545078, 0.631686, 0.858134, 1.70837, 5.05233", \
             "1.11058, 1.17495, 1.32287, 1.62415, 2.50196, 5.848" \
           );
         }
@@ -64289,7 +64289,7 @@
             "0.0240557, 0.042675, 0.1116, 0.393613, 1.52058, 5.95764", \
             "0.0247635, 0.0432543, 0.111769, 0.393617, 1.52057, 5.95765", \
             "0.0369671, 0.0537625, 0.118541, 0.396179, 1.52043, 5.95767", \
-            "0.0644934, 0.0870197, 0.145261, 0.402648, 1.52414, 5.95765", \
+            "0.0642948, 0.0870197, 0.145581, 0.402181, 1.52429, 5.95748", \
             "0.130289, 0.16762, 0.253653, 0.481677, 1.55268, 5.96422" \
           );
         }
@@ -64514,9 +64514,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.43452, 2.48464, 2.49078, 2.50358, 2.5144, 2.51823, 2.52334, 2.54736, 2.56021, 2.57797, 2.58854, 2.59825, 2.60746, 2.61647, 2.62321, 2.63274, 2.63897, 2.64429, 2.6476, 2.65139, 2.65644");
+            index_3 ("2.46678, 2.49752, 2.50706, 2.51383, 2.52073, 2.55163, 2.56569, 2.57754, 2.58808, 2.59777, 2.60697, 2.61596, 2.62269, 2.63222, 2.63842, 2.64375, 2.64708, 2.65089, 2.65851, 2.66978, 2.70171, 2.79732");
             values ( \
-              "-0.000432555, -0.00121155, -0.00167629, -0.00293938, -0.00443818, -0.00515813, -0.00629472, -0.0130456, -0.0162417, -0.0202057, -0.0222173, -0.0238735, -0.0249264, -0.0250041, -0.0217197, -0.0117848, -0.00673568, -0.00389996, -0.00274344, -0.00178312, -0.00108718" \
+              "-0.000384624, -0.00253483, -0.00355266, -0.0045359, -0.00585266, -0.014288, -0.0176581, -0.0202564, -0.0222923, -0.0239054, -0.0249828, -0.0250213, -0.0217557, -0.0117835, -0.00675308, -0.00390413, -0.00274075, -0.00177651, -0.000731211, -0.000188928, -7.19314e-05, -3.07151e-05" \
             );
           }
           vector (ccs_template) {
@@ -64532,36 +64532,36 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0193094");
-            index_3 ("2.48132, 2.52679, 2.53451, 2.53714, 2.54065, 2.54766, 2.55933, 2.56582, 2.57879, 2.59615, 2.63632, 2.66108, 2.66639, 2.67701, 2.69179, 2.71472, 2.73648, 2.75804, 2.77958, 2.78577, 2.79403, 2.8095, 2.81638, 2.81982, 2.82671, 2.84049, 2.84383, 2.84892, 2.85473, 2.86427, 2.87745, 2.88242, 2.89234, 2.9122, 2.93298");
+            index_3 ("2.47933, 2.53239, 2.5496, 2.595, 2.66568, 2.69123, 2.71421, 2.73593, 2.7575, 2.77906, 2.80896, 2.82625, 2.84403, 2.86357, 2.88189, 2.89198, 2.91217, 2.952, 2.99794");
             values ( \
-              "-0.00673603, -0.0202553, -0.0242355, -0.0256766, -0.0276874, -0.0319807, -0.0400684, -0.0450629, -0.0554143, -0.0697166, -0.103453, -0.123472, -0.127608, -0.135509, -0.145541, -0.157401, -0.162967, -0.159801, -0.137006, -0.126648, -0.112044, -0.0857403, -0.0751486, -0.0701941, -0.0609425, -0.0452226, -0.0419682, -0.0374195, -0.0327299, -0.0261728, -0.0190451, -0.0168937, -0.0132316, -0.00802105, -0.00522431" \
+              "-0.00326111, -0.0229536, -0.0332517, -0.0688066, -0.127295, -0.145178, -0.157298, -0.162785, -0.159798, -0.136915, -0.0857439, -0.060861, -0.0412953, -0.0262817, -0.0168945, -0.0131789, -0.00791737, -0.00263466, -0.000707709" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0760062");
-            index_3 ("2.53806, 2.59258, 2.67835, 2.72055, 2.75132, 2.7997, 2.84598, 2.93982, 2.99239, 3.03185, 3.0744, 3.1442, 3.29714, 3.36782, 3.4393, 3.49284, 3.5473, 3.67189, 3.70628");
+            index_3 ("2.53552, 2.58093, 2.67853, 2.71953, 2.75153, 2.78563, 2.81525, 2.86123, 2.94079, 3.00429, 3.05059, 3.07456, 3.1225, 3.19937, 3.29033, 3.37863, 3.46281, 3.5134, 3.59553, 3.70505, 3.75285");
             values ( \
-              "-0.0563712, -0.0745244, -0.161251, -0.194087, -0.207916, -0.218398, -0.220059, -0.214376, -0.208103, -0.201607, -0.190781, -0.157299, -0.0736232, -0.0480743, -0.0304826, -0.021349, -0.0148253, -0.00623401, -0.00527397" \
+              "-0.0569588, -0.0636463, -0.16123, -0.193381, -0.207956, -0.21639, -0.219217, -0.219764, -0.214056, -0.206512, -0.197563, -0.190754, -0.169591, -0.123774, -0.0764668, -0.0448493, -0.0259951, -0.018569, -0.0106203, -0.00483934, -0.00380172" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.299178");
-            index_3 ("2.57943, 2.6561, 2.70443, 2.74168, 2.76987, 2.79994, 2.84448, 2.89126, 2.94836, 3.08579, 3.31536, 3.79179, 4.05529, 4.19922, 4.27306, 4.37092, 4.47866, 4.85582, 5.0102, 5.16597, 5.37366, 5.4551, 5.60818, 5.7448, 6.01142, 6.16179, 6.46255, 7.02499, 7.67954");
+            index_3 ("2.56775, 2.65513, 2.697, 2.74246, 2.78075, 2.8214, 2.85911, 2.90898, 2.9691, 3.15826, 3.54476, 3.79083, 4.04091, 4.18148, 4.25245, 4.30405, 4.40723, 4.58847, 4.91502, 5.11881, 5.23278, 5.42022, 5.62324, 5.75673, 6.01164, 6.1513, 6.43063, 6.98929, 7.6352");
             values ( \
-              "-0.135482, -0.145507, -0.1922, -0.215864, -0.226243, -0.233017, -0.237841, -0.239351, -0.23942, -0.237156, -0.232138, -0.219744, -0.210355, -0.203162, -0.198247, -0.188961, -0.175033, -0.115473, -0.0935897, -0.0745638, -0.0539534, -0.047357, -0.036847, -0.0293015, -0.0185299, -0.0142498, -0.0083075, -0.00277227, -0.000729865" \
+              "-0.102738, -0.143884, -0.186637, -0.216401, -0.229419, -0.23607, -0.238578, -0.239569, -0.239155, -0.235637, -0.226565, -0.219743, -0.210922, -0.204049, -0.199737, -0.195525, -0.184548, -0.157962, -0.106671, -0.0799325, -0.0673183, -0.0500742, -0.0359319, -0.0287092, -0.0185216, -0.0145211, -0.00881127, -0.00297409, -0.000797443" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.17764");
-            index_3 ("2.49659, 2.53699, 2.72937, 2.8086, 2.9011, 3.01256, 3.50181, 4.16389, 4.29895, 5.65267, 6.94194, 7.52359, 8.11229, 8.86167, 9.1462, 9.67841, 10.2701, 11.2954, 11.95, 12.4349, 13.0814, 13.593, 13.9958, 14.5329, 15.2822, 15.8224, 16.5722, 17.3215, 18.0709, 18.8203, 19.5697, 21.0685, 22.5672");
+            index_3 ("2.49158, 2.57784, 2.73048, 2.80794, 2.90082, 2.9568, 3.01251, 3.33947, 4.1628, 4.29812, 5.64536, 6.92007, 7.5582, 8.11147, 8.86085, 9.14536, 9.67757, 11.2945, 11.9492, 12.434, 13.0806, 13.5922, 13.995, 14.532, 15.2814, 15.8216, 16.5713, 17.3207, 18.0701, 18.8195, 19.5688, 21.0676, 22.5664");
             values ( \
-              "-0.0132974, -0.0321265, -0.212944, -0.238931, -0.2453, -0.246065, -0.243882, -0.239934, -0.240022, -0.231783, -0.222947, -0.218243, -0.212522, -0.202171, -0.19639, -0.181066, -0.158133, -0.116258, -0.0925491, -0.0772933, -0.0600042, -0.0487522, -0.0412508, -0.0328387, -0.0237131, -0.0187088, -0.0134106, -0.00955589, -0.00680489, -0.00482599, -0.00343017, -0.00172406, -0.000866021" \
+              "-0.0128394, -0.06562, -0.213644, -0.238677, -0.245119, -0.245854, -0.245888, -0.244632, -0.240104, -0.240022, -0.231826, -0.223103, -0.217929, -0.212524, -0.202169, -0.196391, -0.181065, -0.116258, -0.0925491, -0.0772936, -0.0600042, -0.0487526, -0.0412512, -0.0328381, -0.0237134, -0.0187093, -0.0134113, -0.00955535, -0.00680554, -0.0048254, -0.00343081, -0.00172471, -0.00086668" \
             );
           }
           vector (ccs_template) {
@@ -64633,8 +64633,8 @@
             "0.0991514, 0.1256, 0.195977, 0.42114, 1.28786, 4.69954", \
             "0.108921, 0.135376, 0.205807, 0.430974, 1.29768, 4.70936", \
             "0.154698, 0.180953, 0.252139, 0.477493, 1.34426, 4.75591", \
-            "0.273506, 0.308198, 0.38945, 0.620366, 1.48676, 4.89809", \
-            "0.531507, 0.582087, 0.698544, 0.949381, 1.81803, 5.22927", \
+            "0.273506, 0.308198, 0.389546, 0.620366, 1.48667, 4.89809", \
+            "0.531552, 0.582323, 0.698544, 0.949852, 1.81803, 5.22927", \
             "1.2421, 1.3185, 1.5094, 1.86873, 2.76895, 6.17726" \
           );
         }
@@ -64645,8 +64645,8 @@
             "0.0304455, 0.0528859, 0.123052, 0.403532, 1.5524, 6.07509", \
             "0.0304608, 0.0528772, 0.123035, 0.403568, 1.55052, 6.07509", \
             "0.031307, 0.0538206, 0.12342, 0.403601, 1.55064, 6.07513", \
-            "0.0471347, 0.0718399, 0.136299, 0.407592, 1.55051, 6.07653", \
-            "0.077482, 0.115413, 0.187141, 0.424679, 1.55423, 6.07657", \
+            "0.0471347, 0.0718399, 0.136235, 0.407592, 1.55051, 6.07653", \
+            "0.0774255, 0.115158, 0.187141, 0.424549, 1.55423, 6.07657", \
             "0.15126, 0.208393, 0.325976, 0.541393, 1.57641, 6.07617" \
           );
         }
@@ -64835,9 +64835,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0193094");
-            index_3 ("0.747787, 0.763918, 0.782789, 0.853615, 0.865339, 0.888489, 0.910059, 0.931031, 0.951979, 0.964999, 1.01556, 1.03649, 1.05896, 1.0722, 1.08373, 1.09911, 1.12986, 1.13648");
+            index_3 ("0.745426, 0.764364, 0.783088, 0.853715, 0.86547, 0.888602, 0.910166, 0.931133, 0.952075, 0.965212, 1.01565, 1.03658, 1.05858, 1.07703, 1.08795, 1.10978, 1.13456");
             values ( \
-              "-0.0420846, -0.0441571, -0.0664249, -0.133796, -0.143388, -0.157316, -0.164915, -0.167089, -0.161662, -0.149612, -0.0673983, -0.0430343, -0.0257283, -0.0187592, -0.0141948, -0.00970522, -0.00434744, -0.00388153" \
+              "-0.02867, -0.0447695, -0.0667757, -0.133888, -0.143516, -0.157378, -0.164979, -0.167111, -0.161695, -0.149476, -0.0674062, -0.0430385, -0.0260066, -0.0167371, -0.0128229, -0.00739879, -0.00435849" \
             );
           }
           vector (ccs_template) {
@@ -64853,9 +64853,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299178");
-            index_3 ("0.819249, 0.980273, 1.02124, 1.08489, 1.11191, 1.16597, 1.28956, 1.52289, 1.78794, 2.00764, 2.25536, 2.35728, 2.44146, 2.5311, 2.6692, 3.07674, 3.25315, 3.38697, 3.5654, 3.71476, 3.90175, 4.14316, 4.25281, 4.42, 4.64292, 5.08877, 5.73084, 6.49564");
+            index_3 ("0.816164, 0.941859, 0.980333, 1.02116, 1.08471, 1.11174, 1.1658, 1.28947, 1.5228, 1.78785, 2.00755, 2.25527, 2.35719, 2.44138, 2.53102, 2.66912, 3.07666, 3.25306, 3.38688, 3.56531, 3.71468, 3.90167, 4.14307, 4.25272, 4.41991, 4.64284, 5.08868, 5.73074, 6.49553");
             values ( \
-              "-0.213415, -0.226752, -0.231329, -0.234962, -0.235621, -0.235666, -0.233493, -0.22827, -0.221861, -0.215884, -0.207525, -0.202995, -0.198335, -0.19188, -0.177396, -0.116051, -0.0916073, -0.0755691, -0.0575872, -0.0454606, -0.0334937, -0.0222301, -0.0184364, -0.0138184, -0.00933204, -0.00408732, -0.00111709, -0.000220152" \
+              "-0.211052, -0.217418, -0.226772, -0.231305, -0.234955, -0.235618, -0.235667, -0.233494, -0.228271, -0.221861, -0.215884, -0.207525, -0.202995, -0.198335, -0.19188, -0.177396, -0.116051, -0.0916073, -0.0755691, -0.0575872, -0.0454606, -0.0334937, -0.0222301, -0.0184364, -0.0138185, -0.00933205, -0.00408733, -0.00111712, -0.000220159" \
             );
           }
           vector (ccs_template) {
@@ -64871,18 +64871,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.50106, 2.52098, 2.52507, 2.54285, 2.56718, 2.58644, 2.60494, 2.61437, 2.62642, 2.63818, 2.64993, 2.64998, 2.65402, 2.66256, 2.67599, 2.68576");
+            index_3 ("2.49254, 2.5211, 2.53397, 2.54053, 2.57195, 2.58756, 2.60125, 2.61381, 2.62585, 2.63761, 2.64935, 2.65606, 2.66247, 2.67444, 2.6829, 2.68935, 2.69457, 2.705, 2.71946, 2.73755, 2.906");
             values ( \
-              "-0.00296777, -0.00307956, -0.00352876, -0.00647445, -0.0120065, -0.0154477, -0.0176575, -0.0184336, -0.0191423, -0.0190584, -0.0187499, -0.018843, -0.0180811, -0.0146714, -0.0065066, -0.00298539" \
+              "-0.00133589, -0.00306566, -0.0046968, -0.00592461, -0.0130201, -0.0155908, -0.0172803, -0.0183327, -0.0189984, -0.0192144, -0.0188277, -0.01752, -0.0144237, -0.00708389, -0.00363837, -0.00210469, -0.00133162, -0.00051353, -0.000161064, -8.10419e-05, -1.58394e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00490555");
-            index_3 ("2.48786, 2.52554, 2.53612, 2.54912, 2.58196, 2.60107, 2.61421, 2.6447, 2.70579, 2.71656, 2.72904, 2.75697, 2.76729, 2.77984, 2.79323, 2.81136");
+            index_3 ("2.49278, 2.5276, 2.5395, 2.55015, 2.58378, 2.60161, 2.62492, 2.64559, 2.66474, 2.69858, 2.70974, 2.71679, 2.72926, 2.75704, 2.76837, 2.78144, 2.79636, 2.79987");
             values ( \
-              "-0.00141615, -0.00795982, -0.0106993, -0.0148909, -0.0285335, -0.0348532, -0.038358, -0.0444219, -0.053142, -0.0528983, -0.0455136, -0.0159184, -0.00930104, -0.00458536, -0.0020079, -0.000682184" \
+              "-0.00152419, -0.00862485, -0.0117699, -0.0152945, -0.0292333, -0.0349986, -0.040833, -0.0445745, -0.0474416, -0.0523695, -0.0533876, -0.0529121, -0.0455957, -0.0160693, -0.00890846, -0.00423643, -0.00165999, -0.00145161" \
             );
           }
           vector (ccs_template) {
@@ -64898,9 +64898,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0760062");
-            index_3 ("2.54518, 2.60034, 2.67292, 2.79996, 2.82588, 2.86676, 2.89878, 2.96282, 2.98725, 3.03222, 3.09935, 3.13321, 3.16963, 3.24119, 3.30812, 3.39588, 3.46702, 3.53848, 3.59264, 3.6481, 3.69045, 3.77513, 3.86177");
+            index_3 ("2.56174, 2.64162, 2.665, 2.80269, 2.82688, 2.86756, 2.8997, 2.96397, 2.98655, 3.03309, 3.07962, 3.1341, 3.17051, 3.24207, 3.30899, 3.39676, 3.46789, 3.53935, 3.59352, 3.64897, 3.69131, 3.77599, 3.84051");
             values ( \
-              "-0.0484184, -0.050856, -0.0832262, -0.160606, -0.174228, -0.190341, -0.198708, -0.206896, -0.207583, -0.206635, -0.200863, -0.195652, -0.186989, -0.154807, -0.115848, -0.0726712, -0.0475451, -0.0302881, -0.0211975, -0.0146717, -0.0110334, -0.00610914, -0.00375839" \
+              "-0.0583171, -0.0694901, -0.0792478, -0.16193, -0.174515, -0.190495, -0.198835, -0.206981, -0.207609, -0.206658, -0.203227, -0.195661, -0.18699, -0.154812, -0.115845, -0.0726684, -0.0475419, -0.0302921, -0.021194, -0.0146681, -0.0110381, -0.00610557, -0.00435812" \
             );
           }
           vector (ccs_template) {
@@ -64988,7 +64988,7 @@
             "0.0779128, 0.103562, 0.190197, 0.524577, 1.84023, 7.01933", \
             "0.08759, 0.11318, 0.199943, 0.534337, 1.84994, 7.02904", \
             "0.123106, 0.148835, 0.235999, 0.570814, 1.88648, 7.06557", \
-            "0.181669, 0.212612, 0.301927, 0.637506, 1.95357, 7.13251", \
+            "0.181669, 0.212625, 0.301919, 0.637494, 1.95356, 7.13251", \
             "0.211071, 0.258532, 0.366169, 0.703727, 2.01936, 7.1988", \
             "-0.036908, 0.0382721, 0.219018, 0.612661, 1.9579, 7.1366" \
           );
@@ -65000,7 +65000,7 @@
             "0.0307689, 0.0600371, 0.181346, 0.669883, 2.59385, 10.1666", \
             "0.0307838, 0.0600652, 0.181348, 0.669883, 2.59344, 10.1666", \
             "0.0333257, 0.0615507, 0.181506, 0.669885, 2.59344, 10.1666", \
-            "0.047216, 0.0716675, 0.184265, 0.67052, 2.59422, 10.1666", \
+            "0.047216, 0.0716729, 0.184261, 0.670448, 2.59422, 10.1666", \
             "0.0796766, 0.110479, 0.205447, 0.673408, 2.59448, 10.1669", \
             "0.146355, 0.200835, 0.325097, 0.733133, 2.62289, 10.1662" \
           );
@@ -65012,8 +65012,8 @@
             "0.0991514, 0.1256, 0.195977, 0.42114, 1.28786, 4.69954", \
             "0.108921, 0.135376, 0.205807, 0.430974, 1.29768, 4.70936", \
             "0.154698, 0.180953, 0.252139, 0.477493, 1.34426, 4.75591", \
-            "0.273506, 0.308198, 0.38945, 0.620366, 1.48676, 4.89809", \
-            "0.531507, 0.582087, 0.698544, 0.949381, 1.81803, 5.22927", \
+            "0.273506, 0.308198, 0.389546, 0.620366, 1.48667, 4.89809", \
+            "0.531552, 0.582323, 0.698544, 0.949852, 1.81803, 5.22927", \
             "1.2421, 1.3185, 1.5094, 1.86873, 2.76895, 6.17726" \
           );
         }
@@ -65024,8 +65024,8 @@
             "0.0304455, 0.0528859, 0.123052, 0.403532, 1.5524, 6.07509", \
             "0.0304608, 0.0528772, 0.123035, 0.403568, 1.55052, 6.07509", \
             "0.031307, 0.0538206, 0.12342, 0.403601, 1.55064, 6.07513", \
-            "0.0471347, 0.0718399, 0.136299, 0.407592, 1.55051, 6.07653", \
-            "0.077482, 0.115413, 0.187141, 0.424679, 1.55423, 6.07657", \
+            "0.0471347, 0.0718399, 0.136235, 0.407592, 1.55051, 6.07653", \
+            "0.0774255, 0.115158, 0.187141, 0.424549, 1.55423, 6.07657", \
             "0.15126, 0.208393, 0.325976, 0.541393, 1.57641, 6.07617" \
           );
         }
@@ -65205,36 +65205,36 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00490555");
-            index_3 ("0.637039, 0.651456, 0.659889, 0.67119, 0.710799, 0.722316, 0.732981, 0.743533, 0.754073, 0.76905, 0.785927, 0.806354, 0.819972, 0.837185, 0.854645, 0.874599, 0.879767");
+            index_3 ("0.637423, 0.651561, 0.65589, 0.660837, 0.665298, 0.676336, 0.697449, 0.710908, 0.722426, 0.733092, 0.743644, 0.754184, 0.765998, 0.769161, 0.775488, 0.786039, 0.791497, 0.79791, 0.806461, 0.812718, 0.820088, 0.829916, 0.8373, 0.844775, 0.854741, 0.874674, 0.894831");
             values ( \
-              "0.00957268, 0.0149441, 0.0200054, 0.0303067, 0.0725051, 0.0809759, 0.0845416, 0.0830668, 0.0736075, 0.0523444, 0.0330889, 0.0178452, 0.0115362, 0.00652516, 0.00361836, 0.00181236, 0.00160415" \
+              "0.00994384, 0.0150547, 0.0173925, 0.0206894, 0.0245026, 0.0355532, 0.0587904, 0.072493, 0.0809755, 0.0845344, 0.083071, 0.0736012, 0.0565178, 0.052357, 0.0443152, 0.0331006, 0.0282617, 0.0233034, 0.0178332, 0.0146213, 0.0115194, 0.00833755, 0.00650943, 0.00507074, 0.00363504, 0.00179991, 0.00100624" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0193094");
-            index_3 ("0.653864, 0.672029, 0.68708, 0.712513, 0.727423, 0.742482, 0.763796, 0.768906, 0.779126, 0.795132, 0.82148, 0.835085, 0.849598, 0.863238, 0.878826, 0.920415, 0.939848, 0.959617, 0.985374, 1.00692, 1.02354, 1.04083, 1.0602, 1.07127, 1.09099, 1.11563, 1.13393, 1.15661, 1.18686, 1.24734, 1.29814");
+            index_3 ("0.654023, 0.672172, 0.712656, 0.727573, 0.742626, 0.76399, 0.779169, 0.795276, 0.821623, 0.835243, 0.849772, 0.863209, 0.935303, 0.981862, 1.01854, 1.04098, 1.06075, 1.0928, 1.11809, 1.15352, 1.17945, 1.23131, 1.26895");
             values ( \
-              "0.0380213, 0.0385677, 0.0603799, 0.0999326, 0.117776, 0.128301, 0.133828, 0.133798, 0.133237, 0.130736, 0.124462, 0.120056, 0.114362, 0.107761, 0.099026, 0.0735881, 0.0626003, 0.0525069, 0.040944, 0.0329729, 0.0277043, 0.0230106, 0.0185385, 0.0164322, 0.0131837, 0.00993728, 0.00804165, 0.00617065, 0.0043114, 0.00203549, 0.00124482" \
+              "0.0380936, 0.0385643, 0.0999339, 0.117775, 0.128303, 0.133827, 0.133245, 0.130739, 0.12446, 0.120052, 0.114349, 0.107851, 0.0651842, 0.0424255, 0.0292497, 0.0229859, 0.0184358, 0.0129229, 0.00966198, 0.00640121, 0.00471331, 0.00250027, 0.00177365" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0760062");
-            index_3 ("0.684296, 0.729184, 0.754551, 0.774752, 0.799581, 0.859872, 1.08804, 1.15764, 1.22158, 1.26565, 1.35378, 1.5666, 1.71319, 1.85017, 1.99052, 2.06643, 2.14141, 2.24597, 2.37313, 2.54266, 2.84675, 3.20305");
+            index_3 ("0.684461, 0.729743, 0.737874, 0.754136, 0.769543, 0.783248, 0.805511, 0.83174, 0.867018, 0.96242, 1.04917, 1.09135, 1.17182, 1.19958, 1.2551, 1.32857, 1.38812, 1.58308, 1.63791, 1.74642, 1.81351, 1.85891, 1.94973, 2.00166, 2.05958, 2.13678, 2.24355, 2.28832, 2.37785, 2.55692, 2.86251, 3.22254");
             values ( \
-              "0.120794, 0.132563, 0.150583, 0.155698, 0.156822, 0.154013, 0.138156, 0.132396, 0.126067, 0.12079, 0.107906, 0.0715956, 0.0497279, 0.0340012, 0.0223776, 0.0177372, 0.0139991, 0.0100288, 0.00664711, 0.00375572, 0.00126072, 0.00031477" \
+              "0.12016, 0.132908, 0.140575, 0.150302, 0.154752, 0.156295, 0.156763, 0.155652, 0.153554, 0.147, 0.140651, 0.137924, 0.13111, 0.128417, 0.122163, 0.111882, 0.10221, 0.0689527, 0.0604347, 0.0454899, 0.0377747, 0.0331776, 0.0253346, 0.0216469, 0.0181132, 0.0142227, 0.0100962, 0.00874961, 0.00653344, 0.00358527, 0.00117975, 0.000302731" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299178");
-            index_3 ("0.717645, 0.717665, 1.27398, 1.71739, 2.25882, 2.47428, 2.86842, 3.0368, 3.19052, 3.36711, 4.02272, 4.4005, 4.77589, 4.9677, 5.09025, 5.33379, 5.64719, 5.90606, 6.21093, 6.64166, 6.83231, 7.08973, 7.43296, 8.11943, 9.20536, 10.2913");
+            index_3 ("0.717377, 0.717397, 1.27398, 1.71739, 2.25882, 2.47428, 2.86842, 3.0368, 3.19052, 3.36711, 4.02272, 4.40049, 4.77589, 4.9677, 5.09025, 5.33379, 5.64719, 5.90605, 6.21093, 6.64165, 6.83231, 7.08973, 7.43296, 8.11943, 9.20536, 10.2913");
             values ( \
-              "1e-22, 0.178006, 0.157851, 0.149767, 0.139141, 0.134375, 0.123512, 0.117584, 0.11154, 0.104037, 0.0746121, 0.0590079, 0.0456257, 0.0397451, 0.0363169, 0.0302047, 0.0236584, 0.0192529, 0.01502, 0.0104991, 0.00895562, 0.00721534, 0.00538571, 0.00295552, 0.00107455, 0.000393521" \
+              "1e-22, 0.177844, 0.157851, 0.149767, 0.139141, 0.134375, 0.123512, 0.117584, 0.11154, 0.104037, 0.0746121, 0.0590079, 0.0456257, 0.0397451, 0.0363169, 0.0302047, 0.0236584, 0.0192529, 0.01502, 0.0104991, 0.00895562, 0.00721535, 0.00538571, 0.00295552, 0.00107455, 0.000393522" \
             );
           }
           vector (ccs_template) {
@@ -65333,7 +65333,7 @@
             index_2 ("0.0760062");
             index_3 ("8.12297, 8.26247, 8.39732, 8.61285, 8.70874, 8.73072, 8.77469, 8.8381, 8.92993, 8.94637, 8.97926, 9.06059, 9.09171, 9.15394, 9.41904, 9.5157, 9.57427, 9.66483, 9.76833, 9.86519, 9.93455, 10.052, 10.1704, 10.2621, 10.4454, 10.7742, 11.1642");
             values ( \
-              "0.0237089, 0.0302828, 0.0578703, 0.10621, 0.12247, 0.12495, 0.127932, 0.128508, 0.125008, 0.124026, 0.121898, 0.115046, 0.111674, 0.103716, 0.062914, 0.0496002, 0.0425571, 0.0331208, 0.0245026, 0.0183033, 0.014763, 0.0101835, 0.00697655, 0.00517327, 0.00280384, 0.000833335, 0.000188059" \
+              "0.0237089, 0.0302828, 0.0578703, 0.10621, 0.12247, 0.12495, 0.127932, 0.128508, 0.125008, 0.124026, 0.121898, 0.115046, 0.111674, 0.103716, 0.062914, 0.0496002, 0.0425571, 0.0331208, 0.0245026, 0.0183033, 0.014763, 0.0101835, 0.00697655, 0.00517327, 0.00280384, 0.000833335, 0.00018806" \
             );
           }
           vector (ccs_template) {
@@ -65540,9 +65540,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0193094");
-            index_3 ("0.747787, 0.763918, 0.782789, 0.853615, 0.865339, 0.888489, 0.910059, 0.931031, 0.951979, 0.964999, 1.01556, 1.03649, 1.05896, 1.0722, 1.08373, 1.09911, 1.12986, 1.13648");
+            index_3 ("0.745426, 0.764364, 0.783088, 0.853715, 0.86547, 0.888602, 0.910166, 0.931133, 0.952075, 0.965212, 1.01565, 1.03658, 1.05858, 1.07703, 1.08795, 1.10978, 1.13456");
             values ( \
-              "-0.0420846, -0.0441571, -0.0664249, -0.133796, -0.143388, -0.157316, -0.164915, -0.167089, -0.161662, -0.149612, -0.0673983, -0.0430343, -0.0257283, -0.0187592, -0.0141948, -0.00970522, -0.00434744, -0.00388153" \
+              "-0.02867, -0.0447695, -0.0667757, -0.133888, -0.143516, -0.157378, -0.164979, -0.167111, -0.161695, -0.149476, -0.0674062, -0.0430385, -0.0260066, -0.0167371, -0.0128229, -0.00739879, -0.00435849" \
             );
           }
           vector (ccs_template) {
@@ -65558,9 +65558,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.299178");
-            index_3 ("0.819249, 0.980273, 1.02124, 1.08489, 1.11191, 1.16597, 1.28956, 1.52289, 1.78794, 2.00764, 2.25536, 2.35728, 2.44146, 2.5311, 2.6692, 3.07674, 3.25315, 3.38697, 3.5654, 3.71476, 3.90175, 4.14316, 4.25281, 4.42, 4.64292, 5.08877, 5.73084, 6.49564");
+            index_3 ("0.816164, 0.941859, 0.980333, 1.02116, 1.08471, 1.11174, 1.1658, 1.28947, 1.5228, 1.78785, 2.00755, 2.25527, 2.35719, 2.44138, 2.53102, 2.66912, 3.07666, 3.25306, 3.38688, 3.56531, 3.71468, 3.90167, 4.14307, 4.25272, 4.41991, 4.64284, 5.08868, 5.73074, 6.49553");
             values ( \
-              "-0.213415, -0.226752, -0.231329, -0.234962, -0.235621, -0.235666, -0.233493, -0.22827, -0.221861, -0.215884, -0.207525, -0.202995, -0.198335, -0.19188, -0.177396, -0.116051, -0.0916073, -0.0755691, -0.0575872, -0.0454606, -0.0334937, -0.0222301, -0.0184364, -0.0138184, -0.00933204, -0.00408732, -0.00111709, -0.000220152" \
+              "-0.211052, -0.217418, -0.226772, -0.231305, -0.234955, -0.235618, -0.235667, -0.233494, -0.228271, -0.221861, -0.215884, -0.207525, -0.202995, -0.198335, -0.19188, -0.177396, -0.116051, -0.0916073, -0.0755691, -0.0575872, -0.0454606, -0.0334937, -0.0222301, -0.0184364, -0.0138185, -0.00933205, -0.00408733, -0.00111712, -0.000220159" \
             );
           }
           vector (ccs_template) {
@@ -65576,18 +65576,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.50106, 2.52098, 2.52507, 2.54285, 2.56718, 2.58644, 2.60494, 2.61437, 2.62642, 2.63818, 2.64993, 2.64998, 2.65402, 2.66256, 2.67599, 2.68576");
+            index_3 ("2.49254, 2.5211, 2.53397, 2.54053, 2.57195, 2.58756, 2.60125, 2.61381, 2.62585, 2.63761, 2.64935, 2.65606, 2.66247, 2.67444, 2.6829, 2.68935, 2.69457, 2.705, 2.71946, 2.73755, 2.906");
             values ( \
-              "-0.00296777, -0.00307956, -0.00352876, -0.00647445, -0.0120065, -0.0154477, -0.0176575, -0.0184336, -0.0191423, -0.0190584, -0.0187499, -0.018843, -0.0180811, -0.0146714, -0.0065066, -0.00298539" \
+              "-0.00133589, -0.00306566, -0.0046968, -0.00592461, -0.0130201, -0.0155908, -0.0172803, -0.0183327, -0.0189984, -0.0192144, -0.0188277, -0.01752, -0.0144237, -0.00708389, -0.00363837, -0.00210469, -0.00133162, -0.00051353, -0.000161064, -8.10419e-05, -1.58394e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00490555");
-            index_3 ("2.48786, 2.52554, 2.53612, 2.54912, 2.58196, 2.60107, 2.61421, 2.6447, 2.70579, 2.71656, 2.72904, 2.75697, 2.76729, 2.77984, 2.79323, 2.81136");
+            index_3 ("2.49278, 2.5276, 2.5395, 2.55015, 2.58378, 2.60161, 2.62492, 2.64559, 2.66474, 2.69858, 2.70974, 2.71679, 2.72926, 2.75704, 2.76837, 2.78144, 2.79636, 2.79987");
             values ( \
-              "-0.00141615, -0.00795982, -0.0106993, -0.0148909, -0.0285335, -0.0348532, -0.038358, -0.0444219, -0.053142, -0.0528983, -0.0455136, -0.0159184, -0.00930104, -0.00458536, -0.0020079, -0.000682184" \
+              "-0.00152419, -0.00862485, -0.0117699, -0.0152945, -0.0292333, -0.0349986, -0.040833, -0.0445745, -0.0474416, -0.0523695, -0.0533876, -0.0529121, -0.0455957, -0.0160693, -0.00890846, -0.00423643, -0.00165999, -0.00145161" \
             );
           }
           vector (ccs_template) {
@@ -65603,9 +65603,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0760062");
-            index_3 ("2.54518, 2.60034, 2.67292, 2.79996, 2.82588, 2.86676, 2.89878, 2.96282, 2.98725, 3.03222, 3.09935, 3.13321, 3.16963, 3.24119, 3.30812, 3.39588, 3.46702, 3.53848, 3.59264, 3.6481, 3.69045, 3.77513, 3.86177");
+            index_3 ("2.56174, 2.64162, 2.665, 2.80269, 2.82688, 2.86756, 2.8997, 2.96397, 2.98655, 3.03309, 3.07962, 3.1341, 3.17051, 3.24207, 3.30899, 3.39676, 3.46789, 3.53935, 3.59352, 3.64897, 3.69131, 3.77599, 3.84051");
             values ( \
-              "-0.0484184, -0.050856, -0.0832262, -0.160606, -0.174228, -0.190341, -0.198708, -0.206896, -0.207583, -0.206635, -0.200863, -0.195652, -0.186989, -0.154807, -0.115848, -0.0726712, -0.0475451, -0.0302881, -0.0211975, -0.0146717, -0.0110334, -0.00610914, -0.00375839" \
+              "-0.0583171, -0.0694901, -0.0792478, -0.16193, -0.174515, -0.190495, -0.198835, -0.206981, -0.207609, -0.206658, -0.203227, -0.195661, -0.18699, -0.154812, -0.115845, -0.0726684, -0.0475419, -0.0302921, -0.021194, -0.0146681, -0.0110381, -0.00610557, -0.00435812" \
             );
           }
           vector (ccs_template) {
@@ -65734,8 +65734,8 @@
             "0.0193508, 0.019496, 0.0195985, 0.0195582, 0.0195251, 0.0195143", \
             "0.019251, 0.019385, 0.019482, 0.0194469, 0.019413, 0.0194015", \
             "0.0191112, 0.0192213, 0.0193568, 0.0193615, 0.0193355, 0.0193254", \
-            "0.0190586, 0.0191279, 0.0192511, 0.0193854, 0.0194183, 0.0193679", \
-            "0.0213155, 0.0211738, 0.021156, 0.0212504, 0.0212631, 0.0213686", \
+            "0.0190586, 0.0191279, 0.0192533, 0.0193854, 0.0194182, 0.0193679", \
+            "0.0213155, 0.0211738, 0.0212052, 0.0212504, 0.0212631, 0.0213686", \
             "0.0343599, 0.033891, 0.0332993, 0.0326487, 0.032228, 0.0321836" \
           );
         }
@@ -65794,7 +65794,7 @@
             "0.00234397, 0.00242886, 0.00252679, 0.00287845, 0.00415763, 0.00980731", \
             "0.00231953, 0.00239196, 0.00247463, 0.00276931, 0.00407152, 0.00976407", \
             "0.00312792, 0.00306177, 0.00315284, 0.00324565, 0.00406814, 0.00974112", \
-            "0.00772174, 0.00757588, 0.00707798, 0.00625184, 0.00661099, 0.00996059" \
+            "0.00772698, 0.00757588, 0.00707798, 0.00625184, 0.00661099, 0.00996059" \
           );
         }
       }
@@ -65851,7 +65851,7 @@
             "0.0021272, 0.00219994, 0.00232835, 0.00277092, 0.00470614, 0.0108298", \
             "0.00201488, 0.0020936, 0.00226564, 0.00265224, 0.00445404, 0.0108079", \
             "0.00198376, 0.0020865, 0.0022013, 0.00256935, 0.00419978, 0.0108046", \
-            "0.00284273, 0.00275318, 0.0028424, 0.00289053, 0.00414702, 0.0108041", \
+            "0.00284273, 0.00275318, 0.00284238, 0.00289053, 0.00414702, 0.0108041", \
             "0.00767693, 0.00736413, 0.00677706, 0.00606277, 0.00627353, 0.0110232" \
           );
         }
@@ -65898,7 +65898,7 @@
             "-0.000762312, -0.000707057, -0.000598797, -0.00052131, -0.000512325, -0.000520767", \
             "-0.000894935, -0.000878501, -0.000707971, -0.000627318, -0.000582481, -0.000612239", \
             "0.000992491, 0.000864187, 0.000738423, 0.000819366, 0.000913973, 0.000912227", \
-            "0.0132353, 0.0128341, 0.0119476, 0.0102281, 0.00931972, 0.00925619" \
+            "0.0132353, 0.0128341, 0.0119477, 0.0102312, 0.00931972, 0.00925619" \
           );
         }
         fall_power (power_template) {
@@ -65950,8 +65950,8 @@
             "0.0193508, 0.019496, 0.0195985, 0.0195582, 0.0195251, 0.0195143", \
             "0.019251, 0.019385, 0.019482, 0.0194469, 0.019413, 0.0194015", \
             "0.0191112, 0.0192213, 0.0193568, 0.0193615, 0.0193355, 0.0193254", \
-            "0.0190586, 0.0191279, 0.0192511, 0.0193854, 0.0194183, 0.0193679", \
-            "0.0213155, 0.0211738, 0.021156, 0.0212504, 0.0212631, 0.0213686", \
+            "0.0190586, 0.0191279, 0.0192533, 0.0193854, 0.0194182, 0.0193679", \
+            "0.0213155, 0.0211738, 0.0212052, 0.0212504, 0.0212631, 0.0213686", \
             "0.0343599, 0.033891, 0.0332993, 0.0326487, 0.032228, 0.0321836" \
           );
         }
@@ -66065,10 +66065,10 @@
           values ( \
             "0.00328482, 0.00334995, 0.00342832, 0.00370686, 0.00502697, 0.0105867", \
             "0.00320837, 0.00328785, 0.00339849, 0.0036783, 0.00494689, 0.0106117", \
-            "0.0029833, 0.00310396, 0.00327554, 0.00366142, 0.00493778, 0.0106082", \
-            "0.0028033, 0.00290918, 0.00311541, 0.00350684, 0.00490509, 0.0105959", \
+            "0.0029833, 0.00310396, 0.00327554, 0.00366142, 0.00493778, 0.0106042", \
+            "0.002806, 0.00290918, 0.00311541, 0.00350684, 0.00504877, 0.0105959", \
             "0.00371065, 0.00368018, 0.00381064, 0.00400387, 0.00484943, 0.0105948", \
-            "0.00923956, 0.00902252, 0.00853033, 0.00764923, 0.00773934, 0.010857" \
+            "0.00922394, 0.00902252, 0.00853033, 0.00764923, 0.00773934, 0.010857" \
           );
         }
       }
@@ -66112,8 +66112,8 @@
             "0.00900264, 0.00909974, 0.00922829, 0.00957328, 0.0116858, 0.0214762", \
             "0.00889387, 0.00901569, 0.00918049, 0.00957403, 0.011678, 0.0214384", \
             "0.00873324, 0.00886965, 0.00908676, 0.00952392, 0.0117002, 0.0215146", \
-            "0.00872653, 0.00880024, 0.00902479, 0.00947584, 0.0116811, 0.0214482", \
-            "0.0099937, 0.00990512, 0.00982777, 0.00980948, 0.0117708, 0.0214753", \
+            "0.00871833, 0.0087876, 0.00900949, 0.00944837, 0.0116541, 0.0214482", \
+            "0.0099902, 0.00990512, 0.00996993, 0.00980948, 0.0117708, 0.0214981", \
             "0.016389, 0.0160032, 0.0154452, 0.0147564, 0.0148207, 0.0223363" \
           );
         }
@@ -66270,7 +66270,7 @@
             "0.00325854, 0.00326047, 0.0031833, 0.00305208, 0.00298688, 0.00295885", \
             "0.00310399, 0.00311274, 0.00305959, 0.00293998, 0.0028749, 0.00284831", \
             "0.00291738, 0.00293133, 0.00288348, 0.00279681, 0.00264604, 0.00261805", \
-            "0.00299715, 0.00307559, 0.0029719, 0.00289646, 0.00279713, 0.00274078", \
+            "0.00299715, 0.00306861, 0.0029719, 0.00289646, 0.00279713, 0.00274078", \
             "0.00540293, 0.00520756, 0.00511698, 0.00499559, 0.00483857, 0.00470589", \
             "0.0181133, 0.0179211, 0.0176023, 0.0170538, 0.0165809, 0.0161886" \
           );
@@ -66328,7 +66328,7 @@
             "0.00795062, 0.00808555, 0.00831337, 0.00875474, 0.0110299, 0.0221134", \
             "0.00788477, 0.00803953, 0.00829195, 0.00870495, 0.0110961, 0.0220534", \
             "0.00779871, 0.00794262, 0.0082355, 0.00869084, 0.0110429, 0.0221062", \
-            "0.00790733, 0.00796509, 0.00818523, 0.00870535, 0.0110151, 0.0220943", \
+            "0.00789596, 0.00801067, 0.00818523, 0.00870535, 0.0110151, 0.0220943", \
             "0.00927207, 0.00917887, 0.00901757, 0.0090974, 0.0111607, 0.0221799", \
             "0.0158841, 0.015284, 0.0143711, 0.0138898, 0.0143486, 0.0229017" \
           );
@@ -66340,7 +66340,7 @@
             "0.00330186, 0.00336948, 0.00349093, 0.00392847, 0.00581304, 0.0119865", \
             "0.00327964, 0.00335823, 0.00349511, 0.0039337, 0.00559841, 0.0120211", \
             "0.00322893, 0.00328713, 0.00344636, 0.00384978, 0.00548065, 0.0120386", \
-            "0.00330451, 0.00336369, 0.00344283, 0.00379932, 0.00539547, 0.0120299", \
+            "0.00330451, 0.00331901, 0.00344283, 0.00379932, 0.00539547, 0.0120299", \
             "0.00435236, 0.00430031, 0.0042225, 0.00434855, 0.00539679, 0.0120501", \
             "0.0108658, 0.0104215, 0.00929592, 0.0083428, 0.00837921, 0.0123548" \
           );
@@ -66386,7 +66386,7 @@
             "0.00731136, 0.00737951, 0.00752401, 0.00815448, 0.0109524, 0.0233888", \
             "0.00726239, 0.00733597, 0.00749885, 0.00812356, 0.0109632, 0.0234428", \
             "0.00717852, 0.00726502, 0.0074499, 0.00808716, 0.0111199, 0.0234384", \
-            "0.00737794, 0.00733446, 0.00751878, 0.00806209, 0.0109814, 0.0234937", \
+            "0.00731164, 0.00733446, 0.00751878, 0.00806209, 0.0109814, 0.0234937", \
             "0.00882041, 0.00862932, 0.00856243, 0.00857472, 0.0110937, 0.023493", \
             "0.0153362, 0.0146211, 0.0139241, 0.0134252, 0.0140098, 0.0242478" \
           );
@@ -66397,7 +66397,7 @@
           values ( \
             "0.00295018, 0.00303323, 0.00316602, 0.00348863, 0.00480105, 0.0107701", \
             "0.00291321, 0.0030119, 0.00315278, 0.00347477, 0.00480887, 0.0107093", \
-            "0.0027719, 0.00291247, 0.00310222, 0.00343162, 0.00475883, 0.0106754", \
+            "0.0027719, 0.00291247, 0.00309845, 0.00343799, 0.00475883, 0.0106658", \
             "0.00281767, 0.00285275, 0.00301619, 0.00338042, 0.00473272, 0.0106478", \
             "0.00393576, 0.0038291, 0.00377724, 0.00382697, 0.00480863, 0.0106461", \
             "0.010488, 0.0100666, 0.00880405, 0.00747354, 0.00747388, 0.0110679" \
@@ -66486,7 +66486,7 @@
             "0.00731136, 0.00737951, 0.00752401, 0.00815448, 0.0109524, 0.0233888", \
             "0.00726239, 0.00733597, 0.00749885, 0.00812356, 0.0109632, 0.0234428", \
             "0.00717852, 0.00726502, 0.0074499, 0.00808716, 0.0111199, 0.0234384", \
-            "0.00737794, 0.00733446, 0.00751878, 0.00806209, 0.0109814, 0.0234937", \
+            "0.00731164, 0.00733446, 0.00751878, 0.00806209, 0.0109814, 0.0234937", \
             "0.00882041, 0.00862932, 0.00856243, 0.00857472, 0.0110937, 0.023493", \
             "0.0153362, 0.0146211, 0.0139241, 0.0134252, 0.0140098, 0.0242478" \
           );
@@ -66532,9 +66532,9 @@
       related_ground_pin : GND;
       related_power_pin : VDD;
       max_transition : 10;
-      capacitance : 0.00851607;
-      rise_capacitance : 0.00851607;
-      rise_capacitance_range (0.00699243, 0.00851607);
+      capacitance : 0.00851606;
+      rise_capacitance : 0.00851606;
+      rise_capacitance_range (0.00699243, 0.00851606);
       fall_capacitance : 0.00831417;
       fall_capacitance_range (0.00686093, 0.00831417);
     }
@@ -83563,7 +83563,7 @@
   cell (sky130_osu_sc_12T_ms__and2_6) {
     area : 18.0708;
     cell_footprint : "sky130_osu_sc_12T_ms__and2";
-    cell_leakage_power : 0;
+    cell_leakage_power : 0.131753;
     pg_pin (GND) {
       pg_type : primary_ground;
       voltage_name : "GND";
@@ -83578,77 +83578,37 @@
       related_pg_pin : GND;
     }
     leakage_power () {
-      value : 0;
+      value : 0.0545886;
       when : "(A * B * Y)";
       related_pg_pin : VDD;
     }
     leakage_power () {
       value : 0;
-      when : "(A * B * !Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * B * !Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
       when : "(A * !B * !Y)";
       related_pg_pin : GND;
     }
     leakage_power () {
-      value : 0;
+      value : 0.157558;
       when : "(A * !B * !Y)";
       related_pg_pin : VDD;
     }
     leakage_power () {
       value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
       when : "(!A * B * !Y)";
       related_pg_pin : GND;
     }
     leakage_power () {
-      value : 0;
+      value : 0.15758;
       when : "(!A * B * !Y)";
       related_pg_pin : VDD;
     }
     leakage_power () {
       value : 0;
-      when : "(!A * !B * Y)";
-      related_pg_pin : GND;
-    }
-    leakage_power () {
-      value : 0;
-      when : "(!A * !B * Y)";
-      related_pg_pin : VDD;
-    }
-    leakage_power () {
-      value : 0;
       when : "(!A * !B * !Y)";
       related_pg_pin : GND;
     }
     leakage_power () {
-      value : 0;
+      value : 0.157284;
       when : "(!A * !B * !Y)";
       related_pg_pin : VDD;
     }
@@ -83657,80 +83617,1674 @@
       related_pg_pin : GND;
     }
     leakage_power () {
-      value : 0;
+      value : 0.131753;
       related_pg_pin : VDD;
     }
     pin (Y) {
       direction : output;
-      function : "0";
+      function : "(A * B)";
+      power_down_function : "(!VDD) + (GND)";
+      related_ground_pin : GND;
       related_power_pin : VDD;
-      max_capacitance : 1.8;
+      max_capacitance : 7.23233;
+      timing () {
+        related_pin : "A";
+        timing_sense : positive_unate;
+        timing_type : combinational;
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.139406, 0.153882, 0.203847, 0.388295, 1.35536, 6.8194", \
+            "0.148352, 0.16289, 0.212854, 0.397382, 1.3644, 6.82828", \
+            "0.186531, 0.201046, 0.251069, 0.435507, 1.40256, 6.86659", \
+            "0.298072, 0.315388, 0.371738, 0.559463, 1.52587, 6.98992", \
+            "0.427374, 0.449766, 0.53071, 0.744678, 1.70756, 7.17096", \
+            "0.384614, 0.411557, 0.525267, 0.847691, 1.84963, 7.30949" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.0328805, 0.0433942, 0.0879622, 0.328222, 1.75943, 9.88147", \
+            "0.03287, 0.0433387, 0.088056, 0.32821, 1.75943, 9.88166", \
+            "0.0328692, 0.0433323, 0.0879625, 0.328178, 1.75943, 9.88146", \
+            "0.0463128, 0.0575253, 0.0995113, 0.3313, 1.75948, 9.88173", \
+            "0.0832329, 0.096894, 0.149574, 0.353372, 1.76244, 9.88173", \
+            "0.17779, 0.190133, 0.263905, 0.483274, 1.79124, 9.88687" \
+          );
+        }
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.134587, 0.146623, 0.188601, 0.330623, 1.03242, 4.98918", \
+            "0.14629, 0.158269, 0.200398, 0.342434, 1.04417, 5.00095", \
+            "0.195235, 0.207265, 0.249299, 0.391616, 1.09338, 5.05012", \
+            "0.361562, 0.375918, 0.423732, 0.570755, 1.27237, 5.22933", \
+            "0.700551, 0.72048, 0.791245, 0.977493, 1.683, 5.63601", \
+            "1.45024, 1.476, 1.576, 1.85589, 2.60969, 6.53735" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.0273849, 0.0357372, 0.0679008, 0.222107, 1.14965, 6.44674", \
+            "0.027357, 0.0357568, 0.0678267, 0.22201, 1.14965, 6.44573", \
+            "0.0273608, 0.0357101, 0.0678393, 0.222158, 1.14968, 6.44574", \
+            "0.0396566, 0.0483446, 0.0802594, 0.226473, 1.15015, 6.44573", \
+            "0.0790786, 0.0895635, 0.131574, 0.265086, 1.1541, 6.44674", \
+            "0.168512, 0.178489, 0.233085, 0.398328, 1.18127, 6.45191" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.10341, 0.110224, 0.113847, 0.125551, 0.130368, 0.136623, 0.142212, 0.147466, 0.152585, 0.157698, 0.162837, 0.169166, 0.176835, 0.181119, 0.185538, 0.195599, 0.204949, 0.213217, 0.229111, 0.280566, 0.282545");
+            values ( \
+              "0.00570943, 0.00746825, 0.0100945, 0.0278524, 0.0332771, 0.0384795, 0.0417903, 0.0435528, 0.0442267, 0.0432664, 0.0382221, 0.0207732, 0.0105639, 0.00739264, 0.00519056, 0.00232902, 0.0012427, 0.000819664, 0.000443839, 0.000161505, 0.000159416" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00705246");
+            index_3 ("0.100961, 0.11134, 0.113605, 0.118133, 0.133183, 0.139456, 0.147823, 0.155215, 0.162099, 0.168767, 0.175428, 0.178612, 0.188436, 0.193154, 0.198645, 0.203093, 0.208868, 0.212347, 0.219307, 0.224284, 0.233575, 0.245963, 0.265346, 0.312835");
+            values ( \
+              "0.0128144, 0.0292255, 0.0348691, 0.0504783, 0.117822, 0.139558, 0.164068, 0.17934, 0.18909, 0.192306, 0.18767, 0.176423, 0.106377, 0.0798687, 0.0573681, 0.0434261, 0.0301732, 0.024116, 0.0152941, 0.0111758, 0.00641765, 0.00332541, 0.00164033, 0.000777721" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0399094");
+            index_3 ("0.106246, 0.118178, 0.168889, 0.187456, 0.198274, 0.211703, 0.225048, 0.238491, 0.278352, 0.29793, 0.315985, 0.33865, 0.36529, 0.39599");
+            values ( \
+              "0.0483779, 0.0948421, 0.433595, 0.506125, 0.529914, 0.541384, 0.529733, 0.477185, 0.216242, 0.133206, 0.0825188, 0.0440551, 0.0210004, 0.0125041" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.225845");
+            index_3 ("0.13138, 0.155229, 0.176124, 0.195053, 0.206283, 0.228741, 0.255694, 0.289797, 0.301782, 0.324661, 0.348127, 0.396445, 0.419508, 0.448405, 0.50159, 0.584259, 0.646557, 0.691291, 0.726738, 0.774001, 0.830731, 0.864409, 0.928606, 1.0026, 1.06215, 1.18125, 1.24997");
+            values ( \
+              "0.403315, 0.418877, 0.58544, 0.700831, 0.753455, 0.826338, 0.87294, 0.889387, 0.888367, 0.879024, 0.864016, 0.817912, 0.788338, 0.742347, 0.634386, 0.45154, 0.332963, 0.262249, 0.215179, 0.163385, 0.11602, 0.0942201, 0.0625608, 0.038902, 0.0263355, 0.0115586, 0.00824615" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27804");
+            index_3 ("0.161722, 0.240609, 0.265039, 0.320492, 0.358358, 0.396545, 0.532962, 0.976065, 1.3522, 1.52062, 1.64627, 1.89755, 2.4575, 2.90395, 3.15343, 3.32775, 3.56107, 3.76415, 3.97508, 4.27636, 4.66854, 5.19145, 5.91389");
+            values ( \
+              "0.895995, 0.931734, 0.982253, 1.02993, 1.03664, 1.03747, 1.01809, 0.936562, 0.857108, 0.811472, 0.771181, 0.675465, 0.444017, 0.291128, 0.225015, 0.186593, 0.14403, 0.114481, 0.0897872, 0.0629359, 0.0394546, 0.020673, 0.00875173" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("7.23233");
+            index_3 ("0.287363, 0.287383, 1.98967, 4.48398, 5.36651, 6.43562, 7.58218, 8.41941, 9.23389, 12.2579, 13.1488, 14.2179, 15.287, 16.1457, 16.9119, 18.7228, 19.5469, 20.3471, 22.1217, 23.9484, 26.0866, 28.2248, 30.363, 34.6394, 37.8467");
+            values ( \
+              "1e-22, 1.22027, 1.03146, 0.949172, 0.916725, 0.876995, 0.824542, 0.775851, 0.723746, 0.49828, 0.435122, 0.366643, 0.303286, 0.259494, 0.224844, 0.158079, 0.134041, 0.113949, 0.0788911, 0.0536037, 0.0338069, 0.0211448, 0.0130991, 0.00479459, 0.00338834" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.136966, 0.143546, 0.145614, 0.161132, 0.169934, 0.175517, 0.180779, 0.185897, 0.191013, 0.196153, 0.202472, 0.210155, 0.218852, 0.2289, 0.238268, 0.262256, 0.291539");
+            values ( \
+              "0.00636038, 0.00728857, 0.00878423, 0.0304906, 0.0385172, 0.0417496, 0.0435493, 0.0442019, 0.0432674, 0.0382068, 0.0207869, 0.0105538, 0.00519866, 0.00233912, 0.00124978, 0.000453751, 0.000382504" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00705246");
+            index_3 ("0.134573, 0.147184, 0.15093, 0.162303, 0.172802, 0.18116, 0.188535, 0.195411, 0.202074, 0.208728, 0.212161, 0.223813, 0.233678, 0.242673, 0.249989, 0.256729, 0.267038, 0.275614, 0.292765, 0.323587");
+            values ( \
+              "0.00430402, 0.0359756, 0.048979, 0.102257, 0.139505, 0.16491, 0.179116, 0.189746, 0.192004, 0.188211, 0.175297, 0.0934744, 0.0514791, 0.0291928, 0.0182044, 0.0117819, 0.00639039, 0.00404861, 0.00195958, 0.00122954" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0399094");
+            index_3 ("0.144562, 0.158539, 0.183504, 0.202458, 0.217913, 0.231906, 0.245313, 0.258722, 0.272129, 0.300012, 0.312352, 0.331448, 0.349706, 0.372313, 0.398759, 0.421917, 0.444881");
+            values ( \
+              "0.0673624, 0.138324, 0.321489, 0.434092, 0.496069, 0.530823, 0.541206, 0.530907, 0.476982, 0.284079, 0.214898, 0.133469, 0.082444, 0.0441342, 0.0210106, 0.0110405, 0.00661945" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.225845");
+            index_3 ("0.144209, 0.192122, 0.223548, 0.239487, 0.267918, 0.28889, 0.323675, 0.357524, 0.381298, 0.42796, 0.452765, 0.48158, 0.534766, 0.617427, 0.679749, 0.724468, 0.759888, 0.807115, 0.863917, 0.897636, 0.961794, 1.03572, 1.09521, 1.21417, 1.38798, 1.60089");
+            values ( \
+              "0.0194061, 0.451682, 0.676753, 0.75366, 0.841487, 0.872716, 0.89006, 0.879731, 0.863619, 0.820122, 0.788198, 0.742363, 0.634347, 0.451559, 0.332905, 0.262252, 0.215216, 0.163422, 0.116021, 0.0941717, 0.062567, 0.0389268, 0.0263438, 0.0115919, 0.00313649, 0.000556198" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27804");
+            index_3 ("0.203115, 0.318236, 0.354904, 0.393096, 0.430015, 0.56777, 1.00955, 1.38567, 1.5541, 1.67974, 1.93102, 2.49096, 2.9374, 3.18693, 3.36128, 3.59456, 3.79759, 4.00853, 4.30982, 4.70203, 5.22498, 5.94572");
+            values ( \
+              "0.993108, 1.00588, 1.03037, 1.03692, 1.03737, 1.01772, 0.936423, 0.856967, 0.811469, 0.771183, 0.675466, 0.444019, 0.291135, 0.225009, 0.186582, 0.144026, 0.114484, 0.0897902, 0.0629358, 0.0394527, 0.0206725, 0.00873197" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("7.23233");
+            index_3 ("0.330391, 0.330411, 2.62976, 5.05903, 6.08033, 6.86394, 7.93305, 8.45305, 9.27591, 12.2912, 13.1807, 14.2498, 15.3189, 16.1785, 16.9458, 17.6557, 18.7248, 19.5787, 20.4168, 22.1792, 23.9821, 26.1203, 28.2585, 30.3968, 32.535, 34.6732, 35.7423, 36.8114, 37.8805");
+            values ( \
+              "1e-22, 1.19486, 1.01164, 0.929977, 0.892198, 0.859183, 0.807291, 0.776049, 0.722863, 0.498495, 0.435439, 0.366453, 0.303587, 0.259744, 0.225048, 0.197307, 0.159302, 0.134317, 0.11334, 0.0787329, 0.0538421, 0.034048, 0.0213878, 0.0133433, 0.00825276, 0.00503985, 0.00474886, 0.00301535, 0.00314192" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.274189, 0.280913, 0.284303, 0.291544, 0.305586, 0.311169, 0.321549, 0.326664, 0.331804, 0.345805, 0.354506, 0.364564, 0.373917, 0.375287");
+            values ( \
+              "0.00858926, 0.00874496, 0.0119857, 0.0242691, 0.038668, 0.0418862, 0.04433, 0.0431453, 0.0383272, 0.0106073, 0.00516193, 0.00230199, 0.0012161, 0.00117052" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00705246");
+            index_3 ("0.274809, 0.282922, 0.284783, 0.294506, 0.304787, 0.315899, 0.324209, 0.329451, 0.334383, 0.337744, 0.344364, 0.346396, 0.348493, 0.351822, 0.357775, 0.363455, 0.367692, 0.372212, 0.377808, 0.381178, 0.387919, 0.393117, 0.402846, 0.415819, 0.434947, 0.492394, 0.497869");
+            values ( \
+              "0.0260926, 0.0365423, 0.0419878, 0.0862835, 0.127553, 0.161843, 0.179612, 0.18714, 0.191358, 0.192372, 0.187597, 0.181391, 0.171773, 0.14883, 0.102876, 0.0738057, 0.0571666, 0.0430609, 0.0302709, 0.0243571, 0.015684, 0.011282, 0.00630702, 0.00318988, 0.00161614, 0.000577934, 0.000551326" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0399094");
+            index_3 ("0.283717, 0.294852, 0.319469, 0.326996, 0.338337, 0.346164, 0.3537, 0.367686, 0.38111, 0.394518, 0.407923, 0.435783, 0.44813, 0.455714, 0.467255, 0.477002, 0.485486, 0.494763, 0.5081, 0.52298, 0.534573, 0.557759, 0.596995, 0.644471");
+            values ( \
+              "0.115964, 0.142413, 0.322958, 0.371639, 0.434627, 0.469745, 0.496664, 0.530448, 0.541737, 0.530516, 0.477449, 0.28444, 0.214778, 0.178742, 0.133528, 0.103412, 0.0823854, 0.0638638, 0.044058, 0.0291488, 0.0210532, 0.0109703, 0.00354598, 0.00112412" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.225845");
+            index_3 ("0.293078, 0.320916, 0.345547, 0.359683, 0.375504, 0.403833, 0.424835, 0.459382, 0.47088, 0.493749, 0.517214, 0.565527, 0.588647, 0.61749, 0.670675, 0.753338, 0.815651, 0.860376, 0.895807, 0.943049, 0.974545, 0.999822, 1.03352, 1.0977, 1.12702, 1.17165, 1.23116, 1.35018, 1.43838");
+            values ( \
+              "0.192057, 0.392422, 0.591321, 0.679437, 0.756194, 0.841843, 0.873865, 0.8898, 0.888736, 0.879205, 0.864163, 0.817949, 0.788278, 0.742349, 0.634378, 0.451541, 0.332938, 0.262241, 0.215192, 0.163416, 0.135288, 0.116012, 0.0941996, 0.0625565, 0.0518765, 0.0389096, 0.0263477, 0.0115712, 0.00728592" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27804");
+            index_3 ("0.330829, 0.414249, 0.466546, 0.529436, 0.629934, 1.02521, 1.27134, 1.5992, 1.81466, 2.06592, 2.62588, 3.07223, 3.32195, 3.49644, 3.72955, 3.9324, 4.14339, 4.4447, 4.83704, 5.36015, 6.198, 7.19415");
+            values ( \
+              "0.896603, 0.947051, 1.01835, 1.0376, 1.02958, 0.958845, 0.911139, 0.836655, 0.771176, 0.675484, 0.444016, 0.291154, 0.224978, 0.186543, 0.144009, 0.114492, 0.0898053, 0.0629313, 0.0394414, 0.0206736, 0.00674555, 0.00169742" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("7.23233");
+            index_3 ("0.446559, 0.446579, 2.13079, 3.90132, 5.53428, 6.60338, 7.74995, 8.58717, 9.40165, 12.4256, 14.3857, 15.4548, 16.5689, 18.068, 19.1371, 20.7895, 22.6644, 24.0652, 25.7979, 27.9361, 30.0743, 34.3507, 38.6271");
+            values ( \
+              "1e-22, 1.21142, 1.03185, 0.974106, 0.917201, 0.876517, 0.824058, 0.776339, 0.723256, 0.498777, 0.366144, 0.303784, 0.248333, 0.186722, 0.150973, 0.108123, 0.0733501, 0.0546383, 0.0378062, 0.0238752, 0.015013, 0.00585726, 0.00221744" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.736721, 0.757599, 0.765764, 0.772971, 0.785756, 0.793844, 0.803013, 0.811064, 0.818544, 0.825744, 0.832835, 0.839922, 0.847606, 0.855313, 0.860657, 0.866723, 0.8715, 0.880524, 0.889086, 0.909455, 0.965469, 0.986966");
+            values ( \
+              "0.00125189, 0.00304689, 0.00486652, 0.00806348, 0.0176446, 0.0224584, 0.0265777, 0.0291233, 0.0307751, 0.0316496, 0.0316855, 0.0295062, 0.0176121, 0.00962095, 0.0065241, 0.00425727, 0.00302269, 0.00160672, 0.00099485, 0.000479729, 0.000201312, 0.000171176" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00705246");
+            index_3 ("0.736557, 0.761092, 0.771822, 0.776623, 0.794803, 0.81624, 0.826418, 0.835697, 0.844449, 0.852999, 0.861537, 0.873659, 0.883013, 0.892201, 0.897615, 0.90593, 0.915588, 0.923144, 0.938254, 0.991568, 0.991987");
+            values ( \
+              "0.00503161, 0.0156802, 0.0276412, 0.0360742, 0.0788016, 0.117857, 0.131854, 0.141814, 0.148123, 0.149336, 0.133099, 0.0712536, 0.0428674, 0.025305, 0.0183582, 0.0111433, 0.00652248, 0.00445245, 0.00237116, 0.000848279, 0.000845509" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0399094");
+            index_3 ("0.715139, 0.766354, 0.77174, 0.77653, 0.782916, 0.795618, 0.815552, 0.841379, 0.860716, 0.877294, 0.892523, 0.907337, 0.922122, 0.930046, 0.945852, 0.954613, 0.96593, 0.972046, 0.975946, 0.983428, 0.993105, 1.00123, 1.01082, 1.02453, 1.03403, 1.04327, 1.05131, 1.05904, 1.07103, 1.09108");
+            values ( \
+              "0.00620494, 0.0434641, 0.0561254, 0.069117, 0.0892159, 0.137923, 0.221853, 0.33339, 0.410004, 0.4575, 0.484737, 0.487326, 0.451043, 0.405401, 0.305204, 0.254345, 0.19731, 0.170933, 0.155536, 0.129176, 0.100768, 0.0814493, 0.06299, 0.0432648, 0.0334017, 0.0257972, 0.02066, 0.0168186, 0.0122743, 0.00746455" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.225845");
+            index_3 ("0.767015, 0.812374, 0.87, 0.907978, 0.936244, 0.976729, 0.983965, 0.998437, 1.02738, 1.04065, 1.06835, 1.10277, 1.13196, 1.18525, 1.26784, 1.33041, 1.37498, 1.41014, 1.45701, 1.51451, 1.54864, 1.61242, 1.68568, 1.7445, 1.86216, 1.94847");
+            values ( \
+              "0.106261, 0.252381, 0.600768, 0.765091, 0.831221, 0.867658, 0.868416, 0.86744, 0.855575, 0.846901, 0.824735, 0.786057, 0.741075, 0.633918, 0.451513, 0.332502, 0.262111, 0.215422, 0.163954, 0.115889, 0.0938442, 0.0624837, 0.0390394, 0.0265549, 0.0117899, 0.00750741" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27804");
+            index_3 ("0.836292, 1.00226, 1.04093, 1.10171, 1.14588, 1.21974, 1.54013, 1.83818, 2.04697, 2.3674, 2.59038, 3.14558, 3.30381, 3.59897, 3.82198, 3.97613, 4.31171, 4.46484, 4.77109, 5.07447, 5.23882, 5.56752, 6.22492, 6.75271");
+            values ( \
+              "0.814509, 1.00978, 1.02661, 1.03102, 1.02751, 1.0167, 0.958619, 0.900314, 0.853706, 0.758088, 0.671558, 0.442187, 0.383577, 0.28772, 0.22856, 0.193853, 0.133567, 0.112183, 0.078577, 0.054979, 0.0451806, 0.0304281, 0.0131582, 0.00773459" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("7.23233");
+            index_3 ("0.973686, 0.973706, 3.11014, 4.66388, 6.67596, 7.51175, 8.58085, 9.10092, 9.92378, 13.2356, 14.8977, 15.9668, 17.0821, 18.5816, 19.6507, 20.2333, 21.3024, 22.3715, 23.1773, 24.2464, 25.2417, 26.3108, 28.449, 31.6563, 34.8636, 39.1401");
+            values ( \
+              "1e-22, 1.19919, 1.01666, 0.965568, 0.893823, 0.859586, 0.806893, 0.776454, 0.722455, 0.477477, 0.366033, 0.304007, 0.248148, 0.186529, 0.151122, 0.134524, 0.108292, 0.0869015, 0.0735186, 0.0587586, 0.0476516, 0.0379741, 0.0240428, 0.0120532, 0.00602406, 0.00238374" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.29998, 2.37017, 2.39151, 2.40885, 2.42114, 2.42764, 2.43631, 2.45653, 2.46577, 2.48195, 2.49634, 2.50987, 2.52299, 2.53591, 2.54884, 2.55169, 2.56998, 2.58261, 2.59166, 2.60373, 2.61952, 2.63252, 2.65852, 2.78171, 2.83083");
+            values ( \
+              "5.67968e-05, 0.000655962, 0.00129102, 0.00206745, 0.0030684, 0.00393505, 0.00567033, 0.0109566, 0.0127635, 0.0149853, 0.016204, 0.0169216, 0.0173286, 0.017406, 0.0168238, 0.016219, 0.00751822, 0.00402003, 0.00265949, 0.00156181, 0.000765205, 0.000436189, 0.000125916, 0.000123301, 9.1979e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00705246");
+            index_3 ("2.30083, 2.37453, 2.39586, 2.41709, 2.43818, 2.47978, 2.49939, 2.51648, 2.53221, 2.54723, 2.56187, 2.5765, 2.57947, 2.59828, 2.61447, 2.62655, 2.63605, 2.65095, 2.66346, 2.68846, 2.93647");
+            values ( \
+              "0.000313275, 0.0036667, 0.00703284, 0.0119087, 0.0230605, 0.0589101, 0.0705596, 0.0780824, 0.0830539, 0.086269, 0.0873992, 0.0839446, 0.0804321, 0.0437247, 0.0225405, 0.0132869, 0.00854816, 0.0042173, 0.00229419, 0.000732715, 0.00011627" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0399094");
+            index_3 ("2.30397, 2.38587, 2.39894, 2.42237, 2.43817, 2.45078, 2.53083, 2.56242, 2.58923, 2.61319, 2.63544, 2.65709, 2.67868, 2.70559, 2.73038, 2.75016, 2.76119, 2.77691, 2.79598, 2.81114, 2.84144, 2.88308");
+            values ( \
+              "0.00164623, 0.0170487, 0.0236658, 0.0397656, 0.0549907, 0.0713175, 0.204246, 0.250751, 0.286068, 0.313358, 0.33159, 0.333831, 0.284829, 0.184659, 0.11246, 0.0719694, 0.0553665, 0.0375604, 0.0234452, 0.0161153, 0.00763856, 0.00323979" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.225845");
+            index_3 ("2.31024, 2.42552, 2.44801, 2.46336, 2.49951, 2.54604, 2.66546, 2.72011, 2.74537, 2.77611, 2.82782, 2.87953, 2.91167, 3.01905, 3.08505, 3.12802, 3.16024, 3.1936, 3.25113, 3.27746, 3.33012, 3.37517, 3.43552, 3.516, 3.65625");
+            values ( \
+              "0.00558204, 0.0668756, 0.0974834, 0.122797, 0.19528, 0.307464, 0.640428, 0.749011, 0.776421, 0.791617, 0.781405, 0.728984, 0.674318, 0.448292, 0.325519, 0.259263, 0.216921, 0.179167, 0.127273, 0.108428, 0.0780288, 0.0586435, 0.0398132, 0.0233636, 0.00904416" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27804");
+            index_3 ("2.42691, 2.58388, 2.69266, 2.74338, 2.81727, 2.84821, 2.90588, 3.04609, 3.28165, 3.57997, 3.78953, 4.11033, 4.31775, 4.881, 5.30598, 5.60753, 5.7758, 6.05632, 6.39293, 6.69826, 7.01829, 7.2638, 7.75484, 8.41059");
+            values ( \
+              "0.137538, 0.448977, 0.812143, 0.922557, 0.995157, 1.00534, 1.0123, 0.997501, 0.95641, 0.898641, 0.852321, 0.757241, 0.6782, 0.445387, 0.298586, 0.218685, 0.182431, 0.133524, 0.0907997, 0.0633291, 0.0433654, 0.0323307, 0.0175849, 0.00852767" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("7.23233");
+            index_3 ("2.65989, 2.65991, 4.82603, 6.40701, 8.41899, 9.25484, 10.3239, 10.844, 11.6669, 14.9787, 16.6408, 17.7099, 18.8252, 19.3368, 20.3247, 21.3938, 21.9764, 23.0455, 24.1146, 24.9204, 25.9895, 26.9848, 28.0539, 30.1921, 33.3994, 36.6067, 40.8832");
+            values ( \
+              "1e-22, 1.14238, 1.01755, 0.96556, 0.893832, 0.859579, 0.806899, 0.776448, 0.722461, 0.477482, 0.366038, 0.304002, 0.248153, 0.225463, 0.186533, 0.151118, 0.134528, 0.108288, 0.0869058, 0.0735144, 0.0587629, 0.0476558, 0.0379701, 0.0240388, 0.0120572, 0.00602015, 0.00237989" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("8.33752, 8.45543, 8.47998, 8.52442, 8.55186, 8.61405, 8.62474, 8.64335, 8.65812, 8.6888, 8.71802, 8.77452, 8.80253, 8.82362, 8.84085, 8.8656, 8.8849, 8.92415, 8.93263, 8.9352, 8.94033, 8.95059, 9.01217, 9.16056, 9.25816, 9.27827");
+            values ( \
+              "0.000230861, 0.000530675, 0.000656322, 0.00118584, 0.00196255, 0.0057886, 0.00626931, 0.00678564, 0.00713249, 0.00752517, 0.00780863, 0.00807706, 0.00790959, 0.00678995, 0.00408093, 0.00201096, 0.00138354, 0.000725058, 0.000709077, 0.000615162, 0.000626449, 0.000476019, 0.00011442, 1e-22, 3.57285e-05, 3.12965e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00705246");
+            index_3 ("8.33745, 8.46015, 8.4917, 8.534, 8.55326, 8.57895, 8.61934, 8.64311, 8.68065, 8.71382, 8.74488, 8.7748, 8.80403, 8.83325, 8.85519, 8.88031, 8.90666, 8.93553, 8.97554, 9.00927, 9.06634, 9.08609");
+            values ( \
+              "0.00128827, 0.00285399, 0.00399495, 0.00673787, 0.009209, 0.0145556, 0.0258554, 0.0309735, 0.0366887, 0.0398178, 0.0418542, 0.0431207, 0.0438092, 0.0430747, 0.0370441, 0.0206109, 0.0105588, 0.00546794, 0.00244699, 0.00119953, 0.000253481, 0.000228067" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0399094");
+            index_3 ("8.40641, 8.53477, 8.56747, 8.60244, 8.69603, 8.74499, 8.76822, 8.81558, 8.85855, 8.89888, 8.93786, 8.97678, 8.9913, 9.03296, 9.06351, 9.09849, 9.11479, 9.13799, 9.16893, 9.22432, 9.28824, 9.57184");
+            values ( \
+              "0.0137618, 0.0243959, 0.0346599, 0.0513214, 0.108739, 0.133093, 0.143073, 0.160736, 0.173466, 0.182409, 0.186879, 0.178045, 0.162598, 0.102458, 0.0655658, 0.0365222, 0.0271541, 0.0174602, 0.00923708, 0.00247087, 0.000703047, 0.000449066" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.225845");
+            index_3 ("8.48416, 8.6384, 8.76272, 9.02367, 9.10713, 9.18157, 9.25182, 9.32205, 9.36641, 9.47507, 9.53374, 9.56338, 9.62268, 9.68378, 9.72258, 9.79815, 9.84131, 9.92765, 10.0758");
+            values ( \
+              "0.0771451, 0.11056, 0.21634, 0.453606, 0.522244, 0.569689, 0.588444, 0.546383, 0.484063, 0.312847, 0.234508, 0.200701, 0.144425, 0.101343, 0.0802842, 0.0503804, 0.0384353, 0.0219418, 0.0080826" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27804");
+            index_3 ("8.62491, 8.8537, 8.95895, 9.14932, 9.25139, 9.34573, 9.41779, 9.54278, 9.66453, 9.78808, 9.91779, 10.1735, 10.3218, 10.4696, 10.7625, 11.2107, 11.3613, 11.55, 11.7903, 11.9789, 12.2304, 12.4052, 12.546, 12.7336, 13.0769, 13.2343, 13.4717, 13.7881, 14.421, 15.3498, 16.4189");
+            values ( \
+              "0.28948, 0.341829, 0.474165, 0.734762, 0.848449, 0.910663, 0.930955, 0.937019, 0.926107, 0.909943, 0.890064, 0.843058, 0.807831, 0.764271, 0.655704, 0.471777, 0.414227, 0.347989, 0.274439, 0.225722, 0.171981, 0.141607, 0.120843, 0.0974785, 0.0650517, 0.0540398, 0.040765, 0.0278252, 0.0124756, 0.00349267, 0.000743741" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("7.23233");
+            index_3 ("8.6268, 9.27459, 9.38094, 9.51926, 9.68339, 10.0136, 10.5812, 11.4763, 12.2641, 13.8626, 14.8177, 15.646, 16.6667, 17.6697, 18.5392, 21.1016, 21.913, 22.9821, 24.0512, 24.9611, 25.7876, 26.7549, 27.824, 28.3705, 29.4396, 30.5087, 31.3143, 32.3834, 33.3775, 34.4466, 35.5157, 37.6539, 39.7921, 42.9994, 47.2758");
+            values ( \
+              "0.16973, 0.923444, 0.992576, 1.02631, 1.03466, 1.03701, 1.03004, 1.00699, 0.982468, 0.928496, 0.893317, 0.859115, 0.809489, 0.748896, 0.688475, 0.49701, 0.439432, 0.369248, 0.306834, 0.260243, 0.223026, 0.185194, 0.150021, 0.134503, 0.10828, 0.0868867, 0.0735139, 0.05875, 0.0476564, 0.0379826, 0.0302306, 0.0191095, 0.0120527, 0.0060274, 0.00238642" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.00212917, 0.00212964, 0.00213063, 0.00213115, 0.00213127, 0.00213129", \
+            "0.00252243, 0.00252242, 0.00252246, 0.00252275, 0.0025229, 0.00252293", \
+            "0.0026641, 0.0026636, 0.00266355, 0.00266381, 0.00266387, 0.00266389", \
+            "0.00277269, 0.00277268, 0.00277268, 0.00277261, 0.00277256, 0.00277255", \
+            "0.002882, 0.00288199, 0.00288199, 0.00288191, 0.00288184, 0.00288181", \
+            "0.00304928, 0.0030493, 0.00304944, 0.00304979, 0.00305005, 0.00305011" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.0026143, 0.00261383, 0.00261393, 0.00261455, 0.00261475, 0.00261478", \
+            "0.00245001, 0.00244952, 0.00244855, 0.00244788, 0.00244775, 0.00244773", \
+            "0.0025187, 0.00251937, 0.00251905, 0.0025178, 0.00251727, 0.00251715", \
+            "0.00298423, 0.00301603, 0.00305809, 0.0030766, 0.00308071, 0.00308215", \
+            "0.00346922, 0.00346772, 0.00346813, 0.00345422, 0.00347159, 0.00347818", \
+            "0.00319161, 0.00319609, 0.00318938, 0.00319188, 0.00319108, 0.00319659" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.103518, 0.10859, 0.108964, 0.110088, 0.110837, 0.111586, 0.113085, 0.114494, 0.115904, 0.11698, 0.118055, 0.119131, 0.120207, 0.121503, 0.122799, 0.124095, 0.125173, 0.127329, 0.128407, 0.129767, 0.131126, 0.132486, 0.133846, 0.136253, 0.13866, 0.140888, 0.143116, 0.145251, 0.147387, 0.148432, 0.15052, 0.151565, 0.153652, 0.155739, 0.156453, 0.157003, 0.157442, 0.158874, 0.160533, 0.161467, 0.163207, 0.164693, 0.166218, 0.167324, 0.167876, 0.168982, 0.169535, 0.17051, 0.171485, 0.172776");
+            values ( \
+              "-0.00722377, -0.0085986, -0.00876985, -0.00930301, -0.00977326, -0.0103222, -0.0116562, -0.013302, -0.0152712, -0.016991, -0.0188991, -0.0209955, -0.02328, -0.0266687, -0.0293885, -0.0318664, -0.0336123, -0.0368634, -0.0383686, -0.0401096, -0.0417517, -0.0432948, -0.0447388, -0.0470394, -0.0490552, -0.0506497, -0.0520355, -0.053168, -0.0541087, -0.0540101, -0.0536606, -0.0534095, -0.0527554, -0.0518981, -0.0506414, -0.0493393, -0.0480874, -0.0428062, -0.0354584, -0.030916, -0.0237769, -0.018636, -0.0141138, -0.0113878, -0.0103112, -0.00838728, -0.0075399, -0.00628798, -0.00516145, -0.003862" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00705246");
+            index_3 ("0.102836, 0.116234, 0.117068, 0.118563, 0.120059, 0.123612, 0.126686, 0.129521, 0.130467, 0.133072, 0.135677, 0.13747, 0.139264, 0.141057, 0.14285, 0.144429, 0.146008, 0.147586, 0.149165, 0.152075, 0.154984, 0.15776, 0.160535, 0.161885, 0.163236, 0.164586, 0.165937, 0.167286, 0.168635, 0.169985, 0.171334, 0.17142, 0.172109, 0.172626, 0.174004, 0.176759, 0.177945, 0.179356, 0.180162, 0.180968, 0.182154, 0.18438, 0.185421, 0.186115, 0.187502, 0.188196, 0.188834, 0.190111, 0.190749, 0.192044");
+            values ( \
+              "-0.000115915, -0.0576192, -0.0609038, -0.0679325, -0.0757732, -0.0982155, -0.118624, -0.134802, -0.139841, -0.152602, -0.16444, -0.171952, -0.179093, -0.185863, -0.192262, -0.197564, -0.202595, -0.207355, -0.211844, -0.219274, -0.226049, -0.231902, -0.23716, -0.236665, -0.235883, -0.234815, -0.233461, -0.231822, -0.229897, -0.227686, -0.225189, -0.224799, -0.219371, -0.21478, -0.199505, -0.163782, -0.14777, -0.127809, -0.117594, -0.107851, -0.0946666, -0.0713234, -0.0620219, -0.0568413, -0.0474555, -0.0432503, -0.0397459, -0.0334105, -0.0305796, -0.0254821" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0399094");
+            index_3 ("0.113776, 0.125224, 0.140963, 0.161412, 0.17452, 0.186201, 0.197095, 0.207613, 0.218061, 0.228505, 0.252248, 0.261248, 0.275574, 0.286719, 0.292231");
+            values ( \
+              "-0.114647, -0.188174, -0.343228, -0.508128, -0.588778, -0.641461, -0.675912, -0.692442, -0.683824, -0.597304, -0.249208, -0.161129, -0.0758409, -0.0412696, -0.0319149" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.225845");
+            index_3 ("0.107709, 0.182962, 0.192688, 0.212139, 0.233234, 0.265149, 0.277815, 0.291081, 0.304178, 0.330372, 0.338789, 0.355622, 0.374239, 0.409925, 0.436337, 0.490735, 0.520878, 0.536204, 0.566856, 0.587353, 0.619701, 0.659015, 0.681417, 0.726221, 0.808457, 0.904284");
+            values ( \
+              "-0.0280757, -0.829529, -0.899236, -1.00867, -1.0882, -1.15442, -1.16667, -1.17397, -1.17637, -1.17021, -1.16515, -1.15134, -1.12821, -1.04426, -0.920066, -0.601716, -0.451218, -0.386117, -0.277793, -0.221333, -0.152828, -0.0960744, -0.0734232, -0.0422384, -0.0140088, -0.00365738" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27804");
+            index_3 ("0.145814, 0.196973, 0.221869, 0.257497, 0.27415, 0.316435, 0.344508, 0.393047, 0.513303, 0.684759, 0.904802, 1.04093, 1.23888, 1.30245, 1.38721, 1.42787, 1.50918, 1.77323, 1.91908, 2.05352, 2.12497, 2.26786, 2.38157, 2.55717, 2.71307, 2.77646, 2.90324, 3.15681, 3.60755, 4.13647");
+            values ( \
+              "-0.81672, -0.983336, -1.13532, -1.26039, -1.29463, -1.34473, -1.3581, -1.36845, -1.3575, -1.32796, -1.28486, -1.25456, -1.19677, -1.17052, -1.12371, -1.0943, -1.01999, -0.716654, -0.561244, -0.43953, -0.38364, -0.289069, -0.229293, -0.15868, -0.113432, -0.098903, -0.07498, -0.0423529, -0.014146, -0.00365076" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("7.23233");
+            index_3 ("0.117457, 0.185609, 0.255609, 0.326255, 0.407279, 0.526882, 0.61024, 0.639653, 0.698478, 0.81131, 0.942223, 1.10252, 1.42313, 2.37962, 4.35486, 5.80003, 6.54955, 7.16825, 7.96874, 9.16563, 10.3473, 10.7872, 11.3658, 12.1166, 12.8451, 13.4703, 14.2708, 14.6525, 14.7535, 14.9554, 15.3591, 16.7031, 18.3041, 19.1046, 19.9051, 20.7056, 21.5061, 22.3066");
+            values ( \
+              "-0.180048, -0.910433, -1.27956, -1.38663, -1.41464, -1.41823, -1.41342, -1.41533, -1.41092, -1.41014, -1.40361, -1.40132, -1.38901, -1.36173, -1.29381, -1.22819, -1.17585, -1.10356, -0.963251, -0.70881, -0.492751, -0.425802, -0.351592, -0.266577, -0.203888, -0.163821, -0.118358, -0.10481, -0.0981608, -0.0934311, -0.0774291, -0.0452167, -0.0233769, -0.0193279, -0.0117388, -0.0108453, -0.0055701, -0.00635398" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.137526, 0.151653, 0.160015, 0.169451, 0.17427, 0.178723, 0.182994, 0.18717, 0.191344, 0.193054, 0.19831, 0.202935, 0.207095, 0.210949, 0.216086, 0.222927, 0.231765, 0.272533");
+            values ( \
+              "-0.00121578, -0.0147887, -0.0320017, -0.044479, -0.0488144, -0.0517336, -0.0535218, -0.0540171, -0.0521175, -0.0484178, -0.0258937, -0.0116789, -0.00527439, -0.00257346, -0.00108175, -0.000551972, -0.000359418, -0.000241382" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00705246");
+            index_3 ("0.142422, 0.146935, 0.149393, 0.15362, 0.155791, 0.167077, 0.178634, 0.184954, 0.190776, 0.196329, 0.201732, 0.207131, 0.208418, 0.216807, 0.219832, 0.22326, 0.227994, 0.230723, 0.234361, 0.241637, 0.251004, 0.284837");
+            values ( \
+              "-0.0327572, -0.0433655, -0.0467212, -0.0633082, -0.0734862, -0.142717, -0.191112, -0.21055, -0.224649, -0.233503, -0.23698, -0.226139, -0.215327, -0.107738, -0.0754685, -0.0483644, -0.0249977, -0.0169914, -0.0101084, -0.00368359, -0.0015313, -0.00105397" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0399094");
+            index_3 ("0.15, 0.161746, 0.190174, 0.210688, 0.22235, 0.233234, 0.243744, 0.254187, 0.264625, 0.288352, 0.297375, 0.311691, 0.322817, 0.329134");
+            values ( \
+              "-0.1209, -0.192811, -0.455742, -0.589829, -0.642184, -0.676501, -0.692841, -0.684142, -0.597465, -0.249399, -0.161055, -0.0758465, -0.0413147, -0.0305723" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.225845");
+            index_3 ("0.146565, 0.196888, 0.216812, 0.228855, 0.252588, 0.269329, 0.302813, 0.321658, 0.34025, 0.374885, 0.397907, 0.410364, 0.435278, 0.447748, 0.466988, 0.527335, 0.568638, 0.58669, 0.610622, 0.631713, 0.659834, 0.695159, 0.716937, 0.760493, 0.842551, 0.937924");
+            values ( \
+              "-0.00352038, -0.629951, -0.813241, -0.900426, -1.02939, -1.08846, -1.1571, -1.17184, -1.17685, -1.16573, -1.14494, -1.12841, -1.07744, -1.03708, -0.949523, -0.599092, -0.401029, -0.331823, -0.255632, -0.201802, -0.145691, -0.0960076, -0.0739999, -0.0432113, -0.0144294, -0.00375115" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27804");
+            index_3 ("0.181086, 0.258967, 0.295257, 0.334717, 0.374018, 0.425517, 0.484373, 0.634605, 0.893915, 1.07769, 1.27563, 1.3392, 1.42397, 1.54594, 1.80998, 1.95583, 2.09028, 2.30464, 2.41832, 2.59392, 2.81322, 2.94002, 3.19361, 3.64456, 4.17352");
+            values ( \
+              "-0.761543, -1.13723, -1.26242, -1.32769, -1.35664, -1.36725, -1.36554, -1.34283, -1.29456, -1.25452, -1.19677, -1.17052, -1.12371, -1.01999, -0.716659, -0.56125, -0.439528, -0.289059, -0.229295, -0.158684, -0.0989017, -0.0749782, -0.0423474, -0.0141364, -0.00364672" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("7.23233");
+            index_3 ("0.153991, 0.21641, 0.283512, 0.356557, 0.397622, 0.484045, 0.654522, 2.87711, 4.8218, 5.45789, 6.08375, 6.88425, 7.20343, 7.7825, 9.62948, 10.5262, 11.435, 12.173, 12.8318, 13.4733, 14.2738, 15.0014, 16.2351, 17.0356, 17.8361, 19.4371, 21.0381, 22.639");
+            values ( \
+              "-0.202449, -0.882156, -1.26113, -1.38357, -1.40442, -1.41664, -1.41502, -1.34589, -1.27568, -1.24709, -1.21153, -1.14543, -1.10437, -1.00623, -0.625399, -0.470641, -0.345363, -0.266088, -0.20921, -0.16388, -0.1215, -0.0912168, -0.0562536, -0.0417317, -0.0297283, -0.0155577, -0.00803584, -0.0040568" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.286356, 0.290921, 0.292601, 0.298305, 0.306625, 0.310732, 0.316163, 0.320971, 0.325424, 0.329693, 0.333868, 0.338041, 0.339757, 0.345002, 0.349637, 0.353796, 0.357632, 0.362747, 0.369576, 0.378396, 0.383331");
+            values ( \
+              "-0.00706114, -0.00921144, -0.00885266, -0.0145405, -0.0319116, -0.0380811, -0.0445194, -0.0488339, -0.0517611, -0.0535284, -0.0540387, -0.0521186, -0.0484117, -0.0259117, -0.0116647, -0.00526706, -0.00257666, -0.00109006, -0.00055121, -0.000362638, -0.000348302" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00705246");
+            index_3 ("0.289967, 0.295635, 0.299723, 0.312905, 0.325185, 0.331495, 0.33731, 0.342858, 0.348257, 0.353653, 0.354951, 0.365641, 0.370598, 0.373096, 0.37741, 0.383163, 0.39123, 0.401154, 0.419521");
+            values ( \
+              "-0.0380233, -0.0443239, -0.0617025, -0.13958, -0.191486, -0.210833, -0.22488, -0.233682, -0.237129, -0.226243, -0.215314, -0.0822836, -0.0431841, -0.0305024, -0.0165072, -0.00711284, -0.00253579, -0.00133184, -0.00112659" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0399094");
+            index_3 ("0.290517, 0.327651, 0.343673, 0.356756, 0.368421, 0.379307, 0.389818, 0.400262, 0.410701, 0.434431, 0.443449, 0.457766, 0.468895, 0.480766, 0.486813");
+            values ( \
+              "-0.00374089, -0.38343, -0.509168, -0.589808, -0.641921, -0.676536, -0.692649, -0.684206, -0.597331, -0.249381, -0.161055, -0.0758745, -0.0413377, -0.0211013, -0.0166785" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.225845");
+            index_3 ("0.312019, 0.329977, 0.350441, 0.366192, 0.394484, 0.416031, 0.449313, 0.469044, 0.486862, 0.521479, 0.545452, 0.556966, 0.574865, 0.594327, 0.632492, 0.670506, 0.710028, 0.735089, 0.758139, 0.777145, 0.798475, 0.82867, 0.859503, 0.883034, 0.930095, 1.01814, 1.12185");
+            values ( \
+              "-0.420789, -0.484884, -0.702315, -0.837564, -1.00953, -1.09036, -1.15732, -1.17279, -1.17704, -1.16599, -1.14393, -1.12847, -1.09493, -1.03717, -0.842598, -0.618945, -0.423727, -0.326249, -0.253615, -0.204971, -0.16043, -0.112408, -0.0779311, -0.0586328, -0.0326542, -0.00978702, -0.00218971" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27804");
+            index_3 ("0.336358, 0.400146, 0.430808, 0.459625, 0.490215, 0.527429, 0.571762, 0.689301, 0.78102, 1.02626, 1.22379, 1.41118, 1.46522, 1.57331, 1.68561, 1.96029, 2.10444, 2.23527, 2.30419, 2.5148, 2.63791, 2.74673, 2.95966, 3.07994, 3.32051, 3.76992, 4.27137");
+            values ( \
+              "-0.988391, -1.11497, -1.23372, -1.29839, -1.33843, -1.3588, -1.36858, -1.35867, -1.34318, -1.29775, -1.25491, -1.20089, -1.17909, -1.1219, -1.02688, -0.712248, -0.55854, -0.440753, -0.386119, -0.253651, -0.19714, -0.156258, -0.0986548, -0.0762706, -0.0440908, -0.0150877, -0.00398564" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("7.23233");
+            index_3 ("0.308678, 0.368253, 0.424236, 0.493788, 0.573696, 0.625703, 0.688705, 0.782908, 1.28373, 3.18441, 4.16837, 5.1809, 5.60496, 6.23082, 7.03132, 7.35051, 7.92957, 9.21113, 9.77652, 10.4968, 11.0264, 11.5821, 12.3201, 12.9789, 13.6204, 14.4209, 14.9319, 15.5817, 16.3822, 17.1827, 18.7837, 19.5842, 20.3847, 22.7862");
+            values ( \
+              "-0.281269, -0.926999, -1.24077, -1.37464, -1.41025, -1.41651, -1.41664, -1.41545, -1.40035, -1.34057, -1.30657, -1.26661, -1.24642, -1.21219, -1.14475, -1.10507, -1.00552, -0.737353, -0.626127, -0.498696, -0.418373, -0.346081, -0.265367, -0.208495, -0.164597, -0.120785, -0.0992619, -0.0771743, -0.0569545, -0.04103, -0.0216216, -0.0162557, -0.0112915, -0.00475156" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.810976, 0.826849, 0.83895, 0.847407, 0.860332, 0.875596, 0.888173, 0.894097, 0.900016, 0.914045, 0.91949, 0.926676, 0.933042, 0.955254, 1.0739");
+            values ( \
+              "-0.00208051, -0.00404248, -0.00757276, -0.0128743, -0.0251026, -0.033736, -0.0377413, -0.0381027, -0.0379009, -0.0103692, -0.00448779, -0.00165794, -0.000795956, -0.000261925, -3.86715e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00705246");
+            index_3 ("0.818313, 0.837613, 0.844069, 0.855093, 0.869323, 0.879554, 0.896221, 0.903591, 0.910667, 0.917679, 0.922933, 0.935159, 0.941962, 0.949746, 0.958543, 0.96917, 0.974145");
+            values ( \
+              "-0.013989, -0.0293738, -0.0400686, -0.0690212, -0.111857, -0.136262, -0.167121, -0.17687, -0.182601, -0.179902, -0.151485, -0.0496428, -0.0215473, -0.00783721, -0.00265811, -0.00132187, -0.00127461" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0399094");
+            index_3 ("0.772132, 0.825999, 0.835749, 0.840961, 0.851385, 0.85827, 0.860608, 0.865285, 0.88716, 0.900713, 0.9176, 0.931957, 0.944875, 0.956956, 0.968705, 0.980434, 0.9842, 0.997702, 1.00424, 1.01004, 1.01474, 1.01969, 1.02677, 1.02993, 1.03428, 1.04007, 1.04442, 1.04899");
+            values ( \
+              "-0.0110646, -0.029729, -0.0555056, -0.0724626, -0.109605, -0.141287, -0.153016, -0.179226, -0.307283, -0.38209, -0.469127, -0.532942, -0.579298, -0.608859, -0.616491, -0.554953, -0.510508, -0.326588, -0.248815, -0.191317, -0.152945, -0.119622, -0.0830826, -0.0704626, -0.0560471, -0.0411064, -0.0327732, -0.0256259" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.225845");
+            index_3 ("0.82533, 0.87496, 0.936292, 0.954586, 0.975492, 0.993972, 1.01996, 1.04073, 1.05586, 1.08733, 1.11267, 1.12687, 1.15527, 1.16444, 1.18277, 1.24441, 1.27377, 1.30334, 1.34861, 1.37694, 1.40116, 1.43352, 1.47666, 1.55836, 1.65348");
+            values ( \
+              "-0.0305795, -0.297111, -0.764811, -0.879863, -0.98262, -1.04882, -1.10988, -1.1366, -1.14789, -1.15243, -1.13749, -1.12161, -1.06459, -1.03449, -0.952998, -0.597204, -0.45107, -0.332258, -0.201551, -0.145132, -0.109149, -0.0741899, -0.0435551, -0.0146327, -0.00382083" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27804");
+            index_3 ("0.824739, 0.955849, 1.00586, 1.04018, 1.07647, 1.09552, 1.13362, 1.18144, 1.26489, 1.49079, 1.68386, 1.8686, 1.97974, 2.16881, 2.24509, 2.3213, 2.60371, 2.78295, 2.94832, 3.0697, 3.16976, 3.28762, 3.45406, 3.62695, 3.75936, 4.02417, 4.50753, 5.07984");
+            values ( \
+              "-0.00236935, -0.938998, -1.18676, -1.2747, -1.32542, -1.34158, -1.35868, -1.36379, -1.3562, -1.31731, -1.27905, -1.23504, -1.20064, -1.10326, -1.03657, -0.954839, -0.630162, -0.458283, -0.333635, -0.261342, -0.212755, -0.166073, -0.11606, -0.0798004, -0.0596367, -0.0327131, -0.00985891, -0.00217928" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("7.23233");
+            index_3 ("0.828497, 0.962576, 1.02455, 1.11569, 1.15953, 1.2137, 1.28002, 2.0225, 3.75593, 4.73988, 5.75241, 6.17647, 6.80234, 7.60283, 7.92202, 8.50108, 9.78268, 10.3481, 11.2448, 11.5978, 12.1536, 12.8916, 13.5504, 14.1919, 14.9924, 15.72, 16.1532, 16.9537, 17.7542, 18.5547, 19.3552, 20.1557, 21.7566, 23.3576");
+            values ( \
+              "-0.0672473, -0.991221, -1.26489, -1.39075, -1.40766, -1.41504, -1.41632, -1.39501, -1.3403, -1.30631, -1.26634, -1.24669, -1.21192, -1.14503, -1.10478, -1.00582, -0.737657, -0.62581, -0.471047, -0.41869, -0.345768, -0.265682, -0.208807, -0.164284, -0.121098, -0.0916134, -0.0774823, -0.0566485, -0.0413368, -0.0301223, -0.0219274, -0.0159508, -0.00842806, -0.00444809" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.60398, 2.6572, 2.6649, 2.68673, 2.69514, 2.71198, 2.74108, 2.75688, 2.77061, 2.79578, 2.80794, 2.8201, 2.82552, 2.83103, 2.8474, 2.8575, 2.86799, 2.87706, 2.89412, 2.90433");
+            values ( \
+              "-0.000311458, -0.00120582, -0.00148615, -0.00261574, -0.00325593, -0.0051963, -0.0129328, -0.0155015, -0.0171677, -0.0184718, -0.0184437, -0.0182123, -0.0174163, -0.0156841, -0.00504107, -0.00224054, -0.00127172, -0.000908641, -0.000493205, -0.000380381" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00705246");
+            index_3 ("2.60707, 2.65703, 2.66797, 2.68019, 2.69562, 2.70199, 2.71474, 2.72643, 2.75403, 2.77277, 2.78879, 2.80339, 2.81714, 2.83044, 2.8436, 2.85347, 2.87505, 2.88463, 2.89119, 2.89995, 2.91416");
+            values ( \
+              "-0.00175829, -0.00581628, -0.00775962, -0.010628, -0.0150516, -0.0174138, -0.0237852, -0.0335844, -0.0605921, -0.074761, -0.0838777, -0.0901354, -0.094376, -0.0967974, -0.0962393, -0.0876815, -0.0258551, -0.012266, -0.00753971, -0.00415609, -0.00196941" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0399094");
+            index_3 ("2.65754, 2.69407, 2.71023, 2.72889, 2.73838, 2.79972, 2.82821, 2.85231, 2.87385, 2.89371, 2.91264, 2.93135, 2.94537, 2.96166, 2.97556, 2.99063, 2.99805, 3.00794, 3.02773, 3.04964");
+            values ( \
+              "-0.0344663, -0.0442336, -0.0592768, -0.0827341, -0.0980092, -0.225829, -0.278799, -0.318147, -0.349027, -0.37269, -0.388256, -0.38053, -0.314465, -0.202868, -0.123727, -0.0663522, -0.0478252, -0.0301465, -0.0112842, -0.00420446" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.225845");
+            index_3 ("2.6602, 2.74884, 2.77775, 2.83744, 2.92157, 2.97379, 3.01875, 3.06016, 3.10034, 3.14045, 3.14944, 3.17822, 3.2368, 3.27261, 3.31171, 3.33438, 3.35444, 3.39349, 3.41544, 3.45933, 3.54101");
+            values ( \
+              "-0.0131709, -0.17038, -0.242024, -0.425997, -0.701736, -0.855973, -0.953815, -1.00718, -1.02064, -0.977199, -0.951525, -0.827363, -0.520734, -0.367975, -0.243088, -0.189005, -0.150468, -0.0953478, -0.0734459, -0.0429548, -0.014602" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27804");
+            index_3 ("2.71493, 2.85148, 2.94877, 3.00568, 3.05641, 3.12297, 3.20799, 3.2886, 3.40789, 3.58453, 3.81973, 3.95364, 4.09813, 4.18699, 4.24563, 4.56606, 4.78485, 4.92883, 5.04617, 5.25834, 5.42613, 5.60411, 5.74097, 6.0147, 6.36611");
+            values ( \
+              "-0.156871, -0.517226, -0.903578, -1.09149, -1.20633, -1.2897, -1.33003, -1.33315, -1.31973, -1.28886, -1.23721, -1.19742, -1.13099, -1.06526, -1.00889, -0.642513, -0.434929, -0.329308, -0.259996, -0.167202, -0.116514, -0.0792319, -0.0586232, -0.0314623, -0.0155066" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("7.23233");
+            index_3 ("2.61698, 2.7685, 2.99687, 3.07492, 3.13849, 3.20728, 3.28042, 3.36002, 3.45651, 4.13544, 4.30312, 4.76427, 5.72574, 7.07744, 7.72214, 8.52264, 8.77247, 9.27215, 9.89084, 10.6913, 12.063, 12.8635, 13.5525, 14.0053, 14.8058, 15.1771, 15.7552, 16.3483, 17.1488, 18.1501, 18.9506, 19.7511, 20.5516, 22.1526, 23.7535, 25.3545");
+            values ( \
+              "-0.0317227, -0.244659, -1.07455, -1.26618, -1.34676, -1.386, -1.40252, -1.40654, -1.40677, -1.38742, -1.38523, -1.37113, -1.34025, -1.29256, -1.26631, -1.22694, -1.21184, -1.17458, -1.10486, -0.96192, -0.67519, -0.528132, -0.421093, -0.360406, -0.27123, -0.236896, -0.191397, -0.153328, -0.112758, -0.0765735, -0.0561216, -0.0408085, -0.0298773, -0.0158585, -0.00841728, -0.00448052" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.45474, 9.53909, 9.58764, 9.61174, 9.64054, 9.69646, 9.72826, 9.75671, 9.81102, 9.8647, 9.88394, 9.92447, 9.9414, 9.96258, 9.99365, 10.0609, 10.1122");
+            values ( \
+              "-0.00055121, -0.000737226, -0.00122765, -0.00164621, -0.00258526, -0.00651338, -0.00761145, -0.00815396, -0.00841161, -0.0082101, -0.00750892, -0.00202084, -0.0011859, -0.000798729, -0.000488889, -0.000168586, -0.000116359" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00705246");
+            index_3 ("9.45878, 9.53314, 9.54614, 9.59562, 9.61956, 9.6498, 9.66068, 9.7135, 9.74943, 9.78051, 9.80942, 9.83731, 9.86467, 9.89202, 9.91258, 9.92147, 9.94129, 9.95282, 9.96213, 9.97745, 9.98601, 9.99884, 10.0159, 10.0501, 10.1094, 10.1882, 10.4128");
+            values ( \
+              "-0.00304413, -0.00378879, -0.0041638, -0.00686417, -0.00898664, -0.0135427, -0.0162916, -0.031837, -0.0388684, -0.0428769, -0.0448657, -0.0462813, -0.046606, -0.0461336, -0.0426182, -0.0377305, -0.0201949, -0.012466, -0.00848831, -0.00495815, -0.00400709, -0.00313509, -0.00239202, -0.00140514, -0.000529723, -0.000116344, -2.29225e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0399094");
+            index_3 ("9.33014, 9.52127, 9.5455, 9.58698, 9.62669, 9.64028, 9.66746, 9.70387, 9.77909, 9.82947, 9.87195, 9.91002, 9.94536, 9.97914, 10.0122, 10.037, 10.0782, 10.1002, 10.1165, 10.1297, 10.1562, 10.1742");
+            values ( \
+              "-0.0014853, -0.00966587, -0.0141877, -0.0229419, -0.0334122, -0.0379149, -0.0488707, -0.07058, -0.12648, -0.158407, -0.180553, -0.196858, -0.208972, -0.217077, -0.217898, -0.196977, -0.0912838, -0.0493467, -0.0298716, -0.0194861, -0.0078256, -0.00500947" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.225845");
+            index_3 ("9.52612, 9.65331, 9.70156, 9.75335, 9.82296, 10.0515, 10.1244, 10.189, 10.2481, 10.3046, 10.361, 10.4457, 10.4862, 10.5276, 10.5567, 10.5801, 10.6034, 10.6344, 10.6964, 10.7906, 10.9036");
+            values ( \
+              "-0.0435864, -0.084323, -0.118532, -0.164411, -0.24431, -0.518364, -0.597813, -0.661999, -0.709645, -0.733082, -0.664561, -0.380982, -0.264471, -0.175356, -0.128856, -0.0998204, -0.0771554, -0.0542196, -0.0257815, -0.00749697, -0.00163674" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27804");
+            index_3 ("9.52272, 9.82259, 9.99295, 10.2322, 10.3893, 10.4925, 10.5777, 10.7243, 10.83, 10.9431, 11.1276, 11.2667, 11.3339, 11.4378, 11.7677, 11.9282, 12.0902, 12.2215, 12.3381, 12.4937, 12.6267, 12.805, 13.0428, 13.4917, 14.0142");
+            values ( \
+              "-0.0250953, -0.281915, -0.538277, -0.950389, -1.16246, -1.23513, -1.2621, -1.26972, -1.25775, -1.23789, -1.19052, -1.13044, -1.08612, -0.99102, -0.62034, -0.467214, -0.343274, -0.264001, -0.207781, -0.149495, -0.112349, -0.0762547, -0.0447294, -0.015052, -0.00396009" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("7.23233");
+            index_3 ("9.74813, 10.1417, 10.4244, 10.4904, 10.6225, 10.7482, 10.8567, 11.1683, 11.4625, 12.6682, 13.8539, 14.6544, 15.2926, 15.9177, 16.6605, 16.9118, 17.2956, 17.7684, 19.0738, 19.8743, 20.5219, 21.3719, 22.0478, 22.5935, 23.2615, 24.062, 24.8594, 26.1418, 26.9423, 27.7427, 29.3437, 32.5457");
+            values ( \
+              "-0.487999, -0.83518, -1.27501, -1.32265, -1.37308, -1.38874, -1.39212, -1.38861, -1.38121, -1.34503, -1.305, -1.27436, -1.24585, -1.21116, -1.15078, -1.12221, -1.06496, -0.975965, -0.702174, -0.551269, -0.446653, -0.333704, -0.262073, -0.214717, -0.167253, -0.123388, -0.0908129, -0.055072, -0.0402445, -0.0292597, -0.0154748, -0.00428865" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.00189294, 0.0018936, 0.00189465, 0.00189514, 0.00189524, 0.00189526", \
+            "0.00220835, 0.00220832, 0.00220852, 0.00220886, 0.00220897, 0.002209", \
+            "0.00231476, 0.00231471, 0.00231461, 0.0023145, 0.0023145, 0.00231451", \
+            "0.00236535, 0.00236519, 0.0023649, 0.00236481, 0.00236478, 0.00236478", \
+            "0.00239783, 0.00239782, 0.00239783, 0.00239783, 0.00239779, 0.00239777", \
+            "0.00242216, 0.00242216, 0.00242216, 0.00242215, 0.00242214, 0.00242213" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.0025313, 0.00253123, 0.00253169, 0.00253217, 0.0025323, 0.00253232", \
+            "0.00257755, 0.00257678, 0.00257515, 0.00257425, 0.00257408, 0.00257405", \
+            "0.00264042, 0.00264018, 0.00263914, 0.00263752, 0.00263682, 0.00263667", \
+            "0.00297713, 0.00298079, 0.00298245, 0.00298631, 0.00298679, 0.00298682", \
+            "0.00391368, 0.00391378, 0.003914, 0.0038628, 0.00392264, 0.00394005", \
+            "0.00391219, 0.00390279, 0.00390059, 0.00389727, 0.00389661, 0.00390868" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "B";
+        timing_sense : positive_unate;
+        timing_type : combinational;
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.143904, 0.158424, 0.208446, 0.392887, 1.35974, 6.82376", \
+            "0.152378, 0.16685, 0.216871, 0.401331, 1.36825, 6.83216", \
+            "0.185496, 0.199987, 0.250088, 0.434439, 1.40136, 6.86538", \
+            "0.28247, 0.298926, 0.353775, 0.541134, 1.50905, 6.97323", \
+            "0.409435, 0.430854, 0.506275, 0.714007, 1.67885, 7.14323", \
+            "0.361023, 0.387085, 0.493255, 0.78256, 1.76437, 7.2246" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.0328917, 0.0433512, 0.0880135, 0.328222, 1.75943, 9.88146", \
+            "0.0328545, 0.0433742, 0.0880514, 0.328219, 1.75943, 9.88171", \
+            "0.0328825, 0.0433639, 0.0879458, 0.328179, 1.75943, 9.88146", \
+            "0.0415413, 0.0526605, 0.0963484, 0.330928, 1.75948, 9.88146", \
+            "0.0719417, 0.0856018, 0.135596, 0.348185, 1.7614, 9.88172", \
+            "0.146565, 0.159628, 0.230047, 0.438052, 1.77542, 9.88445" \
+          );
+        }
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.131583, 0.143361, 0.184193, 0.32427, 1.02548, 4.98217", \
+            "0.143313, 0.155032, 0.195989, 0.336078, 1.03751, 4.99425", \
+            "0.193261, 0.204997, 0.245879, 0.386344, 1.08763, 5.04445", \
+            "0.361161, 0.375309, 0.422106, 0.5672, 1.26835, 5.22502", \
+            "0.706807, 0.726752, 0.796629, 0.979276, 1.68501, 5.63979", \
+            "1.49096, 1.51655, 1.61469, 1.88265, 2.6284, 6.57406" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.0265437, 0.0346854, 0.0663368, 0.220691, 1.14927, 6.4453", \
+            "0.0265238, 0.0347133, 0.0662631, 0.220815, 1.14991, 6.44532", \
+            "0.0265254, 0.0346598, 0.0662143, 0.220573, 1.14927, 6.44532", \
+            "0.0388789, 0.0473927, 0.0778802, 0.224728, 1.15051, 6.44531", \
+            "0.0781239, 0.0885425, 0.129231, 0.261429, 1.15329, 6.44639", \
+            "0.165828, 0.175281, 0.226856, 0.385375, 1.17647, 6.44888" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.101179, 0.107056, 0.112983, 0.114772, 0.11835, 0.121383, 0.127239, 0.135052, 0.141311, 0.146903, 0.152158, 0.157278, 0.162393, 0.167532, 0.173855, 0.178545, 0.181532, 0.185816, 0.190234, 0.193586, 0.200292, 0.209646, 0.217919, 0.23383, 0.271662");
+            values ( \
+              "0.00218482, 0.0030255, 0.00565719, 0.00735285, 0.00975801, 0.0139693, 0.0240224, 0.0333091, 0.0383969, 0.0418359, 0.043482, 0.0442768, 0.0432029, 0.038274, 0.0208208, 0.0135844, 0.0105905, 0.00736302, 0.00517163, 0.0040041, 0.0023114, 0.00122499, 0.000836967, 0.000426134, 0.000218855" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00705246");
+            index_3 ("0.106557, 0.114206, 0.118343, 0.122134, 0.133548, 0.144058, 0.152421, 0.1598, 0.166678, 0.173342, 0.179997, 0.183391, 0.196165, 0.203164, 0.208353, 0.213233, 0.2223, 0.228191, 0.23746, 0.244876, 0.259707, 0.28465");
+            values ( \
+              "0.0132814, 0.0254468, 0.0355184, 0.0486649, 0.102122, 0.139353, 0.164875, 0.179018, 0.189742, 0.191932, 0.188225, 0.175474, 0.0873197, 0.0575303, 0.0415147, 0.0305473, 0.0169611, 0.011646, 0.00670345, 0.00448548, 0.00229914, 0.00155745" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0399094");
+            index_3 ("0.109502, 0.117823, 0.127084, 0.173489, 0.188948, 0.202927, 0.216329, 0.229732, 0.243136, 0.283311, 0.302495, 0.320677, 0.343304, 0.369817, 0.396127");
+            values ( \
+              "0.045818, 0.0684234, 0.120143, 0.434711, 0.496458, 0.531148, 0.541371, 0.531057, 0.477045, 0.215145, 0.133314, 0.0825394, 0.0441694, 0.0209584, 0.0136038" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.225845");
+            index_3 ("0.116862, 0.169894, 0.199061, 0.210569, 0.233584, 0.259977, 0.294216, 0.306064, 0.328943, 0.352409, 0.400726, 0.423787, 0.452687, 0.505871, 0.58854, 0.650838, 0.695572, 0.73102, 0.778283, 0.835012, 0.868688, 0.932886, 1.00688, 1.06643, 1.18554, 1.36145, 1.57676");
+            values ( \
+              "0.0397489, 0.505292, 0.69918, 0.753831, 0.827528, 0.873206, 0.889152, 0.888613, 0.878806, 0.864238, 0.817896, 0.788348, 0.742341, 0.634393, 0.451536, 0.332968, 0.262245, 0.215175, 0.163388, 0.116016, 0.0942251, 0.0625575, 0.0388987, 0.0263389, 0.011555, 0.00308149, 0.000511639" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27804");
+            index_3 ("0.177798, 0.401163, 0.541742, 0.980713, 1.35682, 1.52525, 1.90216, 2.46211, 2.90853, 3.1581, 3.56572, 3.97967, 4.28096, 4.67319, 5.19617, 5.85288");
+            values ( \
+              "1.02549, 1.03731, 1.01718, 0.936352, 0.856895, 0.811467, 0.675467, 0.44402, 0.291141, 0.225004, 0.144024, 0.0897921, 0.0629361, 0.0394517, 0.0206718, 0.00983399" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("7.23233");
+            index_3 ("0.270701, 0.270721, 1.88705, 3.98679, 5.3696, 6.83489, 7.58523, 8.42249, 9.23697, 10.2414, 12.5579, 14.223, 15.2901, 16.404, 17.7107, 18.7778, 19.7972, 21.062, 23.1359, 25.0163, 27.1505, 29.2847, 31.4189, 33.5531, 37.8215");
+            values ( \
+              "1e-22, 1.20259, 1.03385, 0.965922, 0.917735, 0.859926, 0.823523, 0.776879, 0.722713, 0.650808, 0.477096, 0.365468, 0.30434, 0.247794, 0.193301, 0.157502, 0.127804, 0.0987254, 0.0640289, 0.0429398, 0.0271024, 0.0170011, 0.0105918, 0.00653845, 0.00236818" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.141475, 0.14985, 0.153003, 0.159906, 0.170485, 0.179551, 0.184805, 0.189923, 0.195036, 0.200174, 0.206506, 0.214171, 0.218455, 0.222877, 0.226232, 0.232943, 0.242287, 0.250549, 0.266292, 0.317202, 0.32979");
+            values ( \
+              "0.00612308, 0.009041, 0.0126583, 0.0241545, 0.0358533, 0.0418124, 0.0435429, 0.044243, 0.0432572, 0.0382341, 0.0207687, 0.0105637, 0.00739449, 0.00519265, 0.00398169, 0.00233047, 0.00124534, 0.000817177, 0.000448902, 0.000166438, 0.000152358" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00705246");
+            index_3 ("0.144336, 0.153275, 0.166285, 0.176764, 0.185105, 0.191756, 0.196725, 0.199364, 0.20603, 0.208807, 0.212684, 0.21939, 0.229992, 0.237612, 0.246617, 0.253901, 0.260662, 0.271105, 0.279805, 0.297206, 0.310611");
+            values ( \
+              "0.0323283, 0.0429847, 0.101537, 0.140128, 0.164071, 0.178355, 0.185895, 0.188904, 0.192335, 0.191691, 0.187106, 0.156661, 0.0818712, 0.0516901, 0.029261, 0.0182769, 0.0118284, 0.00637009, 0.00399426, 0.00193885, 0.00162717" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0399094");
+            index_3 ("0.148043, 0.162594, 0.187531, 0.206477, 0.221931, 0.235923, 0.24933, 0.262738, 0.276145, 0.304021, 0.316362, 0.335468, 0.353718, 0.376327, 0.40278, 0.425945, 0.448955");
+            values ( \
+              "0.0588759, 0.138695, 0.321635, 0.434151, 0.496116, 0.530851, 0.541228, 0.530919, 0.476991, 0.284126, 0.214924, 0.133455, 0.0824511, 0.0441347, 0.021009, 0.0110349, 0.00661078" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.225845");
+            index_3 ("0.146975, 0.196082, 0.226983, 0.243437, 0.271953, 0.292861, 0.327673, 0.361458, 0.385277, 0.431939, 0.456744, 0.48556, 0.538745, 0.621406, 0.683728, 0.728448, 0.763868, 0.811095, 0.867896, 0.901615, 0.965773, 1.0397, 1.09918, 1.21815, 1.39243, 1.60596");
+            values ( \
+              "0.0114206, 0.450651, 0.673095, 0.753083, 0.841376, 0.872525, 0.890004, 0.879736, 0.863582, 0.820132, 0.788195, 0.742362, 0.634346, 0.45156, 0.332904, 0.262253, 0.215217, 0.163422, 0.116022, 0.0941714, 0.062568, 0.0389278, 0.0263436, 0.0115928, 0.0031215, 0.0005498" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27804");
+            index_3 ("0.207407, 0.322099, 0.358659, 0.396892, 0.43385, 0.571996, 1.01339, 1.3895, 1.55793, 1.68357, 1.93486, 2.4948, 2.94126, 3.19073, 3.36504, 3.59837, 3.80146, 4.01239, 4.31366, 4.70584, 5.22874, 5.95072");
+            values ( \
+              "1.00108, 1.00589, 1.03031, 1.03693, 1.03736, 1.01765, 0.936405, 0.856952, 0.811471, 0.771182, 0.675463, 0.444017, 0.291126, 0.225016, 0.186597, 0.144029, 0.11448, 0.089788, 0.0629348, 0.0394539, 0.0206748, 0.00872336" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("7.23233");
+            index_3 ("0.304068, 0.304088, 2.27526, 4.02006, 5.4034, 6.86784, 7.93494, 8.457, 9.2798, 10.2752, 12.5916, 14.2558, 15.3229, 16.438, 17.6032, 18.6703, 19.884, 21.2739, 23.3004, 25.0548, 27.189, 29.3232, 31.4574, 33.5916, 37.86");
+            values ( \
+              "1e-22, 1.1817, 1.02232, 0.966062, 0.917857, 0.860088, 0.806496, 0.776964, 0.721946, 0.650939, 0.476954, 0.365385, 0.304534, 0.247634, 0.198518, 0.162106, 0.126298, 0.0950181, 0.0621476, 0.042758, 0.0269331, 0.0168398, 0.0104356, 0.00638533, 0.00221797" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.272825, 0.281022, 0.293374, 0.304656, 0.310245, 0.315499, 0.320618, 0.325732, 0.330871, 0.337198, 0.344869, 0.35357, 0.363621, 0.372983, 0.381261, 0.396899, 0.435138");
+            values ( \
+              "0.00726417, 0.00936553, 0.0277029, 0.0385808, 0.0416862, 0.043649, 0.0441304, 0.0433572, 0.0381326, 0.0207323, 0.0105334, 0.00520849, 0.00234741, 0.00125832, 0.000803832, 0.000463012, 0.000249082" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00705246");
+            index_3 ("0.273018, 0.281777, 0.286866, 0.296889, 0.307373, 0.31573, 0.323116, 0.329995, 0.336661, 0.343394, 0.346524, 0.349755, 0.35627, 0.361016, 0.366621, 0.371171, 0.376686, 0.380008, 0.38665, 0.391946, 0.395256, 0.401874, 0.415112, 0.434332, 0.492283, 0.501673");
+            values ( \
+              "0.0211167, 0.0368842, 0.0535134, 0.101721, 0.140367, 0.163696, 0.18, 0.188682, 0.192871, 0.187198, 0.176355, 0.156488, 0.106728, 0.0800061, 0.0570585, 0.0429082, 0.030305, 0.024476, 0.015853, 0.0113396, 0.00924921, 0.00626697, 0.00312144, 0.00160359, 0.000575273, 0.000530531" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0399094");
+            index_3 ("0.279225, 0.293697, 0.318371, 0.337341, 0.352772, 0.366736, 0.380128, 0.393521, 0.406921, 0.434769, 0.447117, 0.466256, 0.484474, 0.507089, 0.533565, 0.556753, 0.577718");
+            values ( \
+              "0.0564798, 0.142263, 0.32153, 0.435934, 0.496978, 0.531763, 0.541535, 0.5314, 0.477045, 0.284329, 0.215061, 0.133412, 0.0824858, 0.0441415, 0.0210075, 0.0110138, 0.00697417" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.225845");
+            index_3 ("0.304775, 0.337778, 0.3579, 0.374456, 0.402872, 0.423791, 0.458342, 0.492706, 0.516172, 0.562833, 0.587607, 0.616448, 0.669633, 0.752296, 0.81461, 0.859335, 0.894765, 0.942005, 0.99878, 1.03248, 1.09666, 1.17061, 1.23012, 1.34913, 1.42135");
+            values ( \
+              "0.46039, 0.54193, 0.675549, 0.755632, 0.84239, 0.873414, 0.890194, 0.879582, 0.863782, 0.820044, 0.788256, 0.742366, 0.63436, 0.451555, 0.332924, 0.262254, 0.215205, 0.163405, 0.116023, 0.0941873, 0.0625675, 0.0389202, 0.0263383, 0.011582, 0.0080677" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27804");
+            index_3 ("0.325596, 0.396485, 0.451771, 0.489017, 0.526913, 0.56355, 0.624073, 1.02382, 1.26995, 1.5978, 1.81327, 2.06456, 2.6245, 3.07097, 3.32042, 3.49472, 3.72807, 3.93117, 4.1421, 4.44337, 4.83554, 5.35842, 6.19875, 7.1976");
+            values ( \
+              "0.848166, 0.899582, 1.00629, 1.03022, 1.03735, 1.03697, 1.02996, 0.958597, 0.910896, 0.836662, 0.77118, 0.675465, 0.444013, 0.29112, 0.225014, 0.186606, 0.144026, 0.114473, 0.0897915, 0.0629299, 0.0394497, 0.0206797, 0.00672416, 0.00167995" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("7.23233");
+            index_3 ("0.436304, 0.436324, 2.10976, 4.14941, 5.53222, 6.99751, 7.74784, 8.5851, 9.39959, 12.7206, 14.3856, 15.4527, 16.5666, 18.0655, 19.1326, 20.7854, 21.8525, 23.7267, 25.8011, 27.9353, 31.1366, 34.3379, 38.6063");
+            values ( \
+              "1e-22, 1.20211, 1.03222, 0.965629, 0.91744, 0.859629, 0.823825, 0.776572, 0.723025, 0.477426, 0.365803, 0.304004, 0.248132, 0.186529, 0.151257, 0.108377, 0.0869364, 0.0587796, 0.0379684, 0.0240726, 0.012031, 0.00607255, 0.00242673" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.738282, 0.75388, 0.759441, 0.780706, 0.788844, 0.796065, 0.802748, 0.809201, 0.815581, 0.821958, 0.830486, 0.837385, 0.846605, 0.856891, 0.871491, 0.88977, 0.950657");
+            values ( \
+              "0.00300702, 0.004968, 0.00739327, 0.0252887, 0.0297806, 0.0325308, 0.0344366, 0.0352156, 0.0351717, 0.0321596, 0.0163528, 0.00955381, 0.00480626, 0.00224579, 0.000922067, 0.000465621, 0.000304122" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00705246");
+            index_3 ("0.738323, 0.753768, 0.75973, 0.766433, 0.780858, 0.80151, 0.810752, 0.819192, 0.827216, 0.835124, 0.843024, 0.851923, 0.862515, 0.867875, 0.875287, 0.887354, 0.899058, 0.908889, 0.928552, 0.977001");
+            values ( \
+              "0.0129132, 0.0199807, 0.0284126, 0.042701, 0.0849402, 0.130493, 0.145101, 0.155515, 0.160834, 0.160656, 0.140091, 0.0866297, 0.0478944, 0.0350593, 0.022518, 0.0107694, 0.00558585, 0.00345077, 0.00167501, 0.0011545" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0399094");
+            index_3 ("0.738885, 0.767007, 0.7704, 0.777184, 0.812056, 0.825576, 0.843663, 0.859466, 0.874229, 0.888718, 0.903187, 0.912578, 0.928329, 0.936644, 0.947225, 0.955654, 0.966894, 0.976339, 0.988517, 1.00401, 1.01153, 1.0235, 1.04361, 1.06311, 1.07832, 1.10555, 1.16");
+            values ( \
+              "0.0192028, 0.0830412, 0.0958275, 0.125558, 0.299627, 0.362393, 0.433321, 0.475448, 0.497197, 0.496086, 0.455856, 0.399319, 0.297875, 0.249643, 0.196411, 0.160607, 0.121148, 0.0949015, 0.0686421, 0.0448098, 0.0364898, 0.0262903, 0.0149736, 0.00899945, 0.00615646, 0.0031397, 0.000834041" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.225845");
+            index_3 ("0.730555, 0.783981, 0.853844, 0.889024, 0.918528, 0.958598, 0.966061, 0.980987, 1.02705, 1.06178, 1.10029, 1.14105, 1.23573, 1.31187, 1.3919, 1.43847, 1.49659, 1.53107, 1.59451, 1.66719, 1.72545, 1.84196, 1.89044");
+            values ( \
+              "0.0196913, 0.180837, 0.621684, 0.768965, 0.836233, 0.869594, 0.870632, 0.868879, 0.844883, 0.813238, 0.763539, 0.689531, 0.480726, 0.33326, 0.215626, 0.164394, 0.115791, 0.0935417, 0.0624262, 0.0391476, 0.0267293, 0.0119749, 0.00953036" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27804");
+            index_3 ("0.783879, 0.870873, 0.905316, 0.949187, 0.974276, 0.994144, 1.02876, 1.04052, 1.05147, 1.07484, 1.09972, 1.14567, 1.21594, 1.52292, 1.82094, 2.02975, 2.29444, 2.35019, 2.57319, 3.00968, 3.12837, 3.28663, 3.58177, 3.68915, 3.80473, 3.95884, 4.21791, 4.29449, 4.44765, 4.75399, 4.97511, 5.05726, 5.22156, 5.55017, 6.20737, 7.11183, 8.17893");
+            values ( \
+              "0.31543, 0.742629, 0.886897, 0.981038, 1.00653, 1.01697, 1.02905, 1.02878, 1.03022, 1.03128, 1.03032, 1.02537, 1.01435, 0.958637, 0.900316, 0.853704, 0.777524, 0.758087, 0.671549, 0.489022, 0.442183, 0.383565, 0.287714, 0.257875, 0.228568, 0.193868, 0.145485, 0.133567, 0.112178, 0.0785669, 0.0605097, 0.0549784, 0.0451827, 0.0304332, 0.0131641, 0.00386797, 0.000806272" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("7.23233");
+            index_3 ("0.923465, 0.923485, 2.68078, 4.64813, 6.03094, 7.09804, 8.24656, 9.08382, 9.8983, 13.2193, 14.8843, 15.9514, 17.0653, 18.5642, 19.6313, 21.2841, 22.3512, 24.2254, 26.2998, 28.434, 31.6353, 34.8366, 39.105");
+            values ( \
+              "1e-22, 1.18258, 1.02997, 0.965562, 0.917375, 0.876425, 0.823886, 0.776515, 0.72308, 0.47747, 0.365844, 0.303964, 0.248167, 0.186562, 0.151224, 0.108345, 0.0869682, 0.0588107, 0.0379391, 0.0240438, 0.012059, 0.0060453, 0.00240047" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.36789, 2.40325, 2.41437, 2.42316, 2.44892, 2.46163, 2.48018, 2.49185, 2.50315, 2.51429, 2.52542, 2.53174, 2.54209, 2.55067, 2.56234, 2.57139, 2.58399, 2.59236, 2.60352, 2.62584, 2.78568");
+            values ( \
+              "0.00159564, 0.0022913, 0.00354511, 0.00523193, 0.0135294, 0.0162846, 0.0187808, 0.0195855, 0.0201718, 0.0201549, 0.0194455, 0.0168193, 0.0095152, 0.00580021, 0.00317397, 0.00198602, 0.00102836, 0.00066877, 0.000389534, 0.000158486, 7.64074e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00705246");
+            index_3 ("2.37026, 2.41399, 2.42326, 2.42862, 2.46004, 2.48077, 2.49131, 2.49937, 2.51328, 2.52652, 2.53943, 2.55233, 2.55606, 2.57188, 2.58067, 2.58647, 2.59374, 2.60342, 2.61342, 2.62123, 2.63686, 2.66117, 2.78871");
+            values ( \
+              "0.00679819, 0.014739, 0.020601, 0.0251457, 0.0602773, 0.0774005, 0.084055, 0.0882121, 0.0942426, 0.0977815, 0.0991635, 0.094054, 0.0873951, 0.0481365, 0.0326683, 0.0252409, 0.0179699, 0.0111112, 0.00671604, 0.00451105, 0.00203909, 0.000898312, 0.000496774" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0399094");
+            index_3 ("2.36132, 2.41347, 2.43472, 2.44757, 2.49058, 2.54313, 2.56719, 2.58873, 2.60883, 2.62859, 2.6483, 2.6776, 2.69271, 2.70479, 2.71896, 2.72896, 2.74366, 2.75987, 2.77251, 2.79779, 2.84106, 2.85337");
+            values ( \
+              "0.0140747, 0.0410497, 0.0676193, 0.0906242, 0.180584, 0.279382, 0.318611, 0.348256, 0.366147, 0.362597, 0.302124, 0.180754, 0.13193, 0.100259, 0.0716378, 0.0559743, 0.0385078, 0.0254864, 0.0184458, 0.00963343, 0.00331569, 0.00302728" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.225845");
+            index_3 ("2.33833, 2.43568, 2.50187, 2.63884, 2.68421, 2.71422, 2.74541, 2.79629, 2.85003, 3.0762, 3.13968, 3.23198, 3.31267, 3.43552, 3.53145");
+            values ( \
+              "0.00604537, 0.097518, 0.258146, 0.68365, 0.767829, 0.794887, 0.803866, 0.786972, 0.727299, 0.288511, 0.203425, 0.117825, 0.0712467, 0.0323695, 0.0223227" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27804");
+            index_3 ("2.4671, 2.56759, 2.64681, 2.70915, 2.77406, 2.82037, 2.87928, 2.94813, 3.0177, 3.25309, 3.55103, 3.76074, 4.08147, 4.27849, 4.84822, 5.25312, 5.41927, 5.60311, 5.84823, 6.02341, 6.22948, 6.50424, 6.7932, 7.23201, 7.81709, 8.7038, 9.77091");
+            values ( \
+              "0.411007, 0.504944, 0.795285, 0.932465, 0.995936, 1.01069, 1.01445, 1.00841, 0.998125, 0.956653, 0.899095, 0.852682, 0.757489, 0.68213, 0.446728, 0.305741, 0.258368, 0.212991, 0.16297, 0.13401, 0.106012, 0.076972, 0.0547653, 0.0323817, 0.0155485, 0.00468289, 0.00100741" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("7.23233");
+            index_3 ("2.6396, 2.63962, 4.7928, 6.37928, 8.39524, 9.22711, 10.2942, 10.8163, 11.6391, 14.9509, 16.615, 17.6821, 18.7973, 19.3083, 20.2965, 21.3636, 21.9487, 23.0158, 24.0829, 24.89, 25.9571, 26.9643, 28.0314, 30.1656, 33.3669, 36.5682, 40.8366");
+            values ( \
+              "1e-22, 1.14999, 1.01772, 0.965564, 0.893673, 0.859584, 0.807005, 0.776452, 0.722462, 0.47748, 0.365914, 0.304006, 0.248162, 0.225495, 0.186548, 0.151193, 0.134529, 0.108334, 0.0869784, 0.0735574, 0.058821, 0.0475823, 0.0379288, 0.0240335, 0.0120694, 0.00603497, 0.00239015" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("8.25362, 8.33751, 8.43977, 8.48003, 8.51122, 8.53529, 8.55749, 8.60769, 8.61764, 8.63318, 8.64523, 8.67043, 8.69441, 8.71783, 8.74095, 8.76406, 8.77633, 8.79998, 8.81855, 8.8287, 8.84864, 8.87567, 8.89278, 8.92701, 8.98379, 9.16524");
+            values ( \
+              "8.55926e-06, 8.69201e-05, 0.000328118, 0.000638439, 0.000987921, 0.00149872, 0.00242204, 0.00688898, 0.00754467, 0.00822734, 0.0086572, 0.00918137, 0.00950858, 0.00966548, 0.00975344, 0.00950333, 0.00867556, 0.00435408, 0.00241475, 0.00187531, 0.00121425, 0.000718708, 0.000513206, 0.000224712, 4.63337e-05, 3.17273e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00705246");
+            index_3 ("8.25399, 8.33727, 8.44637, 8.48457, 8.5118, 8.54221, 8.56321, 8.58571, 8.6241, 8.65274, 8.66621, 8.69423, 8.72021, 8.74525, 8.76973, 8.7942, 8.80137, 8.80865, 8.83568, 8.84646, 8.86118, 8.87096, 8.88546, 8.90366, 8.91816, 8.94715, 8.99449, 9.12645, 9.33982, 9.45558");
+            values ( \
+              "4.91294e-05, 0.000460217, 0.00190123, 0.0035656, 0.00511856, 0.00814347, 0.0121656, 0.0194995, 0.0336027, 0.0408772, 0.0433769, 0.0475204, 0.0499554, 0.0516162, 0.0521859, 0.051138, 0.0491558, 0.0455804, 0.023251, 0.0169927, 0.0112543, 0.00858617, 0.00579112, 0.00362199, 0.00248671, 0.00112221, 0.000293277, 0.000105409, 0.00014839, 5.418e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0399094");
+            index_3 ("8.33722, 8.50477, 8.52467, 8.5512, 8.57709, 8.59468, 8.67665, 8.7478, 8.78903, 8.82641, 8.86143, 8.8953, 8.92912, 8.98194, 9.02209, 9.0548, 9.07672, 9.09396, 9.12843, 9.18429, 9.251, 9.57511");
+            values ( \
+              "8.36281e-05, 0.0170729, 0.0221686, 0.0308504, 0.0427987, 0.0540109, 0.119062, 0.164187, 0.184698, 0.199712, 0.21011, 0.214892, 0.200694, 0.109048, 0.0559626, 0.0298631, 0.0190878, 0.0131998, 0.00599323, 0.00160354, 0.00088795, 0.00016045" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.225845");
+            index_3 ("8.27877, 8.33762, 8.51329, 8.58081, 8.6427, 8.68054, 8.77211, 8.9769, 9.05007, 9.11605, 9.18002, 9.24379, 9.37978, 9.4522, 9.53335, 9.60532, 9.65597, 9.69878, 9.74205, 9.79973, 9.9151, 9.99573");
+            values ( \
+              "0.00165624, 0.00440493, 0.0411886, 0.0789733, 0.130819, 0.170776, 0.274211, 0.519518, 0.593199, 0.636413, 0.638668, 0.575759, 0.34132, 0.237192, 0.151489, 0.0993133, 0.0729142, 0.0559163, 0.0426616, 0.0295293, 0.0136509, 0.00906228" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27804");
+            index_3 ("8.62712, 8.84343, 9.04727, 9.09451, 9.18897, 9.2739, 9.34512, 9.45526, 9.56943, 9.81188, 9.973, 10.0983, 10.3489, 10.4518, 10.5698, 11.1023, 11.3854, 11.6771, 11.8528, 11.9717, 12.2094, 12.3377, 12.5446, 12.7488, 13.0338, 13.1496, 13.3811, 13.8443, 14.6702, 15.6322");
+            values ( \
+              "0.2725, 0.412772, 0.75393, 0.823396, 0.917977, 0.95512, 0.964363, 0.960043, 0.945666, 0.905193, 0.874129, 0.847196, 0.778516, 0.743314, 0.698931, 0.479957, 0.37388, 0.28129, 0.234779, 0.206901, 0.159632, 0.13827, 0.109482, 0.0863792, 0.0618464, 0.0538488, 0.0409533, 0.0231137, 0.0078131, 0.00198829" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("7.23233");
+            index_3 ("8.26724, 8.62801, 9.218, 9.32161, 9.63212, 10.7996, 13.2199, 15.1642, 16.3134, 17.9532, 21.8855, 24.0197, 25.6425, 28.2846, 31.2259, 33.3003, 35.4345, 39.6996");
+            values ( \
+              "0.000803557, 0.144201, 0.992821, 1.03622, 1.05383, 1.02618, 0.947922, 0.876197, 0.823712, 0.723957, 0.435475, 0.303923, 0.225576, 0.134524, 0.0735628, 0.047577, 0.0302062, 0.0120784" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.00213598, 0.00213652, 0.00213777, 0.00213847, 0.00213863, 0.00213866", \
+            "0.00254301, 0.00254302, 0.00254315, 0.00254358, 0.00254377, 0.00254381", \
+            "0.00273301, 0.00273303, 0.00273312, 0.00273324, 0.00273335, 0.00273339", \
+            "0.00286045, 0.00286044, 0.00286041, 0.0028603, 0.00286027, 0.00286028", \
+            "0.00297008, 0.00297007, 0.00297006, 0.00296998, 0.00296991, 0.00296989", \
+            "0.00311879, 0.00311882, 0.00311893, 0.00311913, 0.00311917, 0.00311917" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.00275252, 0.00275246, 0.00275343, 0.00275464, 0.00275499, 0.00275506", \
+            "0.00278698, 0.00278653, 0.00278577, 0.00278555, 0.00278564, 0.00278567", \
+            "0.00280629, 0.00280618, 0.00280545, 0.00280406, 0.00280341, 0.00280328", \
+            "0.00313877, 0.00321019, 0.00332056, 0.00336548, 0.00335943, 0.00336157", \
+            "0.00342129, 0.00342194, 0.00342197, 0.00341855, 0.00353267, 0.00356853", \
+            "0.00317379, 0.00317381, 0.00317702, 0.00317657, 0.00317971, 0.00328835" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.104173, 0.111456, 0.114815, 0.121014, 0.126628, 0.130603, 0.134725, 0.139809, 0.143942, 0.149995, 0.152012, 0.152562, 0.154274, 0.158565, 0.161759, 0.16467, 0.168424");
+            values ( \
+              "-0.0118702, -0.012734, -0.0176388, -0.0317997, -0.0404794, -0.0454889, -0.0498238, -0.0537109, -0.0559798, -0.0546352, -0.0537567, -0.0526881, -0.0472686, -0.0272883, -0.0158566, -0.00900479, -0.00432776" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00705246");
+            index_3 ("0.102852, 0.117199, 0.127692, 0.132619, 0.13961, 0.145748, 0.151391, 0.156768, 0.161997, 0.167221, 0.16999, 0.178409, 0.182881, 0.18767, 0.190478, 0.194221, 0.201708, 0.211011, 0.237424");
+            values ( \
+              "-0.0142551, -0.0731108, -0.1424, -0.166982, -0.196479, -0.217103, -0.231829, -0.241334, -0.244895, -0.233155, -0.203803, -0.0895548, -0.050147, -0.0254213, -0.016976, -0.00986542, -0.00348196, -0.00151464, -0.0011307" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0399094");
+            index_3 ("0.108164, 0.122474, 0.128947, 0.137287, 0.152422, 0.158264, 0.171046, 0.182445, 0.19307, 0.203337, 0.213553, 0.223766, 0.239812, 0.247026, 0.25637, 0.261188, 0.270408, 0.281122, 0.284497");
+            values ( \
+              "-0.0954859, -0.185612, -0.245345, -0.337043, -0.475291, -0.52023, -0.603759, -0.658032, -0.692773, -0.709011, -0.69836, -0.606978, -0.350933, -0.254495, -0.161054, -0.125455, -0.076454, -0.0424394, -0.0364603" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.225845");
+            index_3 ("0.106286, 0.156143, 0.175889, 0.18773, 0.211115, 0.227598, 0.259398, 0.271154, 0.284541, 0.297786, 0.332169, 0.347953, 0.367451, 0.402961, 0.415584, 0.430011, 0.483735, 0.513769, 0.529053, 0.559623, 0.580199, 0.612548, 0.651772, 0.674099, 0.718753, 0.800863, 0.896513");
+            values ( \
+              "-0.000415647, -0.630864, -0.824436, -0.914686, -1.04524, -1.10403, -1.16813, -1.17841, -1.18436, -1.18589, -1.17201, -1.15788, -1.13304, -1.04729, -0.994286, -0.918263, -0.602172, -0.45177, -0.386658, -0.2783, -0.22148, -0.152861, -0.0961559, -0.0735319, -0.0423684, -0.0140652, -0.0036812" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27804");
+            index_3 ("0.158132, 0.250459, 0.281614, 0.310497, 0.337893, 0.385626, 0.439016, 0.506439, 0.677845, 0.872393, 1.0339, 1.23178, 1.29533, 1.38007, 1.4207, 1.50197, 1.76599, 1.91185, 2.04618, 2.11757, 2.26037, 2.37427, 2.54992, 2.70575, 2.76909, 2.89575, 3.14907, 3.60048, 4.12995");
+            values ( \
+              "-1.19515, -1.27006, -1.32502, -1.35168, -1.36378, -1.37034, -1.36682, -1.35743, -1.32775, -1.29048, -1.25496, -1.1972, -1.17095, -1.12411, -1.09469, -1.02035, -0.71679, -0.561275, -0.439609, -0.383732, -0.289185, -0.229285, -0.15865, -0.113424, -0.0989062, -0.0750026, -0.0423872, -0.0141302, -0.0036386" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("7.23233");
+            index_3 ("0.112906, 0.186043, 0.246814, 0.31073, 0.355958, 0.417736, 0.474282, 0.553102, 1.09473, 2.99545, 3.9795, 4.99179, 6.04165, 6.84258, 7.16126, 7.7403, 9.58719, 10.3074, 10.8369, 11.3927, 12.1306, 12.7895, 13.431, 14.2319, 14.7424, 15.3918, 16.1927, 16.9936, 18.5955, 19.3964, 20.1973, 22.6001");
+            values ( \
+              "-0.160742, -0.974426, -1.2861, -1.38557, -1.40721, -1.4163, -1.41675, -1.41645, -1.40049, -1.34076, -1.30677, -1.26681, -1.21238, -1.14468, -1.10523, -1.00551, -0.626189, -0.498658, -0.418341, -0.346133, -0.265328, -0.208453, -0.164639, -0.120724, -0.099221, -0.0771468, -0.0569963, -0.040982, -0.021569, -0.0162862, -0.0112401, -0.00478693" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.137651, 0.143532, 0.149212, 0.159524, 0.16556, 0.175826, 0.183986, 0.188019, 0.18956, 0.199124, 0.203033, 0.207282, 0.215253");
+            values ( \
+              "-0.00699085, -0.00903194, -0.014384, -0.0363264, -0.0445093, -0.0535399, -0.056074, -0.0538764, -0.0503409, -0.0123681, -0.00572476, -0.00255285, -0.00105495" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00705246");
+            index_3 ("0.13705, 0.147105, 0.148208, 0.149311, 0.150359, 0.151406, 0.152453, 0.153501, 0.155988, 0.158476, 0.160155, 0.161834, 0.163513, 0.166254, 0.167625, 0.168996, 0.170746, 0.172496, 0.174246, 0.175996, 0.179068, 0.182139, 0.184963, 0.187786, 0.190476, 0.193166, 0.194474, 0.195782, 0.197089, 0.198397, 0.199704, 0.20101, 0.202317, 0.203623, 0.203712, 0.204422, 0.204954, 0.206019, 0.209442, 0.211222, 0.212161, 0.2131, 0.214351, 0.215943, 0.21725, 0.218457, 0.219663, 0.221272, 0.222077, 0.2239");
+            values ( \
+              "-0.00172738, -0.0488399, -0.0520941, -0.0559113, -0.060187, -0.0648821, -0.0699965, -0.0755303, -0.0907196, -0.107545, -0.119827, -0.130398, -0.140308, -0.154718, -0.161526, -0.168069, -0.175961, -0.183474, -0.190608, -0.197363, -0.208285, -0.218077, -0.225935, -0.233136, -0.239386, -0.245039, -0.244493, -0.243634, -0.242463, -0.240979, -0.239183, -0.237075, -0.234656, -0.231923, -0.231476, -0.225241, -0.21995, -0.207142, -0.159816, -0.133871, -0.121143, -0.10921, -0.0945363, -0.0778774, -0.0648729, -0.0552776, -0.0470498, -0.0377038, -0.0336523, -0.0258299" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0399094");
+            index_3 ("0.14666, 0.158145, 0.159431, 0.164573, 0.174423, 0.18673, 0.194218, 0.206981, 0.218351, 0.228965, 0.239224, 0.249472, 0.259645, 0.275687, 0.282928, 0.288032, 0.292223, 0.297048, 0.303891, 0.306278, 0.311294, 0.317027, 0.328423");
+            values ( \
+              "-0.107779, -0.185223, -0.196069, -0.244243, -0.351464, -0.463747, -0.521441, -0.605207, -0.658522, -0.693623, -0.7092, -0.698768, -0.606883, -0.350968, -0.254218, -0.198805, -0.161219, -0.125574, -0.0870376, -0.0764903, -0.0582333, -0.0423796, -0.0222097" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.225845");
+            index_3 ("0.150821, 0.198966, 0.214533, 0.223963, 0.242822, 0.263849, 0.294127, 0.299512, 0.310283, 0.333958, 0.369106, 0.392815, 0.403666, 0.421495, 0.4409, 0.478948, 0.516963, 0.543098, 0.556393, 0.581387, 0.604446, 0.623474, 0.644773, 0.674934, 0.70572, 0.729213, 0.776199, 0.864076, 0.967611");
+            values ( \
+              "-0.131288, -0.702926, -0.844076, -0.91595, -1.02385, -1.105, -1.16558, -1.17221, -1.17964, -1.18635, -1.17183, -1.14829, -1.13303, -1.09867, -1.04002, -0.844272, -0.619649, -0.484549, -0.424273, -0.326771, -0.253907, -0.205104, -0.160545, -0.112493, -0.0780082, -0.0587054, -0.0327113, -0.00982313, -0.00220073" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27804");
+            index_3 ("0.188278, 0.252256, 0.287713, 0.328998, 0.368061, 0.408471, 0.454653, 0.569163, 0.985552, 1.06976, 1.23819, 1.36776, 1.45649, 1.63394, 1.89215, 2.01825, 2.10952, 2.20899, 2.34072, 2.459, 2.61671, 2.74721, 2.92222, 3.15557, 3.60385, 4.12501");
+            values ( \
+              "-1.11481, -1.1514, -1.27455, -1.33829, -1.36271, -1.3696, -1.3687, -1.35298, -1.27422, -1.25496, -1.20765, -1.15272, -1.09479, -0.914153, -0.61883, -0.495223, -0.417878, -0.344838, -0.264613, -0.207434, -0.148564, -0.112088, -0.0765833, -0.0454824, -0.0152412, -0.00410995" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("7.23233");
+            index_3 ("0.147485, 0.248042, 0.291416, 0.32258, 0.388465, 0.435955, 0.494068, 0.573226, 0.828757, 2.81938, 4.01208, 4.81301, 5.44717, 6.07425, 6.87518, 7.19386, 7.7729, 9.05447, 9.61979, 10.5165, 10.8695, 11.4253, 12.1632, 12.3828, 12.8221, 13.4636, 14.2645, 14.6668, 14.9915, 15.4244, 16.2253, 17.0262, 17.8271, 19.429, 21.0308, 22.6327");
+            values ( \
+              "-0.188291, -1.16671, -1.31737, -1.36645, -1.40688, -1.41524, -1.4172, -1.41645, -1.40913, -1.34731, -1.30621, -1.27551, -1.24701, -1.21182, -1.14524, -1.10467, -1.00607, -0.73787, -0.625628, -0.470861, -0.418897, -0.345578, -0.265883, -0.245159, -0.209005, -0.164088, -0.121275, -0.103596, -0.091423, -0.0776909, -0.0564536, -0.0415248, -0.0299171, -0.0157452, -0.0082249, -0.00424778" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.285022, 0.290715, 0.296314, 0.307207, 0.312088, 0.319074, 0.327522, 0.331556, 0.335588, 0.337178, 0.346744, 0.350628, 0.354796, 0.358371, 0.359541");
+            values ( \
+              "-0.00703027, -0.00838512, -0.013777, -0.0365519, -0.043254, -0.0504884, -0.0555419, -0.0558015, -0.0541417, -0.0501944, -0.0122524, -0.00569982, -0.00258175, -0.00140033, -0.00126204" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00705246");
+            index_3 ("0.286397, 0.300729, 0.313002, 0.322378, 0.329237, 0.334892, 0.340256, 0.345482, 0.350708, 0.36192, 0.366311, 0.371157, 0.377851, 0.385501, 0.394856, 0.489733");
+            values ( \
+              "-0.0139439, -0.0736342, -0.151975, -0.19376, -0.217097, -0.231729, -0.24122, -0.244868, -0.233054, -0.0892985, -0.0505488, -0.0254265, -0.00966378, -0.00334652, -0.00148142, -0.000139948" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0399094");
+            index_3 ("0.29611, 0.304039, 0.332242, 0.352673, 0.365178, 0.374038, 0.379336, 0.38604, 0.396236, 0.406456, 0.422495, 0.430093, 0.438261, 0.450208, 0.459204, 0.465836, 0.478868, 0.499175, 0.524106");
+            values ( \
+              "-0.121743, -0.174421, -0.453204, -0.599231, -0.658943, -0.688374, -0.700595, -0.709274, -0.698402, -0.607286, -0.351174, -0.2502, -0.16781, -0.0893777, -0.0548238, -0.0378863, -0.017959, -0.00535882, -0.00160019" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.225845");
+            index_3 ("0.312469, 0.339703, 0.362492, 0.372109, 0.391342, 0.411857, 0.43989, 0.4626, 0.481887, 0.51667, 0.535005, 0.551594, 0.584773, 0.597276, 0.612992, 0.667696, 0.697872, 0.713226, 0.743932, 0.764226, 0.796487, 0.83587, 0.858358, 0.903336, 0.985425, 1.0813");
+            values ( \
+              "-0.48618, -0.630408, -0.849287, -0.920809, -1.02978, -1.10667, -1.16395, -1.18259, -1.18628, -1.17218, -1.15513, -1.13313, -1.05543, -1.00547, -0.924563, -0.602931, -0.451749, -0.386401, -0.277644, -0.221676, -0.153157, -0.0961648, -0.0733787, -0.0421142, -0.0139729, -0.00365265" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27804");
+            index_3 ("0.330905, 0.391921, 0.435188, 0.467358, 0.495532, 0.521663, 0.564916, 0.618063, 0.774667, 1.03396, 1.21766, 1.41554, 1.47909, 1.56382, 1.60446, 1.68575, 1.94974, 2.09559, 2.22995, 2.30136, 2.44419, 2.55801, 2.73363, 2.88949, 2.95285, 3.07956, 3.33299, 3.78385, 4.31269");
+            values ( \
+              "-0.961304, -1.10923, -1.27218, -1.32869, -1.35282, -1.36424, -1.3701, -1.36749, -1.34328, -1.29507, -1.25495, -1.1972, -1.17095, -1.12412, -1.09469, -1.02033, -0.716802, -0.561301, -0.439602, -0.383711, -0.289146, -0.229295, -0.158665, -0.11343, -0.0989053, -0.074994, -0.0423717, -0.0141482, -0.00365076" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("7.23233");
+            index_3 ("0.301086, 0.368529, 0.434846, 0.500998, 0.537902, 0.584103, 0.64275, 0.976959, 2.97904, 4.16151, 4.96243, 5.59661, 6.22368, 7.0246, 7.34328, 7.92232, 9.7692, 10.6659, 11.5747, 12.3127, 12.9715, 13.613, 14.4139, 15.1409, 16.3747, 17.1757, 17.9766, 19.5784, 21.1803, 22.7821");
+            values ( \
+              "-0.230602, -0.983957, -1.3043, -1.39163, -1.40718, -1.41512, -1.41729, -1.4091, -1.34692, -1.30628, -1.27544, -1.24694, -1.2119, -1.14516, -1.10476, -1.00597, -0.625748, -0.470979, -0.345696, -0.265763, -0.208885, -0.164209, -0.121154, -0.091544, -0.0565744, -0.0414038, -0.0300379, -0.015866, -0.00834567, -0.00436851" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.809546, 0.820404, 0.826116, 0.8269, 0.828468, 0.831605, 0.836417, 0.838947, 0.844008, 0.849333, 0.855737, 0.860328, 0.868416, 0.875369, 0.881716, 0.887689, 0.893469, 0.899216, 0.903525, 0.905386, 0.9057, 0.906328, 0.910098, 0.912829, 0.91488, 0.918082, 0.920409, 0.921954, 0.925043, 0.931222, 0.94037, 0.952391, 0.979292");
+            values ( \
+              "-0.00186172, -0.00308558, -0.00388207, -0.0041099, -0.00435534, -0.00515791, -0.00649365, -0.00755015, -0.0101503, -0.0151536, -0.0214678, -0.0250644, -0.0304722, -0.0340385, -0.0366633, -0.038383, -0.0393624, -0.0387632, -0.0339851, -0.028255, -0.0279093, -0.0257696, -0.0158712, -0.0107782, -0.00786305, -0.0046841, -0.0032827, -0.0026155, -0.00169278, -0.000804626, -0.000424107, -0.000285683, -0.000178744" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00705246");
+            index_3 ("0.812888, 0.825829, 0.831165, 0.837456, 0.844019, 0.855124, 0.869333, 0.879439, 0.888054, 0.895804, 0.903005, 0.909904, 0.916735, 0.921853, 0.930453, 0.936552, 0.943464, 0.949341, 0.958237, 0.968941, 0.975435");
+            values ( \
+              "-0.00965472, -0.0174868, -0.0218838, -0.0288909, -0.0387869, -0.0688125, -0.1129, -0.138254, -0.156821, -0.170812, -0.181216, -0.187462, -0.184705, -0.15477, -0.0739425, -0.0363102, -0.0149294, -0.00679996, -0.00236901, -0.0013098, -0.00124647" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0399094");
+            index_3 ("0.82186, 0.827197, 0.839096, 0.84571, 0.85803, 0.870259, 0.878488, 0.893213, 0.900038, 0.916312, 0.92156, 0.93016, 0.942716, 0.95446, 0.965904, 0.977331, 0.979056, 0.981357, 0.99246, 0.996898, 1.00282, 1.00694, 1.01013, 1.01438, 1.02243, 1.02523, 1.02818, 1.03211, 1.03997, 1.04304, 1.04712, 1.05093, 1.05855, 1.06407");
+            values ( \
+              "-0.0389371, -0.0466311, -0.0757037, -0.099349, -0.146146, -0.217834, -0.27033, -0.356642, -0.397494, -0.48563, -0.510931, -0.550315, -0.59635, -0.626171, -0.631646, -0.565702, -0.545276, -0.515104, -0.356706, -0.298496, -0.230357, -0.190196, -0.163201, -0.132203, -0.0870573, -0.075172, -0.0643326, -0.0521507, -0.0339979, -0.02879, -0.0230193, -0.0188174, -0.0126347, -0.00993434" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.225845");
+            index_3 ("0.848725, 0.87555, 0.937476, 0.955658, 0.976437, 0.997188, 1.01702, 1.02886, 1.04071, 1.06537, 1.08756, 1.10509, 1.12309, 1.15533, 1.17103, 1.19197, 1.22832, 1.26952, 1.29829, 1.32309, 1.34452, 1.37308, 1.39702, 1.429, 1.47164, 1.55251, 1.64739");
+            values ( \
+              "-0.296961, -0.309847, -0.802066, -0.915899, -1.01454, -1.08255, -1.12469, -1.14091, -1.15177, -1.16331, -1.15913, -1.14725, -1.12715, -1.05618, -0.993899, -0.881716, -0.665378, -0.452083, -0.335864, -0.256132, -0.201367, -0.144678, -0.108999, -0.0743778, -0.0440563, -0.0148879, -0.00399603" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27804");
+            index_3 ("0.891418, 0.960017, 1.00333, 1.04151, 1.06196, 1.09201, 1.12164, 1.17076, 1.23132, 1.32012, 1.71119, 1.78852, 1.94317, 2.04111, 2.17531, 2.37824, 2.53942, 2.67496, 2.79807, 2.86077, 2.98617, 3.09718, 3.22714, 3.40043, 3.52117, 3.70613, 3.95274, 4.42015, 4.9683");
+            values ( \
+              "-0.920116, -1.0174, -1.21315, -1.2993, -1.3272, -1.35097, -1.36201, -1.36639, -1.36118, -1.34737, -1.2727, -1.25494, -1.21218, -1.17495, -1.09489, -0.884942, -0.697179, -0.554031, -0.443098, -0.393097, -0.307495, -0.24561, -0.187591, -0.129209, -0.0995655, -0.0664229, -0.0383163, -0.0119714, -0.00306117" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("7.23233");
+            index_3 ("0.825678, 1.00854, 1.08917, 1.1417, 1.19369, 1.26345, 2.24643, 3.74667, 4.73071, 5.74302, 6.16578, 6.79287, 7.5938, 7.91248, 8.49152, 10.3384, 11.2351, 11.5881, 12.1439, 12.8819, 13.5407, 14.1822, 14.9831, 15.7101, 16.143, 16.9439, 17.7448, 18.5458, 20.1476, 21.7495, 23.3513");
+            values ( \
+              "-0.0987803, -1.26277, -1.38612, -1.4083, -1.41519, -1.4165, -1.38788, -1.34032, -1.30633, -1.26637, -1.24689, -1.21195, -1.14511, -1.1048, -1.00593, -0.625774, -0.471005, -0.418751, -0.345722, -0.265738, -0.20886, -0.164233, -0.121129, -0.0915678, -0.0775462, -0.0565981, -0.0413802, -0.0300615, -0.0158895, -0.0083691, -0.00439186" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.60565, 2.64727, 2.66746, 2.68359, 2.70145, 2.71052, 2.72384, 2.74742, 2.76304, 2.7767, 2.78937, 2.80157, 2.81354, 2.82551, 2.8331, 2.8543, 2.8659, 2.87161, 2.88281, 2.89774, 2.92453, 2.95357");
+            values ( \
+              "-0.000285897, -0.000752818, -0.00136617, -0.00206082, -0.00320617, -0.00415711, -0.0064735, -0.0129226, -0.0156784, -0.0172115, -0.018177, -0.0186511, -0.0188605, -0.0184648, -0.0172113, -0.00433701, -0.00177541, -0.00132929, -0.000849571, -0.000515213, -0.000171113, -8.43825e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00705246");
+            index_3 ("2.64759, 2.67821, 2.68682, 2.70818, 2.7209, 2.73265, 2.76023, 2.77889, 2.79479, 2.80923, 2.82279, 2.83587, 2.84879, 2.85847, 2.8795, 2.88871, 2.89487, 2.90307, 2.91814, 2.93662, 2.95541");
+            values ( \
+              "-0.00758438, -0.00900931, -0.0107245, -0.0173387, -0.0236949, -0.033507, -0.0607612, -0.075212, -0.0846503, -0.0912585, -0.095819, -0.0985484, -0.0982087, -0.0895289, -0.0267355, -0.012842, -0.0079946, -0.00442876, -0.00177953, -0.000827577, -0.000628712" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0399094");
+            index_3 ("2.64827, 2.70052, 2.72306, 2.73548, 2.75963, 2.80604, 2.83424, 2.85799, 2.87912, 2.89856, 2.91704, 2.93533, 2.94902, 2.96524, 2.97873, 2.98692, 2.99665, 3.00276, 3.01498, 3.03763, 3.06375, 3.13959");
+            values ( \
+              "-0.0113541, -0.0436758, -0.0662303, -0.0825892, -0.126661, -0.227664, -0.282078, -0.323915, -0.355982, -0.381476, -0.397505, -0.389519, -0.320124, -0.204801, -0.125776, -0.0894866, -0.0585956, -0.0446828, -0.0247907, -0.00775733, -0.00224093, -0.000637077" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.225845");
+            index_3 ("2.68348, 2.75478, 2.77866, 2.80669, 2.92603, 2.95558, 2.97708, 3.02126, 3.06203, 3.10172, 3.14136, 3.15199, 3.16334, 3.22353, 3.24666, 3.26965, 3.30029, 3.31219, 3.33445, 3.35457, 3.3827, 3.39375, 3.41586, 3.46008, 3.54266, 3.6385");
+            values ( \
+              "-0.0604937, -0.16772, -0.226436, -0.309069, -0.716688, -0.810725, -0.873507, -0.969605, -1.02097, -1.03108, -0.984762, -0.952319, -0.907668, -0.591555, -0.478818, -0.382042, -0.276841, -0.243163, -0.189977, -0.150975, -0.108743, -0.0954408, -0.073432, -0.0426633, -0.0142375, -0.00369595" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27804");
+            index_3 ("2.75257, 2.86048, 2.95457, 3.00763, 3.06014, 3.12971, 3.20683, 3.23732, 3.29829, 3.41017, 3.58662, 3.76781, 3.95558, 4.0878, 4.1649, 4.23891, 4.58213, 4.74654, 4.83103, 5.00001, 5.10913, 5.28558, 5.50315, 5.62817, 5.87822, 6.32872, 6.85551");
+            values ( \
+              "-0.314536, -0.538518, -0.928215, -1.10576, -1.22216, -1.3027, -1.3335, -1.33583, -1.33449, -1.32044, -1.28936, -1.25108, -1.19804, -1.13878, -1.08549, -1.01793, -0.627111, -0.468482, -0.399551, -0.285906, -0.228875, -0.158091, -0.0988954, -0.0752755, -0.0428699, -0.0143383, -0.00372025" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("7.23233");
+            index_3 ("2.69001, 3.06971, 3.15757, 3.22028, 3.29403, 3.36547, 4.42614, 7.08185, 7.72745, 8.52838, 9.27641, 9.89602, 10.6969, 12.0674, 12.8683, 13.5578, 14.0111, 14.812, 15.7572, 16.3512, 17.1522, 18.1581, 19.7599, 20.5608, 22.1627, 22.3596");
+            values ( \
+              "-0.0576635, -1.27262, -1.36802, -1.39393, -1.40533, -1.40751, -1.38188, -1.29266, -1.26639, -1.22698, -1.17474, -1.10494, -0.961873, -0.675339, -0.528168, -0.421054, -0.360326, -0.271115, -0.191615, -0.153444, -0.112832, -0.076486, -0.0407488, -0.029822, -0.0158219, -0.0151237" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.5093, 9.58781, 9.63753, 9.66648, 9.68804, 9.7379, 9.76944, 9.79749, 9.82439, 9.85085, 9.87717, 9.9038, 9.92206, 9.96164, 9.97756, 9.99793, 10.0288, 10.0959, 10.1697, 10.2578, 10.5074");
+            values ( \
+              "-0.000708092, -0.000798575, -0.0013675, -0.00198688, -0.00291879, -0.00649696, -0.00775686, -0.00822864, -0.0084503, -0.00851989, -0.00853102, -0.00832052, -0.00769469, -0.00210916, -0.00124508, -0.000830002, -0.000509329, -0.000167876, -6.15625e-05, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00705246");
+            index_3 ("9.21071, 9.52381, 9.5677, 9.57579, 9.59196, 9.6243, 9.64618, 9.68993, 9.70294, 9.72896, 9.75559, 9.79123, 9.82189, 9.85026, 9.87757, 9.90427, 9.93096, 9.95096, 9.95952, 9.9787, 9.98177, 9.98791, 10.0002, 10.0129, 10.0213, 10.0248, 10.032, 10.0463, 10.0748, 10.1278, 10.1545");
+            values ( \
+              "-6.65683e-05, -0.000883638, -0.00335173, -0.00372959, -0.004319, -0.0060323, -0.00753524, -0.0127953, -0.0164459, -0.023855, -0.0321338, -0.0391624, -0.0437066, -0.0456439, -0.0474869, -0.0476891, -0.0475423, -0.043753, -0.0390067, -0.0211297, -0.0184617, -0.0142204, -0.00826688, -0.00533806, -0.00417939, -0.00396616, -0.00333155, -0.00267131, -0.00161055, -0.000712963, -0.000481956" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0399094");
+            index_3 ("9.19606, 9.57451, 9.61495, 9.64841, 9.67274, 9.68102, 9.69756, 9.73065, 9.74887, 9.82022, 9.86992, 9.91146, 9.9485, 9.98272, 10.0153, 10.0472, 10.071, 10.0817, 10.096, 10.1109, 10.1183, 10.132, 10.1414, 10.1477, 10.1604, 10.1857, 10.2085");
+            values ( \
+              "-0.000254798, -0.00729427, -0.017398, -0.0262872, -0.0338182, -0.0367329, -0.0432051, -0.0604094, -0.0732262, -0.127912, -0.161313, -0.185066, -0.202772, -0.216239, -0.225319, -0.226667, -0.204213, -0.177819, -0.135252, -0.0946022, -0.0771922, -0.051347, -0.0382628, -0.0312181, -0.0204243, -0.00818332, -0.00427833" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.225845");
+            index_3 ("9.57442, 9.71668, 9.76974, 9.82457, 10.0834, 10.1539, 10.2162, 10.2732, 10.3278, 10.3822, 10.4713, 10.517, 10.5416, 10.5974, 10.6563, 10.7235, 10.7681");
+            values ( \
+              "-0.0638469, -0.0958392, -0.139975, -0.199317, -0.535411, -0.619624, -0.686687, -0.73595, -0.757877, -0.681238, -0.367947, -0.23937, -0.186532, -0.101853, -0.0521506, -0.0229036, -0.0151855" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27804");
+            index_3 ("9.66405, 9.86455, 10.3297, 10.4137, 10.5149, 10.5995, 10.734, 10.9633, 11.1475, 11.2858, 11.4574, 11.9477, 12.1096, 12.3575, 12.646, 13.0619, 13.2232");
+            values ( \
+              "-0.169374, -0.290008, -1.08866, -1.18551, -1.25114, -1.27297, -1.27613, -1.24004, -1.19198, -1.13176, -0.991616, -0.467328, -0.343347, -0.207804, -0.112363, -0.044741, -0.0340831" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("7.23233");
+            index_3 ("9.99522, 10.5358, 10.8407, 12.7492, 14.6964, 15.9592, 16.6654, 17.3335, 20.5605, 22.0864, 23.3002, 24.8979, 26.9812, 27.5558");
+            values ( \
+              "-1.08963, -1.35066, -1.39469, -1.3441, -1.27471, -1.21106, -1.1543, -1.06513, -0.446694, -0.262066, -0.167265, -0.0908365, -0.0402234, -0.0343065" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.00195867, 0.00195959, 0.00196111, 0.00196182, 0.00196198, 0.00196201", \
+            "0.00224874, 0.00224881, 0.00224928, 0.0022499, 0.0022501, 0.00225014", \
+            "0.00234888, 0.00234885, 0.00234877, 0.00234877, 0.00234884, 0.00234886", \
+            "0.002396, 0.00239599, 0.00239931, 0.00239948, 0.00239961, 0.00239964", \
+            "0.00242674, 0.00242671, 0.00242669, 0.00242664, 0.00242664, 0.00242664", \
+            "0.00244945, 0.00244944, 0.00244944, 0.00244943, 0.00244945, 0.00244949" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.00253578, 0.00253643, 0.00253858, 0.00254001, 0.00254037, 0.00254044", \
+            "0.002624, 0.00262333, 0.00262196, 0.00262166, 0.00262173, 0.00262176", \
+            "0.00273156, 0.00273098, 0.00272869, 0.00272574, 0.00272461, 0.00272439", \
+            "0.00313016, 0.00313484, 0.00313956, 0.00314136, 0.00314094, 0.00314067", \
+            "0.00389801, 0.00389531, 0.00389627, 0.00385752, 0.00399152, 0.00403067", \
+            "0.00390372, 0.00388708, 0.00389143, 0.00388966, 0.00388977, 0.00398077" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "A";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "A";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.0192132, 0.0190891, 0.0192325, 0.0198605, 0.0201844, 0.0202322", \
+            "0.01905, 0.0189505, 0.0191638, 0.0198079, 0.0201169, 0.0201596", \
+            "0.0189338, 0.0187988, 0.0189117, 0.0195795, 0.0199575, 0.0200167", \
+            "0.0206931, 0.0201776, 0.0198245, 0.0196583, 0.0199544, 0.0200456", \
+            "0.0288899, 0.0272197, 0.0248671, 0.0231767, 0.022916, 0.0225145", \
+            "0.0628538, 0.0595813, 0.0517366, 0.0452845, 0.0410851, 0.0414681" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.0212174, 0.0210713, 0.0220407, 0.0233697, 0.0238362, 0.0239323", \
+            "0.0211338, 0.0211361, 0.0218202, 0.0232816, 0.0237593, 0.0238556", \
+            "0.0210438, 0.0208884, 0.021522, 0.0229976, 0.0236191, 0.0237489", \
+            "0.0230119, 0.0222469, 0.0228487, 0.0233096, 0.0240168, 0.0242494", \
+            "0.0334756, 0.0314441, 0.0279464, 0.0270213, 0.0278031, 0.0282555", \
+            "0.0659397, 0.0628384, 0.0540621, 0.0460703, 0.0440674, 0.0442339" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "B";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "B";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.0193094, 0.0191711, 0.0193285, 0.019885, 0.0200907, 0.0201074", \
+            "0.0191212, 0.0190196, 0.0192301, 0.0197944, 0.0200051, 0.0200181", \
+            "0.0188852, 0.0187569, 0.0188687, 0.0195112, 0.0197925, 0.0198154", \
+            "0.0202803, 0.0198917, 0.0194549, 0.0195293, 0.0198078, 0.0198327", \
+            "0.0265284, 0.0252041, 0.0232052, 0.0219702, 0.0216714, 0.0216887", \
+            "0.0547052, 0.0517109, 0.045651, 0.0396315, 0.0343839, 0.0342205" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00705246, 0.0399094, 0.225845, 1.27804, 7.23233");
+          values ( \
+            "0.0222442, 0.0220772, 0.022641, 0.0235719, 0.0238214, 0.0238693", \
+            "0.0220591, 0.0219442, 0.0225595, 0.0234948, 0.0237543, 0.0238069", \
+            "0.0219309, 0.0217943, 0.0222957, 0.0233032, 0.0236817, 0.0237553", \
+            "0.0237775, 0.0231122, 0.0230016, 0.0237293, 0.0242855, 0.0244535", \
+            "0.0343005, 0.0323221, 0.0289656, 0.0278753, 0.0280619, 0.0281893", \
+            "0.0679789, 0.065099, 0.0572044, 0.0492246, 0.0450012, 0.0436182" \
+          );
+        }
+      }
     }
     pin (A) {
       direction : input;
+      related_ground_pin : GND;
       related_power_pin : VDD;
-      capacitance : 0.00180115;
-      rise_capacitance : 0.00180115;
-      rise_capacitance_range (0.00168103, 0.00180115);
-      fall_capacitance : 0.00154869;
-      fall_capacitance_range (0.00141113, 0.00154869);
-      receiver_capacitance () {
-        when : "B";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0.00138162, 0.00154526, 0.00159126, 0.00160731, 0.00161159, 0.00161209" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0.0016202, 0.00163265, 0.00164052, 0.00163769, 0.00164319, 0.00164397" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0.00126996, 0.00140057, 0.00143824, 0.00145057, 0.00145543, 0.00145571" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0.0014053, 0.00141449, 0.00143808, 0.0014311, 0.00144797, 0.00144919" \
-          );
-        }
-      }
+      max_transition : 10;
+      capacitance : 0.00293507;
+      rise_capacitance : 0.00293432;
+      rise_capacitance_range (0.00217713, 0.00293432);
+      fall_capacitance : 0.00293507;
+      fall_capacitance_range (0.00198651, 0.00293507);
       receiver_capacitance () {
         when : "!B";
         receiver_capacitance1_rise (receiver_cap_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "0.00152922, 0.00175898, 0.00181976, 0.00183808, 0.00184363, 0.00184447" \
+            "0.0021059, 0.00244878, 0.00255095, 0.00258172, 0.00259822, 0.00260008" \
           );
         }
         receiver_capacitance2_rise (receiver_cap_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "0.00182463, 0.00180456, 0.00179387, 0.00179627, 0.0018004, 0.00180071" \
+            "0.00239555, 0.00217515, 0.00212415, 0.00207591, 0.00203558, 0.00203442" \
           );
         }
         receiver_capacitance1_fall (receiver_cap_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "0.00120308, 0.00133773, 0.00137747, 0.00139279, 0.00139368, 0.00139398" \
+            "0.00159595, 0.00179439, 0.00186727, 0.00190048, 0.00191178, 0.00191297" \
           );
         }
         receiver_capacitance2_fall (receiver_cap_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "0.00152951, 0.00161485, 0.00164172, 0.00163309, 0.001651, 0.00165198" \
+            "0.00223828, 0.00245454, 0.0025461, 0.00255297, 0.00255885, 0.00256017" \
           );
         }
       }
       internal_power () {
-        when : "B";
+        when : "(!B * !Y)";
         related_pg_pin : GND;
         rise_power (passive_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
@@ -83746,119 +85300,61 @@
         }
       }
       internal_power () {
-        when : "B";
+        when : "(!B * !Y)";
         related_pg_pin : VDD;
         rise_power (passive_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "-0.000429008, -0.00042489, -0.000428422, -0.000427157, -0.000427832, -0.00042519" \
+            "-0.00276836, -0.00278225, -0.00278197, -0.00278333, -0.00278978, -0.00279764" \
           );
         }
         fall_power (passive_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "0.000429008, 0.00042489, 0.000428422, 0.000427157, 0.000427832, 0.00042519" \
-          );
-        }
-      }
-      internal_power () {
-        when : "!B";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "!B";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "-0.000364325, -0.000367501, -0.000368926, -0.000368108, -0.000368073, -0.000365691" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0.000364325, 0.000367501, 0.000368926, 0.000368108, 0.000368073, 0.000365691" \
+            "0.00279603, 0.00280116, 0.0028261, 0.00282782, 0.0028256, 0.00280932" \
           );
         }
       }
     }
     pin (B) {
       direction : input;
+      related_ground_pin : GND;
       related_power_pin : VDD;
-      capacitance : 0.00181207;
-      rise_capacitance : 0.00181207;
-      rise_capacitance_range (0.0016912, 0.00181207);
-      fall_capacitance : 0.00155877;
-      fall_capacitance_range (0.00142213, 0.00155877);
-      receiver_capacitance () {
-        when : "A";
-        is_propagating : true;
-        receiver_capacitance1_rise (receiver_cap_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0.00139008, 0.00155157, 0.00159744, 0.00161331, 0.00161767, 0.00161814" \
-          );
-        }
-        receiver_capacitance2_rise (receiver_cap_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0.00162618, 0.00164321, 0.00164927, 0.00164761, 0.00165161, 0.00165242" \
-          );
-        }
-        receiver_capacitance1_fall (receiver_cap_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0.00128621, 0.00140758, 0.00144139, 0.00145277, 0.00145663, 0.00145673" \
-          );
-        }
-        receiver_capacitance2_fall (receiver_cap_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0.0014175, 0.001423, 0.0014465, 0.00143962, 0.00145617, 0.00145755" \
-          );
-        }
-      }
+      max_transition : 10;
+      capacitance : 0.00300798;
+      rise_capacitance : 0.00300798;
+      rise_capacitance_range (0.00241034, 0.00300798);
+      fall_capacitance : 0.00297815;
+      fall_capacitance_range (0.00225683, 0.00297815);
       receiver_capacitance () {
         when : "!A";
         receiver_capacitance1_rise (receiver_cap_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "0.00153873, 0.00176819, 0.00182908, 0.00184729, 0.00185286, 0.00185374" \
+            "0.00216871, 0.00256552, 0.00269488, 0.00274315, 0.00275595, 0.00275873" \
           );
         }
         receiver_capacitance2_rise (receiver_cap_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "0.00183381, 0.00181784, 0.0018049, 0.0018093, 0.001812, 0.00181228" \
+            "0.00273508, 0.00261263, 0.00252702, 0.00248651, 0.0024728, 0.0024706" \
           );
         }
         receiver_capacitance1_fall (receiver_cap_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "0.00121611, 0.00135068, 0.00138992, 0.00140508, 0.00140591, 0.00140622" \
+            "0.00191712, 0.0022016, 0.00230194, 0.00234694, 0.00235957, 0.00236155" \
           );
         }
         receiver_capacitance2_fall (receiver_cap_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "0.00153864, 0.00162067, 0.00164975, 0.00164206, 0.00165941, 0.00166038" \
+            "0.00252686, 0.00272618, 0.00278761, 0.00279998, 0.00279508, 0.00280155" \
           );
         }
       }
       internal_power () {
-        when : "A";
+        when : "(!A * !Y)";
         related_pg_pin : GND;
         rise_power (passive_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
@@ -83874,50 +85370,18 @@
         }
       }
       internal_power () {
-        when : "A";
+        when : "(!A * !Y)";
         related_pg_pin : VDD;
         rise_power (passive_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "-0.000418824, -0.00042143, -0.000424571, -0.00042334, -0.000424005, -0.000421415" \
+            "-0.00261435, -0.00261098, -0.00262168, -0.00263142, -0.00261595, -0.00261675" \
           );
         }
         fall_power (passive_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "0.000418824, 0.00042143, 0.000424571, 0.00042334, 0.000424005, 0.000421415" \
-          );
-        }
-      }
-      internal_power () {
-        when : "!A";
-        related_pg_pin : GND;
-        rise_power (passive_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0, 0, 0, 0, 0, 0" \
-          );
-        }
-      }
-      internal_power () {
-        when : "!A";
-        related_pg_pin : VDD;
-        rise_power (passive_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "-0.0003629, -0.000365638, -0.000367314, -0.000366542, -0.000366503, -0.00036412" \
-          );
-        }
-        fall_power (passive_power_template) {
-          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          values ( \
-            "0.0003629, 0.000365638, 0.000367314, 0.000366542, 0.000366503, 0.00036412" \
+            "0.00265708, 0.00264026, 0.00265196, 0.00265217, 0.00264249, 0.00262671" \
           );
         }
       }
@@ -87577,6 +89041,112 @@
       }
     }
   }
+  cell (sky130_osu_sc_12T_ms__ant) {
+    area : 4.3956;
+    cell_footprint : "sky130_osu_sc_12T_ms_";
+    cell_leakage_power : 176378;
+    pg_pin (GND) {
+      pg_type : primary_ground;
+      voltage_name : "GND";
+    }
+    pg_pin (VDD) {
+      pg_type : primary_power;
+      voltage_name : "VDD";
+    }
+    leakage_power () {
+      value : 0;
+      when : "A";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 6.67552e-05;
+      when : "A";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "!A";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 352756;
+      when : "!A";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 176378;
+      related_pg_pin : VDD;
+    }
+    pin (A) {
+      direction : input;
+      related_power_pin : VDD;
+      capacitance : 0.388219;
+      rise_capacitance : 0.383745;
+      rise_capacitance_range (0.000516469, 0.383745);
+      fall_capacitance : 0.388219;
+      fall_capacitance_range (0.00255615, 0.388219);
+      receiver_capacitance () {
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00127628, -0.000966471, -0.010376, -0.0487244, -0.195232, -0.784102" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00228897, 0.00208658, 0.00134089, 0.000258707, -0.000767796, -0.00776025" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0021045, 0.00229593, 0.00251267, 0.00433317, 0.00408777, 0.0082811" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00264325, 0.00409186, 0.0103344, 0.0309477, 0.106638, 0.414833" \
+          );
+        }
+      }
+      internal_power () {
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "-0.00153378, 0.000466525, 0.00846535, 0.0400799, 0.159248, 0.639632" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "3.07054, 3.06401, 3.03797, 2.93226, 2.49962, 0.774937" \
+          );
+        }
+      }
+    }
+  }
   cell (sky130_osu_sc_12T_ms__aoi21_l) {
     area : 8.3028;
     cell_footprint : "sky130_osu_sc_12T_ms__aoi21";
@@ -93184,7 +94754,7 @@
             "0.106008, 0.143902, 0.269178, 0.687397, 2.0907, 6.80272", \
             "0.117542, 0.155673, 0.281309, 0.699781, 2.10287, 6.81476", \
             "0.159802, 0.197888, 0.323755, 0.742737, 2.14631, 6.8587", \
-            "0.271864, 0.325526, 0.472831, 0.895445, 2.30004, 7.01352", \
+            "0.271864, 0.325785, 0.472861, 0.895476, 2.30004, 7.01352", \
             "0.519299, 0.619767, 0.874345, 1.45502, 2.91126, 7.62331", \
             "1.25703, 1.43156, 1.87836, 2.89392, 5.04424, 10.0716" \
           );
@@ -93196,7 +94766,7 @@
             "0.0860762, 0.1395, 0.317739, 0.914154, 2.9146, 9.63337", \
             "0.0860953, 0.139528, 0.31774, 0.914156, 2.91463, 9.63197", \
             "0.0886922, 0.140254, 0.317759, 0.913993, 2.91451, 9.63344", \
-            "0.139784, 0.190089, 0.345796, 0.915416, 2.91453, 9.63341", \
+            "0.139784, 0.189942, 0.346304, 0.915063, 2.91453, 9.63341", \
             "0.311154, 0.382579, 0.576888, 1.10372, 2.93929, 9.63326", \
             "0.713649, 0.834282, 1.15789, 1.93271, 3.80565, 9.81929" \
           );
@@ -93250,7 +94820,7 @@
             index_2 ("0.0140621");
             index_3 ("0.0469756, 0.0564882, 0.0637547, 0.0701959, 0.0814439, 0.0923892, 0.137068, 0.291746, 0.308172, 0.32692, 0.455095, 0.493501, 0.54471, 0.579292, 0.62902, 0.669482, 0.703672, 0.743415, 0.8, 0.860999, 0.908096, 1.00229, 1.16801, 1.36571");
             values ( \
-              "0.0529157, 0.0535395, 0.0558555, 0.0567226, 0.0571818, 0.057147, 0.0561758, 0.051678, 0.0510639, 0.0496022, 0.0293697, 0.0240661, 0.0180826, 0.0148025, 0.0109766, 0.00854558, 0.00690156, 0.00536495, 0.00372318, 0.00251428, 0.00185198, 0.000985757, 0.0002997, 6.88268e-05" \
+              "0.0529157, 0.0535395, 0.0558555, 0.0567226, 0.0571818, 0.057147, 0.0561758, 0.051678, 0.0510639, 0.0496022, 0.0293697, 0.0240661, 0.0180826, 0.0148025, 0.0109766, 0.00854558, 0.00690156, 0.00536495, 0.00372318, 0.00251428, 0.00185198, 0.000985757, 0.0002997, 6.88269e-05" \
             );
           }
           vector (ccs_template) {
@@ -93268,7 +94838,7 @@
             index_2 ("0.15867");
             index_3 ("0.075536, 0.075556, 0.675286, 1.42181, 2.0858, 2.48676, 3.01367, 4.19662, 4.92382, 5.45337, 5.77566, 6.63458, 7.68801, 8.53839, 9.07917");
             values ( \
-              "1e-22, 0.0810229, 0.0716907, 0.0682019, 0.0642002, 0.059956, 0.0510648, 0.0283464, 0.0180115, 0.0126497, 0.0101396, 0.0055295, 0.00258411, 0.00135789, 0.000979717" \
+              "1e-22, 0.0810229, 0.0716907, 0.0682019, 0.0642002, 0.059956, 0.0510648, 0.0283464, 0.0180115, 0.0126497, 0.0101396, 0.0055295, 0.00258411, 0.0013579, 0.000979717" \
             );
           }
           vector (ccs_template) {
@@ -93331,7 +94901,7 @@
             index_2 ("0.532987");
             index_3 ("0.193831, 0.193851, 2.4857, 4.41168, 5.83883, 6.84689, 7.72058, 8.37977, 9.16521, 10.1139, 12.6903, 14.3256, 15.451, 16.3731, 17.3415, 18.4669, 19.0248, 20.1405, 21.1506, 22.276, 23.6277, 25.4995, 27.7504, 30.0012, 32.252, 36.7537");
             values ( \
-              "1e-22, 0.0828892, 0.0725346, 0.0697175, 0.0673229, 0.0651758, 0.0624842, 0.0597663, 0.0558652, 0.0503836, 0.034768, 0.026144, 0.0211806, 0.0176978, 0.0145493, 0.0115605, 0.0102695, 0.00813004, 0.00652731, 0.0051371, 0.00380676, 0.00251818, 0.00152425, 0.000918536, 0.000550765, 0.000193129" \
+              "1e-22, 0.0828892, 0.0725346, 0.0697175, 0.0673229, 0.0651758, 0.0624842, 0.0597663, 0.0558652, 0.0503836, 0.034768, 0.026144, 0.0211806, 0.0176978, 0.0145493, 0.0115605, 0.0102695, 0.00813004, 0.00652731, 0.0051371, 0.00380676, 0.00251818, 0.00152425, 0.000918536, 0.000550766, 0.000193129" \
             );
           }
           vector (ccs_template) {
@@ -93340,7 +94910,7 @@
             index_2 ("0.00124625");
             index_3 ("0.205079, 0.212767, 0.220191, 0.226009, 0.230193, 0.23856, 0.247108, 0.260092, 0.262407, 0.267037, 0.271973, 0.276542, 0.289871, 0.301673, 0.303098, 0.31504, 0.320866, 0.327046, 0.33459, 0.343757, 0.353612, 0.366643, 0.370586, 0.379297, 0.389013, 0.401755, 0.405552, 0.413147, 0.42584, 0.444204, 0.45294, 0.465412, 0.482043, 0.515304, 0.569698, 0.635756, 0.720835");
             values ( \
-              "0.00377868, 0.0079052, 0.0101206, 0.0114569, 0.0121789, 0.0133385, 0.0141393, 0.0150178, 0.0153845, 0.0155967, 0.0162442, 0.0162879, 0.0174106, 0.0191674, 0.0184776, 0.0141089, 0.0123737, 0.0107954, 0.00921272, 0.0076494, 0.00626641, 0.00482272, 0.00446144, 0.00374741, 0.00307844, 0.00237568, 0.00220048, 0.00188558, 0.00145447, 0.000996918, 0.00083537, 0.000648723, 0.000462055, 0.000232062, 7.18075e-05, 1.97692e-05, 5.36757e-06" \
+              "0.00377868, 0.0079052, 0.0101206, 0.0114569, 0.0121789, 0.0133385, 0.0141393, 0.0150178, 0.0153845, 0.0155967, 0.0162442, 0.0162879, 0.0174106, 0.0191674, 0.0184776, 0.0141089, 0.0123737, 0.0107954, 0.00921272, 0.0076494, 0.00626641, 0.00482272, 0.00446144, 0.00374741, 0.00307844, 0.00237568, 0.00220048, 0.00188558, 0.00145447, 0.000996918, 0.000835371, 0.000648723, 0.000462055, 0.000232062, 7.18075e-05, 1.97692e-05, 5.36754e-06" \
             );
           }
           vector (ccs_template) {
@@ -93401,27 +94971,27 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00418628");
-            index_3 ("0.629844, 0.655638, 0.672227, 0.702523, 0.784812, 0.816716, 0.872662, 0.927626, 0.971422, 0.996723, 1.02337, 1.04053, 1.08562, 1.11151, 1.14548, 1.19079, 1.26403, 1.35129, 1.46115");
+            index_3 ("0.623004, 0.64819, 0.673111, 0.692057, 0.703297, 0.748926, 0.785469, 0.817291, 0.873204, 0.897504, 0.928, 0.937678, 0.938919, 0.941402, 0.946368, 0.972086, 0.997231, 1.02381, 1.04083, 1.08652, 1.11216, 1.12335, 1.14571, 1.19045, 1.26359, 1.35063, 1.46023");
             values ( \
-              "0.00820519, 0.00858377, 0.0107344, 0.0141064, 0.0223569, 0.0249388, 0.0290003, 0.0188562, 0.0122305, 0.00919728, 0.00666762, 0.00543415, 0.00323475, 0.00226515, 0.00142131, 0.000749047, 0.000254512, 7.07341e-05, 1.7263e-05" \
+              "0.00461588, 0.00735828, 0.0107784, 0.0129296, 0.0141617, 0.0189007, 0.0223867, 0.0249631, 0.0290159, 0.0243203, 0.0188886, 0.0174125, 0.0170992, 0.0167995, 0.015934, 0.0122149, 0.00920081, 0.00667603, 0.00544699, 0.00321757, 0.00226072, 0.00193989, 0.00142694, 0.00075844, 0.00025805, 7.18943e-05, 1.75561e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0140621");
-            index_3 ("0.623193, 0.669192, 0.696607, 0.75579, 0.800298, 0.827179, 0.880941, 0.919607, 0.9409, 0.993276, 1.01285, 1.04073, 1.05306, 1.06782, 1.13078, 1.186, 1.21153, 1.2626, 1.31671, 1.34735, 1.3877, 1.42167, 1.46146, 1.51808, 1.57921, 1.62642, 1.72084, 1.88637, 2.08362");
+            index_3 ("0.632167, 0.668402, 0.755182, 0.790827, 0.826662, 0.886061, 0.940538, 0.993061, 1.0405, 1.05362, 1.07112, 1.15746, 1.22795, 1.27529, 1.31724, 1.38791, 1.42133, 1.46125, 1.51796, 1.57947, 1.62701, 1.7221, 1.88785, 2.0856");
             values ( \
-              "0.00599149, 0.0160321, 0.0208887, 0.0303746, 0.036877, 0.0401695, 0.0447895, 0.0468654, 0.0476858, 0.0490446, 0.0492868, 0.0488756, 0.0479615, 0.046275, 0.0359295, 0.0275214, 0.0240926, 0.0180946, 0.0131873, 0.0109747, 0.00853228, 0.00689931, 0.00537778, 0.00371624, 0.00250616, 0.00185744, 0.000975861, 0.00030676, 6.18306e-05" \
+              "0.0119657, 0.0160038, 0.03031, 0.0356369, 0.0401243, 0.0451473, 0.0476478, 0.0489233, 0.0488572, 0.0479547, 0.0458107, 0.0316924, 0.0220135, 0.0168497, 0.0131519, 0.00852699, 0.00691927, 0.00538193, 0.00372346, 0.00250525, 0.00184756, 0.000970445, 0.000300746, 6.37012e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0472359");
-            index_3 ("0.679409, 0.786913, 0.873912, 0.955918, 1.01594, 1.0561, 1.07769, 1.22365, 1.3875, 1.47121, 1.56015, 1.70715, 1.93228, 2.10622, 2.2273, 2.32349, 2.45174, 2.6175, 2.71677, 2.8931, 3.09799, 3.26212, 3.59039, 4.07277, 4.65815");
+            index_3 ("0.657956, 0.748875, 0.786872, 0.874268, 0.942686, 1.01609, 1.05635, 1.07889, 1.27844, 1.41559, 1.51218, 1.56035, 1.63461, 1.96757, 2.18054, 2.345, 2.46097, 2.56859, 2.69672, 2.86756, 2.97351, 3.1377, 3.35662, 3.79447, 4.30852");
             values ( \
-              "0.0367299, 0.0424046, 0.0545537, 0.0611008, 0.0641211, 0.065729, 0.0661446, 0.0640921, 0.0613289, 0.0593907, 0.0563855, 0.0483902, 0.0344581, 0.025204, 0.019753, 0.0161995, 0.0124071, 0.00855357, 0.00693727, 0.0045592, 0.00283806, 0.00201353, 0.000841315, 0.000295969, 4.80616e-06" \
+              "0.0257873, 0.0353136, 0.0423574, 0.0545968, 0.0602563, 0.0640953, 0.0657289, 0.0661454, 0.0632388, 0.0607453, 0.0581775, 0.056396, 0.0526351, 0.0324478, 0.0217264, 0.0155226, 0.0121144, 0.00957976, 0.0072079, 0.00488474, 0.00383791, 0.00263209, 0.0015717, 0.000515685, 0.000131083" \
             );
           }
           vector (ccs_template) {
@@ -93448,7 +95018,7 @@
             index_2 ("0.00124625");
             index_3 ("2.08351, 2.20638, 2.24178, 2.31259, 2.49821, 2.5544, 2.64357, 2.68031, 2.68831, 2.74061, 2.82436, 2.86824, 2.87259, 2.88129, 2.93346, 2.94767, 2.9529, 2.96336, 2.98428, 3.02612, 3.106, 3.20398, 3.32728, 3.47216");
             values ( \
-              "0.000689121, 0.000692226, 0.000852172, 0.00149527, 0.00365884, 0.00434938, 0.00568553, 0.00454033, 0.00460056, 0.00398607, 0.0020656, 0.00129821, 0.00133408, 0.0011185, 0.00058303, 0.000577841, 0.000452649, 0.000480879, 0.000292731, 0.00023759, 2.00713e-05, 5.93543e-05, 1e-22, 4.02651e-05" \
+              "0.000689121, 0.000692226, 0.000852172, 0.00149527, 0.00365884, 0.00434938, 0.00568553, 0.00454033, 0.00460056, 0.00398607, 0.0020656, 0.00129821, 0.00133408, 0.0011185, 0.00058303, 0.000577841, 0.000452649, 0.000480879, 0.000292731, 0.00023759, 2.00713e-05, 5.93543e-05, 1e-22, 4.02652e-05" \
             );
           }
           vector (ccs_template) {
@@ -93482,9 +95052,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.15867");
-            index_3 ("2.39612, 2.87712, 3.20985, 3.54302, 3.70579, 4.03133, 4.19844, 4.24493, 4.50983, 4.8217, 4.9946, 5.34041, 5.45821, 5.69381, 6.75963, 6.98278, 7.42906, 7.68483, 7.97107, 8.35272, 8.79796, 9.05037, 9.55518, 9.94822, 10.5525, 11.3582, 12.4836, 13.609, 14.7344");
+            index_3 ("2.39484, 2.87691, 3.20978, 3.54297, 3.70572, 4.03122, 4.13827, 4.19837, 4.24486, 4.36492, 4.8216, 4.99454, 5.3404, 5.45814, 5.69361, 6.75957, 6.98271, 7.42901, 7.68477, 7.97099, 8.35262, 8.79791, 9.05033, 9.55519, 9.94816, 10.5523, 11.3579, 12.4833, 13.6087, 14.7341");
             values ( \
-              "0.0240943, 0.0353197, 0.0504821, 0.0604375, 0.063456, 0.0675677, 0.0686712, 0.0685895, 0.0671726, 0.065335, 0.0640784, 0.0604972, 0.058918, 0.0551131, 0.0342378, 0.0302233, 0.0231034, 0.0196591, 0.0163176, 0.012629, 0.00929205, 0.00779093, 0.00542699, 0.00409284, 0.00263936, 0.00144631, 0.000600348, 0.000252615, 0.000102589" \
+              "0.0239811, 0.0352802, 0.0505155, 0.0604043, 0.0634892, 0.0675348, 0.0683855, 0.0686705, 0.0685902, 0.0679479, 0.0653356, 0.064078, 0.0604968, 0.0589176, 0.0551157, 0.0342375, 0.0302234, 0.023103, 0.0196589, 0.0163176, 0.0126294, 0.00929187, 0.00779087, 0.00542659, 0.00409272, 0.00263945, 0.00144667, 0.000600362, 0.000252753, 0.000102515" \
             );
           }
           vector (ccs_template) {
@@ -93502,7 +95072,7 @@
             index_2 ("0.00124625");
             index_3 ("7.62851, 8.3367, 8.59926, 8.65525, 8.76724, 8.94798, 9.35448, 9.48247, 9.58999, 9.61679, 9.67038, 9.71551, 9.76934, 9.83645, 9.90828, 9.99732, 10.074, 10.1666, 10.2141, 10.3194, 10.3887, 10.4256, 10.4388, 10.4653, 10.5182, 10.624, 10.8356, 11.1464, 11.5869, 12.2097");
             values ( \
-              "6.99791e-05, 0.000110839, 0.000171997, 0.000224601, 0.000301152, 0.000611486, 0.00158874, 0.00192098, 0.0022608, 0.00240169, 0.00233529, 0.00214756, 0.00204007, 0.00195302, 0.00183014, 0.00152936, 0.00105083, 0.000594504, 0.000435334, 0.000216445, 0.000163445, 0.000116266, 0.000129678, 9.584e-05, 9.58683e-05, 4.996e-05, 4.52248e-05, 7.99201e-06, 1.6702e-05, 1e-22" \
+              "6.99791e-05, 0.000110839, 0.000171997, 0.000224601, 0.000301152, 0.000611486, 0.00158874, 0.00192098, 0.0022608, 0.00240169, 0.00233529, 0.00214756, 0.00204007, 0.00195302, 0.00183014, 0.00152936, 0.00105083, 0.000594504, 0.000435334, 0.000216445, 0.000163445, 0.000116266, 0.000129678, 9.584e-05, 9.58682e-05, 4.99601e-05, 4.52248e-05, 7.99202e-06, 1.6702e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -93511,7 +95081,7 @@
             index_2 ("0.00418628");
             index_3 ("7.62945, 8.33871, 8.5387, 8.67696, 8.79175, 8.92293, 9.01253, 9.63707, 9.76654, 9.79885, 9.86345, 9.92006, 10.0022, 10.0397, 10.1148, 10.1308, 10.2157, 10.3159, 10.4138, 10.4685, 10.6036, 10.633, 10.642, 10.66, 10.6961, 10.9124, 11.1418, 11.4332, 11.6119");
             values ( \
-              "0.00025414, 0.000327531, 0.000477142, 0.00066556, 0.000923689, 0.00139564, 0.00185348, 0.00541139, 0.00624712, 0.00651956, 0.0065865, 0.00631904, 0.00604861, 0.00598674, 0.00566404, 0.00563444, 0.00478324, 0.00322114, 0.00196769, 0.00145491, 0.000663439, 0.000616238, 0.000533856, 0.000535654, 0.000395692, 0.000128544, 9.74421e-05, 1.13152e-05, 2.4018e-05" \
+              "0.00025414, 0.000327531, 0.000477142, 0.00066556, 0.000923689, 0.00139564, 0.00185348, 0.00541139, 0.00624712, 0.00651956, 0.0065865, 0.00631904, 0.00604861, 0.00598674, 0.00566404, 0.00563444, 0.00478324, 0.00322114, 0.00196769, 0.00145491, 0.000663439, 0.000616238, 0.000533856, 0.000535654, 0.000395692, 0.000128544, 9.74421e-05, 1.13151e-05, 2.4018e-05" \
             );
           }
           vector (ccs_template) {
@@ -93545,9 +95115,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.532987");
-            index_3 ("8.6248, 9.83033, 12.2787, 13.2334, 14.0501, 15.1755, 15.5531, 16.6666, 17.5388, 18.4069, 19.059, 19.939, 21.6736, 24.2674, 25.9181, 27.868, 28.8611, 30.4885, 31.9134, 34.0406, 35.9527, 37.0781, 39.3289, 41.5798, 43.2658");
+            index_3 ("8.6248, 9.83033, 12.2787, 13.2334, 14.0501, 15.1755, 15.5531, 16.6666, 17.5388, 18.4069, 19.059, 19.939, 21.6736, 24.2674, 25.9181, 27.868, 28.8611, 30.4885, 31.9134, 34.0406, 35.9527, 37.0781, 39.3289, 41.5798, 43.2659");
             values ( \
-              "0.0148977, 0.0169378, 0.0460881, 0.0553048, 0.0607385, 0.0655014, 0.0665751, 0.0684924, 0.0670637, 0.0651753, 0.0632599, 0.05977, 0.0503878, 0.0346744, 0.0259939, 0.0179196, 0.0146745, 0.010485, 0.00775943, 0.00490167, 0.00322496, 0.00252454, 0.00153484, 0.000931743, 0.000751422" \
+              "0.0148977, 0.0169378, 0.0460881, 0.0553052, 0.0607385, 0.0655014, 0.0665751, 0.0684924, 0.0670637, 0.0651753, 0.0632599, 0.05977, 0.0503878, 0.0346744, 0.0259939, 0.0179196, 0.0146745, 0.010485, 0.00775943, 0.00490167, 0.00322496, 0.00252454, 0.00153484, 0.000931743, 0.000751418" \
             );
           }
         }
@@ -93636,7 +95206,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0481283, 0.0520243, 0.0590197, 0.0683048, 0.0707352, 0.0746169, 0.0783977, 0.0857377, 0.0891362, 0.092648, 0.0991357, 0.102379, 0.106689, 0.110927, 0.127894, 0.140563, 0.144711, 0.152693, 0.161816, 0.167568, 0.178111, 0.192168, 0.214666, 0.272679");
             values ( \
-              "-0.00409536, -0.022138, -0.0263741, -0.0298452, -0.0298472, -0.0296364, -0.0301005, -0.032102, -0.0317999, -0.0294809, -0.023168, -0.0216524, -0.0243534, -0.0236584, -0.0124407, -0.00678728, -0.00544828, -0.00363081, -0.00222765, -0.00166597, -0.000989354, -0.000522237, -0.000190566, -5.19474e-05" \
+              "-0.00409536, -0.022138, -0.0263741, -0.0298452, -0.0298472, -0.0296364, -0.0301005, -0.032102, -0.0317999, -0.0294809, -0.023168, -0.0216524, -0.0243534, -0.0236584, -0.0124407, -0.00678728, -0.00544828, -0.00363081, -0.00222765, -0.00166597, -0.000989354, -0.000522237, -0.000190566, -5.19473e-05" \
             );
           }
           vector (ccs_template) {
@@ -93769,9 +95339,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0472359");
-            index_3 ("0.520375, 0.624568, 0.777236, 0.811247, 0.879268, 0.909372, 0.958793, 0.977355, 1.0015, 1.04053, 1.06055, 1.07585, 1.10041, 1.11872, 1.15533, 1.18833, 1.27825, 1.33858, 1.38015, 1.40312, 1.44907, 1.47819, 1.52757, 1.58399, 1.62156, 1.66032, 1.712, 1.81535, 1.98377, 2.18497");
+            index_3 ("0.520375, 0.624568, 0.777236, 0.811247, 0.879268, 0.909372, 0.958793, 0.977355, 1.0015, 1.04053, 1.06055, 1.07585, 1.10041, 1.11872, 1.15533, 1.18833, 1.27825, 1.33858, 1.38015, 1.40312, 1.44907, 1.47819, 1.52757, 1.58399, 1.62156, 1.66032, 1.712, 1.81535, 1.98377, 2.18496");
             values ( \
-              "-0.0229054, -0.0439719, -0.0904709, -0.0999631, -0.115693, -0.120761, -0.126585, -0.127891, -0.128435, -0.126582, -0.130609, -0.130705, -0.128312, -0.125347, -0.117076, -0.106861, -0.0762146, -0.057327, -0.0463526, -0.0409228, -0.0317001, -0.026764, -0.0200428, -0.014178, -0.011286, -0.00887877, -0.0063835, -0.00328206, -0.000983533, -0.000252972" \
+              "-0.0229054, -0.0439719, -0.0904709, -0.0999631, -0.115693, -0.120761, -0.126585, -0.127891, -0.128435, -0.126582, -0.130609, -0.130705, -0.128312, -0.125347, -0.117076, -0.106861, -0.0762146, -0.057327, -0.0463526, -0.0409228, -0.0317001, -0.026764, -0.0200428, -0.0141779, -0.011286, -0.00887877, -0.00638352, -0.00328208, -0.00098354, -0.000252974" \
             );
           }
           vector (ccs_template) {
@@ -93780,7 +95350,7 @@
             index_2 ("0.15867");
             index_3 ("0.597908, 0.743305, 0.824265, 0.895234, 0.997693, 1.0406, 1.05927, 1.08865, 1.25664, 1.46098, 1.52169, 1.61942, 1.669, 1.70905, 1.76012, 1.85842, 1.94411, 2.05837, 2.10669, 2.20332, 2.49678, 2.66357, 2.80725, 2.883, 3.03452, 3.11901, 3.26129, 3.42389, 3.56053, 3.69873, 3.88299, 4.25152, 4.79291, 5.44863");
             values ( \
-              "-0.0747445, -0.0876433, -0.112902, -0.1307, -0.146092, -0.149958, -0.150376, -0.150224, -0.148044, -0.145097, -0.143853, -0.140863, -0.140036, -0.141201, -0.140301, -0.137712, -0.134109, -0.12633, -0.122069, -0.112045, -0.0788782, -0.0617112, -0.0489318, -0.0431015, -0.0329834, -0.0283473, -0.0217531, -0.0159837, -0.0122383, -0.00934145, -0.00650209, -0.00299423, -0.000910868, -0.000172233" \
+              "-0.0747445, -0.0876433, -0.112902, -0.1307, -0.146092, -0.149958, -0.150376, -0.150224, -0.148044, -0.145097, -0.143853, -0.140863, -0.140036, -0.141201, -0.140301, -0.137712, -0.134109, -0.12633, -0.122069, -0.112045, -0.0788782, -0.0617112, -0.0489318, -0.0431015, -0.0329834, -0.0283473, -0.0217531, -0.0159837, -0.0122383, -0.00934144, -0.00650208, -0.00299421, -0.000910861, -0.000172231" \
             );
           }
           vector (ccs_template) {
@@ -93798,7 +95368,7 @@
             index_2 ("0.00124625");
             index_3 ("1.66737, 1.83401, 1.84825, 1.93074, 1.95568, 2.00556, 2.06617, 2.11407, 2.13501, 2.17688, 2.20521, 2.21729, 2.22827, 2.2401, 2.2527, 2.2557, 2.26171, 2.27238, 2.28066, 2.28957, 2.30563, 2.32213, 2.33885, 2.36394, 2.40534, 2.41463, 2.43785, 2.45455, 2.46787, 2.49451, 2.53597, 2.5876, 2.65603, 2.76049, 2.95876, 3.2446");
             values ( \
-              "-0.00050417, -0.000717461, -0.000748593, -0.00119081, -0.00141717, -0.00191898, -0.00272682, -0.00345971, -0.00383416, -0.00469586, -0.00546379, -0.00556394, -0.00526336, -0.00467532, -0.0043711, -0.0044563, -0.00555878, -0.00660542, -0.00667606, -0.00684592, -0.00675485, -0.00685115, -0.006566, -0.00593592, -0.00273161, -0.00215422, -0.00111733, -0.000690973, -0.000492628, -0.000209799, -9.3429e-05, -2.90633e-05, -3.83812e-05, -1.47499e-06, -1.76921e-05, -1e-22" \
+              "-0.000504169, -0.000717461, -0.000748593, -0.00119081, -0.00141717, -0.00191898, -0.00272682, -0.00345971, -0.00383416, -0.00469586, -0.00546379, -0.00556394, -0.00526336, -0.00467532, -0.0043711, -0.0044563, -0.00555878, -0.00660542, -0.00667606, -0.00684592, -0.00675485, -0.00685115, -0.006566, -0.00593592, -0.00273161, -0.00215422, -0.00111733, -0.000690973, -0.000492628, -0.000209799, -9.3429e-05, -2.90633e-05, -3.83812e-05, -1.47502e-06, -1.76921e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -93816,7 +95386,7 @@
             index_2 ("0.0140621");
             index_3 ("1.7299, 1.9273, 1.96106, 2.02246, 2.08387, 2.19429, 2.32112, 2.45018, 2.46968, 2.49398, 2.52346, 2.53254, 2.55161, 2.57002, 2.6066, 2.62854, 2.67241, 2.68748, 2.71757, 2.77672, 2.81975, 2.8469, 2.88006, 2.91788, 2.94824, 3.00897, 3.09667, 3.20343, 3.33983");
             values ( \
-              "-0.00421075, -0.00630541, -0.00724475, -0.00942741, -0.0121582, -0.0182094, -0.0257245, -0.0342621, -0.035322, -0.0358527, -0.0355439, -0.036344, -0.0412452, -0.0423834, -0.0434922, -0.0437263, -0.0427799, -0.0414091, -0.0367184, -0.023584, -0.0152162, -0.0111705, -0.00745766, -0.004617, -0.00308257, -0.00131499, -0.000341844, -8.57178e-05, -2.51888e-05" \
+              "-0.00421075, -0.00630541, -0.00724475, -0.00942741, -0.0121582, -0.0182094, -0.0257245, -0.0342621, -0.035322, -0.0358527, -0.0355439, -0.036344, -0.0412452, -0.0423834, -0.0434922, -0.0437263, -0.0427799, -0.0414091, -0.0367184, -0.023584, -0.0152162, -0.0111705, -0.00745766, -0.004617, -0.00308257, -0.00131499, -0.000341844, -8.57178e-05, -2.51889e-05" \
             );
           }
           vector (ccs_template) {
@@ -93852,7 +95422,7 @@
             index_2 ("0.00124625");
             index_3 ("6.0784, 6.7387, 6.97857, 7.22727, 7.40731, 7.44049, 7.50684, 7.63954, 7.76216, 7.93728, 8.01905, 8.09229, 8.11779, 8.14256, 8.16828, 8.18317, 8.19882, 8.2111, 8.22715, 8.24672, 8.26385, 8.28877, 8.33739, 8.34337, 8.35532, 8.42578, 8.4883, 8.51747, 8.58752, 8.63237, 8.6801, 8.71451, 8.74733, 8.76459, 8.79911, 8.86815, 9.00623, 9.2382, 9.62208, 10.2824, 10.965");
             values ( \
-              "-7.03399e-05, -0.000118778, -0.00016839, -0.000265617, -0.000397796, -0.000426656, -0.000514956, -0.000742857, -0.00103964, -0.00153224, -0.00184324, -0.00216982, -0.00219044, -0.00208779, -0.00186366, -0.0017736, -0.00192388, -0.00246785, -0.00281252, -0.00281932, -0.00284528, -0.00282192, -0.00280266, -0.0027828, -0.00277989, -0.0026519, -0.00239686, -0.00214298, -0.00105542, -0.000534597, -0.000253476, -0.000149884, -0.000114459, -8.89693e-05, -8.07971e-05, -5.17642e-05, -4.90678e-05, -2.52288e-05, -2.21604e-05, -1e-22, -7.94374e-06" \
+              "-7.03399e-05, -0.000118777, -0.00016839, -0.000265617, -0.000397796, -0.000426656, -0.000514956, -0.000742857, -0.00103964, -0.00153224, -0.00184324, -0.00216982, -0.00219044, -0.00208779, -0.00186366, -0.0017736, -0.00192388, -0.00246785, -0.00281252, -0.00281932, -0.00284528, -0.00282192, -0.00280266, -0.0027828, -0.00277989, -0.0026519, -0.00239686, -0.00214298, -0.00105542, -0.000534597, -0.000253476, -0.000149884, -0.000114459, -8.89692e-05, -8.07971e-05, -5.17641e-05, -4.90678e-05, -2.52288e-05, -2.21605e-05, -1e-22, -7.94376e-06" \
             );
           }
           vector (ccs_template) {
@@ -93870,7 +95440,7 @@
             index_2 ("0.0140621");
             index_3 ("6.26108, 7.03024, 7.23812, 7.33926, 7.47412, 7.61654, 7.76329, 7.95896, 8.07823, 8.26754, 8.43315, 8.52598, 8.58105, 8.63979, 8.69441, 8.71556, 8.73389, 8.76339, 8.83732, 8.88593, 8.96889, 9.08554, 9.0997, 9.12803, 9.18031, 9.24152, 9.36926, 9.40487, 9.45621, 9.48227, 9.52244, 9.57601, 9.68313, 9.83318, 10.0219, 10.2727, 10.6765, 11.3591");
             values ( \
-              "-0.0008215, -0.00150633, -0.00206012, -0.00240714, -0.00298933, -0.00378546, -0.0049421, -0.00701419, -0.00842536, -0.0107757, -0.0130228, -0.014363, -0.0150467, -0.0153429, -0.0152098, -0.0159751, -0.0174167, -0.0183053, -0.018925, -0.0191568, -0.0194499, -0.01927, -0.0192196, -0.0189189, -0.0179909, -0.0153398, -0.00752325, -0.00584084, -0.00386336, -0.00313043, -0.00224958, -0.00147056, -0.000577179, -0.000234672, -0.000105447, -9.77013e-05, -2.45529e-05, -2.98466e-05" \
+              "-0.0008215, -0.00150633, -0.00206012, -0.00240714, -0.00298933, -0.00378546, -0.0049421, -0.00701419, -0.00842536, -0.0107757, -0.0130228, -0.014363, -0.0150467, -0.0153429, -0.0152098, -0.0159751, -0.0174167, -0.0183053, -0.018925, -0.0191568, -0.0194499, -0.01927, -0.0192196, -0.0189189, -0.0179909, -0.0153398, -0.00752325, -0.00584084, -0.00386336, -0.00313043, -0.00224958, -0.00147056, -0.000577179, -0.000234672, -0.000105447, -9.77013e-05, -2.45528e-05, -2.98466e-05" \
             );
           }
           vector (ccs_template) {
@@ -93879,7 +95449,7 @@
             index_2 ("0.0472359");
             index_3 ("6.55487, 7.28796, 7.57136, 7.71613, 7.9576, 8.06833, 8.28979, 8.43635, 9.32019, 9.37463, 9.47894, 9.5187, 9.5513, 9.62315, 9.73908, 9.89322, 9.94554, 10.0474, 10.1497, 10.3039, 10.5792, 10.6293, 10.7295, 10.806, 10.9287, 11.0923, 11.2429");
             values ( \
-              "-0.00323848, -0.00432679, -0.00616899, -0.00738247, -0.0099371, -0.0114264, -0.0148177, -0.0172457, -0.0327102, -0.033411, -0.0343929, -0.0355486, -0.0373379, -0.0387486, -0.0403413, -0.0416378, -0.041897, -0.0419221, -0.040876, -0.0345074, -0.0163262, -0.0136977, -0.00937899, -0.00693014, -0.00416878, -0.00201609, -0.00116631" \
+              "-0.00323848, -0.00432679, -0.00616899, -0.00738247, -0.0099371, -0.0114264, -0.0148177, -0.0172457, -0.0327102, -0.033411, -0.0343929, -0.0355486, -0.0373379, -0.0387486, -0.0403413, -0.0416378, -0.041897, -0.0419221, -0.040876, -0.0345074, -0.0163262, -0.0136977, -0.00937899, -0.00693013, -0.00416878, -0.00201609, -0.00116631" \
             );
           }
           vector (ccs_template) {
@@ -93908,8 +95478,8 @@
             "0.00192591, 0.00192792, 0.00192981, 0.00193078, 0.00193113, 0.00193124", \
             "0.00215824, 0.00215969, 0.0021618, 0.0021633, 0.00216394, 0.00216416", \
             "0.00223757, 0.00223781, 0.00223841, 0.00223927, 0.00223985, 0.00224008", \
-            "0.00227457, 0.00227547, 0.00227477, 0.00227496, 0.00227521, 0.00227623", \
-            "0.00231883, 0.00231769, 0.00231616, 0.00231504, 0.00231459, 0.00231436", \
+            "0.00227457, 0.00227464, 0.00227561, 0.0022758, 0.00227521, 0.00227623", \
+            "0.00231883, 0.00231769, 0.00231616, 0.00231504, 0.00231466, 0.00231436", \
             "0.00233566, 0.00233501, 0.00233326, 0.00232972, 0.00232473, 0.00232109" \
           );
         }
@@ -93920,8 +95490,8 @@
             "0.00243914, 0.00244292, 0.00244677, 0.00244884, 0.0024496, 0.00244985", \
             "0.00247822, 0.00247998, 0.0024815, 0.00248201, 0.00248208, 0.00248208", \
             "0.00255894, 0.00255745, 0.00255606, 0.00255508, 0.00255455, 0.00255435", \
-            "0.0028571, 0.00276498, 0.00265548, 0.00259319, 0.0025695, 0.00255898", \
-            "0.00319371, 0.00319209, 0.00302626, 0.00268546, 0.00256434, 0.00252584", \
+            "0.0028571, 0.00276601, 0.00265416, 0.00259248, 0.0025695, 0.00255898", \
+            "0.00319371, 0.00319209, 0.00302626, 0.00268546, 0.00256427, 0.00252584", \
             "0.003182, 0.003183, 0.00318515, 0.00315824, 0.0027325, 0.00257223" \
           );
         }
@@ -93939,8 +95509,8 @@
             "0.0915201, 0.129046, 0.253033, 0.667407, 2.05832, 6.72895", \
             "0.102927, 0.140719, 0.265136, 0.679784, 2.07081, 6.74137", \
             "0.145293, 0.183017, 0.307594, 0.722776, 2.11395, 6.78497", \
-            "0.248187, 0.305999, 0.456377, 0.876328, 2.26825, 6.94037", \
-            "0.475501, 0.583353, 0.848207, 1.43436, 2.88205, 7.55412", \
+            "0.248187, 0.305737, 0.456125, 0.876328, 2.26825, 6.94037", \
+            "0.475239, 0.583191, 0.848207, 1.43436, 2.88205, 7.55412", \
             "1.18087, 1.36869, 1.83284, 2.86302, 5.01979, 10.0188" \
           );
         }
@@ -93951,8 +95521,8 @@
             "0.0745697, 0.127425, 0.303801, 0.894444, 2.87688, 9.53541", \
             "0.0746185, 0.127426, 0.303792, 0.894476, 2.8768, 9.53598", \
             "0.078575, 0.128805, 0.303845, 0.894817, 2.87678, 9.53548", \
-            "0.132029, 0.181666, 0.335254, 0.895885, 2.87699, 9.53548", \
-            "0.302251, 0.373943, 0.568764, 1.08982, 2.90431, 9.53376", \
+            "0.132029, 0.181829, 0.335365, 0.895885, 2.87684, 9.53548", \
+            "0.302591, 0.374134, 0.568764, 1.08982, 2.90431, 9.53376", \
             "0.704706, 0.82269, 1.14628, 1.92047, 3.77989, 9.72561" \
           );
         }
@@ -94003,7 +95573,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.0140621");
-            index_3 ("0.0466417, 0.0624535, 0.0684157, 0.0788265, 0.0889402, 0.130306, 0.21612, 0.266594, 0.277621, 0.299674, 0.326439, 0.397113, 0.429533, 0.463609, 0.49554, 0.536834, 0.569506, 0.613069, 0.646207, 0.673358, 0.709559, 0.769955, 0.839932, 0.895647, 1.00708, 1.17528, 1.38019");
+            index_3 ("0.0466417, 0.0624535, 0.0684157, 0.0788265, 0.0889402, 0.130306, 0.21612, 0.266594, 0.277621, 0.299674, 0.326439, 0.397113, 0.429533, 0.463609, 0.49554, 0.536834, 0.569506, 0.613069, 0.646207, 0.673358, 0.709559, 0.769955, 0.839931, 0.895647, 1.00708, 1.17528, 1.38019");
             values ( \
               "0.053599, 0.0602742, 0.0613573, 0.0618031, 0.0618291, 0.0606251, 0.0573412, 0.0548572, 0.0541409, 0.0521006, 0.0480753, 0.0357797, 0.0305579, 0.0256013, 0.021478, 0.0169151, 0.0139223, 0.0106542, 0.00865011, 0.00728308, 0.00578134, 0.00388571, 0.00245786, 0.00170533, 0.000783609, 0.000230449, 4.17466e-05" \
             );
@@ -94041,7 +95611,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0780009, 0.0870881, 0.0928489, 0.100621, 0.103637, 0.114195, 0.124747, 0.128682, 0.14077, 0.146103, 0.156374, 0.161603, 0.170486, 0.17825, 0.192387, 0.207165, 0.22411, 0.238078, 0.254334, 0.266017, 0.283262, 0.306276, 0.336961, 0.384771");
             values ( \
-              "0.00599676, 0.0187121, 0.0202506, 0.0210797, 0.0212378, 0.0212579, 0.0210216, 0.0210348, 0.0213979, 0.0202802, 0.0154495, 0.0136559, 0.0110457, 0.00930537, 0.00690444, 0.00506229, 0.00358251, 0.00267444, 0.00190942, 0.00149475, 0.00103879, 0.000641028, 0.000331236, 0.000113151" \
+              "0.00599676, 0.0187121, 0.0202506, 0.0210797, 0.0212378, 0.0212579, 0.0210216, 0.0210348, 0.0213979, 0.0202802, 0.0154495, 0.0136559, 0.0110457, 0.00930537, 0.00690444, 0.00506229, 0.00358251, 0.00267444, 0.00190942, 0.00149475, 0.00103879, 0.000641028, 0.000331236, 0.000113152" \
             );
           }
           vector (ccs_template) {
@@ -94050,7 +95620,7 @@
             index_2 ("0.00418628");
             index_3 ("0.0779747, 0.0859951, 0.0919094, 0.0986816, 0.105774, 0.11523, 0.125723, 0.136511, 0.154359, 0.173259, 0.189099, 0.199296, 0.212894, 0.228735, 0.243695, 0.261791, 0.282471, 0.303264, 0.314965, 0.338366, 0.346012, 0.359484, 0.374879, 0.402389, 0.432143, 0.455607, 0.502534, 0.579522, 0.67255");
             values ( \
-              "0.00116229, 0.0364762, 0.0399981, 0.0419391, 0.0426438, 0.0427792, 0.0424788, 0.0420041, 0.0410144, 0.0401557, 0.0386855, 0.0350879, 0.0297326, 0.0246493, 0.0205005, 0.0163963, 0.0125408, 0.00955023, 0.00815825, 0.00591548, 0.00532829, 0.00442372, 0.00356817, 0.00241701, 0.00159112, 0.0011403, 0.000574536, 0.000173009, 3.97808e-05" \
+              "0.00116229, 0.0364762, 0.0399981, 0.0419391, 0.0426438, 0.0427792, 0.0424788, 0.0420041, 0.0410144, 0.0401557, 0.0386855, 0.0350879, 0.0297326, 0.0246493, 0.0205005, 0.0163963, 0.0125408, 0.00955023, 0.00815825, 0.00591548, 0.00532829, 0.00442372, 0.00356816, 0.00241701, 0.00159112, 0.0011403, 0.000574536, 0.000173009, 3.97809e-05" \
             );
           }
           vector (ccs_template) {
@@ -94084,7 +95654,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.532987");
-            index_3 ("0.1955, 0.195521, 2.15437, 3.98049, 5.35215, 6.46933, 7.39041, 8.29143, 9.40861, 12.6266, 14.6101, 15.5837, 16.5577, 17.4109, 18.5281, 19.4503, 21.4108, 22.9524, 24.9183, 27.1526, 29.387, 33.8557, 37.2072");
+            index_3 ("0.195501, 0.195521, 2.15437, 3.98049, 5.35215, 6.46933, 7.39041, 8.29143, 9.40861, 12.6266, 14.6101, 15.5837, 16.5577, 17.4109, 18.5281, 19.4503, 21.4108, 22.9524, 24.9183, 27.1526, 29.387, 33.8557, 37.2072");
             values ( \
               "1e-22, 0.0851491, 0.0737789, 0.071082, 0.0688247, 0.0666137, 0.0640221, 0.060361, 0.0544844, 0.0347383, 0.0243733, 0.0202092, 0.0166467, 0.0140097, 0.011067, 0.00909866, 0.00594788, 0.00423492, 0.00273222, 0.00165099, 0.000992781, 0.000352328, 0.00018705" \
             );
@@ -94113,7 +95683,7 @@
             index_2 ("0.0140621");
             index_3 ("0.204902, 0.22476, 0.24123, 0.245902, 0.259954, 0.272571, 0.278697, 0.290284, 0.306811, 0.327663, 0.405402, 0.437609, 0.472816, 0.485124, 0.509613, 0.581384, 0.610633, 0.636166, 0.669529, 0.71239, 0.74883, 0.776927, 0.833122, 0.858352, 0.896381, 0.951097, 0.985839, 1.05033, 1.13631, 1.29456, 1.48447");
             values ( \
-              "0.0097132, 0.0412967, 0.0505644, 0.0520366, 0.0555853, 0.059621, 0.0604549, 0.0609022, 0.0605628, 0.0598796, 0.0567866, 0.0551894, 0.0524964, 0.0509336, 0.046903, 0.0344438, 0.0298552, 0.0261339, 0.0218087, 0.016992, 0.0137119, 0.0115179, 0.00809436, 0.00692033, 0.00539291, 0.00379402, 0.00300206, 0.00195947, 0.00111359, 0.000334933, 9.316e-05" \
+              "0.0097132, 0.0412967, 0.0505644, 0.0520366, 0.0555853, 0.059621, 0.0604549, 0.0609022, 0.0605628, 0.0598796, 0.0567866, 0.0551894, 0.0524964, 0.0509336, 0.046903, 0.0344438, 0.0298552, 0.0261339, 0.0218087, 0.016992, 0.0137119, 0.0115179, 0.00809436, 0.00692033, 0.00539291, 0.00379402, 0.00300206, 0.00195947, 0.00111359, 0.000334933, 9.31601e-05" \
             );
           }
           vector (ccs_template) {
@@ -94149,25 +95719,25 @@
             index_2 ("0.00124625");
             index_3 ("0.617538, 0.634559, 0.652122, 0.672482, 0.69285, 0.7236, 0.749366, 0.768556, 0.768814, 0.773978, 0.779486, 0.786442, 0.799428, 0.812766, 0.820199, 0.833322, 0.846663, 0.859205, 0.875292, 0.887576, 0.903955, 0.917165, 0.92986, 0.945203, 0.967439, 0.97914, 0.997423, 1.0218, 1.04082, 1.05511, 1.072, 1.08812, 1.12036, 1.17028, 1.26033");
             values ( \
-              "0.00228203, 0.00299443, 0.00468985, 0.00633124, 0.00767114, 0.009545, 0.0110571, 0.0122627, 0.0123267, 0.0126801, 0.0128302, 0.0122946, 0.0103559, 0.008845, 0.00807101, 0.00687117, 0.00579322, 0.0048935, 0.00387048, 0.0032126, 0.0024768, 0.00197986, 0.00159144, 0.00121896, 0.000804089, 0.000647183, 0.000458171, 0.000291044, 0.000196307, 0.000237581, 0.000229147, 0.000167252, 8.16232e-05, 3.53544e-05, 1.13108e-06" \
+              "0.00228203, 0.00299443, 0.00468985, 0.00633124, 0.00767114, 0.009545, 0.0110571, 0.0122627, 0.0123267, 0.0126801, 0.0128302, 0.0122946, 0.0103559, 0.008845, 0.00807101, 0.00687117, 0.00579322, 0.0048935, 0.00387048, 0.0032126, 0.0024768, 0.00197986, 0.00159144, 0.00121896, 0.000804089, 0.000647183, 0.000458171, 0.000291044, 0.000196307, 0.000237581, 0.000229147, 0.000167252, 8.16233e-05, 3.53544e-05, 1.13108e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00418628");
-            index_3 ("0.622894, 0.644721, 0.667452, 0.694867, 0.770177, 0.799455, 0.826221, 0.852245, 0.874932, 0.893151, 0.940203, 0.966901, 0.986802, 1.02464, 1.04035, 1.10137, 1.121, 1.14718, 1.19953, 1.27896, 1.37588");
+            index_3 ("0.617573, 0.666978, 0.694517, 0.73635, 0.770016, 0.799329, 0.826117, 0.852158, 0.874872, 0.89305, 0.94017, 0.966868, 0.986732, 1.00046, 1.03484, 1.04079, 1.0943, 1.11225, 1.13618, 1.18405, 1.25967, 1.35081");
             values ( \
-              "0.00581773, 0.00800769, 0.0118656, 0.015586, 0.0243449, 0.02712, 0.0290677, 0.0290855, 0.0244205, 0.0212299, 0.0138072, 0.0103338, 0.00822135, 0.00513871, 0.00419889, 0.00201469, 0.00153311, 0.00106244, 0.000494529, 0.000146097, 3.17893e-05" \
+              "0.00341387, 0.0118141, 0.0155196, 0.0205429, 0.0242762, 0.0271247, 0.0290158, 0.0290997, 0.0243791, 0.0212051, 0.013782, 0.0103485, 0.00823648, 0.00696876, 0.00450862, 0.00417809, 0.00221733, 0.00172909, 0.00124073, 0.000617114, 0.000197145, 4.52599e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0140621");
-            index_3 ("0.623375, 0.666816, 0.692696, 0.749761, 0.792409, 0.818255, 0.874889, 0.926727, 0.976843, 1.02687, 1.04559, 1.06968, 1.10835, 1.16739, 1.19466, 1.24674, 1.28922, 1.31908, 1.37585, 1.40198, 1.45425, 1.49803, 1.55796, 1.63787, 1.78676, 1.9613");
+            index_3 ("0.632249, 0.666112, 0.703453, 0.763079, 0.817756, 0.874441, 0.926297, 0.976428, 1.02647, 1.04621, 1.05974, 1.14625, 1.16761, 1.19609, 1.24766, 1.28708, 1.31455, 1.36127, 1.40369, 1.46026, 1.49967, 1.54438, 1.60399, 1.72322, 1.88733, 2.08878");
             values ( \
-              "0.00652851, 0.0167793, 0.0217834, 0.0316219, 0.0384127, 0.0419735, 0.047431, 0.0501299, 0.0511069, 0.0493869, 0.0471748, 0.0437947, 0.0371263, 0.0277997, 0.0240334, 0.0178583, 0.0138895, 0.0115769, 0.0081118, 0.00686403, 0.0048971, 0.00367603, 0.00248045, 0.00145321, 0.000493263, 0.000137658" \
+              "0.0131465, 0.0167761, 0.0237514, 0.0338645, 0.0419235, 0.04741, 0.0501079, 0.0510985, 0.0493752, 0.0470527, 0.0453085, 0.0308812, 0.0277109, 0.0237946, 0.0177237, 0.0140381, 0.0118769, 0.00886112, 0.00677086, 0.00469321, 0.00362552, 0.00270546, 0.00182448, 0.000793151, 0.000239013, 4.82577e-05" \
             );
           }
           vector (ccs_template) {
@@ -94183,9 +95753,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.15867");
-            index_3 ("0.719905, 1.05839, 1.08193, 1.94698, 2.49093, 2.79001, 3.09467, 3.24722, 3.48273, 4.53537, 4.75611, 5.1976, 5.45096, 5.73427, 6.11202, 6.5516, 6.80068, 7.29884, 7.68862, 8.28745, 9.08588, 10.2031, 11.3202, 12.4374");
+            index_3 ("0.719988, 0.883424, 0.954886, 1.0399, 1.06612, 1.10317, 1.13772, 1.93789, 2.35927, 2.72845, 2.90956, 3.15104, 3.43535, 3.7635, 4.61606, 4.87636, 5.32719, 5.74475, 6.00524, 6.42064, 6.57275, 6.87697, 7.43636, 7.69489, 8.08711, 8.61006, 9.65598, 10.7732, 11.8903");
             values ( \
-              "0.0536022, 0.0739184, 0.0742127, 0.0701655, 0.0671668, 0.0650164, 0.0617603, 0.0596057, 0.0556413, 0.0345904, 0.0305433, 0.0233544, 0.0198717, 0.0164947, 0.0127674, 0.00939908, 0.00788557, 0.00549663, 0.00414207, 0.00266834, 0.00146093, 0.000603563, 0.000252263, 0.000102279" \
+              "0.0584047, 0.0605415, 0.0673135, 0.0725864, 0.0737476, 0.0742806, 0.0739366, 0.0702153, 0.0679469, 0.0655148, 0.0638888, 0.0609823, 0.0564632, 0.0501678, 0.0330507, 0.0284297, 0.021495, 0.016365, 0.013725, 0.0102961, 0.00925411, 0.00745704, 0.00496484, 0.0041155, 0.00308998, 0.00209611, 0.000928962, 0.000384534, 0.000160008" \
             );
           }
           vector (ccs_template) {
@@ -94201,18 +95771,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.08136, 2.21995, 2.24274, 2.30236, 2.56932, 2.5912, 2.61607, 2.64052, 2.70397, 2.84055, 2.91796, 2.96992, 3.02535, 3.03052");
+            index_3 ("2.08353, 2.22707, 2.29107, 2.4008, 2.46314, 2.55786, 2.59946, 2.63351, 2.64635, 2.64783, 2.65079, 2.65671, 2.66854, 2.69221, 2.70357, 2.76206, 2.78109, 2.80946, 2.84015, 2.87543, 2.90679, 2.91776, 2.92108, 2.92772, 2.941, 2.96757, 3.0207, 3.1102, 3.21807, 3.35555, 3.3829");
             values ( \
-              "0.000685987, 0.000837802, 0.00100609, 0.00171807, 0.00559556, 0.00539146, 0.00469465, 0.00431659, 0.00376523, 0.00124987, 0.00050964, 0.00026517, 0.00013717, 0.000130981" \
+              "0.000663747, 0.000899636, 0.00154469, 0.00316508, 0.00403386, 0.00544826, 0.00533939, 0.00423084, 0.00435097, 0.00421947, 0.00425555, 0.00415481, 0.00412502, 0.00386558, 0.00378518, 0.00265291, 0.00222474, 0.00170313, 0.00124024, 0.000834328, 0.0006045, 0.000499927, 0.000510232, 0.000443251, 0.000402338, 0.000265122, 0.000152078, 2.46446e-05, 2.30095e-05, 1e-22, 2.20786e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00418628");
-            index_3 ("2.08365, 2.23206, 2.25913, 2.31328, 2.46561, 2.54432, 2.66612, 2.68032, 2.70871, 2.73949, 2.77215, 2.78281, 2.79702, 2.82544, 2.86819, 2.92755, 2.96802, 2.99748, 3.02152, 3.04852, 3.08669, 3.127, 3.15808, 3.22023, 3.33048, 3.36893");
+            index_3 ("2.08341, 2.21105, 2.25872, 2.33688, 2.46496, 2.60895, 2.66572, 2.67992, 2.70832, 2.73912, 2.7962, 2.82401, 2.92724, 2.99618, 3.02301, 3.05878, 3.08952, 3.1315, 3.18748, 3.28831, 3.40721, 3.55528, 3.74927, 4.06348, 4.23082");
             values ( \
-              "0.00185647, 0.00230222, 0.00275807, 0.00398007, 0.00847387, 0.0107146, 0.0140498, 0.0142858, 0.0143028, 0.0134574, 0.0126858, 0.012509, 0.0121738, 0.0113003, 0.00935939, 0.00642306, 0.00473761, 0.00369724, 0.00299839, 0.00235731, 0.00162864, 0.00109737, 0.000810378, 0.000411171, 0.000115689, 8.69457e-05" \
+              "0.00201064, 0.00209118, 0.00273392, 0.00467146, 0.00844461, 0.0125109, 0.0140243, 0.0142915, 0.0142886, 0.0134419, 0.0121694, 0.0113522, 0.00640912, 0.00371577, 0.00293891, 0.00214298, 0.00156984, 0.00103347, 0.000601655, 0.0001666, 5.86989e-05, 1e-22, 1.97554e-05, 1e-22, 4.45279e-05" \
             );
           }
           vector (ccs_template) {
@@ -94239,7 +95809,7 @@
             index_2 ("0.15867");
             index_3 ("2.31636, 2.79613, 3.02746, 3.20327, 3.50636, 3.69468, 4.07132, 4.16517, 4.20807, 4.25704, 4.53645, 4.74556, 4.96574, 5.39978, 5.73882, 6.71066, 6.92898, 7.36562, 7.62723, 7.9199, 8.31012, 8.72082, 8.95341, 9.4186, 9.86062, 10.0858, 10.5362, 11.437, 12.5542, 13.6713, 14.7885");
             values ( \
-              "0.0170604, 0.0317196, 0.0430401, 0.0507518, 0.0603871, 0.0641242, 0.068921, 0.069557, 0.0696459, 0.0695149, 0.0679424, 0.0666641, 0.0650156, 0.0599698, 0.0541446, 0.034623, 0.0305982, 0.0234894, 0.0198838, 0.0164031, 0.0125703, 0.00945447, 0.00801259, 0.00573764, 0.00416449, 0.00352519, 0.00253598, 0.00126638, 0.000531448, 0.000214549, 9.38921e-05" \
+              "0.0170604, 0.0317196, 0.0430401, 0.0507518, 0.0603871, 0.0641242, 0.068921, 0.069557, 0.0696459, 0.0695149, 0.0679424, 0.0666641, 0.0650156, 0.0599698, 0.0541446, 0.034623, 0.0305982, 0.0234894, 0.0198838, 0.0164031, 0.0125703, 0.00945447, 0.00801259, 0.00573764, 0.00416449, 0.00352519, 0.00253598, 0.00126638, 0.000531448, 0.000214549, 9.3892e-05" \
             );
           }
           vector (ccs_template) {
@@ -94266,7 +95836,7 @@
             index_2 ("0.00418628");
             index_3 ("7.45217, 8.12775, 8.22765, 8.33749, 8.55719, 8.64663, 8.73777, 8.85928, 8.97171, 9.25603, 9.57853, 9.7024, 9.73332, 9.79516, 9.81816, 9.86414, 9.9312, 9.94412, 9.96996, 10.0216, 10.0789, 10.182, 10.2679, 10.3704, 10.429, 10.5071, 10.5685, 10.6838, 10.8374, 11.0489, 11.3196, 11.6806, 12.2473");
             values ( \
-              "0.00022268, 0.000223481, 0.000288261, 0.000325505, 0.000527626, 0.000644138, 0.00084008, 0.00126383, 0.00184206, 0.0036659, 0.00567577, 0.00650783, 0.00662789, 0.00645253, 0.00623578, 0.00602507, 0.00577956, 0.00579776, 0.00569969, 0.00560913, 0.00536177, 0.00444287, 0.00318908, 0.00193578, 0.00141512, 0.000938905, 0.000648532, 0.000351601, 0.000191261, 7.40475e-05, 5.9093e-05, 6.88564e-06, 1.78456e-05" \
+              "0.00022268, 0.000223481, 0.000288261, 0.000325505, 0.000527626, 0.000644138, 0.00084008, 0.00126383, 0.00184206, 0.0036659, 0.00567577, 0.00650783, 0.00662789, 0.00645253, 0.00623578, 0.00602507, 0.00577956, 0.00579776, 0.00569969, 0.00560913, 0.00536177, 0.00444287, 0.00318908, 0.00193578, 0.00141512, 0.000938905, 0.000648532, 0.000351601, 0.000191261, 7.40475e-05, 5.9093e-05, 6.88561e-06, 1.78456e-05" \
             );
           }
           vector (ccs_template) {
@@ -94275,7 +95845,7 @@
             index_2 ("0.0140621");
             index_3 ("7.7147, 8.61405, 8.7827, 8.88673, 9.02545, 9.15627, 9.7402, 10.1641, 10.2339, 10.3048, 10.4966, 10.5557, 10.6716, 10.9931, 11.0899, 11.1977, 11.3499, 11.4594, 11.6053, 11.8724, 12.1905, 12.595");
             values ( \
-              "0.00066226, 0.00147893, 0.00210687, 0.0026787, 0.00374798, 0.00497953, 0.0108775, 0.0149045, 0.0153097, 0.0154858, 0.0150708, 0.014793, 0.013675, 0.00648793, 0.00469317, 0.00317548, 0.00176122, 0.00113459, 0.000634188, 0.000175454, 5.7929e-05, 2.75301e-06" \
+              "0.00066226, 0.00147893, 0.00210687, 0.0026787, 0.00374798, 0.00497953, 0.0108775, 0.0149045, 0.0153097, 0.0154858, 0.0150708, 0.014793, 0.013675, 0.00648793, 0.00469317, 0.00317548, 0.00176122, 0.00113459, 0.000634188, 0.000175454, 5.7929e-05, 2.75303e-06" \
             );
           }
           vector (ccs_template) {
@@ -94284,7 +95854,7 @@
             index_2 ("0.0472359");
             index_3 ("7.71623, 8.52494, 8.77461, 9.03066, 9.13572, 9.27578, 10.0027, 10.3824, 10.8956, 11.1974, 11.2728, 11.4238, 11.4755, 11.5789, 11.7508, 11.8896, 12.0585, 12.4507, 12.5855, 12.7653, 12.8803, 13.0204, 13.2071, 13.3211, 13.4347, 13.5861, 13.8891, 14.4254, 15.0517");
             values ( \
-              "0.00224739, 0.0027489, 0.0038688, 0.00554868, 0.00649911, 0.00800342, 0.0166473, 0.0210009, 0.0266741, 0.0296749, 0.0302445, 0.0311087, 0.0312801, 0.03124, 0.0300855, 0.0280558, 0.0246163, 0.0155306, 0.0127538, 0.0095616, 0.00788098, 0.00616801, 0.00439948, 0.00353318, 0.00284308, 0.00213355, 0.00112973, 0.000339666, 5.63962e-05" \
+              "0.00224739, 0.0027489, 0.0038688, 0.00554868, 0.00649911, 0.00800342, 0.0166473, 0.0210009, 0.0266741, 0.0296749, 0.0302445, 0.0311087, 0.0312801, 0.03124, 0.0300855, 0.0280558, 0.0246163, 0.0155306, 0.0127538, 0.0095616, 0.00788098, 0.00616801, 0.00439948, 0.00353318, 0.00284308, 0.00213355, 0.00112973, 0.000339666, 5.63961e-05" \
             );
           }
           vector (ccs_template) {
@@ -94362,9 +95932,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.0472359");
-            index_3 ("0.0214788, 0.025278, 0.0309014, 0.0421955, 0.0532829, 0.0687054, 0.112713, 0.269626, 0.338732, 0.358557, 0.38499, 0.395804, 0.417434, 0.463341, 0.595276, 0.648789, 0.687021, 0.71248, 0.763398, 0.778725, 0.830408, 0.885538, 0.92826, 0.991485, 1.07578, 1.24178, 1.43665");
+            index_3 ("0.0214788, 0.025278, 0.0309014, 0.0421955, 0.0532829, 0.0687054, 0.112713, 0.269626, 0.338732, 0.358557, 0.38499, 0.395804, 0.417434, 0.463341, 0.595276, 0.648789, 0.687021, 0.71248, 0.763398, 0.778725, 0.830408, 0.885537, 0.92826, 0.991485, 1.07578, 1.24178, 1.43665");
             values ( \
-              "-0.113263, -0.127848, -0.132876, -0.13712, -0.138677, -0.139427, -0.138894, -0.135372, -0.135152, -0.134823, -0.133262, -0.132144, -0.129163, -0.118177, -0.0723517, -0.0555423, -0.0453114, -0.0393324, -0.029281, -0.0267383, -0.0195609, -0.0138635, -0.0105905, -0.00707214, -0.00405974, -0.00123145, -0.000290367" \
+              "-0.113263, -0.127848, -0.132876, -0.13712, -0.138677, -0.139427, -0.138894, -0.135372, -0.135152, -0.134823, -0.133262, -0.132144, -0.129163, -0.118177, -0.0723517, -0.0555423, -0.0453114, -0.0393324, -0.029281, -0.0267383, -0.0195609, -0.0138635, -0.0105905, -0.00707214, -0.00405973, -0.00123145, -0.000290367" \
             );
           }
           vector (ccs_template) {
@@ -94553,7 +96123,7 @@
             index_2 ("0.00124625");
             index_3 ("1.66793, 1.83476, 1.84896, 1.93137, 1.95624, 2.00597, 2.06651, 2.11418, 2.13512, 2.17701, 2.20332, 2.22327, 2.25342, 2.27685, 2.28197, 2.29223, 2.31033, 2.33176, 2.36675, 2.38744, 2.39655, 2.41186, 2.43226, 2.4649, 2.55671, 2.63349, 2.77576, 3.007, 3.31876");
             values ( \
-              "-0.000502965, -0.000717589, -0.000748934, -0.0011932, -0.00142034, -0.00192301, -0.00273254, -0.00346473, -0.00385994, -0.00477262, -0.00583414, -0.00707039, -0.00778112, -0.00796382, -0.00794663, -0.00798823, -0.00772755, -0.0069526, -0.00321291, -0.00165563, -0.00118349, -0.000689444, -0.000356676, -0.000119255, -3.36003e-05, -3.77697e-05, -6.77675e-07, -1.52537e-05, -1e-22" \
+              "-0.000502965, -0.000717589, -0.000748934, -0.0011932, -0.00142034, -0.00192301, -0.00273254, -0.00346473, -0.00385994, -0.00477262, -0.00583414, -0.00707039, -0.00778112, -0.00796382, -0.00794663, -0.00798823, -0.00772755, -0.0069526, -0.00321291, -0.00165563, -0.00118349, -0.000689444, -0.000356676, -0.000119255, -3.36003e-05, -3.77697e-05, -6.7766e-07, -1.52537e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -94607,7 +96177,7 @@
             index_2 ("0.00124625");
             index_3 ("6.07765, 6.74909, 6.98294, 7.22709, 7.40822, 7.44244, 7.5109, 7.64781, 7.76298, 7.9373, 8.05177, 8.08257, 8.12361, 8.151, 8.20577, 8.22155, 8.25313, 8.29026, 8.33743, 8.36214, 8.41158, 8.44306, 8.50513, 8.53081, 8.55803, 8.60822, 8.7061, 8.73555, 8.79445, 8.91224, 9.14783, 9.61902, 10.1112");
             values ( \
-              "-6.81281e-05, -0.000120408, -0.000168845, -0.00026457, -0.000398087, -0.000427882, -0.000520185, -0.000760154, -0.00104818, -0.00152628, -0.00202769, -0.002272, -0.00268126, -0.00301251, -0.00328033, -0.00331736, -0.0032631, -0.00322947, -0.00308389, -0.00302743, -0.00274148, -0.00241646, -0.00113782, -0.000725021, -0.000450479, -0.000168024, -6.55834e-05, -8.04154e-05, -5.14897e-05, -6.00237e-05, -2.43633e-05, -2.60105e-05, -6.98417e-06" \
+              "-6.81281e-05, -0.000120408, -0.000168845, -0.00026457, -0.000398087, -0.000427882, -0.000520185, -0.000760154, -0.00104818, -0.00152628, -0.00202769, -0.002272, -0.00268126, -0.00301251, -0.00328033, -0.00331736, -0.0032631, -0.00322947, -0.00308389, -0.00302743, -0.00274148, -0.00241646, -0.00113782, -0.000725022, -0.000450479, -0.000168024, -6.55835e-05, -8.04153e-05, -5.14897e-05, -6.00236e-05, -2.43633e-05, -2.60104e-05, -6.9841e-06" \
             );
           }
           vector (ccs_template) {
@@ -94663,8 +96233,8 @@
             "0.00192434, 0.00192719, 0.00192957, 0.00193069, 0.00193108, 0.0019312", \
             "0.00215734, 0.00215913, 0.00216161, 0.00216326, 0.00216393, 0.00216415", \
             "0.00223685, 0.0022377, 0.00223835, 0.00223925, 0.00223984, 0.00224009", \
-            "0.00227536, 0.00227461, 0.00227476, 0.00227495, 0.00227521, 0.00227539", \
-            "0.0023196, 0.00231817, 0.00231631, 0.00231492, 0.00231444, 0.00231435", \
+            "0.00227536, 0.00227545, 0.0022756, 0.00227495, 0.00227605, 0.00227539", \
+            "0.00231948, 0.00231807, 0.00231631, 0.00231492, 0.00231444, 0.00231435", \
             "0.00233595, 0.00233524, 0.00233344, 0.00232976, 0.0023247, 0.00232104" \
           );
         }
@@ -94675,8 +96245,8 @@
             "0.00243594, 0.00244157, 0.00244647, 0.0024488, 0.00244961, 0.00244987", \
             "0.00247652, 0.00247938, 0.00248144, 0.00248204, 0.00248211, 0.00248211", \
             "0.00256043, 0.00255784, 0.00255619, 0.00255512, 0.00255458, 0.00255436", \
-            "0.00292009, 0.00279317, 0.00266189, 0.00259322, 0.00256851, 0.00255939", \
-            "0.00319274, 0.00319284, 0.00304445, 0.00268834, 0.00256204, 0.0025247", \
+            "0.00292009, 0.00279203, 0.00266038, 0.00259322, 0.00256665, 0.00255939", \
+            "0.00319296, 0.00319301, 0.00304445, 0.00268834, 0.00256204, 0.0025247", \
             "0.00318058, 0.00318303, 0.00318509, 0.00316043, 0.00273335, 0.00257098" \
           );
         }
@@ -94742,7 +96312,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0445936, 0.0468938, 0.0497234, 0.054186, 0.0614597, 0.0627052, 0.0651962, 0.0701782, 0.0710622, 0.0761297, 0.0860753, 0.0879221, 0.0916158, 0.0970748, 0.0996835, 0.102334, 0.107634, 0.112387, 0.115059, 0.120402, 0.12979, 0.135119, 0.142224, 0.156434, 0.168464, 0.173761, 0.184354, 0.199963, 0.210875, 0.218443, 0.23059, 0.24766, 0.267965, 0.29504, 0.346343, 0.40636, 0.482372");
             values ( \
-              "0.0161049, 0.0230043, 0.0244995, 0.0257845, 0.0267912, 0.0267762, 0.0268946, 0.0268359, 0.0268535, 0.0267267, 0.0263976, 0.0262765, 0.0253704, 0.0216716, 0.0193808, 0.0174523, 0.0144965, 0.0127472, 0.0119199, 0.0105403, 0.00868173, 0.00786137, 0.00687724, 0.0052637, 0.00421743, 0.00381736, 0.00312632, 0.00232028, 0.00188775, 0.0016346, 0.00129425, 0.000931895, 0.00063119, 0.00037131, 0.000128356, 3.63202e-05, 7.59804e-06" \
+              "0.0161049, 0.0230043, 0.0244995, 0.0257845, 0.0267912, 0.0267762, 0.0268946, 0.0268359, 0.0268535, 0.0267267, 0.0263976, 0.0262765, 0.0253704, 0.0216716, 0.0193808, 0.0174523, 0.0144965, 0.0127472, 0.0119199, 0.0105403, 0.00868173, 0.00786137, 0.00687724, 0.0052637, 0.00421743, 0.00381736, 0.00312632, 0.00232028, 0.00188775, 0.0016346, 0.00129425, 0.000931895, 0.00063119, 0.00037131, 0.000128356, 3.63202e-05, 7.59808e-06" \
             );
           }
           vector (ccs_template) {
@@ -94758,9 +96328,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.0140621");
-            index_3 ("0.0410575, 0.0531223, 0.0631791, 0.0714407, 0.0794555, 0.112145, 0.174452, 0.189365, 0.214615, 0.225345, 0.246806, 0.288024, 0.319743, 0.359887, 0.397725, 0.442393, 0.464427, 0.499848, 0.541429, 0.579537, 0.630349, 0.676802, 0.75364, 0.85609, 0.999547, 1.17604");
+            index_3 ("0.0410575, 0.0531223, 0.0631791, 0.0714407, 0.0794555, 0.112145, 0.174452, 0.189365, 0.214615, 0.225345, 0.246806, 0.288024, 0.319743, 0.359887, 0.397725, 0.442393, 0.464427, 0.499848, 0.541429, 0.579537, 0.630349, 0.676802, 0.753639, 0.85609, 0.999547, 1.17604");
             values ( \
-              "0.0107861, 0.0731826, 0.0772813, 0.077949, 0.0780259, 0.0768773, 0.0737464, 0.0727813, 0.0702724, 0.0685303, 0.0636272, 0.0514648, 0.0433616, 0.0343661, 0.0271587, 0.0202295, 0.0174053, 0.0135971, 0.0101114, 0.00767708, 0.00525561, 0.00372345, 0.00208821, 0.000944442, 0.000274591, 6.84699e-05" \
+              "0.0107861, 0.0731826, 0.0772813, 0.077949, 0.0780259, 0.0768773, 0.0737464, 0.0727813, 0.0702724, 0.0685303, 0.0636272, 0.0514648, 0.0433616, 0.0343661, 0.0271587, 0.0202295, 0.0174053, 0.0135971, 0.0101114, 0.00767708, 0.00525561, 0.00372344, 0.00208821, 0.000944443, 0.000274592, 6.84699e-05" \
             );
           }
           vector (ccs_template) {
@@ -94841,7 +96411,7 @@
             index_2 ("0.532987");
             index_3 ("0.159409, 0.159429, 1.59143, 3.05876, 4.21933, 5.16978, 5.6263, 6.235, 7.01316, 7.76517, 9.53749, 10.0581, 10.7521, 11.7026, 12.2358, 12.7431, 13.4197, 14.3701, 14.7403, 15.252, 15.8369, 16.6355, 17.586, 18.1643, 19.1147, 20.0652, 21.0156, 21.9661, 23.867, 26.7184, 29.5697");
             values ( \
-              "1e-22, 0.106634, 0.0939809, 0.0912058, 0.0886935, 0.0858885, 0.0837804, 0.0798561, 0.0732529, 0.0657706, 0.0473988, 0.0424181, 0.0362974, 0.0289596, 0.0254034, 0.0223681, 0.0188134, 0.0146583, 0.0132932, 0.011593, 0.00990567, 0.00796671, 0.0061365, 0.00522889, 0.00401712, 0.00307751, 0.00236027, 0.00180415, 0.00105509, 0.000473345, 0.000209132" \
+              "1e-22, 0.106634, 0.0939809, 0.0912058, 0.0886935, 0.0858885, 0.0837804, 0.0798561, 0.0732529, 0.0657706, 0.0473988, 0.0424181, 0.0362974, 0.0289596, 0.0254034, 0.0223681, 0.0188134, 0.0146583, 0.0132932, 0.011593, 0.00990567, 0.00796671, 0.00613649, 0.00522889, 0.00401712, 0.00307751, 0.00236027, 0.00180415, 0.00105509, 0.000473345, 0.000209132" \
             );
           }
           vector (ccs_template) {
@@ -94931,7 +96501,7 @@
             index_2 ("0.0472359");
             index_3 ("0.645119, 0.710998, 0.857004, 0.925696, 1.00824, 1.05607, 1.07727, 1.17852, 1.24672, 1.28201, 1.3526, 1.39304, 1.68857, 1.82989, 1.92696, 2.00427, 2.10736, 2.24408, 2.32609, 2.46824, 2.63266, 2.76396, 3.02657, 3.4179, 3.89488");
             values ( \
-              "0.0207534, 0.0308983, 0.0619844, 0.0732547, 0.0821254, 0.0855521, 0.0860932, 0.0837825, 0.0818031, 0.0802528, 0.0757982, 0.0723608, 0.0429086, 0.0310588, 0.0244169, 0.0200412, 0.0152875, 0.0105537, 0.00843979, 0.00564594, 0.00355598, 0.0024591, 0.00111292, 0.000327673, 5.38055e-05" \
+              "0.0207534, 0.0308983, 0.0619844, 0.0732547, 0.0821254, 0.0855521, 0.0860932, 0.0837825, 0.0818031, 0.0802528, 0.0757982, 0.0723608, 0.0429086, 0.0310588, 0.0244169, 0.0200412, 0.0152875, 0.0105537, 0.00843979, 0.00564594, 0.00355598, 0.0024591, 0.00111292, 0.000327673, 5.38054e-05" \
             );
           }
           vector (ccs_template) {
@@ -94940,7 +96510,7 @@
             index_2 ("0.15867");
             index_3 ("0.717389, 1.03363, 1.06925, 1.65392, 2.04039, 2.3643, 2.49848, 2.72793, 2.91119, 3.74545, 3.92558, 4.28585, 4.47406, 4.68624, 4.90147, 5.26263, 5.41793, 5.70084, 6.10097, 6.52659, 6.85409, 7.50909, 8.45954, 9.40999");
             values ( \
-              "0.0537181, 0.0908698, 0.093703, 0.0902271, 0.0875099, 0.0841985, 0.0818775, 0.0764658, 0.0710489, 0.0434347, 0.0381406, 0.0288687, 0.0248115, 0.0208231, 0.0173683, 0.0127078, 0.011081, 0.00862535, 0.00599863, 0.00407968, 0.00302898, 0.00162689, 0.000644334, 0.000245191" \
+              "0.0537181, 0.0908698, 0.093703, 0.0902271, 0.0875099, 0.0841985, 0.0818775, 0.0764658, 0.0710489, 0.0434347, 0.0381406, 0.0288687, 0.0248115, 0.0208231, 0.0173683, 0.0127078, 0.011081, 0.00862535, 0.00599863, 0.00407968, 0.00302898, 0.00162689, 0.000644333, 0.000245191" \
             );
           }
           vector (ccs_template) {
@@ -94958,7 +96528,7 @@
             index_2 ("0.00124625");
             index_3 ("2.08328, 2.21699, 2.23758, 2.25955, 2.30309, 2.50317, 2.54713, 2.59097, 2.5952, 2.60584, 2.63656, 2.65779, 2.72432, 2.77512, 2.84167, 2.87745, 2.91661, 2.96466, 3.05176, 3.14381, 3.25187, 3.38648, 3.45864");
             values ( \
-              "0.000788887, 0.000871282, 0.00104053, 0.00127299, 0.00185927, 0.00485883, 0.00536803, 0.00395846, 0.00402922, 0.00376282, 0.00351459, 0.00348556, 0.00325119, 0.00259812, 0.00158045, 0.00114138, 0.000769686, 0.000455053, 0.000155695, 5.94202e-05, 1.94645e-07, 1.43199e-05, 8.65482e-06" \
+              "0.000788887, 0.000871282, 0.00104053, 0.00127299, 0.00185927, 0.00485883, 0.00536803, 0.00395846, 0.00402922, 0.00376282, 0.00351459, 0.00348556, 0.00325119, 0.00259812, 0.00158045, 0.00114138, 0.000769687, 0.000455053, 0.000155695, 5.94201e-05, 1.94662e-07, 1.43199e-05, 8.65482e-06" \
             );
           }
           vector (ccs_template) {
@@ -94976,7 +96546,7 @@
             index_2 ("0.0140621");
             index_3 ("2.08358, 2.20469, 2.27936, 2.33323, 2.72859, 2.82471, 2.90943, 2.99108, 3.05647, 3.0759, 3.11478, 3.33549, 3.41998, 3.52783, 3.57792, 3.65429, 3.75612, 3.92027, 4.16504, 4.2091, 4.27278");
             values ( \
-              "0.00385498, 0.0045087, 0.00601366, 0.00759602, 0.0244364, 0.0283364, 0.031387, 0.0306896, 0.0293854, 0.0288498, 0.0271997, 0.0121307, 0.00777918, 0.00414411, 0.0030423, 0.00186307, 0.000983711, 0.000242331, 8.1291e-06, 0.00013327, 0.000125752" \
+              "0.00385498, 0.0045087, 0.00601366, 0.00759602, 0.0244364, 0.0283364, 0.031387, 0.0306896, 0.0293854, 0.0288498, 0.0271997, 0.0121307, 0.00777918, 0.00414411, 0.0030423, 0.00186307, 0.000983711, 0.000242331, 8.12907e-06, 0.00013327, 0.000125752" \
             );
           }
           vector (ccs_template) {
@@ -95021,7 +96591,7 @@
             index_2 ("0.00418628");
             index_3 ("7.58212, 8.33687, 8.55914, 8.64799, 8.8257, 8.95721, 9.54541, 9.66868, 9.76152, 9.79862, 9.8618, 10.0631, 10.0989, 10.1706, 10.2565, 10.3943, 10.4654, 10.593, 10.6928, 10.7736, 10.9351, 11.1679, 11.4646, 12.5001");
             values ( \
-              "0.000231511, 0.000351903, 0.000553593, 0.000709091, 0.00120987, 0.00192232, 0.00576139, 0.00642797, 0.00553406, 0.00529106, 0.00510762, 0.00488998, 0.00482699, 0.00446583, 0.00363996, 0.00204457, 0.00144086, 0.000726245, 0.000426493, 0.000299672, 0.000126243, 6.89995e-05, 1.99624e-05, 1e-22" \
+              "0.000231511, 0.000351903, 0.000553593, 0.000709091, 0.00120987, 0.00192232, 0.00576139, 0.00642797, 0.00553406, 0.00529106, 0.00510762, 0.00488998, 0.00482699, 0.00446583, 0.00363996, 0.00204457, 0.00144086, 0.000726245, 0.000426493, 0.000299672, 0.000126243, 6.89995e-05, 1.99625e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -95030,7 +96600,7 @@
             index_2 ("0.0140621");
             index_3 ("7.58227, 8.3363, 8.5638, 8.73231, 8.79527, 8.87922, 9.04711, 9.94497, 10.1222, 10.3708, 10.4718, 10.6183, 10.6566, 10.733, 10.9579, 11.1197, 11.2765, 11.4251, 11.595, 11.8533, 12.164, 12.5553");
             values ( \
-              "0.000753347, 0.000977962, 0.00145929, 0.00201306, 0.00231834, 0.00284255, 0.00421064, 0.0134949, 0.0150352, 0.0142259, 0.0140583, 0.0135349, 0.0132304, 0.0121032, 0.0070722, 0.0040804, 0.0022164, 0.00118588, 0.000567126, 0.000154533, 4.72979e-05, 4.23039e-06" \
+              "0.000753347, 0.000977962, 0.00145929, 0.00201306, 0.00231834, 0.00284255, 0.00421064, 0.0134949, 0.0150352, 0.0142259, 0.0140583, 0.0135349, 0.0132304, 0.0121032, 0.0070722, 0.0040804, 0.0022164, 0.00118588, 0.000567126, 0.000154533, 4.72979e-05, 4.2304e-06" \
             );
           }
           vector (ccs_template) {
@@ -95171,9 +96741,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0472359");
-            index_3 ("0.0534837, 0.0661553, 0.0755101, 0.304854, 0.3469, 0.375553, 0.432859, 0.452706, 0.507054, 0.680718, 0.743416, 0.841008, 0.93381, 1.03367, 1.11464, 1.22134");
+            index_3 ("0.0534837, 0.0661553, 0.0755101, 0.304854, 0.3469, 0.375553, 0.432859, 0.452706, 0.507054, 0.680718, 0.743416, 0.841008, 0.93381, 1.03366, 1.11464, 1.22134");
             values ( \
-              "-0.0839186, -0.127941, -0.13587, -0.131417, -0.131681, -0.132772, -0.132772, -0.130329, -0.118136, -0.0589016, -0.0421985, -0.0239213, -0.0134528, -0.00713576, -0.00421892, -0.00228357" \
+              "-0.0839186, -0.127941, -0.13587, -0.131417, -0.131681, -0.132772, -0.132772, -0.130329, -0.118136, -0.0589015, -0.0421985, -0.0239213, -0.0134527, -0.00713577, -0.00421895, -0.00228356" \
             );
           }
           vector (ccs_template) {
@@ -95254,7 +96824,7 @@
             index_2 ("0.00124625");
             index_3 ("0.471738, 0.505483, 0.52069, 0.540039, 0.564159, 0.607015, 0.637773, 0.661802, 0.678064, 0.682793, 0.68825, 0.692436, 0.700808, 0.704182, 0.710003, 0.7163, 0.735562, 0.749548, 0.754383, 0.763331, 0.772085, 0.778762, 0.792116, 0.81373, 0.840939, 0.929114, 1.04085, 1.05561, 1.09509, 1.12939");
             values ( \
-              "-0.00139711, -0.00188866, -0.00231767, -0.00300411, -0.0041241, -0.00634624, -0.00823773, -0.0104363, -0.014312, -0.0166447, -0.0184788, -0.0189578, -0.0192647, -0.0192191, -0.0187285, -0.0172404, -0.00909719, -0.00461838, -0.00356879, -0.00220258, -0.00137159, -0.000974564, -0.000484569, -0.000191199, -8.45127e-05, -2.16011e-05, -4.94395e-06, -5.75578e-05, -1.78071e-06, -1.22598e-05" \
+              "-0.00139711, -0.00188866, -0.00231767, -0.00300411, -0.0041241, -0.00634624, -0.00823773, -0.0104363, -0.014312, -0.0166447, -0.0184788, -0.0189578, -0.0192647, -0.0192191, -0.0187285, -0.0172404, -0.00909719, -0.00461838, -0.00356879, -0.00220258, -0.00137159, -0.000974564, -0.000484569, -0.000191199, -8.45127e-05, -2.16011e-05, -4.94394e-06, -5.75578e-05, -1.78071e-06, -1.22598e-05" \
             );
           }
           vector (ccs_template) {
@@ -95308,7 +96878,7 @@
             index_2 ("0.00124625");
             index_3 ("1.69109, 1.86404, 1.87824, 1.96207, 2.0353, 2.12469, 2.17102, 2.20214, 2.23115, 2.25726, 2.27138, 2.29962, 2.32118, 2.32522, 2.33331, 2.35081, 2.37018, 2.37876, 2.40215, 2.41773, 2.4341, 2.44643, 2.46052, 2.4887, 2.52515, 2.63833, 2.65394");
             values ( \
-              "-0.000477034, -0.000703003, -0.000730416, -0.00118006, -0.00183377, -0.00285007, -0.00345634, -0.00397385, -0.00461565, -0.00570909, -0.00700208, -0.00886337, -0.00868402, -0.0089744, -0.00876395, -0.00863264, -0.00744921, -0.0065739, -0.00352249, -0.00208488, -0.00114526, -0.000734377, -0.000439445, -0.00017303, -7.35772e-05, -2.62464e-05, -2.50972e-05" \
+              "-0.000477034, -0.000703003, -0.000730416, -0.00118006, -0.00183377, -0.00285007, -0.00345634, -0.00397385, -0.00461565, -0.00570909, -0.00700208, -0.00886337, -0.00868402, -0.0089744, -0.00876395, -0.00863264, -0.00744921, -0.0065739, -0.00352249, -0.00208488, -0.00114526, -0.000734377, -0.000439445, -0.00017303, -7.35772e-05, -2.62464e-05, -2.50973e-05" \
             );
           }
           vector (ccs_template) {
@@ -95362,7 +96932,7 @@
             index_2 ("0.00124625");
             index_3 ("6.22228, 6.90074, 7.11804, 7.14192, 7.18968, 7.28519, 7.4484, 7.51402, 7.64527, 7.83438, 8.01917, 8.15478, 8.23912, 8.25004, 8.27186, 8.30468, 8.31493, 8.33542, 8.37084, 8.37556, 8.38502, 8.40393, 8.48824, 8.51244, 8.57032, 8.60466, 8.64847, 8.68479, 8.72861, 8.77297, 8.86167, 9.00615, 9.28675, 9.75319, 10.4361, 11.119");
             values ( \
-              "-7.77382e-05, -0.000135405, -0.00018853, -0.000204779, -0.000215811, -0.000268631, -0.000371437, -0.000448327, -0.000623373, -0.00100443, -0.00142347, -0.00188677, -0.00269216, -0.00302974, -0.00336605, -0.00375609, -0.00368154, -0.00377132, -0.00360562, -0.00367166, -0.00357761, -0.00356106, -0.00303503, -0.00265509, -0.001226, -0.000631788, -0.000263678, -0.000142093, -9.50968e-05, -6.7243e-05, -6.15936e-05, -4.01141e-05, -3.3742e-05, -8.91074e-06, -9.53432e-06, -1e-22" \
+              "-7.77382e-05, -0.000135405, -0.00018853, -0.000204779, -0.000215811, -0.000268631, -0.000371437, -0.000448327, -0.000623373, -0.00100443, -0.00142347, -0.00188677, -0.00269216, -0.00302974, -0.00336605, -0.00375609, -0.00368154, -0.00377132, -0.00360562, -0.00367166, -0.00357761, -0.00356106, -0.00303503, -0.00265509, -0.001226, -0.000631788, -0.000263678, -0.000142093, -9.50969e-05, -6.7243e-05, -6.15936e-05, -4.01141e-05, -3.3742e-05, -8.91071e-06, -9.53435e-06, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -95371,7 +96941,7 @@
             index_2 ("0.00418628");
             index_3 ("6.26184, 6.92834, 7.18222, 7.24856, 7.38124, 7.54853, 7.56691, 7.6772, 7.82424, 7.91384, 8.09305, 8.17028, 8.2539, 8.3051, 8.33753, 8.38767, 8.46232, 8.47299, 8.49434, 8.53702, 8.55241, 8.58319, 8.63426, 8.69615, 8.72494, 8.79319, 8.83399, 8.87418, 8.89693, 8.91167, 8.94115, 9.00012, 9.09361, 9.22424, 9.40879, 9.7311, 10.3757, 11.0586");
             values ( \
-              "-0.000292016, -0.000427133, -0.000656432, -0.000745823, -0.00094821, -0.00135527, -0.00140987, -0.00183312, -0.00257031, -0.00306389, -0.00412553, -0.0046703, -0.0053691, -0.00600807, -0.00658037, -0.00787589, -0.00958816, -0.00942206, -0.0096042, -0.00936818, -0.00948786, -0.00922013, -0.00905515, -0.00809907, -0.0073035, -0.00376548, -0.0021422, -0.00122376, -0.000819014, -0.000725449, -0.000442381, -0.000275098, -0.000116793, -0.000136922, -6.18312e-05, -7.4066e-05, -1e-22, -3.07597e-05" \
+              "-0.000292016, -0.000427133, -0.000656432, -0.000745823, -0.00094821, -0.00135527, -0.00140987, -0.00183312, -0.00257031, -0.00306389, -0.00412553, -0.0046703, -0.0053691, -0.00600807, -0.00658037, -0.00787589, -0.00958816, -0.00942206, -0.0096042, -0.00936818, -0.00948786, -0.00922013, -0.00905515, -0.00809907, -0.0073035, -0.00376548, -0.0021422, -0.00122376, -0.000819014, -0.000725449, -0.000442381, -0.000275098, -0.000116792, -0.000136922, -6.18312e-05, -7.4066e-05, -1e-22, -3.07598e-05" \
             );
           }
           vector (ccs_template) {
@@ -95447,7 +97017,7 @@
             "0.106008, 0.143902, 0.269178, 0.687397, 2.0907, 6.80272", \
             "0.117542, 0.155673, 0.281309, 0.699781, 2.10287, 6.81476", \
             "0.159802, 0.197888, 0.323755, 0.742737, 2.14631, 6.8587", \
-            "0.271864, 0.325526, 0.472831, 0.895445, 2.30004, 7.01352", \
+            "0.271864, 0.325785, 0.472861, 0.895476, 2.30004, 7.01352", \
             "0.519299, 0.619767, 0.874345, 1.45502, 2.91126, 7.62331", \
             "1.25703, 1.43156, 1.87836, 2.89392, 5.04424, 10.0716" \
           );
@@ -95459,7 +97029,7 @@
             "0.0860762, 0.1395, 0.317739, 0.914154, 2.9146, 9.63337", \
             "0.0860953, 0.139528, 0.31774, 0.914156, 2.91463, 9.63197", \
             "0.0886922, 0.140254, 0.317759, 0.913993, 2.91451, 9.63344", \
-            "0.139784, 0.190089, 0.345796, 0.915416, 2.91453, 9.63341", \
+            "0.139784, 0.189942, 0.346304, 0.915063, 2.91453, 9.63341", \
             "0.311154, 0.382579, 0.576888, 1.10372, 2.93929, 9.63326", \
             "0.713649, 0.834282, 1.15789, 1.93271, 3.80565, 9.81929" \
           );
@@ -95513,7 +97083,7 @@
             index_2 ("0.0140621");
             index_3 ("0.0469756, 0.0564882, 0.0637547, 0.0701959, 0.0814439, 0.0923892, 0.137068, 0.291746, 0.308172, 0.32692, 0.455095, 0.493501, 0.54471, 0.579292, 0.62902, 0.669482, 0.703672, 0.743415, 0.8, 0.860999, 0.908096, 1.00229, 1.16801, 1.36571");
             values ( \
-              "0.0529157, 0.0535395, 0.0558555, 0.0567226, 0.0571818, 0.057147, 0.0561758, 0.051678, 0.0510639, 0.0496022, 0.0293697, 0.0240661, 0.0180826, 0.0148025, 0.0109766, 0.00854558, 0.00690156, 0.00536495, 0.00372318, 0.00251428, 0.00185198, 0.000985757, 0.0002997, 6.88268e-05" \
+              "0.0529157, 0.0535395, 0.0558555, 0.0567226, 0.0571818, 0.057147, 0.0561758, 0.051678, 0.0510639, 0.0496022, 0.0293697, 0.0240661, 0.0180826, 0.0148025, 0.0109766, 0.00854558, 0.00690156, 0.00536495, 0.00372318, 0.00251428, 0.00185198, 0.000985757, 0.0002997, 6.88269e-05" \
             );
           }
           vector (ccs_template) {
@@ -95531,7 +97101,7 @@
             index_2 ("0.15867");
             index_3 ("0.075536, 0.075556, 0.675286, 1.42181, 2.0858, 2.48676, 3.01367, 4.19662, 4.92382, 5.45337, 5.77566, 6.63458, 7.68801, 8.53839, 9.07917");
             values ( \
-              "1e-22, 0.0810229, 0.0716907, 0.0682019, 0.0642002, 0.059956, 0.0510648, 0.0283464, 0.0180115, 0.0126497, 0.0101396, 0.0055295, 0.00258411, 0.00135789, 0.000979717" \
+              "1e-22, 0.0810229, 0.0716907, 0.0682019, 0.0642002, 0.059956, 0.0510648, 0.0283464, 0.0180115, 0.0126497, 0.0101396, 0.0055295, 0.00258411, 0.0013579, 0.000979717" \
             );
           }
           vector (ccs_template) {
@@ -95594,7 +97164,7 @@
             index_2 ("0.532987");
             index_3 ("0.193831, 0.193851, 2.4857, 4.41168, 5.83883, 6.84689, 7.72058, 8.37977, 9.16521, 10.1139, 12.6903, 14.3256, 15.451, 16.3731, 17.3415, 18.4669, 19.0248, 20.1405, 21.1506, 22.276, 23.6277, 25.4995, 27.7504, 30.0012, 32.252, 36.7537");
             values ( \
-              "1e-22, 0.0828892, 0.0725346, 0.0697175, 0.0673229, 0.0651758, 0.0624842, 0.0597663, 0.0558652, 0.0503836, 0.034768, 0.026144, 0.0211806, 0.0176978, 0.0145493, 0.0115605, 0.0102695, 0.00813004, 0.00652731, 0.0051371, 0.00380676, 0.00251818, 0.00152425, 0.000918536, 0.000550765, 0.000193129" \
+              "1e-22, 0.0828892, 0.0725346, 0.0697175, 0.0673229, 0.0651758, 0.0624842, 0.0597663, 0.0558652, 0.0503836, 0.034768, 0.026144, 0.0211806, 0.0176978, 0.0145493, 0.0115605, 0.0102695, 0.00813004, 0.00652731, 0.0051371, 0.00380676, 0.00251818, 0.00152425, 0.000918536, 0.000550766, 0.000193129" \
             );
           }
           vector (ccs_template) {
@@ -95603,7 +97173,7 @@
             index_2 ("0.00124625");
             index_3 ("0.205079, 0.212767, 0.220191, 0.226009, 0.230193, 0.23856, 0.247108, 0.260092, 0.262407, 0.267037, 0.271973, 0.276542, 0.289871, 0.301673, 0.303098, 0.31504, 0.320866, 0.327046, 0.33459, 0.343757, 0.353612, 0.366643, 0.370586, 0.379297, 0.389013, 0.401755, 0.405552, 0.413147, 0.42584, 0.444204, 0.45294, 0.465412, 0.482043, 0.515304, 0.569698, 0.635756, 0.720835");
             values ( \
-              "0.00377868, 0.0079052, 0.0101206, 0.0114569, 0.0121789, 0.0133385, 0.0141393, 0.0150178, 0.0153845, 0.0155967, 0.0162442, 0.0162879, 0.0174106, 0.0191674, 0.0184776, 0.0141089, 0.0123737, 0.0107954, 0.00921272, 0.0076494, 0.00626641, 0.00482272, 0.00446144, 0.00374741, 0.00307844, 0.00237568, 0.00220048, 0.00188558, 0.00145447, 0.000996918, 0.00083537, 0.000648723, 0.000462055, 0.000232062, 7.18075e-05, 1.97692e-05, 5.36757e-06" \
+              "0.00377868, 0.0079052, 0.0101206, 0.0114569, 0.0121789, 0.0133385, 0.0141393, 0.0150178, 0.0153845, 0.0155967, 0.0162442, 0.0162879, 0.0174106, 0.0191674, 0.0184776, 0.0141089, 0.0123737, 0.0107954, 0.00921272, 0.0076494, 0.00626641, 0.00482272, 0.00446144, 0.00374741, 0.00307844, 0.00237568, 0.00220048, 0.00188558, 0.00145447, 0.000996918, 0.000835371, 0.000648723, 0.000462055, 0.000232062, 7.18075e-05, 1.97692e-05, 5.36754e-06" \
             );
           }
           vector (ccs_template) {
@@ -95664,27 +97234,27 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00418628");
-            index_3 ("0.629844, 0.655638, 0.672227, 0.702523, 0.784812, 0.816716, 0.872662, 0.927626, 0.971422, 0.996723, 1.02337, 1.04053, 1.08562, 1.11151, 1.14548, 1.19079, 1.26403, 1.35129, 1.46115");
+            index_3 ("0.623004, 0.64819, 0.673111, 0.692057, 0.703297, 0.748926, 0.785469, 0.817291, 0.873204, 0.897504, 0.928, 0.937678, 0.938919, 0.941402, 0.946368, 0.972086, 0.997231, 1.02381, 1.04083, 1.08652, 1.11216, 1.12335, 1.14571, 1.19045, 1.26359, 1.35063, 1.46023");
             values ( \
-              "0.00820519, 0.00858377, 0.0107344, 0.0141064, 0.0223569, 0.0249388, 0.0290003, 0.0188562, 0.0122305, 0.00919728, 0.00666762, 0.00543415, 0.00323475, 0.00226515, 0.00142131, 0.000749047, 0.000254512, 7.07341e-05, 1.7263e-05" \
+              "0.00461588, 0.00735828, 0.0107784, 0.0129296, 0.0141617, 0.0189007, 0.0223867, 0.0249631, 0.0290159, 0.0243203, 0.0188886, 0.0174125, 0.0170992, 0.0167995, 0.015934, 0.0122149, 0.00920081, 0.00667603, 0.00544699, 0.00321757, 0.00226072, 0.00193989, 0.00142694, 0.00075844, 0.00025805, 7.18943e-05, 1.75561e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0140621");
-            index_3 ("0.623193, 0.669192, 0.696607, 0.75579, 0.800298, 0.827179, 0.880941, 0.919607, 0.9409, 0.993276, 1.01285, 1.04073, 1.05306, 1.06782, 1.13078, 1.186, 1.21153, 1.2626, 1.31671, 1.34735, 1.3877, 1.42167, 1.46146, 1.51808, 1.57921, 1.62642, 1.72084, 1.88637, 2.08362");
+            index_3 ("0.632167, 0.668402, 0.755182, 0.790827, 0.826662, 0.886061, 0.940538, 0.993061, 1.0405, 1.05362, 1.07112, 1.15746, 1.22795, 1.27529, 1.31724, 1.38791, 1.42133, 1.46125, 1.51796, 1.57947, 1.62701, 1.7221, 1.88785, 2.0856");
             values ( \
-              "0.00599149, 0.0160321, 0.0208887, 0.0303746, 0.036877, 0.0401695, 0.0447895, 0.0468654, 0.0476858, 0.0490446, 0.0492868, 0.0488756, 0.0479615, 0.046275, 0.0359295, 0.0275214, 0.0240926, 0.0180946, 0.0131873, 0.0109747, 0.00853228, 0.00689931, 0.00537778, 0.00371624, 0.00250616, 0.00185744, 0.000975861, 0.00030676, 6.18306e-05" \
+              "0.0119657, 0.0160038, 0.03031, 0.0356369, 0.0401243, 0.0451473, 0.0476478, 0.0489233, 0.0488572, 0.0479547, 0.0458107, 0.0316924, 0.0220135, 0.0168497, 0.0131519, 0.00852699, 0.00691927, 0.00538193, 0.00372346, 0.00250525, 0.00184756, 0.000970445, 0.000300746, 6.37012e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0472359");
-            index_3 ("0.679409, 0.786913, 0.873912, 0.955918, 1.01594, 1.0561, 1.07769, 1.22365, 1.3875, 1.47121, 1.56015, 1.70715, 1.93228, 2.10622, 2.2273, 2.32349, 2.45174, 2.6175, 2.71677, 2.8931, 3.09799, 3.26212, 3.59039, 4.07277, 4.65815");
+            index_3 ("0.657956, 0.748875, 0.786872, 0.874268, 0.942686, 1.01609, 1.05635, 1.07889, 1.27844, 1.41559, 1.51218, 1.56035, 1.63461, 1.96757, 2.18054, 2.345, 2.46097, 2.56859, 2.69672, 2.86756, 2.97351, 3.1377, 3.35662, 3.79447, 4.30852");
             values ( \
-              "0.0367299, 0.0424046, 0.0545537, 0.0611008, 0.0641211, 0.065729, 0.0661446, 0.0640921, 0.0613289, 0.0593907, 0.0563855, 0.0483902, 0.0344581, 0.025204, 0.019753, 0.0161995, 0.0124071, 0.00855357, 0.00693727, 0.0045592, 0.00283806, 0.00201353, 0.000841315, 0.000295969, 4.80616e-06" \
+              "0.0257873, 0.0353136, 0.0423574, 0.0545968, 0.0602563, 0.0640953, 0.0657289, 0.0661454, 0.0632388, 0.0607453, 0.0581775, 0.056396, 0.0526351, 0.0324478, 0.0217264, 0.0155226, 0.0121144, 0.00957976, 0.0072079, 0.00488474, 0.00383791, 0.00263209, 0.0015717, 0.000515685, 0.000131083" \
             );
           }
           vector (ccs_template) {
@@ -95711,7 +97281,7 @@
             index_2 ("0.00124625");
             index_3 ("2.08351, 2.20638, 2.24178, 2.31259, 2.49821, 2.5544, 2.64357, 2.68031, 2.68831, 2.74061, 2.82436, 2.86824, 2.87259, 2.88129, 2.93346, 2.94767, 2.9529, 2.96336, 2.98428, 3.02612, 3.106, 3.20398, 3.32728, 3.47216");
             values ( \
-              "0.000689121, 0.000692226, 0.000852172, 0.00149527, 0.00365884, 0.00434938, 0.00568553, 0.00454033, 0.00460056, 0.00398607, 0.0020656, 0.00129821, 0.00133408, 0.0011185, 0.00058303, 0.000577841, 0.000452649, 0.000480879, 0.000292731, 0.00023759, 2.00713e-05, 5.93543e-05, 1e-22, 4.02651e-05" \
+              "0.000689121, 0.000692226, 0.000852172, 0.00149527, 0.00365884, 0.00434938, 0.00568553, 0.00454033, 0.00460056, 0.00398607, 0.0020656, 0.00129821, 0.00133408, 0.0011185, 0.00058303, 0.000577841, 0.000452649, 0.000480879, 0.000292731, 0.00023759, 2.00713e-05, 5.93543e-05, 1e-22, 4.02652e-05" \
             );
           }
           vector (ccs_template) {
@@ -95745,9 +97315,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.15867");
-            index_3 ("2.39612, 2.87712, 3.20985, 3.54302, 3.70579, 4.03133, 4.19844, 4.24493, 4.50983, 4.8217, 4.9946, 5.34041, 5.45821, 5.69381, 6.75963, 6.98278, 7.42906, 7.68483, 7.97107, 8.35272, 8.79796, 9.05037, 9.55518, 9.94822, 10.5525, 11.3582, 12.4836, 13.609, 14.7344");
+            index_3 ("2.39484, 2.87691, 3.20978, 3.54297, 3.70572, 4.03122, 4.13827, 4.19837, 4.24486, 4.36492, 4.8216, 4.99454, 5.3404, 5.45814, 5.69361, 6.75957, 6.98271, 7.42901, 7.68477, 7.97099, 8.35262, 8.79791, 9.05033, 9.55519, 9.94816, 10.5523, 11.3579, 12.4833, 13.6087, 14.7341");
             values ( \
-              "0.0240943, 0.0353197, 0.0504821, 0.0604375, 0.063456, 0.0675677, 0.0686712, 0.0685895, 0.0671726, 0.065335, 0.0640784, 0.0604972, 0.058918, 0.0551131, 0.0342378, 0.0302233, 0.0231034, 0.0196591, 0.0163176, 0.012629, 0.00929205, 0.00779093, 0.00542699, 0.00409284, 0.00263936, 0.00144631, 0.000600348, 0.000252615, 0.000102589" \
+              "0.0239811, 0.0352802, 0.0505155, 0.0604043, 0.0634892, 0.0675348, 0.0683855, 0.0686705, 0.0685902, 0.0679479, 0.0653356, 0.064078, 0.0604968, 0.0589176, 0.0551157, 0.0342375, 0.0302234, 0.023103, 0.0196589, 0.0163176, 0.0126294, 0.00929187, 0.00779087, 0.00542659, 0.00409272, 0.00263945, 0.00144667, 0.000600362, 0.000252753, 0.000102515" \
             );
           }
           vector (ccs_template) {
@@ -95765,7 +97335,7 @@
             index_2 ("0.00124625");
             index_3 ("7.62851, 8.3367, 8.59926, 8.65525, 8.76724, 8.94798, 9.35448, 9.48247, 9.58999, 9.61679, 9.67038, 9.71551, 9.76934, 9.83645, 9.90828, 9.99732, 10.074, 10.1666, 10.2141, 10.3194, 10.3887, 10.4256, 10.4388, 10.4653, 10.5182, 10.624, 10.8356, 11.1464, 11.5869, 12.2097");
             values ( \
-              "6.99791e-05, 0.000110839, 0.000171997, 0.000224601, 0.000301152, 0.000611486, 0.00158874, 0.00192098, 0.0022608, 0.00240169, 0.00233529, 0.00214756, 0.00204007, 0.00195302, 0.00183014, 0.00152936, 0.00105083, 0.000594504, 0.000435334, 0.000216445, 0.000163445, 0.000116266, 0.000129678, 9.584e-05, 9.58683e-05, 4.996e-05, 4.52248e-05, 7.99201e-06, 1.6702e-05, 1e-22" \
+              "6.99791e-05, 0.000110839, 0.000171997, 0.000224601, 0.000301152, 0.000611486, 0.00158874, 0.00192098, 0.0022608, 0.00240169, 0.00233529, 0.00214756, 0.00204007, 0.00195302, 0.00183014, 0.00152936, 0.00105083, 0.000594504, 0.000435334, 0.000216445, 0.000163445, 0.000116266, 0.000129678, 9.584e-05, 9.58682e-05, 4.99601e-05, 4.52248e-05, 7.99202e-06, 1.6702e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -95774,7 +97344,7 @@
             index_2 ("0.00418628");
             index_3 ("7.62945, 8.33871, 8.5387, 8.67696, 8.79175, 8.92293, 9.01253, 9.63707, 9.76654, 9.79885, 9.86345, 9.92006, 10.0022, 10.0397, 10.1148, 10.1308, 10.2157, 10.3159, 10.4138, 10.4685, 10.6036, 10.633, 10.642, 10.66, 10.6961, 10.9124, 11.1418, 11.4332, 11.6119");
             values ( \
-              "0.00025414, 0.000327531, 0.000477142, 0.00066556, 0.000923689, 0.00139564, 0.00185348, 0.00541139, 0.00624712, 0.00651956, 0.0065865, 0.00631904, 0.00604861, 0.00598674, 0.00566404, 0.00563444, 0.00478324, 0.00322114, 0.00196769, 0.00145491, 0.000663439, 0.000616238, 0.000533856, 0.000535654, 0.000395692, 0.000128544, 9.74421e-05, 1.13152e-05, 2.4018e-05" \
+              "0.00025414, 0.000327531, 0.000477142, 0.00066556, 0.000923689, 0.00139564, 0.00185348, 0.00541139, 0.00624712, 0.00651956, 0.0065865, 0.00631904, 0.00604861, 0.00598674, 0.00566404, 0.00563444, 0.00478324, 0.00322114, 0.00196769, 0.00145491, 0.000663439, 0.000616238, 0.000533856, 0.000535654, 0.000395692, 0.000128544, 9.74421e-05, 1.13151e-05, 2.4018e-05" \
             );
           }
           vector (ccs_template) {
@@ -95808,9 +97378,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.532987");
-            index_3 ("8.6248, 9.83033, 12.2787, 13.2334, 14.0501, 15.1755, 15.5531, 16.6666, 17.5388, 18.4069, 19.059, 19.939, 21.6736, 24.2674, 25.9181, 27.868, 28.8611, 30.4885, 31.9134, 34.0406, 35.9527, 37.0781, 39.3289, 41.5798, 43.2658");
+            index_3 ("8.6248, 9.83033, 12.2787, 13.2334, 14.0501, 15.1755, 15.5531, 16.6666, 17.5388, 18.4069, 19.059, 19.939, 21.6736, 24.2674, 25.9181, 27.868, 28.8611, 30.4885, 31.9134, 34.0406, 35.9527, 37.0781, 39.3289, 41.5798, 43.2659");
             values ( \
-              "0.0148977, 0.0169378, 0.0460881, 0.0553048, 0.0607385, 0.0655014, 0.0665751, 0.0684924, 0.0670637, 0.0651753, 0.0632599, 0.05977, 0.0503878, 0.0346744, 0.0259939, 0.0179196, 0.0146745, 0.010485, 0.00775943, 0.00490167, 0.00322496, 0.00252454, 0.00153484, 0.000931743, 0.000751422" \
+              "0.0148977, 0.0169378, 0.0460881, 0.0553052, 0.0607385, 0.0655014, 0.0665751, 0.0684924, 0.0670637, 0.0651753, 0.0632599, 0.05977, 0.0503878, 0.0346744, 0.0259939, 0.0179196, 0.0146745, 0.010485, 0.00775943, 0.00490167, 0.00322496, 0.00252454, 0.00153484, 0.000931743, 0.000751418" \
             );
           }
         }
@@ -95924,9 +97494,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0472359");
-            index_3 ("0.0534837, 0.0661553, 0.0755101, 0.304854, 0.3469, 0.375553, 0.432859, 0.452706, 0.507054, 0.680718, 0.743416, 0.841008, 0.93381, 1.03367, 1.11464, 1.22134");
+            index_3 ("0.0534837, 0.0661553, 0.0755101, 0.304854, 0.3469, 0.375553, 0.432859, 0.452706, 0.507054, 0.680718, 0.743416, 0.841008, 0.93381, 1.03366, 1.11464, 1.22134");
             values ( \
-              "-0.0839186, -0.127941, -0.13587, -0.131417, -0.131681, -0.132772, -0.132772, -0.130329, -0.118136, -0.0589016, -0.0421985, -0.0239213, -0.0134528, -0.00713576, -0.00421892, -0.00228357" \
+              "-0.0839186, -0.127941, -0.13587, -0.131417, -0.131681, -0.132772, -0.132772, -0.130329, -0.118136, -0.0589015, -0.0421985, -0.0239213, -0.0134527, -0.00713577, -0.00421895, -0.00228356" \
             );
           }
           vector (ccs_template) {
@@ -96007,7 +97577,7 @@
             index_2 ("0.00124625");
             index_3 ("0.471738, 0.505483, 0.52069, 0.540039, 0.564159, 0.607015, 0.637773, 0.661802, 0.678064, 0.682793, 0.68825, 0.692436, 0.700808, 0.704182, 0.710003, 0.7163, 0.735562, 0.749548, 0.754383, 0.763331, 0.772085, 0.778762, 0.792116, 0.81373, 0.840939, 0.929114, 1.04085, 1.05561, 1.09509, 1.12939");
             values ( \
-              "-0.00139711, -0.00188866, -0.00231767, -0.00300411, -0.0041241, -0.00634624, -0.00823773, -0.0104363, -0.014312, -0.0166447, -0.0184788, -0.0189578, -0.0192647, -0.0192191, -0.0187285, -0.0172404, -0.00909719, -0.00461838, -0.00356879, -0.00220258, -0.00137159, -0.000974564, -0.000484569, -0.000191199, -8.45127e-05, -2.16011e-05, -4.94395e-06, -5.75578e-05, -1.78071e-06, -1.22598e-05" \
+              "-0.00139711, -0.00188866, -0.00231767, -0.00300411, -0.0041241, -0.00634624, -0.00823773, -0.0104363, -0.014312, -0.0166447, -0.0184788, -0.0189578, -0.0192647, -0.0192191, -0.0187285, -0.0172404, -0.00909719, -0.00461838, -0.00356879, -0.00220258, -0.00137159, -0.000974564, -0.000484569, -0.000191199, -8.45127e-05, -2.16011e-05, -4.94394e-06, -5.75578e-05, -1.78071e-06, -1.22598e-05" \
             );
           }
           vector (ccs_template) {
@@ -96061,7 +97631,7 @@
             index_2 ("0.00124625");
             index_3 ("1.69109, 1.86404, 1.87824, 1.96207, 2.0353, 2.12469, 2.17102, 2.20214, 2.23115, 2.25726, 2.27138, 2.29962, 2.32118, 2.32522, 2.33331, 2.35081, 2.37018, 2.37876, 2.40215, 2.41773, 2.4341, 2.44643, 2.46052, 2.4887, 2.52515, 2.63833, 2.65394");
             values ( \
-              "-0.000477034, -0.000703003, -0.000730416, -0.00118006, -0.00183377, -0.00285007, -0.00345634, -0.00397385, -0.00461565, -0.00570909, -0.00700208, -0.00886337, -0.00868402, -0.0089744, -0.00876395, -0.00863264, -0.00744921, -0.0065739, -0.00352249, -0.00208488, -0.00114526, -0.000734377, -0.000439445, -0.00017303, -7.35772e-05, -2.62464e-05, -2.50972e-05" \
+              "-0.000477034, -0.000703003, -0.000730416, -0.00118006, -0.00183377, -0.00285007, -0.00345634, -0.00397385, -0.00461565, -0.00570909, -0.00700208, -0.00886337, -0.00868402, -0.0089744, -0.00876395, -0.00863264, -0.00744921, -0.0065739, -0.00352249, -0.00208488, -0.00114526, -0.000734377, -0.000439445, -0.00017303, -7.35772e-05, -2.62464e-05, -2.50973e-05" \
             );
           }
           vector (ccs_template) {
@@ -96115,7 +97685,7 @@
             index_2 ("0.00124625");
             index_3 ("6.22228, 6.90074, 7.11804, 7.14192, 7.18968, 7.28519, 7.4484, 7.51402, 7.64527, 7.83438, 8.01917, 8.15478, 8.23912, 8.25004, 8.27186, 8.30468, 8.31493, 8.33542, 8.37084, 8.37556, 8.38502, 8.40393, 8.48824, 8.51244, 8.57032, 8.60466, 8.64847, 8.68479, 8.72861, 8.77297, 8.86167, 9.00615, 9.28675, 9.75319, 10.4361, 11.119");
             values ( \
-              "-7.77382e-05, -0.000135405, -0.00018853, -0.000204779, -0.000215811, -0.000268631, -0.000371437, -0.000448327, -0.000623373, -0.00100443, -0.00142347, -0.00188677, -0.00269216, -0.00302974, -0.00336605, -0.00375609, -0.00368154, -0.00377132, -0.00360562, -0.00367166, -0.00357761, -0.00356106, -0.00303503, -0.00265509, -0.001226, -0.000631788, -0.000263678, -0.000142093, -9.50968e-05, -6.7243e-05, -6.15936e-05, -4.01141e-05, -3.3742e-05, -8.91074e-06, -9.53432e-06, -1e-22" \
+              "-7.77382e-05, -0.000135405, -0.00018853, -0.000204779, -0.000215811, -0.000268631, -0.000371437, -0.000448327, -0.000623373, -0.00100443, -0.00142347, -0.00188677, -0.00269216, -0.00302974, -0.00336605, -0.00375609, -0.00368154, -0.00377132, -0.00360562, -0.00367166, -0.00357761, -0.00356106, -0.00303503, -0.00265509, -0.001226, -0.000631788, -0.000263678, -0.000142093, -9.50969e-05, -6.7243e-05, -6.15936e-05, -4.01141e-05, -3.3742e-05, -8.91071e-06, -9.53435e-06, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -96124,7 +97694,7 @@
             index_2 ("0.00418628");
             index_3 ("6.26184, 6.92834, 7.18222, 7.24856, 7.38124, 7.54853, 7.56691, 7.6772, 7.82424, 7.91384, 8.09305, 8.17028, 8.2539, 8.3051, 8.33753, 8.38767, 8.46232, 8.47299, 8.49434, 8.53702, 8.55241, 8.58319, 8.63426, 8.69615, 8.72494, 8.79319, 8.83399, 8.87418, 8.89693, 8.91167, 8.94115, 9.00012, 9.09361, 9.22424, 9.40879, 9.7311, 10.3757, 11.0586");
             values ( \
-              "-0.000292016, -0.000427133, -0.000656432, -0.000745823, -0.00094821, -0.00135527, -0.00140987, -0.00183312, -0.00257031, -0.00306389, -0.00412553, -0.0046703, -0.0053691, -0.00600807, -0.00658037, -0.00787589, -0.00958816, -0.00942206, -0.0096042, -0.00936818, -0.00948786, -0.00922013, -0.00905515, -0.00809907, -0.0073035, -0.00376548, -0.0021422, -0.00122376, -0.000819014, -0.000725449, -0.000442381, -0.000275098, -0.000116793, -0.000136922, -6.18312e-05, -7.4066e-05, -1e-22, -3.07597e-05" \
+              "-0.000292016, -0.000427133, -0.000656432, -0.000745823, -0.00094821, -0.00135527, -0.00140987, -0.00183312, -0.00257031, -0.00306389, -0.00412553, -0.0046703, -0.0053691, -0.00600807, -0.00658037, -0.00787589, -0.00958816, -0.00942206, -0.0096042, -0.00936818, -0.00948786, -0.00922013, -0.00905515, -0.00809907, -0.0073035, -0.00376548, -0.0021422, -0.00122376, -0.000819014, -0.000725449, -0.000442381, -0.000275098, -0.000116792, -0.000136922, -6.18312e-05, -7.4066e-05, -1e-22, -3.07598e-05" \
             );
           }
           vector (ccs_template) {
@@ -96171,8 +97741,8 @@
             "0.00192591, 0.00192792, 0.00192981, 0.00193078, 0.00193113, 0.00193124", \
             "0.00215824, 0.00215969, 0.0021618, 0.0021633, 0.00216394, 0.00216416", \
             "0.00223757, 0.00223781, 0.00223841, 0.00223927, 0.00223985, 0.00224008", \
-            "0.00227457, 0.00227547, 0.00227477, 0.00227496, 0.00227521, 0.00227623", \
-            "0.00231883, 0.00231769, 0.00231616, 0.00231504, 0.00231459, 0.00231436", \
+            "0.00227457, 0.00227464, 0.00227561, 0.0022758, 0.00227521, 0.00227623", \
+            "0.00231883, 0.00231769, 0.00231616, 0.00231504, 0.00231466, 0.00231436", \
             "0.00233566, 0.00233501, 0.00233326, 0.00232972, 0.00232473, 0.00232109" \
           );
         }
@@ -96183,8 +97753,8 @@
             "0.00243914, 0.00244292, 0.00244677, 0.00244884, 0.0024496, 0.00244985", \
             "0.00247822, 0.00247998, 0.0024815, 0.00248201, 0.00248208, 0.00248208", \
             "0.00255894, 0.00255745, 0.00255606, 0.00255508, 0.00255455, 0.00255435", \
-            "0.0028571, 0.00276498, 0.00265548, 0.00259319, 0.0025695, 0.00255898", \
-            "0.00319371, 0.00319209, 0.00302626, 0.00268546, 0.00256434, 0.00252584", \
+            "0.0028571, 0.00276601, 0.00265416, 0.00259248, 0.0025695, 0.00255898", \
+            "0.00319371, 0.00319209, 0.00302626, 0.00268546, 0.00256427, 0.00252584", \
             "0.003182, 0.003183, 0.00318515, 0.00315824, 0.0027325, 0.00257223" \
           );
         }
@@ -96203,7 +97773,7 @@
             "0.104682, 0.14301, 0.268168, 0.68329, 2.07426, 6.74508", \
             "0.146521, 0.18465, 0.309718, 0.72595, 2.11765, 6.78871", \
             "0.249549, 0.307046, 0.457477, 0.878205, 2.2713, 6.94384", \
-            "0.472146, 0.581458, 0.848171, 1.43606, 2.88466, 7.55878", \
+            "0.471978, 0.581486, 0.848202, 1.43607, 2.88466, 7.55818", \
             "1.14065, 1.33378, 1.80945, 2.85371, 5.01844, 10.0201" \
           );
         }
@@ -96215,7 +97785,7 @@
             "0.0742874, 0.12711, 0.303456, 0.894052, 2.87631, 9.53525", \
             "0.0781036, 0.128307, 0.303467, 0.894054, 2.87632, 9.53541", \
             "0.131713, 0.181332, 0.334774, 0.895419, 2.87661, 9.53473", \
-            "0.307963, 0.37723, 0.569417, 1.09, 2.90304, 9.53443", \
+            "0.308205, 0.377202, 0.569388, 1.08999, 2.90304, 9.53497", \
             "0.754136, 0.861365, 1.17007, 1.92951, 3.78116, 9.72485" \
           );
         }
@@ -96250,7 +97820,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0479546, 0.0509777, 0.0544305, 0.0599361, 0.0688502, 0.070548, 0.0739435, 0.0810359, 0.0915316, 0.0920929, 0.0965828, 0.102225, 0.112813, 0.11311, 0.114296, 0.11904, 0.124966, 0.130744, 0.136539, 0.143654, 0.152331, 0.161808, 0.17434, 0.177544, 0.186546, 0.195824, 0.207969, 0.211532, 0.218658, 0.230742, 0.248113, 0.256187, 0.267493, 0.282567, 0.312715, 0.363928, 0.425576");
             values ( \
-              "0.0106756, 0.0190855, 0.0198685, 0.0208939, 0.0213294, 0.0214677, 0.021383, 0.0213863, 0.0210605, 0.0211057, 0.0211172, 0.021271, 0.0207205, 0.020365, 0.019768, 0.0175014, 0.0149048, 0.0129313, 0.0112776, 0.00961905, 0.00799551, 0.00654154, 0.00503609, 0.00471467, 0.00391146, 0.00322107, 0.002493, 0.00231503, 0.00199125, 0.00154193, 0.00106311, 0.000896766, 0.000706037, 0.000512729, 0.000265756, 8.19017e-05, 1.9936e-05" \
+              "0.0106756, 0.0190855, 0.0198685, 0.0208939, 0.0213294, 0.0214677, 0.021383, 0.0213863, 0.0210605, 0.0211057, 0.0211172, 0.021271, 0.0207205, 0.020365, 0.019768, 0.0175014, 0.0149048, 0.0129313, 0.0112776, 0.00961905, 0.00799551, 0.00654154, 0.00503609, 0.00471467, 0.00391146, 0.00322107, 0.002493, 0.00231503, 0.00199125, 0.00154193, 0.00106311, 0.000896766, 0.000706037, 0.000512729, 0.000265756, 8.19018e-05, 1.9936e-05" \
             );
           }
           vector (ccs_template) {
@@ -96304,7 +97874,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0815228, 0.0855266, 0.0891373, 0.0947711, 0.105483, 0.116, 0.126521, 0.133954, 0.142493, 0.147807, 0.157968, 0.166686, 0.172139, 0.181726, 0.193466, 0.208225, 0.225695, 0.240137, 0.263279, 0.282371, 0.295367, 0.321528, 0.356409, 0.384338");
             values ( \
-              "0.00444096, 0.0180359, 0.019241, 0.0205346, 0.0213482, 0.0213386, 0.0210663, 0.0211602, 0.0214755, 0.0203419, 0.0155503, 0.012637, 0.0111151, 0.00900688, 0.00701742, 0.00513751, 0.0035814, 0.00264107, 0.00162105, 0.00107891, 0.000817872, 0.000468052, 0.000219618, 0.000136616" \
+              "0.00444096, 0.0180359, 0.019241, 0.0205346, 0.0213482, 0.0213386, 0.0210663, 0.0211602, 0.0214755, 0.0203419, 0.0155503, 0.012637, 0.0111151, 0.00900688, 0.00701742, 0.00513751, 0.0035814, 0.00264107, 0.00162104, 0.00107891, 0.000817872, 0.000468052, 0.000219618, 0.000136616" \
             );
           }
           vector (ccs_template) {
@@ -96338,7 +97908,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.15867");
-            index_3 ("0.117197, 0.117217, 0.663654, 1.49839, 2.03552, 2.24965, 2.53517, 2.95434, 3.85464, 4.53561, 5.0261, 5.7497, 6.29608, 6.79273, 7.72101, 8.52775, 9.32429");
+            index_3 ("0.117197, 0.117217, 0.663654, 1.49839, 2.03552, 2.24965, 2.53517, 2.95433, 3.85464, 4.53561, 5.0261, 5.7497, 6.29608, 6.79273, 7.72101, 8.52775, 9.32429");
             values ( \
               "1e-22, 0.0833457, 0.0730712, 0.0689534, 0.0656083, 0.0636693, 0.0600457, 0.052696, 0.0345508, 0.0230472, 0.0167721, 0.010208, 0.00692623, 0.00483478, 0.00244329, 0.00133076, 0.000771461" \
             );
@@ -96392,9 +97962,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.15867");
-            index_3 ("0.264019, 0.264039, 0.804298, 1.63916, 2.17623, 2.3905, 2.67619, 3.09144, 3.99353, 4.66325, 5.18105, 5.88217, 6.2818, 6.81465, 7.66284, 8.34922, 9.46655, 9.54437");
+            index_3 ("0.264019, 0.264039, 0.804298, 1.63916, 2.17623, 2.3905, 2.67619, 3.09144, 3.99353, 4.66325, 5.18105, 5.88217, 6.2818, 6.81465, 7.66284, 8.34922, 9.46655, 9.54436");
             values ( \
-              "1e-22, 0.0851332, 0.0730431, 0.0689526, 0.0656081, 0.0636677, 0.0600424, 0.0527679, 0.0345861, 0.0232394, 0.0166148, 0.0102676, 0.00774225, 0.00526784, 0.00283787, 0.00168841, 0.000707341, 0.000687073" \
+              "1e-22, 0.0851332, 0.0730431, 0.0689526, 0.0656081, 0.0636677, 0.0600424, 0.0527679, 0.0345861, 0.0232394, 0.0166148, 0.0102676, 0.00774225, 0.00526785, 0.00283786, 0.0016884, 0.000707339, 0.000687073" \
             );
           }
           vector (ccs_template) {
@@ -96464,54 +98034,54 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.0837, 2.20247, 2.22681, 2.27547, 2.51024, 2.55493, 2.59824, 2.63296, 2.64773, 2.6743, 2.70416, 2.80955, 2.85555, 2.90849, 2.94151, 2.96699, 3.01795, 3.10802, 3.21442, 4.16516, 4.24159");
+            index_3 ("2.08361, 2.22543, 2.27919, 2.39576, 2.45852, 2.51003, 2.55467, 2.59801, 2.63376, 2.64752, 2.67416, 2.70397, 2.80937, 2.83438, 2.85538, 2.90832, 2.94134, 2.96683, 3.0178, 3.10779, 3.15779");
             values ( \
-              "0.000730012, 0.000799512, 0.000963194, 0.0014628, 0.00473374, 0.00531133, 0.00507433, 0.00422719, 0.00422133, 0.00397158, 0.00375313, 0.00173751, 0.00107751, 0.000583333, 0.000388514, 0.000292571, 0.000141133, 4.47057e-05, 3.4341e-06, 1e-22, 2.01283e-05" \
+              "0.00062411, 0.00095831, 0.00149992, 0.00315355, 0.00399056, 0.00473857, 0.00530342, 0.00507557, 0.0042069, 0.00421808, 0.00397096, 0.00375135, 0.00174023, 0.0013492, 0.00107432, 0.00058024, 0.000385979, 0.000294972, 0.000138674, 4.71781e-05, 2.54902e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00418628");
-            index_3 ("2.08334, 2.20688, 2.25791, 2.28405, 2.33083, 2.54118, 2.60669, 2.66391, 2.71805, 2.7633, 2.77515, 2.79885, 2.8392, 2.94535, 2.99968, 3.01384, 3.07046, 3.09358, 3.10161, 3.11768, 3.14981, 3.21407, 3.31837, 3.45232");
+            index_3 ("2.08326, 2.20245, 2.24373, 2.25799, 2.2865, 2.33147, 2.54114, 2.66386, 2.718, 2.76324, 2.7751, 2.79881, 2.83914, 2.94528, 2.99961, 3.07046, 3.10155, 3.14967, 3.21382, 3.31793, 3.48638");
             values ( \
-              "0.00195152, 0.00211004, 0.00285814, 0.00343943, 0.00467393, 0.0106241, 0.0124324, 0.0138895, 0.0139998, 0.0126543, 0.0125874, 0.0120589, 0.0107183, 0.00561932, 0.00360082, 0.00317462, 0.00186577, 0.00155843, 0.00137165, 0.00123511, 0.000832432, 0.000469299, 9.06962e-05, 4.82211e-05" \
+              "0.00195511, 0.00208743, 0.00260988, 0.00287585, 0.00348891, 0.00470658, 0.0106356, 0.0138999, 0.0139917, 0.0126632, 0.0125817, 0.0120645, 0.0107132, 0.0056162, 0.00359781, 0.00186229, 0.00136948, 0.000831122, 0.000472201, 8.9359e-05, 3.669e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0140621");
-            index_3 ("2.08326, 2.20961, 2.28034, 2.34036, 2.74412, 2.84321, 2.93063, 3.01262, 3.08092, 3.12846, 3.19537, 3.29799, 3.37989, 3.46213, 3.48108, 3.54962, 3.63476, 3.68436, 3.78355, 3.95746, 4.15891, 4.17633, 4.19955, 4.25716, 4.33398");
+            index_3 ("2.08362, 2.21028, 2.27935, 2.34088, 2.62604, 2.74452, 2.84361, 2.93102, 3.01301, 3.08129, 3.09715, 3.12887, 3.19575, 3.29837, 3.33871, 3.38028, 3.46251, 3.46883, 3.48146, 3.55, 3.63514, 3.68474, 3.78394, 3.95783, 4.15917, 4.17688, 4.20049, 4.25874, 4.33642");
             values ( \
-              "0.00366333, 0.00435074, 0.00577545, 0.00759467, 0.0237245, 0.0274592, 0.0304321, 0.0313751, 0.0292875, 0.0268992, 0.0225637, 0.0154577, 0.0107271, 0.00710745, 0.0064385, 0.00443598, 0.00272535, 0.00211237, 0.00109175, 0.000387581, 4.19815e-05, 0.000182749, 0.000236562, 0.00014034, 0.000109251" \
+              "0.0036137, 0.00438184, 0.00572036, 0.00762379, 0.0190366, 0.0237085, 0.0274777, 0.0304173, 0.0313906, 0.0292763, 0.0286045, 0.0268938, 0.0225649, 0.0154559, 0.012994, 0.010724, 0.00710435, 0.00697083, 0.00643555, 0.00443308, 0.00272242, 0.00211527, 0.00108877, 0.00039051, 3.91691e-05, 0.000183236, 0.000236412, 0.000139354, 0.00010778" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0472359");
-            index_3 ("2.14628, 2.33436, 2.4913, 2.97963, 3.18038, 3.3553, 3.51907, 3.56004, 3.64199, 3.75939, 3.856, 4.36823, 4.54496, 4.66699, 4.77879, 4.92787, 5.04898, 5.18349, 5.36283, 5.71385");
+            index_3 ("2.15276, 2.33429, 2.39577, 2.49114, 2.97949, 3.18025, 3.35517, 3.51894, 3.55991, 3.64185, 3.68099, 3.75926, 3.85586, 4.16502, 4.36805, 4.45341, 4.54488, 4.66688, 4.77861, 4.92757, 5.0488, 5.1834, 5.36288, 5.72183, 5.94919");
             values ( \
-              "0.00828668, 0.00980711, 0.0160388, 0.0383859, 0.0463947, 0.0508981, 0.0529319, 0.0529275, 0.0522708, 0.0491654, 0.0451133, 0.0199257, 0.0137245, 0.0104922, 0.00817383, 0.00581415, 0.00439466, 0.00321749, 0.00210701, 0.000887246" \
+              "0.0089141, 0.00983212, 0.0120272, 0.0160572, 0.0384015, 0.0463798, 0.0509135, 0.0529177, 0.05294, 0.0522599, 0.0515198, 0.0491577, 0.0451201, 0.0293007, 0.0199277, 0.016684, 0.0137227, 0.0104915, 0.00817479, 0.00581634, 0.00439518, 0.00321712, 0.00210609, 0.00085955, 0.000562359" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.15867");
-            index_3 ("2.39179, 2.87543, 3.2055, 3.53609, 3.6965, 4.01731, 4.20542, 4.53783, 4.96708, 5.40107, 5.73999, 6.71161, 7.36627, 7.92057, 8.3108, 8.721, 9.41791, 9.86037, 10.5366, 11.4382, 12.3924");
+            index_3 ("2.39277, 2.87551, 3.20556, 3.53614, 3.69655, 4.01736, 4.20547, 4.53788, 4.96713, 5.40112, 5.74004, 6.71166, 7.36632, 7.92062, 8.31085, 8.72106, 9.41797, 9.86042, 10.5366, 11.4382, 12.3867");
             values ( \
-              "0.0235503, 0.0355553, 0.0509155, 0.0610708, 0.0642248, 0.0684093, 0.0696694, 0.0679487, 0.0650226, 0.0599809, 0.054158, 0.0346321, 0.0234976, 0.0164067, 0.0125739, 0.00945777, 0.00574194, 0.00416555, 0.00253464, 0.00126569, 0.000637115" \
+              "0.0236291, 0.0355901, 0.0508816, 0.061105, 0.0641911, 0.0684423, 0.0696701, 0.0679492, 0.065023, 0.0599805, 0.0541578, 0.0346323, 0.0234977, 0.0164068, 0.0125738, 0.00945785, 0.005742, 0.00416563, 0.00253473, 0.00126564, 0.00064098" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.532987");
-            index_3 ("2.5331, 2.98881, 3.52845, 3.86886, 4.21559, 4.32691, 6.84409, 8.21568, 9.63979, 10.2533, 11.1548, 11.927, 12.873, 15.0557, 16.9944, 18.1117, 19.1594, 21.2005, 22.6803, 23.72, 24.8373, 27.0835, 29.085, 31.3196, 35.789, 39.141");
+            index_3 ("2.56043, 3.53789, 4.22551, 8.22561, 9.64973, 10.2633, 11.1647, 12.1953, 15.5879, 17.6624, 19.7249, 22.0749, 24.8393, 28.045, 31.6939");
             values ( \
-              "0.0420934, 0.0421342, 0.0631835, 0.0703647, 0.0750155, 0.0747047, 0.0711777, 0.068919, 0.0659448, 0.0639428, 0.0604499, 0.0563517, 0.0509455, 0.0372466, 0.0267248, 0.021526, 0.0175045, 0.01148, 0.00837056, 0.00676876, 0.0052195, 0.00317174, 0.00201796, 0.00120949, 0.000423137, 0.000240048" \
+              "0.0369871, 0.0631477, 0.0750499, 0.0688766, 0.0659046, 0.063982, 0.0604117, 0.0549383, 0.0342737, 0.0236033, 0.0156792, 0.00960005, 0.00529102, 0.00259577, 0.00125283" \
             );
           }
           vector (ccs_template) {
@@ -96520,7 +98090,7 @@
             index_2 ("0.00124625");
             index_3 ("7.45803, 8.09436, 8.3372, 8.60179, 8.7659, 8.87005, 9.18778, 9.36741, 9.47377, 9.58038, 9.58382, 9.63206, 9.6872, 9.85094, 9.95698, 10.1003, 10.1688, 10.2165, 10.3084, 10.431, 10.5164, 10.6435, 10.8131, 11.1522, 11.6455, 12.2713");
             values ( \
-              "6.1131e-05, 7.99265e-05, 0.000107828, 0.000226786, 0.000435498, 0.000677925, 0.00154851, 0.00200409, 0.00221013, 0.00187548, 0.00189177, 0.00177341, 0.00168947, 0.00154886, 0.00126797, 0.000650181, 0.000448384, 0.000345205, 0.000218075, 0.000132756, 0.000101655, 7.56159e-05, 5.48022e-05, 2.50642e-05, 8.46786e-06, 7.03615e-07" \
+              "6.1131e-05, 7.99265e-05, 0.000107828, 0.000226786, 0.000435498, 0.000677925, 0.00154851, 0.00200409, 0.00221013, 0.00187548, 0.00189177, 0.00177341, 0.00168947, 0.00154886, 0.00126797, 0.000650181, 0.000448384, 0.000345205, 0.000218075, 0.000132756, 0.000101655, 7.56159e-05, 5.48022e-05, 2.50642e-05, 8.46786e-06, 7.03623e-07" \
             );
           }
           vector (ccs_template) {
@@ -96529,7 +98099,7 @@
             index_2 ("0.00418628");
             index_3 ("7.62872, 8.33532, 8.58961, 8.7475, 8.93952, 9.21074, 9.52306, 9.62236, 9.66758, 9.75804, 9.85701, 10.024, 10.0723, 10.1463, 10.2999, 10.3992, 10.4521, 10.5169, 10.6168, 10.664, 10.6848, 10.8099, 10.9766, 11.2596, 12.1392");
             values ( \
-              "0.000243096, 0.00036682, 0.000620193, 0.00102013, 0.00194952, 0.00361706, 0.00545979, 0.00598753, 0.00614111, 0.00596979, 0.00556398, 0.00517206, 0.00502628, 0.00446786, 0.00259137, 0.00161583, 0.00124171, 0.000918834, 0.000549444, 0.000468431, 0.000409098, 0.000253526, 0.000169032, 6.68321e-05, 1e-22" \
+              "0.000243096, 0.00036682, 0.000620193, 0.00102013, 0.00194952, 0.00361706, 0.00545979, 0.00598753, 0.00614111, 0.00596979, 0.00556398, 0.00517206, 0.00502628, 0.00446786, 0.00259137, 0.00161583, 0.00124171, 0.000918834, 0.000549444, 0.000468431, 0.000409098, 0.000253527, 0.000169032, 6.68321e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -96538,7 +98108,7 @@
             index_2 ("0.0140621");
             index_3 ("7.62897, 8.3379, 8.63758, 8.75591, 8.99257, 9.75062, 10.1233, 10.1843, 10.3149, 10.4848, 10.5664, 10.6636, 11.0921, 11.2034, 11.3614, 11.4764, 11.6297, 11.7439");
             values ( \
-              "0.000807774, 0.000990166, 0.00167443, 0.00220047, 0.00376852, 0.0110993, 0.014475, 0.0147631, 0.0149775, 0.0147345, 0.0143405, 0.0133988, 0.00455831, 0.00307158, 0.0016963, 0.00108718, 0.000597108, 0.000423598" \
+              "0.000807774, 0.000990166, 0.00167443, 0.00220047, 0.00376852, 0.0110993, 0.014475, 0.0147631, 0.0149775, 0.0147345, 0.0143405, 0.0133988, 0.00455831, 0.00307158, 0.0016963, 0.00108718, 0.000597108, 0.000423597" \
             );
           }
           vector (ccs_template) {
@@ -96870,7 +98440,7 @@
             index_2 ("0.00124625");
             index_3 ("5.35866, 6.34625, 6.54929, 6.78509, 6.86673, 7.03001, 7.26549, 7.33214, 7.42101, 7.59874, 7.65871, 7.77866, 8.02018, 8.05908, 8.09253, 8.13581, 8.15851, 8.16985, 8.17552, 8.2032, 8.22418, 8.24394, 8.26272, 8.30015, 8.33757, 8.34918, 8.3724, 8.41884, 8.43568, 8.46936, 8.51949, 8.54849, 8.61504, 8.63988, 8.68606, 8.75453, 8.77359, 8.81172, 8.88797, 9.04047, 9.29754, 9.73599, 10.2962");
             values ( \
-              "-5.83802e-06, -8.51064e-05, -0.000115737, -0.000162298, -0.000184523, -0.000236065, -0.000355848, -0.000413368, -0.0005091, -0.000788156, -0.000904694, -0.00111903, -0.00159503, -0.00171024, -0.00168107, -0.00153226, -0.00143165, -0.00143023, -0.00149234, -0.00230656, -0.00248864, -0.00251257, -0.00257274, -0.0025916, -0.00262097, -0.00260308, -0.00261322, -0.0025641, -0.00255677, -0.00247746, -0.00226237, -0.00192869, -0.000826276, -0.000568449, -0.000235619, -9.39313e-05, -9.99317e-05, -6.2768e-05, -6.58221e-05, -3.32642e-05, -3.76534e-05, -4.50439e-06, -1.19975e-05" \
+              "-5.83802e-06, -8.51064e-05, -0.000115737, -0.000162298, -0.000184523, -0.000236065, -0.000355848, -0.000413368, -0.0005091, -0.000788156, -0.000904694, -0.00111903, -0.00159503, -0.00171024, -0.00168107, -0.00153226, -0.00143165, -0.00143023, -0.00149234, -0.00230656, -0.00248864, -0.00251257, -0.00257274, -0.0025916, -0.00262097, -0.00260308, -0.00261321, -0.0025641, -0.00255677, -0.00247746, -0.00226237, -0.00192869, -0.000826276, -0.000568449, -0.000235619, -9.39313e-05, -9.99316e-05, -6.27681e-05, -6.5822e-05, -3.32643e-05, -3.76534e-05, -4.50443e-06, -1.19975e-05" \
             );
           }
           vector (ccs_template) {
@@ -96886,9 +98456,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0140621");
-            index_3 ("6.07396, 6.69671, 6.94874, 7.03603, 7.21061, 7.42758, 7.50323, 7.65452, 8.23893, 8.48564, 8.53988, 8.58917, 8.63987, 8.69037, 8.73452, 8.76182, 8.78737, 8.81751, 8.86011, 8.94038, 9.01491, 9.16514, 9.27781, 9.32111, 9.47412, 9.52872, 9.55591, 9.60013, 9.65908, 9.77699, 9.92346, 10.1116, 10.363, 10.7981, 11.5207");
+            index_3 ("6.07395, 6.69671, 6.94874, 7.03603, 7.21061, 7.42758, 7.50323, 7.65452, 8.23893, 8.48564, 8.53988, 8.58917, 8.63987, 8.69037, 8.73452, 8.76182, 8.78737, 8.81751, 8.86011, 8.94038, 9.01491, 9.16514, 9.27781, 9.32111, 9.47412, 9.52872, 9.55591, 9.60013, 9.65908, 9.77699, 9.92346, 10.1116, 10.363, 10.7981, 11.5207");
             values ( \
-              "-0.00112549, -0.00123315, -0.00172233, -0.00193236, -0.00243203, -0.00329197, -0.0036982, -0.004712, -0.00988397, -0.0119977, -0.0123613, -0.0124972, -0.0124311, -0.0125162, -0.014752, -0.0150219, -0.0154081, -0.0155479, -0.0160014, -0.0163356, -0.0167896, -0.0169266, -0.0161521, -0.01464, -0.00656623, -0.00418236, -0.00334095, -0.00228344, -0.00142353, -0.000439669, -0.000220033, -6.76951e-05, -0.000107972, -1e-22, -4.79314e-05" \
+              "-0.00112549, -0.00123315, -0.00172233, -0.00193236, -0.00243203, -0.00329197, -0.0036982, -0.004712, -0.00988397, -0.0119977, -0.0123613, -0.0124972, -0.0124311, -0.0125162, -0.014752, -0.0150219, -0.0154081, -0.0155479, -0.0160014, -0.0163356, -0.0167896, -0.0169266, -0.0161521, -0.01464, -0.00656623, -0.00418236, -0.00334095, -0.00228344, -0.00142353, -0.000439669, -0.000220033, -6.76951e-05, -0.000107971, -1e-22, -4.79313e-05" \
             );
           }
           vector (ccs_template) {
@@ -96927,7 +98497,7 @@
             "0.00223293, 0.00223805, 0.00224607, 0.00225213, 0.00225485, 0.00225576", \
             "0.00233803, 0.00233845, 0.00234109, 0.00234372, 0.00234562, 0.00234649", \
             "0.00238542, 0.00238548, 0.00238635, 0.00238673, 0.0023874, 0.00238794", \
-            "0.00243645, 0.00243488, 0.00243245, 0.00243074, 0.00243013, 0.00243009", \
+            "0.00243661, 0.00243477, 0.00243237, 0.00243068, 0.00243005, 0.00243001", \
             "0.00245929, 0.0024582, 0.00245552, 0.00245024, 0.0024432, 0.00243811" \
           );
         }
@@ -96939,7 +98509,7 @@
             "0.00259928, 0.0025995, 0.0025965, 0.00259187, 0.00258996, 0.00258954", \
             "0.00268562, 0.00268334, 0.0026794, 0.00267623, 0.0026741, 0.00267318", \
             "0.00317889, 0.00295629, 0.00279434, 0.00271756, 0.00268896, 0.00267919", \
-            "0.00352627, 0.00352611, 0.00333521, 0.00282634, 0.0026867, 0.00264149", \
+            "0.00352604, 0.00352629, 0.0033353, 0.00282642, 0.00268678, 0.00263939", \
             "0.0034949, 0.00349695, 0.00350137, 0.00347135, 0.00287363, 0.0026941" \
           );
         }
@@ -96958,7 +98528,7 @@
             "0.0841854, 0.117981, 0.227726, 0.591519, 1.81061, 5.90472", \
             "0.126989, 0.161636, 0.27124, 0.635998, 1.85588, 5.94999", \
             "0.221044, 0.282249, 0.42735, 0.801193, 2.02244, 6.11779", \
-            "0.419307, 0.538204, 0.814075, 1.38606, 2.685, 6.78458", \
+            "0.419387, 0.538204, 0.814075, 1.38606, 2.685, 6.78458", \
             "1.04674, 1.25732, 1.75153, 2.79958, 4.90956, 9.44318" \
           );
         }
@@ -96970,7 +98540,7 @@
             "0.0556598, 0.101528, 0.255596, 0.771626, 2.50415, 8.32222", \
             "0.0621488, 0.104309, 0.255565, 0.771474, 2.50433, 8.32157", \
             "0.121186, 0.165875, 0.296845, 0.77459, 2.50434, 8.32157", \
-            "0.301559, 0.366303, 0.547252, 1.00693, 2.54234, 8.32034", \
+            "0.301441, 0.366303, 0.547252, 1.00693, 2.54234, 8.32034", \
             "0.75684, 0.852075, 1.15052, 1.88741, 3.55248, 8.58397" \
           );
         }
@@ -96981,7 +98551,7 @@
             "0.0441456, 0.0638189, 0.124543, 0.320578, 0.972827, 3.1601", \
             "0.0528994, 0.0726188, 0.133452, 0.329576, 0.981859, 3.16914", \
             "0.0873513, 0.11076, 0.170588, 0.367456, 1.01983, 3.20718", \
-            "0.136124, 0.181012, 0.291101, 0.525693, 1.1761, 3.36188", \
+            "0.136292, 0.180934, 0.291101, 0.525693, 1.1761, 3.36188", \
             "0.136947, 0.219998, 0.431056, 0.893183, 1.80373, 3.99171", \
             "-0.198452, -0.0444582, 0.346173, 1.21577, 2.9975, 6.40959" \
           );
@@ -96993,7 +98563,7 @@
             "0.0422315, 0.0649035, 0.141192, 0.399626, 1.26978, 4.19456", \
             "0.0410022, 0.0644948, 0.141151, 0.399614, 1.26982, 4.19438", \
             "0.0576716, 0.0766793, 0.143098, 0.399594, 1.26983, 4.19248", \
-            "0.119379, 0.153993, 0.235615, 0.440396, 1.26982, 4.19348", \
+            "0.119239, 0.153993, 0.235615, 0.440396, 1.26982, 4.19348", \
             "0.270341, 0.340757, 0.499623, 0.824598, 1.5157, 4.1938", \
             "0.688887, 0.834942, 1.15313, 1.7778, 2.98727, 5.41518" \
           );
@@ -97014,7 +98584,7 @@
             index_2 ("0.00418628");
             index_3 ("0.0440566, 0.0544333, 0.0611332, 0.0703558, 0.0806741, 0.100541, 0.110024, 0.115012, 0.124988, 0.148535, 0.1552, 0.162816, 0.175804, 0.186476, 0.19871, 0.214653, 0.227813, 0.24536, 0.260547, 0.280221, 0.304298, 0.332529, 0.355238, 0.400656, 0.466279, 0.546783");
             values ( \
-              "0.017452, 0.0552073, 0.0573517, 0.0581155, 0.0574318, 0.0547775, 0.0529018, 0.051626, 0.0479438, 0.0349616, 0.0317686, 0.0284383, 0.023572, 0.0201688, 0.0167948, 0.0131393, 0.0106981, 0.00806958, 0.006304, 0.00455303, 0.00302462, 0.00187776, 0.00127347, 0.000561015, 0.000159204, 2.82573e-05" \
+              "0.017452, 0.0552073, 0.0573517, 0.0581155, 0.0574318, 0.0547775, 0.0529018, 0.051626, 0.0479438, 0.0349616, 0.0317686, 0.0284383, 0.023572, 0.0201688, 0.0167948, 0.0131393, 0.0106981, 0.00806958, 0.006304, 0.00455303, 0.00302462, 0.00187776, 0.00127347, 0.000561015, 0.000159204, 2.82574e-05" \
             );
           }
           vector (ccs_template) {
@@ -97023,7 +98593,7 @@
             index_2 ("0.0140621");
             index_3 ("0.0463279, 0.0555187, 0.0658812, 0.0716337, 0.0826402, 0.116374, 0.186672, 0.217088, 0.237519, 0.264026, 0.32345, 0.368784, 0.416504, 0.457234, 0.479503, 0.51914, 0.56031, 0.610876, 0.657585, 0.707543, 0.746022, 0.822979, 0.958698, 1.12045");
             values ( \
-              "0.0389432, 0.0712574, 0.0750068, 0.0755833, 0.0758009, 0.074235, 0.0696815, 0.0670127, 0.0643362, 0.05939, 0.045469, 0.0355526, 0.0265833, 0.0202713, 0.0173902, 0.0131001, 0.00966633, 0.00659026, 0.00458937, 0.00311169, 0.00229407, 0.00122936, 0.00036733, 8.21149e-05" \
+              "0.0389432, 0.0712574, 0.0750068, 0.0755833, 0.0758009, 0.074235, 0.0696815, 0.0670127, 0.0643362, 0.05939, 0.045469, 0.0355526, 0.0265833, 0.0202713, 0.0173902, 0.0131001, 0.00966633, 0.00659026, 0.00458937, 0.00311169, 0.00229407, 0.00122936, 0.00036733, 8.2115e-05" \
             );
           }
           vector (ccs_template) {
@@ -97059,16 +98629,16 @@
             index_2 ("0.00124625");
             index_3 ("0.0786242, 0.0820047, 0.0882331, 0.0945975, 0.0968678, 0.102281, 0.107681, 0.116623, 0.124036, 0.134368, 0.140513, 0.147535, 0.157789, 0.16547, 0.175901, 0.18577, 0.203893, 0.213008, 0.222996, 0.243716, 0.282199, 0.310483");
             values ( \
-              "3.57557e-06, 0.0266268, 0.0305907, 0.032263, 0.032423, 0.0323422, 0.0317439, 0.0297213, 0.0259223, 0.0182664, 0.0150876, 0.0123126, 0.00942115, 0.00774942, 0.00597633, 0.00469649, 0.00299684, 0.00239042, 0.00186279, 0.00110494, 0.00040865, 0.000212998" \
+              "3.57558e-06, 0.0266268, 0.0305907, 0.032263, 0.032423, 0.0323422, 0.0317439, 0.0297213, 0.0259223, 0.0182664, 0.0150876, 0.0123126, 0.00942115, 0.00774942, 0.00597633, 0.00469649, 0.00299684, 0.00239042, 0.00186279, 0.00110494, 0.00040865, 0.000212998" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.00418628");
-            index_3 ("0.0773419, 0.0897176, 0.096544, 0.103357, 0.109643, 0.122694, 0.13635, 0.148131, 0.155273, 0.164797, 0.183121, 0.196481, 0.209099, 0.215659, 0.224405, 0.241898, 0.251892, 0.268689, 0.287885, 0.306433, 0.319884, 0.348976, 0.381336, 0.415853, 0.461995");
+            index_3 ("0.0773419, 0.0897176, 0.096544, 0.103357, 0.109643, 0.122694, 0.13635, 0.148131, 0.155273, 0.164797, 0.183121, 0.196481, 0.209099, 0.215659, 0.224405, 0.241898, 0.251892, 0.268689, 0.287885, 0.306433, 0.319884, 0.348976, 0.381336, 0.415854, 0.461995");
             values ( \
-              "0.00714199, 0.0538093, 0.0567697, 0.0577913, 0.0577488, 0.0567098, 0.0546759, 0.052307, 0.050078, 0.0457472, 0.0353836, 0.0291965, 0.024307, 0.0221279, 0.0194496, 0.0149123, 0.0127966, 0.00982603, 0.00718868, 0.00530793, 0.0042457, 0.00259799, 0.00149931, 0.000816918, 0.000397998" \
+              "0.00714199, 0.0538093, 0.0567697, 0.0577913, 0.0577488, 0.0567098, 0.0546759, 0.052307, 0.050078, 0.0457472, 0.0353836, 0.0291965, 0.024307, 0.0221279, 0.0194496, 0.0149123, 0.0127966, 0.00982602, 0.00718867, 0.00530794, 0.00424572, 0.00259799, 0.0014993, 0.000816904, 0.000398001" \
             );
           }
           vector (ccs_template) {
@@ -97113,7 +98683,7 @@
             index_2 ("0.00124625");
             index_3 ("0.202759, 0.209595, 0.214259, 0.220553, 0.230897, 0.239954, 0.248534, 0.257065, 0.260104, 0.262895, 0.265954, 0.267367, 0.271888, 0.276501, 0.280186, 0.284398, 0.291725, 0.295881, 0.301423, 0.312506, 0.31918, 0.32107, 0.32485, 0.33241, 0.344793, 0.348921, 0.353539, 0.359696, 0.372011, 0.381961, 0.385234, 0.391778, 0.404867, 0.431046, 0.472527, 0.522481");
             values ( \
-              "0.000309202, 0.0128311, 0.0160375, 0.0196188, 0.0237924, 0.0257612, 0.0265299, 0.0261166, 0.0253957, 0.0249793, 0.0234869, 0.0227404, 0.0201571, 0.0175995, 0.0158568, 0.014086, 0.0115535, 0.010398, 0.00902796, 0.00682738, 0.00580651, 0.00554476, 0.00505245, 0.00419524, 0.0030797, 0.00278313, 0.00248225, 0.00213025, 0.00156097, 0.00121675, 0.00111965, 0.000950539, 0.000680712, 0.000343642, 0.000106707, 2.5043e-05" \
+              "0.000309202, 0.0128311, 0.0160375, 0.0196188, 0.0237924, 0.0257612, 0.0265299, 0.0261166, 0.0253957, 0.0249793, 0.0234869, 0.0227404, 0.0201571, 0.0175995, 0.0158568, 0.014086, 0.0115535, 0.010398, 0.00902796, 0.00682738, 0.00580651, 0.00554476, 0.00505245, 0.00419524, 0.0030797, 0.00278313, 0.00248225, 0.00213025, 0.00156097, 0.00121675, 0.00111965, 0.000950539, 0.000680712, 0.000343642, 0.000106707, 2.50431e-05" \
             );
           }
           vector (ccs_template) {
@@ -97122,7 +98692,7 @@
             index_2 ("0.00418628");
             index_3 ("0.207348, 0.214479, 0.221678, 0.23148, 0.239718, 0.248078, 0.260046, 0.263145, 0.269188, 0.275026, 0.277378, 0.282082, 0.289081, 0.29684, 0.307181, 0.322017, 0.329435, 0.34129, 0.36035, 0.370949, 0.39005, 0.401619, 0.425322, 0.437458, 0.451394, 0.485941, 0.491388, 0.502281, 0.567639, 0.616187");
             values ( \
-              "0.0144803, 0.0278151, 0.0347989, 0.0417206, 0.0456851, 0.0483677, 0.0508364, 0.0523252, 0.0528182, 0.0539864, 0.0534717, 0.0532776, 0.0519772, 0.0497893, 0.0450837, 0.0365038, 0.0328806, 0.0276891, 0.0209902, 0.0180148, 0.0133467, 0.011146, 0.00760086, 0.0062273, 0.00493701, 0.00273704, 0.00260569, 0.00206255, 0.000612608, 0.00039875" \
+              "0.0144803, 0.0278151, 0.0347989, 0.0417206, 0.0456851, 0.0483677, 0.0508364, 0.0523252, 0.0528182, 0.0539864, 0.0534717, 0.0532776, 0.0519772, 0.0497893, 0.0450837, 0.0365038, 0.0328806, 0.0276891, 0.0209902, 0.0180148, 0.0133467, 0.011146, 0.00760086, 0.0062273, 0.00493701, 0.00273704, 0.00260569, 0.00206255, 0.000612608, 0.000398751" \
             );
           }
           vector (ccs_template) {
@@ -97219,9 +98789,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.02203, 2.12292, 2.202, 2.20619, 2.21456, 2.23131, 2.2648, 2.36008, 2.46092, 2.50177, 2.54593, 2.56574, 2.59216, 2.60114, 2.61909, 2.66167, 2.67503, 2.7006, 2.74763, 2.76675, 2.79141, 2.81157, 2.86228, 2.87228, 2.87518, 2.88099, 2.8926, 2.91583, 2.96228, 3.04767, 3.14771, 3.19492");
+            index_3 ("2.0426, 2.12383, 2.18179, 2.20845, 2.26177, 2.36038, 2.46113, 2.50197, 2.54611, 2.56594, 2.60131, 2.61917, 2.66183, 2.6757, 2.70104, 2.74764, 2.81178, 2.87533, 2.88112, 2.89272, 2.96228, 3.04752, 3.05455");
             values ( \
-              "0.000225153, 0.000664536, 0.000935216, 0.0010078, 0.00106249, 0.00128294, 0.00179717, 0.0035872, 0.0053087, 0.00567276, 0.00449344, 0.00409626, 0.00385906, 0.00381511, 0.00375956, 0.00356192, 0.00341806, 0.0030558, 0.00212841, 0.00180295, 0.00138832, 0.00111647, 0.000609709, 0.000557599, 0.000519762, 0.000499648, 0.000416086, 0.000321573, 0.000158015, 5.14498e-05, 1.78592e-06, 5.0099e-06" \
+              "0.000450841, 0.000654065, 0.000820669, 0.00102428, 0.00173332, 0.0035985, 0.00531808, 0.00566751, 0.00448746, 0.0040897, 0.00380862, 0.00376755, 0.00356933, 0.00340582, 0.00305761, 0.0021249, 0.00111007, 0.000514883, 0.000504378, 0.000411715, 0.000153912, 5.61231e-05, 5.21756e-05" \
             );
           }
           vector (ccs_template) {
@@ -97239,7 +98809,7 @@
             index_2 ("0.0140621");
             index_3 ("2.1242, 2.31254, 2.36084, 2.6038, 2.81254, 2.89656, 2.97632, 3.06305, 3.09121, 3.1513, 3.29039, 3.33851, 3.3857, 3.46385, 3.51985, 3.59176, 3.68765, 3.84244, 4.02421, 4.16476, 4.2424");
             values ( \
-              "0.00489562, 0.00726208, 0.00916186, 0.0198399, 0.0286493, 0.0315736, 0.0319638, 0.0295567, 0.0282213, 0.0242693, 0.0139118, 0.0108937, 0.00840135, 0.00529055, 0.00371458, 0.00231903, 0.00118364, 0.000348807, 7.35564e-05, 2.56294e-05, 0.000142184" \
+              "0.00489562, 0.00726208, 0.00916186, 0.0198399, 0.0286493, 0.0315736, 0.0319638, 0.0295567, 0.0282213, 0.0242693, 0.0139118, 0.0108937, 0.00840135, 0.00529055, 0.00371458, 0.00231903, 0.00118364, 0.000348807, 7.35565e-05, 2.56293e-05, 0.000142184" \
             );
           }
           vector (ccs_template) {
@@ -97255,9 +98825,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.15867");
-            index_3 ("2.39884, 2.78901, 3.18586, 3.48051, 3.6455, 3.97548, 4.14211, 4.196, 4.24064, 4.50985, 4.74202, 4.92268, 5.12916, 5.61869, 6.40011, 6.6407, 6.98413, 7.46402, 7.80744, 8.10094, 8.49226, 8.82742, 9.27901, 9.88112, 10.9024, 11.9236, 12.9449");
+            index_3 ("2.39884, 2.78901, 3.18586, 3.48051, 3.6455, 3.97548, 4.14211, 4.196, 4.24064, 4.50985, 4.74202, 4.92268, 5.12916, 5.61869, 6.40011, 6.6407, 6.98413, 7.46402, 7.80744, 8.10094, 8.49226, 8.82742, 9.27901, 9.88113, 10.9024, 11.9236, 12.9449");
             values ( \
-              "0.02765, 0.0323065, 0.052776, 0.0652684, 0.0701622, 0.0769802, 0.0790161, 0.0793647, 0.0792247, 0.0770754, 0.0748598, 0.0725395, 0.069032, 0.0576595, 0.0373468, 0.0317779, 0.0248433, 0.0172042, 0.0130454, 0.0102486, 0.00736769, 0.00552895, 0.0037438, 0.00219412, 0.00083641, 0.000319302, 0.000119218" \
+              "0.02765, 0.0323065, 0.052776, 0.0652684, 0.0701622, 0.0769802, 0.0790161, 0.0793647, 0.0792247, 0.0770754, 0.0748598, 0.0725395, 0.069032, 0.0576595, 0.0373468, 0.0317779, 0.0248433, 0.0172042, 0.0130454, 0.0102486, 0.00736769, 0.00552895, 0.0037438, 0.00219412, 0.00083641, 0.000319301, 0.000119218" \
             );
           }
           vector (ccs_template) {
@@ -97284,7 +98854,7 @@
             index_2 ("0.00418628");
             index_3 ("7.44169, 8.10188, 8.33732, 8.45504, 8.59973, 8.66253, 8.74625, 8.87185, 9.26259, 9.46804, 9.59064, 9.71343, 9.74008, 9.83146, 10.0121, 10.1272, 10.2632, 10.3542, 10.422, 10.4995, 10.5727, 10.7052, 10.8818, 11.1378, 11.4749, 11.9407, 12.6355");
             values ( \
-              "0.000224774, 0.000231958, 0.000379674, 0.000489996, 0.000717181, 0.00087502, 0.00117146, 0.00184994, 0.00456875, 0.00588291, 0.00640434, 0.00552016, 0.0054159, 0.0051453, 0.00482303, 0.00405945, 0.0024202, 0.00154995, 0.00109392, 0.000732665, 0.000515662, 0.000298123, 0.00016525, 8.861e-05, 4.10028e-05, 1.13811e-05, 1.45024e-06" \
+              "0.000224774, 0.000231958, 0.000379674, 0.000489996, 0.000717181, 0.00087502, 0.00117146, 0.00184994, 0.00456875, 0.00588291, 0.00640434, 0.00552016, 0.0054159, 0.0051453, 0.00482303, 0.00405945, 0.0024202, 0.00154995, 0.00109392, 0.000732665, 0.000515662, 0.000298123, 0.00016525, 8.861e-05, 4.10028e-05, 1.13811e-05, 1.45026e-06" \
             );
           }
           vector (ccs_template) {
@@ -97302,7 +98872,7 @@
             index_2 ("0.0472359");
             index_3 ("7.68505, 8.47617, 8.66205, 9.02702, 9.47585, 10.0866, 10.8359, 11.133, 11.4081, 11.6798, 11.9511, 12.5213, 12.6791, 12.8075, 12.9787, 13.0978, 13.2253, 13.3953, 13.7353, 14.0118");
             values ( \
-              "0.00217365, 0.0027323, 0.00352191, 0.00595802, 0.0109764, 0.0183472, 0.0270574, 0.0301436, 0.0316394, 0.0310499, 0.0269584, 0.0126799, 0.00957093, 0.0074951, 0.00529163, 0.00413305, 0.00314421, 0.00214407, 0.000955032, 0.000553477" \
+              "0.00217365, 0.0027323, 0.00352191, 0.00595802, 0.0109764, 0.0183472, 0.0270574, 0.0301436, 0.0316394, 0.0310499, 0.0269584, 0.0126799, 0.00957093, 0.0074951, 0.00529163, 0.00413305, 0.00314421, 0.00214407, 0.000955033, 0.000553477" \
             );
           }
           vector (ccs_template) {
@@ -97331,7 +98901,7 @@
             "0.00214578, 0.00215227, 0.00215734, 0.00215964, 0.00216043, 0.00216068", \
             "0.00255008, 0.0025599, 0.00257133, 0.00257801, 0.00258057, 0.0025814", \
             "0.00270339, 0.00270017, 0.00270418, 0.00270565, 0.00270701, 0.00270757", \
-            "0.00282527, 0.00280678, 0.00277791, 0.0027596, 0.0027469, 0.0027431", \
+            "0.00282476, 0.00280702, 0.00277791, 0.0027596, 0.0027469, 0.0027431", \
             "0.0029232, 0.00289852, 0.00286142, 0.00281747, 0.00278067, 0.00276048", \
             "0.00310998, 0.00303957, 0.00296012, 0.0028874, 0.00283404, 0.00278769" \
           );
@@ -97343,7 +98913,7 @@
             "0.00265455, 0.00265891, 0.00266534, 0.00266904, 0.00267043, 0.00267088", \
             "0.00252183, 0.00248717, 0.00246291, 0.00245145, 0.00244691, 0.0024455", \
             "0.00262836, 0.00253546, 0.00242648, 0.00235606, 0.0023297, 0.00232002", \
-            "0.00310568, 0.00310479, 0.00268145, 0.00244444, 0.00233625, 0.00230023", \
+            "0.00310643, 0.00310442, 0.00268145, 0.00244444, 0.00233625, 0.00230023", \
             "0.00297726, 0.00301783, 0.00307842, 0.0028359, 0.00248201, 0.00234018", \
             "0.00268205, 0.00280033, 0.00293069, 0.00305188, 0.00301162, 0.00252784" \
           );
@@ -97499,7 +99069,7 @@
             index_2 ("0.15867");
             index_3 ("0.172829, 0.234613, 0.260103, 0.266795, 0.274435, 0.282067, 0.296617, 0.313524, 0.340209, 0.680001, 1.15037, 1.32363, 1.4136, 1.53355, 1.57211, 1.64922, 2.02595, 2.24499, 2.32497, 2.42928, 2.56326, 2.67245, 2.80323, 2.98762, 3.06942, 3.18015, 3.32779, 3.62307, 4.15972, 4.78559");
             values ( \
-              "-0.111546, -0.127095, -0.151294, -0.15326, -0.15342, -0.153144, -0.153286, -0.152911, -0.152812, -0.148736, -0.142368, -0.138825, -0.134949, -0.126311, -0.122963, -0.114817, -0.072155, -0.0511679, -0.0447215, -0.0373322, -0.0292557, -0.0238971, -0.0186826, -0.0130093, -0.0110872, -0.00891294, -0.00666361, -0.00360505, -0.00109415, -0.000232473" \
+              "-0.111546, -0.127095, -0.151294, -0.15326, -0.15342, -0.153144, -0.153286, -0.152911, -0.152812, -0.148736, -0.142368, -0.138825, -0.134949, -0.126311, -0.122963, -0.114817, -0.072155, -0.0511679, -0.0447215, -0.0373322, -0.0292557, -0.0238971, -0.0186826, -0.0130093, -0.0110872, -0.00891294, -0.00666362, -0.00360505, -0.00109415, -0.000232473" \
             );
           }
           vector (ccs_template) {
@@ -97508,25 +99078,25 @@
             index_2 ("0.532987");
             index_3 ("0.13411, 0.258911, 0.266078, 0.309369, 2.04266, 3.24253, 3.52547, 3.90271, 4.22685, 4.65491, 4.90031, 6.09012, 6.92151, 7.61031, 8.03785, 8.6971, 9.33759, 9.69671, 10.2251, 10.845, 11.5668, 12.2887, 13.7325, 15.1763");
             values ( \
-              "-0.0216151, -0.156038, -0.158206, -0.158605, -0.151487, -0.145434, -0.14366, -0.140337, -0.136026, -0.126651, -0.119288, -0.0781662, -0.0530973, -0.0372486, -0.0294643, -0.0203607, -0.0139645, -0.0113015, -0.00824748, -0.0056922, -0.00362756, -0.0023508, -0.000970535, -0.000405632" \
+              "-0.0216151, -0.156038, -0.158206, -0.158605, -0.151487, -0.145434, -0.14366, -0.140337, -0.136026, -0.126651, -0.119288, -0.0781662, -0.0530973, -0.0372486, -0.0294643, -0.0203607, -0.0139645, -0.0113015, -0.00824748, -0.00569221, -0.00362756, -0.00235081, -0.000970536, -0.000405633" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.385462, 0.452709, 0.477864, 0.492897, 0.520721, 0.531857, 0.578439, 0.611178, 0.636902, 0.656595, 0.671894, 0.68507, 0.697871, 0.720405, 0.727819, 0.738792, 0.748161, 0.76295, 0.778725, 0.801642, 0.871423, 1.03139, 1.04088, 1.05099, 1.07476, 1.09798");
+            index_3 ("0.385483, 0.458636, 0.484362, 0.512055, 0.532326, 0.555492, 0.578742, 0.611419, 0.63711, 0.656784, 0.672071, 0.685239, 0.698032, 0.72056, 0.727972, 0.738942, 0.748312, 0.763108, 0.77889, 0.801833, 0.871636, 0.93613, 1.03162, 1.0409, 1.05089, 1.0744, 1.09738");
             values ( \
-              "-0.000117956, -0.00121712, -0.00178156, -0.00218928, -0.00315212, -0.00366975, -0.00597673, -0.00772663, -0.00972141, -0.0130465, -0.0164189, -0.017574, -0.0175824, -0.00777273, -0.00529161, -0.00282563, -0.00163589, -0.000721016, -0.00035093, -0.000112308, -1.81905e-05, -1e-22, -2.79568e-05, -0.000169345, -1.09474e-05, -1.98749e-05" \
+              "-4.61437e-05, -0.00134524, -0.00195484, -0.00281865, -0.00368515, -0.00482977, -0.0059853, -0.00774355, -0.00972694, -0.0130623, -0.0164268, -0.0175883, -0.0175885, -0.00777489, -0.00529338, -0.00282724, -0.00163702, -0.000721737, -0.000349754, -0.000113101, -1.91224e-05, -3.91916e-05, -1e-22, -2.69677e-05, -0.000170072, -1.16018e-05, -2.00157e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00418628");
-            index_3 ("0.4076, 0.466807, 0.504241, 0.520825, 0.541261, 0.644742, 0.676144, 0.701627, 0.722615, 0.741317, 0.759991, 0.783629, 0.804304, 0.814903, 0.826716, 0.831389, 0.844152, 0.858739, 0.887762, 0.92197, 1.02674, 1.04098, 1.05337, 1.08574, 1.11453");
+            index_3 ("0.407541, 0.46622, 0.495152, 0.512645, 0.543991, 0.624014, 0.644641, 0.675998, 0.701489, 0.722481, 0.741188, 0.759865, 0.793814, 0.804442, 0.819199, 0.833262, 0.8432, 0.863076, 0.891817, 0.92672, 1.03622, 1.04092, 1.05032, 1.07439, 1.13435");
             values ( \
-              "-0.00123381, -0.00385981, -0.00634857, -0.00773, -0.00977665, -0.0217056, -0.0263185, -0.0328389, -0.0391098, -0.0412857, -0.0396491, -0.0240059, -0.0125578, -0.00860436, -0.00563579, -0.00460753, -0.00290898, -0.00160497, -0.000548941, -0.000150711, -1.63591e-05, -7.02596e-05, -0.000396241, -7.72156e-05, -6.61168e-05" \
+              "-0.00131887, -0.00382113, -0.00568314, -0.00702779, -0.0100918, -0.0192556, -0.0217617, -0.0262978, -0.0328339, -0.0391009, -0.0412735, -0.0396455, -0.017683, -0.0124326, -0.00727357, -0.00426242, -0.0030285, -0.00128555, -0.000511803, -0.000100176, -1e-22, -3.90164e-05, -0.000422355, -0.000134077, -1.01555e-06" \
             );
           }
           vector (ccs_template) {
@@ -97553,7 +99123,7 @@
             index_2 ("0.15867");
             index_3 ("0.52053, 0.641937, 0.767729, 0.90743, 1.04069, 1.06821, 1.22802, 1.69686, 1.87035, 1.95964, 2.0787, 2.11859, 2.19837, 2.52488, 2.71004, 2.80143, 2.94443, 3.10037, 3.2362, 3.41731, 3.60572, 3.70725, 3.91031, 4.31643, 4.84353, 5.5136");
             values ( \
-              "-0.0429018, -0.051248, -0.0847985, -0.119763, -0.150426, -0.150584, -0.148666, -0.142413, -0.138776, -0.134939, -0.126459, -0.122936, -0.114552, -0.0773146, -0.0584898, -0.0503169, -0.0393882, -0.0297999, -0.0231682, -0.0163185, -0.0112924, -0.00929188, -0.00613477, -0.00262385, -0.000770176, -0.000182048" \
+              "-0.0429018, -0.051248, -0.0847985, -0.119763, -0.150426, -0.150584, -0.148666, -0.142413, -0.138776, -0.134939, -0.126459, -0.122936, -0.114552, -0.0773146, -0.0584898, -0.0503169, -0.0393882, -0.0297999, -0.0231682, -0.0163185, -0.0112924, -0.00929188, -0.00613477, -0.00262385, -0.000770177, -0.000182049" \
             );
           }
           vector (ccs_template) {
@@ -97571,7 +99141,7 @@
             index_2 ("0.00124625");
             index_3 ("1.44888, 1.65736, 1.70523, 1.77248, 1.79548, 1.84149, 1.8961, 1.95291, 2.01246, 2.08354, 2.11548, 2.17501, 2.20814, 2.23915, 2.25205, 2.27784, 2.28615, 2.29706, 2.30788, 2.32843, 2.36493, 2.38444, 2.40461, 2.42187, 2.45258, 2.54134, 2.61718, 2.76259, 2.98923, 3.30447");
             values ( \
-              "-9.86245e-05, -0.000332121, -0.000425377, -0.000601717, -0.000673366, -0.000850806, -0.00113842, -0.00158523, -0.00218161, -0.0029483, -0.00333435, -0.00421734, -0.00536878, -0.00710345, -0.00761168, -0.00812743, -0.00819696, -0.00822179, -0.00816516, -0.0075025, -0.00296915, -0.00139173, -0.000626466, -0.000341884, -0.000118353, -3.86201e-05, -3.86018e-05, -2.41405e-06, -1.44026e-05, -1e-22" \
+              "-9.86245e-05, -0.000332121, -0.000425377, -0.000601717, -0.000673366, -0.000850806, -0.00113842, -0.00158523, -0.00218161, -0.0029483, -0.00333435, -0.00421734, -0.00536878, -0.00710345, -0.00761168, -0.00812743, -0.00819696, -0.00822179, -0.00816516, -0.0075025, -0.00296915, -0.00139173, -0.000626466, -0.000341884, -0.000118353, -3.862e-05, -3.86019e-05, -2.41403e-06, -1.44026e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -97580,7 +99150,7 @@
             index_2 ("0.00418628");
             index_3 ("1.47192, 1.6796, 1.72866, 1.82365, 1.93111, 1.98754, 2.05527, 2.15126, 2.21653, 2.24658, 2.30283, 2.3479, 2.3879, 2.42686, 2.45601, 2.50454, 2.53098, 2.55377, 2.57269, 2.61052, 2.657, 2.71608, 2.92953, 3.49302");
             values ( \
-              "-0.000395268, -0.00105723, -0.00136215, -0.00214686, -0.00355838, -0.00476206, -0.00644481, -0.00892104, -0.0107671, -0.0118501, -0.0149999, -0.0184287, -0.0191449, -0.0196503, -0.0174805, -0.00798384, -0.00412856, -0.00223562, -0.00131054, -0.000444234, -0.00014954, -8.09597e-05, -2.68548e-05, -7.70011e-06" \
+              "-0.000395268, -0.00105723, -0.00136215, -0.00214686, -0.00355838, -0.00476206, -0.00644481, -0.00892105, -0.0107671, -0.0118501, -0.0149999, -0.0184287, -0.0191449, -0.0196503, -0.0174805, -0.00798384, -0.00412856, -0.00223562, -0.00131054, -0.000444234, -0.00014954, -8.09597e-05, -2.68548e-05, -7.70008e-06" \
             );
           }
           vector (ccs_template) {
@@ -97598,7 +99168,7 @@
             index_2 ("0.0472359");
             index_3 ("1.70991, 1.97293, 2.11938, 2.50202, 2.84016, 3.09704, 3.21072, 3.32449, 3.54514, 3.62118, 3.73058, 3.80808, 3.86971, 3.99298, 4.16443, 4.33684");
             values ( \
-              "-0.00912534, -0.012811, -0.0197995, -0.0415001, -0.0590394, -0.0733755, -0.0762009, -0.0706758, -0.0318282, -0.0216206, -0.0117235, -0.00744274, -0.00511357, -0.00230974, -0.000694378, -0.000343081" \
+              "-0.00912535, -0.012811, -0.0197995, -0.0415001, -0.0590394, -0.0733755, -0.0762009, -0.0706758, -0.0318282, -0.0216206, -0.0117235, -0.00744274, -0.00511357, -0.00230974, -0.000694378, -0.000343081" \
             );
           }
           vector (ccs_template) {
@@ -97625,7 +99195,7 @@
             index_2 ("0.00124625");
             index_3 ("6.01642, 6.60585, 6.87451, 6.97445, 7.1077, 7.26312, 7.31741, 7.42599, 7.61826, 7.67089, 7.77615, 7.87232, 8.02379, 8.12593, 8.13505, 8.18783, 8.21438, 8.26749, 8.28677, 8.32262, 8.33749, 8.35947, 8.39671, 8.4356, 8.44747, 8.50542, 8.53475, 8.57385, 8.62463, 8.68579, 8.75831, 8.87582, 9.10232, 9.49646, 10.1267, 10.3081");
             values ( \
-              "-0.000108138, -0.00011794, -0.000176115, -0.000208049, -0.000261109, -0.000350863, -0.000397263, -0.000512926, -0.00082691, -0.000930382, -0.00111913, -0.00130671, -0.00165276, -0.00231341, -0.00249812, -0.00299466, -0.00304375, -0.00315965, -0.00308848, -0.0031054, -0.00302526, -0.00302519, -0.00284423, -0.00257195, -0.00237502, -0.00104815, -0.000583112, -0.000271917, -0.000112521, -9.34657e-05, -6.11745e-05, -6.27319e-05, -3.0771e-05, -2.69277e-05, -3.07091e-07, -2.5692e-06" \
+              "-0.000108138, -0.00011794, -0.000176115, -0.000208049, -0.000261109, -0.000350863, -0.000397263, -0.000512926, -0.00082691, -0.000930382, -0.00111913, -0.00130671, -0.00165276, -0.00231341, -0.00249812, -0.00299466, -0.00304375, -0.00315965, -0.00308848, -0.0031054, -0.00302526, -0.00302519, -0.00284423, -0.00257195, -0.00237502, -0.00104815, -0.000583112, -0.000271917, -0.000112521, -9.34657e-05, -6.11744e-05, -6.27319e-05, -3.0771e-05, -2.69277e-05, -3.07067e-07, -2.56921e-06" \
             );
           }
           vector (ccs_template) {
@@ -97643,7 +99213,7 @@
             index_2 ("0.0140621");
             index_3 ("6.06505, 6.87576, 7.07012, 7.43669, 7.52468, 7.70066, 7.97736, 8.26377, 8.4915, 8.6766, 8.68754, 8.73131, 8.78838, 8.83951, 8.91631, 8.9873, 9.13087, 9.23855, 9.27993, 9.42627, 9.47865, 9.50529, 9.54929, 9.60796, 9.72529, 9.86845, 10.0523, 10.3011, 10.7465, 11.4684");
             values ( \
-              "-0.00079176, -0.00150262, -0.00195272, -0.00326739, -0.00379177, -0.00507285, -0.00757865, -0.0101038, -0.0121947, -0.0145874, -0.0148829, -0.0155931, -0.0162717, -0.0167072, -0.0171805, -0.0175086, -0.0177695, -0.0168396, -0.0153917, -0.00679621, -0.00436007, -0.00345457, -0.00232168, -0.00137599, -0.000434339, -0.000200473, -9.74668e-05, -9.33982e-05, -1.9032e-05, -2.79441e-05" \
+              "-0.000791759, -0.00150262, -0.00195272, -0.00326739, -0.00379177, -0.00507285, -0.00757865, -0.0101038, -0.0121947, -0.0145874, -0.0148829, -0.0155931, -0.0162717, -0.0167072, -0.0171805, -0.0175086, -0.0177695, -0.0168396, -0.0153917, -0.00679621, -0.00436007, -0.00345457, -0.00232168, -0.00137599, -0.000434339, -0.000200473, -9.74668e-05, -9.33982e-05, -1.9032e-05, -2.79441e-05" \
             );
           }
           vector (ccs_template) {
@@ -97682,7 +99252,7 @@
             "0.00222995, 0.00223606, 0.00224542, 0.002252, 0.00225483, 0.00225576", \
             "0.00233788, 0.00233826, 0.00234093, 0.00234366, 0.00234562, 0.0023465", \
             "0.00238538, 0.00238546, 0.00238632, 0.00238673, 0.0023874, 0.00238795", \
-            "0.00243776, 0.00243534, 0.00243243, 0.00243036, 0.00242966, 0.00242959", \
+            "0.00243756, 0.00243534, 0.00243243, 0.00243036, 0.00242966, 0.00242959", \
             "0.00245946, 0.00245832, 0.00245542, 0.00244998, 0.00244276, 0.0024376" \
           );
         }
@@ -97694,7 +99264,7 @@
             "0.00259704, 0.0025997, 0.00259698, 0.00259217, 0.00259008, 0.00258965", \
             "0.00268791, 0.00268098, 0.00267551, 0.0026716, 0.00266924, 0.00266827", \
             "0.00328362, 0.00300241, 0.00279289, 0.00270532, 0.00267487, 0.00266466", \
-            "0.0035247, 0.0035277, 0.00337298, 0.00281987, 0.0026721, 0.00262802", \
+            "0.00352518, 0.0035277, 0.00337298, 0.00281987, 0.0026721, 0.00262802", \
             "0.00349577, 0.00349676, 0.00350148, 0.00348365, 0.00286468, 0.00268213" \
           );
         }
@@ -97814,7 +99384,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0781938, 0.0843194, 0.0874161, 0.0927563, 0.0933119, 0.0944232, 0.0966457, 0.0990768, 0.103939, 0.106918, 0.111107, 0.11736, 0.118338, 0.120829, 0.123486, 0.128793, 0.132194, 0.13673, 0.1458, 0.153823, 0.159792, 0.171728, 0.179338, 0.184626, 0.191677, 0.20578, 0.213414, 0.222635, 0.233806, 0.249444, 0.269133, 0.295383, 0.340457, 0.393636");
             values ( \
-              "0.0014608, 0.0352141, 0.0371678, 0.0388579, 0.038831, 0.0389559, 0.0389274, 0.0387843, 0.0379502, 0.0370457, 0.0347899, 0.0273758, 0.0257665, 0.0225726, 0.0197992, 0.0158846, 0.0142989, 0.0125604, 0.0100433, 0.00848354, 0.00748312, 0.00582331, 0.0049804, 0.00445921, 0.00384446, 0.00284095, 0.00241617, 0.00198166, 0.00155577, 0.00110387, 0.000717158, 0.000397984, 0.000134054, 3.60845e-05" \
+              "0.0014608, 0.0352141, 0.0371678, 0.0388579, 0.038831, 0.0389559, 0.0389274, 0.0387843, 0.0379502, 0.0370457, 0.0347899, 0.0273758, 0.0257665, 0.0225726, 0.0197992, 0.0158846, 0.0142989, 0.0125604, 0.0100433, 0.00848354, 0.00748312, 0.00582331, 0.0049804, 0.00445921, 0.00384446, 0.00284095, 0.00241617, 0.00198166, 0.00155577, 0.00110387, 0.000717157, 0.000397984, 0.000134054, 3.60845e-05" \
             );
           }
           vector (ccs_template) {
@@ -97823,7 +99393,7 @@
             index_2 ("0.00418628");
             index_3 ("0.082388, 0.0850314, 0.0885951, 0.094236, 0.102453, 0.110579, 0.116124, 0.127138, 0.134434, 0.139171, 0.158954, 0.164272, 0.175536, 0.192585, 0.207356, 0.217781, 0.23743, 0.256936, 0.272736, 0.283915, 0.302583, 0.323918, 0.353211, 0.373074, 0.412798, 0.477063, 0.554167");
             values ( \
-              "0.0528965, 0.0613714, 0.065322, 0.0683585, 0.0694615, 0.0688487, 0.0680619, 0.0659858, 0.0636916, 0.0612978, 0.0428273, 0.0386184, 0.0319104, 0.0246781, 0.0200088, 0.0171994, 0.0128353, 0.00956946, 0.00748664, 0.00628431, 0.00467797, 0.00331304, 0.00206559, 0.00149056, 0.000763469, 0.00023619, 5.49719e-05" \
+              "0.0528965, 0.0613714, 0.065322, 0.0683585, 0.0694615, 0.0688487, 0.0680619, 0.0659858, 0.0636916, 0.0612978, 0.0428273, 0.0386184, 0.0319104, 0.0246781, 0.0200088, 0.0171994, 0.0128353, 0.00956946, 0.00748664, 0.00628431, 0.00467797, 0.00331304, 0.00206559, 0.00149056, 0.000763469, 0.00023619, 5.49718e-05" \
             );
           }
           vector (ccs_template) {
@@ -97868,7 +99438,7 @@
             index_2 ("0.00124625");
             index_3 ("0.200216, 0.226166, 0.230071, 0.238098, 0.245599, 0.253061, 0.264455, 0.267708, 0.272384, 0.276575, 0.282164, 0.290791, 0.29621, 0.303436, 0.317888, 0.325133, 0.33375, 0.342549, 0.357506, 0.375746, 0.39234, 0.399861, 0.423898, 0.45137, 0.499869, 0.557753");
             values ( \
-              "0.00173757, 0.0250688, 0.026712, 0.0292654, 0.0304743, 0.0297369, 0.0203889, 0.0180988, 0.0155955, 0.0138854, 0.0120163, 0.0098343, 0.00877284, 0.00753424, 0.00555524, 0.00479373, 0.00400182, 0.00332025, 0.00241185, 0.00162592, 0.0011301, 0.000959734, 0.000566835, 0.000304138, 9.33515e-05, 2.05307e-05" \
+              "0.00173757, 0.0250688, 0.026712, 0.0292654, 0.0304743, 0.0297369, 0.0203889, 0.0180988, 0.0155955, 0.0138854, 0.0120163, 0.0098343, 0.00877284, 0.00753424, 0.00555524, 0.00479373, 0.00400182, 0.00332025, 0.00241185, 0.00162592, 0.0011301, 0.000959734, 0.000566835, 0.000304137, 9.33515e-05, 2.05307e-05" \
             );
           }
           vector (ccs_template) {
@@ -97940,7 +99510,7 @@
             index_2 ("0.0140621");
             index_3 ("0.623014, 0.66544, 0.81157, 0.848952, 0.882664, 0.907745, 0.929991, 0.968618, 1.04052, 1.04924, 1.09886, 1.12253, 1.15299, 1.17554, 1.20087, 1.2346, 1.26587, 1.30756, 1.34014, 1.37642, 1.4248, 1.52155, 1.64953, 1.80742");
             values ( \
-              "0.00412792, 0.0197406, 0.0497467, 0.05688, 0.0622904, 0.0651374, 0.065983, 0.0611479, 0.0451757, 0.043657, 0.0319575, 0.027023, 0.0215693, 0.0181728, 0.0149112, 0.011378, 0.00882522, 0.00623983, 0.00474688, 0.00349674, 0.00231143, 0.00096257, 0.000281674, 5.43991e-05" \
+              "0.00412792, 0.0197406, 0.0497467, 0.05688, 0.0622904, 0.0651374, 0.065983, 0.0611479, 0.0451757, 0.043657, 0.0319575, 0.027023, 0.0215693, 0.0181728, 0.0149112, 0.011378, 0.00882522, 0.00623983, 0.00474688, 0.00349674, 0.00231143, 0.000962569, 0.000281674, 5.43991e-05" \
             );
           }
           vector (ccs_template) {
@@ -97976,7 +99546,7 @@
             index_2 ("0.00124625");
             index_3 ("2.08343, 2.19945, 2.21397, 2.26146, 2.40764, 2.45274, 2.49402, 2.53532, 2.54484, 2.54741, 2.55257, 2.56287, 2.61685, 2.67509, 2.71521, 2.83381, 2.85854, 2.9156, 2.94169, 2.97648, 3.04605, 3.1414, 3.25653, 4.16489, 4.24705");
             values ( \
-              "0.000898118, 0.000999574, 0.00115678, 0.00188149, 0.00461384, 0.0053533, 0.00551249, 0.00331865, 0.00363076, 0.00337188, 0.00339058, 0.00325631, 0.00313565, 0.0031323, 0.00289886, 0.00127357, 0.00100618, 0.00054807, 0.000406948, 0.000274092, 0.000105072, 2.88065e-05, 1.84465e-06, 1e-22, 1.82992e-05" \
+              "0.000898118, 0.000999574, 0.00115678, 0.00188149, 0.00461384, 0.0053533, 0.00551249, 0.00331865, 0.00363076, 0.00337188, 0.00339058, 0.00325631, 0.00313565, 0.0031323, 0.00289886, 0.00127357, 0.00100618, 0.00054807, 0.000406948, 0.000274092, 0.000105072, 2.88065e-05, 1.84467e-06, 1e-22, 1.82992e-05" \
             );
           }
           vector (ccs_template) {
@@ -98030,7 +99600,7 @@
             index_2 ("0.00124625");
             index_3 ("7.34562, 8.0556, 8.33738, 8.47567, 8.55488, 8.71331, 8.80611, 9.00994, 9.14392, 9.25408, 9.35613, 9.45817, 9.48976, 9.49796, 9.51437, 9.54718, 9.59772, 9.6694, 9.81276, 9.84266, 9.90244, 10.0048, 10.0316, 10.0358, 10.0952, 10.1631, 10.2231, 10.2602, 10.326, 10.4299, 10.4798, 10.5019, 10.5459, 10.8102, 11.1323, 11.5607, 12.15");
             values ( \
-              "4.67983e-05, 7.95986e-05, 0.000121732, 0.000192647, 0.000242218, 0.000464573, 0.000738492, 0.00146607, 0.001881, 0.00220077, 0.00219587, 0.00116134, 0.00150381, 0.00126485, 0.00139271, 0.00124996, 0.00134953, 0.00124686, 0.00134522, 0.00125372, 0.00129075, 0.00100572, 0.000976466, 0.00092519, 0.000733409, 0.000525624, 0.000389013, 0.00032397, 0.000229512, 0.000144101, 0.00011525, 0.000110783, 9.10988e-05, 4.37359e-05, 2.50129e-05, 5.44192e-06, 3.98667e-06" \
+              "4.67983e-05, 7.95986e-05, 0.000121732, 0.000192647, 0.000242218, 0.000464573, 0.000738492, 0.00146607, 0.001881, 0.00220077, 0.00219587, 0.00116134, 0.00150381, 0.00126485, 0.00139271, 0.00124996, 0.00134953, 0.00124686, 0.00134522, 0.00125372, 0.00129075, 0.00100572, 0.000976466, 0.00092519, 0.000733409, 0.000525624, 0.000389013, 0.00032397, 0.000229512, 0.000144101, 0.00011525, 0.000110783, 9.10988e-05, 4.37359e-05, 2.50129e-05, 5.44191e-06, 3.98668e-06" \
             );
           }
           vector (ccs_template) {
@@ -98048,7 +99618,7 @@
             index_2 ("0.0140621");
             index_3 ("7.68892, 8.3373, 8.45702, 8.64105, 8.71073, 8.8501, 9.04978, 9.67037, 9.871, 10.0468, 10.2236, 10.3831, 10.41, 10.4638, 10.6005, 10.6587, 10.7751, 10.9279, 11.0481, 11.1123, 11.2018, 11.2954, 11.3673, 11.5111, 11.7672, 12.0693, 12.4552");
             values ( \
-              "0.00101882, 0.00107559, 0.00133228, 0.0019134, 0.00224197, 0.00311963, 0.00498429, 0.0116047, 0.0136553, 0.0150369, 0.013608, 0.0136327, 0.0134568, 0.0134611, 0.0129204, 0.0122371, 0.0101159, 0.00663269, 0.00437931, 0.00342419, 0.0023902, 0.0016191, 0.00118954, 0.000627447, 0.000182131, 4.97339e-05, 1.30253e-05" \
+              "0.00101882, 0.00107559, 0.00133228, 0.0019134, 0.00224197, 0.00311963, 0.00498429, 0.0116047, 0.0136553, 0.0150369, 0.013608, 0.0136327, 0.0134568, 0.0134611, 0.0129204, 0.0122371, 0.0101159, 0.00663269, 0.00437931, 0.00342419, 0.0023902, 0.0016191, 0.00118954, 0.000627447, 0.000182131, 4.97338e-05, 1.30253e-05" \
             );
           }
           vector (ccs_template) {
@@ -98243,9 +99813,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0472359");
-            index_3 ("0.129853, 0.201997, 0.250776, 0.25984, 0.264788, 0.271421, 0.277092, 0.288433, 0.301323, 0.314399, 0.442505, 0.470353, 0.498643, 0.525344, 0.560944, 0.592326, 0.638963, 0.667736, 0.750024, 0.808592, 0.85677, 0.883873, 0.938078, 0.958086, 0.981359, 1.01239, 1.07445, 1.10534, 1.15118, 1.2123, 1.33455, 1.51074, 1.72639");
+            index_3 ("0.129853, 0.201997, 0.250776, 0.25984, 0.264788, 0.271421, 0.277092, 0.288433, 0.301323, 0.314399, 0.442505, 0.470353, 0.498643, 0.525344, 0.560944, 0.592326, 0.638963, 0.667736, 0.750024, 0.808592, 0.85677, 0.883873, 0.938078, 0.958086, 0.981358, 1.01239, 1.07445, 1.10534, 1.15118, 1.21231, 1.33457, 1.51077, 1.72643");
             values ( \
-              "-0.00994132, -0.0832873, -0.126036, -0.13346, -0.134927, -0.134811, -0.13431, -0.134284, -0.133803, -0.133707, -0.131212, -0.13152, -0.132331, -0.133468, -0.132728, -0.12901, -0.118076, -0.108773, -0.0793979, -0.0601876, -0.0467278, -0.0402733, -0.0294071, -0.026128, -0.0227234, -0.0188181, -0.0127327, -0.0104817, -0.0078315, -0.00528244, -0.00229798, -0.000646219, -0.000128547" \
+              "-0.00994132, -0.0832873, -0.126036, -0.13346, -0.134927, -0.134811, -0.13431, -0.134284, -0.133803, -0.133707, -0.131212, -0.13152, -0.132331, -0.133468, -0.132728, -0.12901, -0.118076, -0.108773, -0.079398, -0.0601877, -0.0467278, -0.0402732, -0.029407, -0.026128, -0.0227234, -0.0188183, -0.012733, -0.0104817, -0.00783127, -0.00528206, -0.0022976, -0.000646092, -0.000128515" \
             );
           }
           vector (ccs_template) {
@@ -98272,7 +99842,7 @@
             index_2 ("0.00124625");
             index_3 ("0.404498, 0.46352, 0.487387, 0.504025, 0.520662, 0.536331, 0.59605, 0.632249, 0.660943, 0.68031, 0.68237, 0.694727, 0.701374, 0.708997, 0.720763, 0.735782, 0.744153, 0.749684, 0.757776, 0.769243, 0.770775, 0.773839, 0.779966, 0.792221, 0.813182, 0.839014, 0.874024, 0.924589, 1.01454, 1.04082, 1.05764, 1.08198, 1.14019");
             values ( \
-              "-0.000341445, -0.0011795, -0.00166281, -0.0020675, -0.00256385, -0.00312275, -0.00544155, -0.0069546, -0.00868674, -0.011852, -0.0129473, -0.0171914, -0.0185304, -0.0193573, -0.0188299, -0.0109623, -0.00721056, -0.00535413, -0.00334855, -0.00167962, -0.0016416, -0.00129915, -0.000987148, -0.000453972, -0.000223972, -5.43552e-05, -9.19003e-05, -1e-22, -5.2364e-05, -1e-22, -0.000134859, -1.90491e-05, -1.80465e-05" \
+              "-0.000341445, -0.0011795, -0.00166281, -0.0020675, -0.00256385, -0.00312275, -0.00544155, -0.0069546, -0.00868674, -0.011852, -0.0129473, -0.0171914, -0.0185304, -0.0193573, -0.0188299, -0.0109623, -0.00721056, -0.00535413, -0.00334855, -0.00167962, -0.0016416, -0.00129915, -0.000987148, -0.000453972, -0.000223972, -5.43552e-05, -9.19002e-05, -1e-22, -5.23639e-05, -1e-22, -0.000134859, -1.90491e-05, -1.80465e-05" \
             );
           }
           vector (ccs_template) {
@@ -98308,7 +99878,7 @@
             index_2 ("0.15867");
             index_3 ("0.519624, 0.643222, 0.90941, 1.03978, 1.0597, 1.70569, 1.87446, 1.98003, 2.12791, 2.32178, 2.62018, 2.75745, 2.85275, 2.96487, 3.11241, 3.24596, 3.42402, 3.56504, 3.72052, 3.92784, 4.3102, 4.8578, 5.50857");
             values ( \
-              "-0.0410527, -0.0514171, -0.11924, -0.148835, -0.149115, -0.141462, -0.13874, -0.134254, -0.122734, -0.101895, -0.0683758, -0.055032, -0.0469889, -0.0387947, -0.0296594, -0.0231491, -0.0165253, -0.0124865, -0.00919062, -0.00611821, -0.00268214, -0.000818217, -0.000134135" \
+              "-0.0410527, -0.0514171, -0.11924, -0.148835, -0.149115, -0.141462, -0.13874, -0.134254, -0.122734, -0.101895, -0.0683758, -0.055032, -0.0469889, -0.0387947, -0.0296594, -0.0231491, -0.0165253, -0.0124865, -0.00919062, -0.00611822, -0.00268214, -0.000818217, -0.000134135" \
             );
           }
           vector (ccs_template) {
@@ -98324,9 +99894,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("1.46217, 1.68118, 1.74826, 1.82258, 1.8633, 1.91754, 1.94429, 1.99779, 2.07184, 2.15597, 2.22121, 2.26347, 2.26914, 2.30005, 2.32558, 2.35032, 2.36889, 2.40223, 2.421, 2.44059, 2.45737, 2.48616, 2.52213, 2.64143, 2.99606, 3.69898");
+            index_3 ("1.46218, 1.68118, 1.74826, 1.82258, 1.8633, 1.91754, 1.94429, 1.99779, 2.07184, 2.15597, 2.22121, 2.26347, 2.26914, 2.30005, 2.32558, 2.35032, 2.36889, 2.40223, 2.421, 2.44059, 2.45737, 2.48616, 2.52213, 2.64143, 2.99606, 3.69898");
             values ( \
-              "-8.57211e-05, -0.000324172, -0.000451846, -0.000659427, -0.000810587, -0.00107805, -0.00125269, -0.00166156, -0.00229049, -0.00305214, -0.00382515, -0.00526737, -0.00603542, -0.008541, -0.00899401, -0.00915995, -0.00809344, -0.0032785, -0.00153487, -0.000682608, -0.000345462, -0.00013498, -7.09767e-05, -2.37918e-05, -2.2394e-07, -1e-22" \
+              "-8.57211e-05, -0.000324172, -0.000451846, -0.000659427, -0.000810587, -0.00107805, -0.00125269, -0.00166156, -0.00229049, -0.00305214, -0.00382515, -0.00526737, -0.00603542, -0.008541, -0.00899401, -0.00915995, -0.00809344, -0.0032785, -0.00153487, -0.000682608, -0.000345462, -0.00013498, -7.09767e-05, -2.37918e-05, -2.23987e-07, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -98387,9 +99957,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00418628");
-            index_3 ("6.03449, 6.60808, 6.85191, 7.01238, 7.33333, 7.42814, 7.61777, 7.81275, 8.04877, 8.19313, 8.23231, 8.31067, 8.3375, 8.37323, 8.435, 8.47289, 8.54868, 8.56254, 8.59026, 8.65138, 8.7184, 8.74644, 8.7867, 8.82705, 8.85123, 8.88944, 8.93002, 8.96787, 9.04356, 9.14533, 9.28433, 9.49366, 9.9123, 10.4869");
+            index_3 ("6.03449, 6.60808, 6.85191, 7.01238, 7.33333, 7.42814, 7.61778, 7.81275, 8.04877, 8.19313, 8.23231, 8.31067, 8.3375, 8.37323, 8.435, 8.47289, 8.54868, 8.56254, 8.59026, 8.65138, 8.7184, 8.74644, 8.7867, 8.82705, 8.85123, 8.88944, 8.93002, 8.96787, 9.04356, 9.14533, 9.28433, 9.49366, 9.9123, 10.4869");
             values ( \
-              "-0.000319926, -0.000337061, -0.000483773, -0.000617664, -0.00104382, -0.00128398, -0.00190193, -0.00272631, -0.00366577, -0.00434763, -0.0045933, -0.00526951, -0.00574149, -0.00649328, -0.00798906, -0.0082583, -0.00857488, -0.00847691, -0.00854626, -0.00833413, -0.00788041, -0.00711885, -0.00542405, -0.00329195, -0.00237296, -0.00124267, -0.000657872, -0.00043066, -0.000163319, -0.000151566, -8.55346e-05, -9.52813e-05, -1.80652e-05, -2.7896e-05" \
+              "-0.000319926, -0.000337062, -0.000483773, -0.000617664, -0.00104382, -0.00128398, -0.00190193, -0.00272631, -0.00366577, -0.00434763, -0.0045933, -0.00526951, -0.00574149, -0.00649328, -0.00798906, -0.0082583, -0.00857488, -0.00847691, -0.00854626, -0.00833413, -0.00788041, -0.00711885, -0.00542405, -0.00329195, -0.00237296, -0.00124267, -0.000657872, -0.00043066, -0.000163319, -0.000151566, -8.55346e-05, -9.52813e-05, -1.80653e-05, -2.7896e-05" \
             );
           }
           vector (ccs_template) {
@@ -98398,7 +99968,7 @@
             index_2 ("0.0140621");
             index_3 ("6.06225, 6.76183, 7.03254, 7.12565, 7.31186, 7.54867, 7.63285, 7.74509, 8.02762, 8.45297, 8.55075, 8.74246, 8.75831, 8.80738, 8.87282, 8.94929, 9.04049, 9.17936, 9.28349, 9.32442, 9.46885, 9.54615, 9.58905, 9.64625, 9.76065, 9.90058, 10.0814, 10.7577, 11.4806");
             values ( \
-              "-0.000822923, -0.00118312, -0.00172258, -0.00196075, -0.00255749, -0.00368247, -0.00424107, -0.00510606, -0.00751324, -0.0110146, -0.0119055, -0.0144793, -0.01508, -0.0162377, -0.0171927, -0.0177556, -0.0181386, -0.0183402, -0.0172667, -0.0157474, -0.00685314, -0.00350784, -0.0023691, -0.0014051, -0.00045461, -0.00019917, -0.000101645, -2.50506e-05, -2.43372e-05" \
+              "-0.000822923, -0.00118312, -0.00172258, -0.00196075, -0.00255749, -0.00368247, -0.00424107, -0.00510606, -0.00751324, -0.0110146, -0.0119055, -0.0144793, -0.01508, -0.0162377, -0.0171927, -0.0177555, -0.0181386, -0.0183402, -0.0172667, -0.0157474, -0.00685314, -0.00350784, -0.0023691, -0.0014051, -0.00045461, -0.00019917, -0.000101645, -2.50506e-05, -2.43372e-05" \
             );
           }
           vector (ccs_template) {
@@ -98466,7 +100036,7 @@
             "0.104682, 0.14301, 0.268168, 0.68329, 2.07426, 6.74508", \
             "0.146521, 0.18465, 0.309718, 0.72595, 2.11765, 6.78871", \
             "0.249549, 0.307046, 0.457477, 0.878205, 2.2713, 6.94384", \
-            "0.472146, 0.581458, 0.848171, 1.43606, 2.88466, 7.55878", \
+            "0.471978, 0.581486, 0.848202, 1.43607, 2.88466, 7.55818", \
             "1.14065, 1.33378, 1.80945, 2.85371, 5.01844, 10.0201" \
           );
         }
@@ -98478,7 +100048,7 @@
             "0.0742874, 0.12711, 0.303456, 0.894052, 2.87631, 9.53525", \
             "0.0781036, 0.128307, 0.303467, 0.894054, 2.87632, 9.53541", \
             "0.131713, 0.181332, 0.334774, 0.895419, 2.87661, 9.53473", \
-            "0.307963, 0.37723, 0.569417, 1.09, 2.90304, 9.53443", \
+            "0.308205, 0.377202, 0.569388, 1.08999, 2.90304, 9.53497", \
             "0.754136, 0.861365, 1.17007, 1.92951, 3.78116, 9.72485" \
           );
         }
@@ -98513,7 +100083,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0479546, 0.0509777, 0.0544305, 0.0599361, 0.0688502, 0.070548, 0.0739435, 0.0810359, 0.0915316, 0.0920929, 0.0965828, 0.102225, 0.112813, 0.11311, 0.114296, 0.11904, 0.124966, 0.130744, 0.136539, 0.143654, 0.152331, 0.161808, 0.17434, 0.177544, 0.186546, 0.195824, 0.207969, 0.211532, 0.218658, 0.230742, 0.248113, 0.256187, 0.267493, 0.282567, 0.312715, 0.363928, 0.425576");
             values ( \
-              "0.0106756, 0.0190855, 0.0198685, 0.0208939, 0.0213294, 0.0214677, 0.021383, 0.0213863, 0.0210605, 0.0211057, 0.0211172, 0.021271, 0.0207205, 0.020365, 0.019768, 0.0175014, 0.0149048, 0.0129313, 0.0112776, 0.00961905, 0.00799551, 0.00654154, 0.00503609, 0.00471467, 0.00391146, 0.00322107, 0.002493, 0.00231503, 0.00199125, 0.00154193, 0.00106311, 0.000896766, 0.000706037, 0.000512729, 0.000265756, 8.19017e-05, 1.9936e-05" \
+              "0.0106756, 0.0190855, 0.0198685, 0.0208939, 0.0213294, 0.0214677, 0.021383, 0.0213863, 0.0210605, 0.0211057, 0.0211172, 0.021271, 0.0207205, 0.020365, 0.019768, 0.0175014, 0.0149048, 0.0129313, 0.0112776, 0.00961905, 0.00799551, 0.00654154, 0.00503609, 0.00471467, 0.00391146, 0.00322107, 0.002493, 0.00231503, 0.00199125, 0.00154193, 0.00106311, 0.000896766, 0.000706037, 0.000512729, 0.000265756, 8.19018e-05, 1.9936e-05" \
             );
           }
           vector (ccs_template) {
@@ -98567,7 +100137,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0815228, 0.0855266, 0.0891373, 0.0947711, 0.105483, 0.116, 0.126521, 0.133954, 0.142493, 0.147807, 0.157968, 0.166686, 0.172139, 0.181726, 0.193466, 0.208225, 0.225695, 0.240137, 0.263279, 0.282371, 0.295367, 0.321528, 0.356409, 0.384338");
             values ( \
-              "0.00444096, 0.0180359, 0.019241, 0.0205346, 0.0213482, 0.0213386, 0.0210663, 0.0211602, 0.0214755, 0.0203419, 0.0155503, 0.012637, 0.0111151, 0.00900688, 0.00701742, 0.00513751, 0.0035814, 0.00264107, 0.00162105, 0.00107891, 0.000817872, 0.000468052, 0.000219618, 0.000136616" \
+              "0.00444096, 0.0180359, 0.019241, 0.0205346, 0.0213482, 0.0213386, 0.0210663, 0.0211602, 0.0214755, 0.0203419, 0.0155503, 0.012637, 0.0111151, 0.00900688, 0.00701742, 0.00513751, 0.0035814, 0.00264107, 0.00162104, 0.00107891, 0.000817872, 0.000468052, 0.000219618, 0.000136616" \
             );
           }
           vector (ccs_template) {
@@ -98601,7 +100171,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.15867");
-            index_3 ("0.117197, 0.117217, 0.663654, 1.49839, 2.03552, 2.24965, 2.53517, 2.95434, 3.85464, 4.53561, 5.0261, 5.7497, 6.29608, 6.79273, 7.72101, 8.52775, 9.32429");
+            index_3 ("0.117197, 0.117217, 0.663654, 1.49839, 2.03552, 2.24965, 2.53517, 2.95433, 3.85464, 4.53561, 5.0261, 5.7497, 6.29608, 6.79273, 7.72101, 8.52775, 9.32429");
             values ( \
               "1e-22, 0.0833457, 0.0730712, 0.0689534, 0.0656083, 0.0636693, 0.0600457, 0.052696, 0.0345508, 0.0230472, 0.0167721, 0.010208, 0.00692623, 0.00483478, 0.00244329, 0.00133076, 0.000771461" \
             );
@@ -98655,9 +100225,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.15867");
-            index_3 ("0.264019, 0.264039, 0.804298, 1.63916, 2.17623, 2.3905, 2.67619, 3.09144, 3.99353, 4.66325, 5.18105, 5.88217, 6.2818, 6.81465, 7.66284, 8.34922, 9.46655, 9.54437");
+            index_3 ("0.264019, 0.264039, 0.804298, 1.63916, 2.17623, 2.3905, 2.67619, 3.09144, 3.99353, 4.66325, 5.18105, 5.88217, 6.2818, 6.81465, 7.66284, 8.34922, 9.46655, 9.54436");
             values ( \
-              "1e-22, 0.0851332, 0.0730431, 0.0689526, 0.0656081, 0.0636677, 0.0600424, 0.0527679, 0.0345861, 0.0232394, 0.0166148, 0.0102676, 0.00774225, 0.00526784, 0.00283787, 0.00168841, 0.000707341, 0.000687073" \
+              "1e-22, 0.0851332, 0.0730431, 0.0689526, 0.0656081, 0.0636677, 0.0600424, 0.0527679, 0.0345861, 0.0232394, 0.0166148, 0.0102676, 0.00774225, 0.00526785, 0.00283786, 0.0016884, 0.000707339, 0.000687073" \
             );
           }
           vector (ccs_template) {
@@ -98727,54 +100297,54 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.0837, 2.20247, 2.22681, 2.27547, 2.51024, 2.55493, 2.59824, 2.63296, 2.64773, 2.6743, 2.70416, 2.80955, 2.85555, 2.90849, 2.94151, 2.96699, 3.01795, 3.10802, 3.21442, 4.16516, 4.24159");
+            index_3 ("2.08361, 2.22543, 2.27919, 2.39576, 2.45852, 2.51003, 2.55467, 2.59801, 2.63376, 2.64752, 2.67416, 2.70397, 2.80937, 2.83438, 2.85538, 2.90832, 2.94134, 2.96683, 3.0178, 3.10779, 3.15779");
             values ( \
-              "0.000730012, 0.000799512, 0.000963194, 0.0014628, 0.00473374, 0.00531133, 0.00507433, 0.00422719, 0.00422133, 0.00397158, 0.00375313, 0.00173751, 0.00107751, 0.000583333, 0.000388514, 0.000292571, 0.000141133, 4.47057e-05, 3.4341e-06, 1e-22, 2.01283e-05" \
+              "0.00062411, 0.00095831, 0.00149992, 0.00315355, 0.00399056, 0.00473857, 0.00530342, 0.00507557, 0.0042069, 0.00421808, 0.00397096, 0.00375135, 0.00174023, 0.0013492, 0.00107432, 0.00058024, 0.000385979, 0.000294972, 0.000138674, 4.71781e-05, 2.54902e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00418628");
-            index_3 ("2.08334, 2.20688, 2.25791, 2.28405, 2.33083, 2.54118, 2.60669, 2.66391, 2.71805, 2.7633, 2.77515, 2.79885, 2.8392, 2.94535, 2.99968, 3.01384, 3.07046, 3.09358, 3.10161, 3.11768, 3.14981, 3.21407, 3.31837, 3.45232");
+            index_3 ("2.08326, 2.20245, 2.24373, 2.25799, 2.2865, 2.33147, 2.54114, 2.66386, 2.718, 2.76324, 2.7751, 2.79881, 2.83914, 2.94528, 2.99961, 3.07046, 3.10155, 3.14967, 3.21382, 3.31793, 3.48638");
             values ( \
-              "0.00195152, 0.00211004, 0.00285814, 0.00343943, 0.00467393, 0.0106241, 0.0124324, 0.0138895, 0.0139998, 0.0126543, 0.0125874, 0.0120589, 0.0107183, 0.00561932, 0.00360082, 0.00317462, 0.00186577, 0.00155843, 0.00137165, 0.00123511, 0.000832432, 0.000469299, 9.06962e-05, 4.82211e-05" \
+              "0.00195511, 0.00208743, 0.00260988, 0.00287585, 0.00348891, 0.00470658, 0.0106356, 0.0138999, 0.0139917, 0.0126632, 0.0125817, 0.0120645, 0.0107132, 0.0056162, 0.00359781, 0.00186229, 0.00136948, 0.000831122, 0.000472201, 8.9359e-05, 3.669e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0140621");
-            index_3 ("2.08326, 2.20961, 2.28034, 2.34036, 2.74412, 2.84321, 2.93063, 3.01262, 3.08092, 3.12846, 3.19537, 3.29799, 3.37989, 3.46213, 3.48108, 3.54962, 3.63476, 3.68436, 3.78355, 3.95746, 4.15891, 4.17633, 4.19955, 4.25716, 4.33398");
+            index_3 ("2.08362, 2.21028, 2.27935, 2.34088, 2.62604, 2.74452, 2.84361, 2.93102, 3.01301, 3.08129, 3.09715, 3.12887, 3.19575, 3.29837, 3.33871, 3.38028, 3.46251, 3.46883, 3.48146, 3.55, 3.63514, 3.68474, 3.78394, 3.95783, 4.15917, 4.17688, 4.20049, 4.25874, 4.33642");
             values ( \
-              "0.00366333, 0.00435074, 0.00577545, 0.00759467, 0.0237245, 0.0274592, 0.0304321, 0.0313751, 0.0292875, 0.0268992, 0.0225637, 0.0154577, 0.0107271, 0.00710745, 0.0064385, 0.00443598, 0.00272535, 0.00211237, 0.00109175, 0.000387581, 4.19815e-05, 0.000182749, 0.000236562, 0.00014034, 0.000109251" \
+              "0.0036137, 0.00438184, 0.00572036, 0.00762379, 0.0190366, 0.0237085, 0.0274777, 0.0304173, 0.0313906, 0.0292763, 0.0286045, 0.0268938, 0.0225649, 0.0154559, 0.012994, 0.010724, 0.00710435, 0.00697083, 0.00643555, 0.00443308, 0.00272242, 0.00211527, 0.00108877, 0.00039051, 3.91691e-05, 0.000183236, 0.000236412, 0.000139354, 0.00010778" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0472359");
-            index_3 ("2.14628, 2.33436, 2.4913, 2.97963, 3.18038, 3.3553, 3.51907, 3.56004, 3.64199, 3.75939, 3.856, 4.36823, 4.54496, 4.66699, 4.77879, 4.92787, 5.04898, 5.18349, 5.36283, 5.71385");
+            index_3 ("2.15276, 2.33429, 2.39577, 2.49114, 2.97949, 3.18025, 3.35517, 3.51894, 3.55991, 3.64185, 3.68099, 3.75926, 3.85586, 4.16502, 4.36805, 4.45341, 4.54488, 4.66688, 4.77861, 4.92757, 5.0488, 5.1834, 5.36288, 5.72183, 5.94919");
             values ( \
-              "0.00828668, 0.00980711, 0.0160388, 0.0383859, 0.0463947, 0.0508981, 0.0529319, 0.0529275, 0.0522708, 0.0491654, 0.0451133, 0.0199257, 0.0137245, 0.0104922, 0.00817383, 0.00581415, 0.00439466, 0.00321749, 0.00210701, 0.000887246" \
+              "0.0089141, 0.00983212, 0.0120272, 0.0160572, 0.0384015, 0.0463798, 0.0509135, 0.0529177, 0.05294, 0.0522599, 0.0515198, 0.0491577, 0.0451201, 0.0293007, 0.0199277, 0.016684, 0.0137227, 0.0104915, 0.00817479, 0.00581634, 0.00439518, 0.00321712, 0.00210609, 0.00085955, 0.000562359" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.15867");
-            index_3 ("2.39179, 2.87543, 3.2055, 3.53609, 3.6965, 4.01731, 4.20542, 4.53783, 4.96708, 5.40107, 5.73999, 6.71161, 7.36627, 7.92057, 8.3108, 8.721, 9.41791, 9.86037, 10.5366, 11.4382, 12.3924");
+            index_3 ("2.39277, 2.87551, 3.20556, 3.53614, 3.69655, 4.01736, 4.20547, 4.53788, 4.96713, 5.40112, 5.74004, 6.71166, 7.36632, 7.92062, 8.31085, 8.72106, 9.41797, 9.86042, 10.5366, 11.4382, 12.3867");
             values ( \
-              "0.0235503, 0.0355553, 0.0509155, 0.0610708, 0.0642248, 0.0684093, 0.0696694, 0.0679487, 0.0650226, 0.0599809, 0.054158, 0.0346321, 0.0234976, 0.0164067, 0.0125739, 0.00945777, 0.00574194, 0.00416555, 0.00253464, 0.00126569, 0.000637115" \
+              "0.0236291, 0.0355901, 0.0508816, 0.061105, 0.0641911, 0.0684423, 0.0696701, 0.0679492, 0.065023, 0.0599805, 0.0541578, 0.0346323, 0.0234977, 0.0164068, 0.0125738, 0.00945785, 0.005742, 0.00416563, 0.00253473, 0.00126564, 0.00064098" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.532987");
-            index_3 ("2.5331, 2.98881, 3.52845, 3.86886, 4.21559, 4.32691, 6.84409, 8.21568, 9.63979, 10.2533, 11.1548, 11.927, 12.873, 15.0557, 16.9944, 18.1117, 19.1594, 21.2005, 22.6803, 23.72, 24.8373, 27.0835, 29.085, 31.3196, 35.789, 39.141");
+            index_3 ("2.56043, 3.53789, 4.22551, 8.22561, 9.64973, 10.2633, 11.1647, 12.1953, 15.5879, 17.6624, 19.7249, 22.0749, 24.8393, 28.045, 31.6939");
             values ( \
-              "0.0420934, 0.0421342, 0.0631835, 0.0703647, 0.0750155, 0.0747047, 0.0711777, 0.068919, 0.0659448, 0.0639428, 0.0604499, 0.0563517, 0.0509455, 0.0372466, 0.0267248, 0.021526, 0.0175045, 0.01148, 0.00837056, 0.00676876, 0.0052195, 0.00317174, 0.00201796, 0.00120949, 0.000423137, 0.000240048" \
+              "0.0369871, 0.0631477, 0.0750499, 0.0688766, 0.0659046, 0.063982, 0.0604117, 0.0549383, 0.0342737, 0.0236033, 0.0156792, 0.00960005, 0.00529102, 0.00259577, 0.00125283" \
             );
           }
           vector (ccs_template) {
@@ -98783,7 +100353,7 @@
             index_2 ("0.00124625");
             index_3 ("7.45803, 8.09436, 8.3372, 8.60179, 8.7659, 8.87005, 9.18778, 9.36741, 9.47377, 9.58038, 9.58382, 9.63206, 9.6872, 9.85094, 9.95698, 10.1003, 10.1688, 10.2165, 10.3084, 10.431, 10.5164, 10.6435, 10.8131, 11.1522, 11.6455, 12.2713");
             values ( \
-              "6.1131e-05, 7.99265e-05, 0.000107828, 0.000226786, 0.000435498, 0.000677925, 0.00154851, 0.00200409, 0.00221013, 0.00187548, 0.00189177, 0.00177341, 0.00168947, 0.00154886, 0.00126797, 0.000650181, 0.000448384, 0.000345205, 0.000218075, 0.000132756, 0.000101655, 7.56159e-05, 5.48022e-05, 2.50642e-05, 8.46786e-06, 7.03615e-07" \
+              "6.1131e-05, 7.99265e-05, 0.000107828, 0.000226786, 0.000435498, 0.000677925, 0.00154851, 0.00200409, 0.00221013, 0.00187548, 0.00189177, 0.00177341, 0.00168947, 0.00154886, 0.00126797, 0.000650181, 0.000448384, 0.000345205, 0.000218075, 0.000132756, 0.000101655, 7.56159e-05, 5.48022e-05, 2.50642e-05, 8.46786e-06, 7.03623e-07" \
             );
           }
           vector (ccs_template) {
@@ -98792,7 +100362,7 @@
             index_2 ("0.00418628");
             index_3 ("7.62872, 8.33532, 8.58961, 8.7475, 8.93952, 9.21074, 9.52306, 9.62236, 9.66758, 9.75804, 9.85701, 10.024, 10.0723, 10.1463, 10.2999, 10.3992, 10.4521, 10.5169, 10.6168, 10.664, 10.6848, 10.8099, 10.9766, 11.2596, 12.1392");
             values ( \
-              "0.000243096, 0.00036682, 0.000620193, 0.00102013, 0.00194952, 0.00361706, 0.00545979, 0.00598753, 0.00614111, 0.00596979, 0.00556398, 0.00517206, 0.00502628, 0.00446786, 0.00259137, 0.00161583, 0.00124171, 0.000918834, 0.000549444, 0.000468431, 0.000409098, 0.000253526, 0.000169032, 6.68321e-05, 1e-22" \
+              "0.000243096, 0.00036682, 0.000620193, 0.00102013, 0.00194952, 0.00361706, 0.00545979, 0.00598753, 0.00614111, 0.00596979, 0.00556398, 0.00517206, 0.00502628, 0.00446786, 0.00259137, 0.00161583, 0.00124171, 0.000918834, 0.000549444, 0.000468431, 0.000409098, 0.000253527, 0.000169032, 6.68321e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -98801,7 +100371,7 @@
             index_2 ("0.0140621");
             index_3 ("7.62897, 8.3379, 8.63758, 8.75591, 8.99257, 9.75062, 10.1233, 10.1843, 10.3149, 10.4848, 10.5664, 10.6636, 11.0921, 11.2034, 11.3614, 11.4764, 11.6297, 11.7439");
             values ( \
-              "0.000807774, 0.000990166, 0.00167443, 0.00220047, 0.00376852, 0.0110993, 0.014475, 0.0147631, 0.0149775, 0.0147345, 0.0143405, 0.0133988, 0.00455831, 0.00307158, 0.0016963, 0.00108718, 0.000597108, 0.000423598" \
+              "0.000807774, 0.000990166, 0.00167443, 0.00220047, 0.00376852, 0.0110993, 0.014475, 0.0147631, 0.0149775, 0.0147345, 0.0143405, 0.0133988, 0.00455831, 0.00307158, 0.0016963, 0.00108718, 0.000597108, 0.000423597" \
             );
           }
           vector (ccs_template) {
@@ -98996,9 +100566,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0472359");
-            index_3 ("0.129853, 0.201997, 0.250776, 0.25984, 0.264788, 0.271421, 0.277092, 0.288433, 0.301323, 0.314399, 0.442505, 0.470353, 0.498643, 0.525344, 0.560944, 0.592326, 0.638963, 0.667736, 0.750024, 0.808592, 0.85677, 0.883873, 0.938078, 0.958086, 0.981359, 1.01239, 1.07445, 1.10534, 1.15118, 1.2123, 1.33455, 1.51074, 1.72639");
+            index_3 ("0.129853, 0.201997, 0.250776, 0.25984, 0.264788, 0.271421, 0.277092, 0.288433, 0.301323, 0.314399, 0.442505, 0.470353, 0.498643, 0.525344, 0.560944, 0.592326, 0.638963, 0.667736, 0.750024, 0.808592, 0.85677, 0.883873, 0.938078, 0.958086, 0.981358, 1.01239, 1.07445, 1.10534, 1.15118, 1.21231, 1.33457, 1.51077, 1.72643");
             values ( \
-              "-0.00994132, -0.0832873, -0.126036, -0.13346, -0.134927, -0.134811, -0.13431, -0.134284, -0.133803, -0.133707, -0.131212, -0.13152, -0.132331, -0.133468, -0.132728, -0.12901, -0.118076, -0.108773, -0.0793979, -0.0601876, -0.0467278, -0.0402733, -0.0294071, -0.026128, -0.0227234, -0.0188181, -0.0127327, -0.0104817, -0.0078315, -0.00528244, -0.00229798, -0.000646219, -0.000128547" \
+              "-0.00994132, -0.0832873, -0.126036, -0.13346, -0.134927, -0.134811, -0.13431, -0.134284, -0.133803, -0.133707, -0.131212, -0.13152, -0.132331, -0.133468, -0.132728, -0.12901, -0.118076, -0.108773, -0.079398, -0.0601877, -0.0467278, -0.0402732, -0.029407, -0.026128, -0.0227234, -0.0188183, -0.012733, -0.0104817, -0.00783127, -0.00528206, -0.0022976, -0.000646092, -0.000128515" \
             );
           }
           vector (ccs_template) {
@@ -99025,7 +100595,7 @@
             index_2 ("0.00124625");
             index_3 ("0.404498, 0.46352, 0.487387, 0.504025, 0.520662, 0.536331, 0.59605, 0.632249, 0.660943, 0.68031, 0.68237, 0.694727, 0.701374, 0.708997, 0.720763, 0.735782, 0.744153, 0.749684, 0.757776, 0.769243, 0.770775, 0.773839, 0.779966, 0.792221, 0.813182, 0.839014, 0.874024, 0.924589, 1.01454, 1.04082, 1.05764, 1.08198, 1.14019");
             values ( \
-              "-0.000341445, -0.0011795, -0.00166281, -0.0020675, -0.00256385, -0.00312275, -0.00544155, -0.0069546, -0.00868674, -0.011852, -0.0129473, -0.0171914, -0.0185304, -0.0193573, -0.0188299, -0.0109623, -0.00721056, -0.00535413, -0.00334855, -0.00167962, -0.0016416, -0.00129915, -0.000987148, -0.000453972, -0.000223972, -5.43552e-05, -9.19003e-05, -1e-22, -5.2364e-05, -1e-22, -0.000134859, -1.90491e-05, -1.80465e-05" \
+              "-0.000341445, -0.0011795, -0.00166281, -0.0020675, -0.00256385, -0.00312275, -0.00544155, -0.0069546, -0.00868674, -0.011852, -0.0129473, -0.0171914, -0.0185304, -0.0193573, -0.0188299, -0.0109623, -0.00721056, -0.00535413, -0.00334855, -0.00167962, -0.0016416, -0.00129915, -0.000987148, -0.000453972, -0.000223972, -5.43552e-05, -9.19002e-05, -1e-22, -5.23639e-05, -1e-22, -0.000134859, -1.90491e-05, -1.80465e-05" \
             );
           }
           vector (ccs_template) {
@@ -99061,7 +100631,7 @@
             index_2 ("0.15867");
             index_3 ("0.519624, 0.643222, 0.90941, 1.03978, 1.0597, 1.70569, 1.87446, 1.98003, 2.12791, 2.32178, 2.62018, 2.75745, 2.85275, 2.96487, 3.11241, 3.24596, 3.42402, 3.56504, 3.72052, 3.92784, 4.3102, 4.8578, 5.50857");
             values ( \
-              "-0.0410527, -0.0514171, -0.11924, -0.148835, -0.149115, -0.141462, -0.13874, -0.134254, -0.122734, -0.101895, -0.0683758, -0.055032, -0.0469889, -0.0387947, -0.0296594, -0.0231491, -0.0165253, -0.0124865, -0.00919062, -0.00611821, -0.00268214, -0.000818217, -0.000134135" \
+              "-0.0410527, -0.0514171, -0.11924, -0.148835, -0.149115, -0.141462, -0.13874, -0.134254, -0.122734, -0.101895, -0.0683758, -0.055032, -0.0469889, -0.0387947, -0.0296594, -0.0231491, -0.0165253, -0.0124865, -0.00919062, -0.00611822, -0.00268214, -0.000818217, -0.000134135" \
             );
           }
           vector (ccs_template) {
@@ -99077,9 +100647,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("1.46217, 1.68118, 1.74826, 1.82258, 1.8633, 1.91754, 1.94429, 1.99779, 2.07184, 2.15597, 2.22121, 2.26347, 2.26914, 2.30005, 2.32558, 2.35032, 2.36889, 2.40223, 2.421, 2.44059, 2.45737, 2.48616, 2.52213, 2.64143, 2.99606, 3.69898");
+            index_3 ("1.46218, 1.68118, 1.74826, 1.82258, 1.8633, 1.91754, 1.94429, 1.99779, 2.07184, 2.15597, 2.22121, 2.26347, 2.26914, 2.30005, 2.32558, 2.35032, 2.36889, 2.40223, 2.421, 2.44059, 2.45737, 2.48616, 2.52213, 2.64143, 2.99606, 3.69898");
             values ( \
-              "-8.57211e-05, -0.000324172, -0.000451846, -0.000659427, -0.000810587, -0.00107805, -0.00125269, -0.00166156, -0.00229049, -0.00305214, -0.00382515, -0.00526737, -0.00603542, -0.008541, -0.00899401, -0.00915995, -0.00809344, -0.0032785, -0.00153487, -0.000682608, -0.000345462, -0.00013498, -7.09767e-05, -2.37918e-05, -2.2394e-07, -1e-22" \
+              "-8.57211e-05, -0.000324172, -0.000451846, -0.000659427, -0.000810587, -0.00107805, -0.00125269, -0.00166156, -0.00229049, -0.00305214, -0.00382515, -0.00526737, -0.00603542, -0.008541, -0.00899401, -0.00915995, -0.00809344, -0.0032785, -0.00153487, -0.000682608, -0.000345462, -0.00013498, -7.09767e-05, -2.37918e-05, -2.23987e-07, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -99140,9 +100710,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00418628");
-            index_3 ("6.03449, 6.60808, 6.85191, 7.01238, 7.33333, 7.42814, 7.61777, 7.81275, 8.04877, 8.19313, 8.23231, 8.31067, 8.3375, 8.37323, 8.435, 8.47289, 8.54868, 8.56254, 8.59026, 8.65138, 8.7184, 8.74644, 8.7867, 8.82705, 8.85123, 8.88944, 8.93002, 8.96787, 9.04356, 9.14533, 9.28433, 9.49366, 9.9123, 10.4869");
+            index_3 ("6.03449, 6.60808, 6.85191, 7.01238, 7.33333, 7.42814, 7.61778, 7.81275, 8.04877, 8.19313, 8.23231, 8.31067, 8.3375, 8.37323, 8.435, 8.47289, 8.54868, 8.56254, 8.59026, 8.65138, 8.7184, 8.74644, 8.7867, 8.82705, 8.85123, 8.88944, 8.93002, 8.96787, 9.04356, 9.14533, 9.28433, 9.49366, 9.9123, 10.4869");
             values ( \
-              "-0.000319926, -0.000337061, -0.000483773, -0.000617664, -0.00104382, -0.00128398, -0.00190193, -0.00272631, -0.00366577, -0.00434763, -0.0045933, -0.00526951, -0.00574149, -0.00649328, -0.00798906, -0.0082583, -0.00857488, -0.00847691, -0.00854626, -0.00833413, -0.00788041, -0.00711885, -0.00542405, -0.00329195, -0.00237296, -0.00124267, -0.000657872, -0.00043066, -0.000163319, -0.000151566, -8.55346e-05, -9.52813e-05, -1.80652e-05, -2.7896e-05" \
+              "-0.000319926, -0.000337062, -0.000483773, -0.000617664, -0.00104382, -0.00128398, -0.00190193, -0.00272631, -0.00366577, -0.00434763, -0.0045933, -0.00526951, -0.00574149, -0.00649328, -0.00798906, -0.0082583, -0.00857488, -0.00847691, -0.00854626, -0.00833413, -0.00788041, -0.00711885, -0.00542405, -0.00329195, -0.00237296, -0.00124267, -0.000657872, -0.00043066, -0.000163319, -0.000151566, -8.55346e-05, -9.52813e-05, -1.80653e-05, -2.7896e-05" \
             );
           }
           vector (ccs_template) {
@@ -99151,7 +100721,7 @@
             index_2 ("0.0140621");
             index_3 ("6.06225, 6.76183, 7.03254, 7.12565, 7.31186, 7.54867, 7.63285, 7.74509, 8.02762, 8.45297, 8.55075, 8.74246, 8.75831, 8.80738, 8.87282, 8.94929, 9.04049, 9.17936, 9.28349, 9.32442, 9.46885, 9.54615, 9.58905, 9.64625, 9.76065, 9.90058, 10.0814, 10.7577, 11.4806");
             values ( \
-              "-0.000822923, -0.00118312, -0.00172258, -0.00196075, -0.00255749, -0.00368247, -0.00424107, -0.00510606, -0.00751324, -0.0110146, -0.0119055, -0.0144793, -0.01508, -0.0162377, -0.0171927, -0.0177556, -0.0181386, -0.0183402, -0.0172667, -0.0157474, -0.00685314, -0.00350784, -0.0023691, -0.0014051, -0.00045461, -0.00019917, -0.000101645, -2.50506e-05, -2.43372e-05" \
+              "-0.000822923, -0.00118312, -0.00172258, -0.00196075, -0.00255749, -0.00368247, -0.00424107, -0.00510606, -0.00751324, -0.0110146, -0.0119055, -0.0144793, -0.01508, -0.0162377, -0.0171927, -0.0177555, -0.0181386, -0.0183402, -0.0172667, -0.0157474, -0.00685314, -0.00350784, -0.0023691, -0.0014051, -0.00045461, -0.00019917, -0.000101645, -2.50506e-05, -2.43372e-05" \
             );
           }
           vector (ccs_template) {
@@ -99190,7 +100760,7 @@
             "0.00223293, 0.00223805, 0.00224607, 0.00225213, 0.00225485, 0.00225576", \
             "0.00233803, 0.00233845, 0.00234109, 0.00234372, 0.00234562, 0.00234649", \
             "0.00238542, 0.00238548, 0.00238635, 0.00238673, 0.0023874, 0.00238794", \
-            "0.00243645, 0.00243488, 0.00243245, 0.00243074, 0.00243013, 0.00243009", \
+            "0.00243661, 0.00243477, 0.00243237, 0.00243068, 0.00243005, 0.00243001", \
             "0.00245929, 0.0024582, 0.00245552, 0.00245024, 0.0024432, 0.00243811" \
           );
         }
@@ -99202,7 +100772,7 @@
             "0.00259928, 0.0025995, 0.0025965, 0.00259187, 0.00258996, 0.00258954", \
             "0.00268562, 0.00268334, 0.0026794, 0.00267623, 0.0026741, 0.00267318", \
             "0.00317889, 0.00295629, 0.00279434, 0.00271756, 0.00268896, 0.00267919", \
-            "0.00352627, 0.00352611, 0.00333521, 0.00282634, 0.0026867, 0.00264149", \
+            "0.00352604, 0.00352629, 0.0033353, 0.00282642, 0.00268678, 0.00263939", \
             "0.0034949, 0.00349695, 0.00350137, 0.00347135, 0.00287363, 0.0026941" \
           );
         }
@@ -99975,7 +101545,7 @@
             "0.0664555, 0.104819, 0.229509, 0.644214, 2.03502, 6.70538", \
             "0.0740083, 0.112425, 0.238627, 0.654178, 2.04545, 6.71593", \
             "0.117305, 0.154757, 0.279961, 0.695908, 2.08825, 6.75913", \
-            "0.222412, 0.292204, 0.457992, 0.870984, 2.26022, 6.92242", \
+            "0.222412, 0.29222, 0.457992, 0.870984, 2.26022, 6.92242", \
             "0.460916, 0.580512, 0.884519, 1.54608, 2.97463, 7.62556", \
             "1.15094, 1.34825, 1.85479, 3.0253, 5.51809, 10.5443" \
           );
@@ -99987,7 +101557,7 @@
             "0.0740926, 0.127341, 0.303831, 0.894476, 2.87678, 9.53597", \
             "0.0734317, 0.1272, 0.303824, 0.894492, 2.87675, 9.53583", \
             "0.0856236, 0.130971, 0.303696, 0.894441, 2.87678, 9.53541", \
-            "0.155965, 0.216721, 0.362777, 0.895763, 2.877, 9.53382", \
+            "0.155965, 0.216702, 0.362777, 0.895763, 2.877, 9.53382", \
             "0.32425, 0.427724, 0.681374, 1.22045, 2.91213, 9.53386", \
             "0.846402, 1.00242, 1.40631, 2.36696, 4.34029, 9.81911" \
           );
@@ -99999,7 +101569,7 @@
             "0.0311683, 0.0491069, 0.107593, 0.302528, 0.955673, 3.14582", \
             "0.0399564, 0.0583166, 0.117223, 0.312626, 0.9659, 3.15608", \
             "0.0630567, 0.0911872, 0.155316, 0.351046, 1.00467, 3.19505", \
-            "0.0800052, 0.136046, 0.261547, 0.50867, 1.16035, 3.3494", \
+            "0.0800052, 0.136046, 0.26135, 0.50867, 1.16035, 3.3494", \
             "0.0189732, 0.124729, 0.366064, 0.855647, 1.78504, 3.98456", \
             "-0.443005, -0.263465, 0.184106, 1.12022, 2.94912, 6.3904" \
           );
@@ -100011,7 +101581,7 @@
             "0.0318003, 0.0547497, 0.131962, 0.391459, 1.26307, 4.19051", \
             "0.0323919, 0.0547416, 0.131946, 0.391414, 1.26295, 4.19205", \
             "0.0561395, 0.0749969, 0.137206, 0.391409, 1.26308, 4.19144", \
-            "0.125613, 0.157454, 0.236066, 0.437099, 1.26312, 4.19107", \
+            "0.125613, 0.157454, 0.23624, 0.437099, 1.26312, 4.19107", \
             "0.311753, 0.368713, 0.51224, 0.827688, 1.51501, 4.19053", \
             "0.876319, 0.973234, 1.23954, 1.81945, 3.00402, 5.42006" \
           );
@@ -100023,7 +101593,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0238258, 0.0265988, 0.0297592, 0.0336317, 0.0381835, 0.0432885, 0.0534767, 0.063993, 0.0745527, 0.0749635, 0.0782494, 0.0816878, 0.0852434, 0.0970832, 0.100511, 0.105082, 0.114223, 0.123389, 0.130161, 0.143705, 0.153752, 0.168675, 0.184869, 0.204468, 0.22202, 0.241477, 0.256595, 0.28683, 0.338646, 0.400998");
             values ( \
-              "0.0053495, 0.0271136, 0.0255421, 0.0242027, 0.0232227, 0.0224183, 0.021591, 0.021153, 0.021121, 0.0212269, 0.0214052, 0.0212136, 0.0201698, 0.0149165, 0.0137188, 0.012275, 0.0099308, 0.00818828, 0.00710166, 0.00534699, 0.00435875, 0.0032004, 0.00228488, 0.00151647, 0.00104739, 0.000698106, 0.000508015, 0.000265823, 8.16759e-05, 2.04122e-05" \
+              "0.0053495, 0.0271136, 0.0255421, 0.0242027, 0.0232227, 0.0224183, 0.021591, 0.021153, 0.021121, 0.0212269, 0.0214052, 0.0212136, 0.0201698, 0.0149165, 0.0137188, 0.012275, 0.0099308, 0.00818828, 0.00710166, 0.00534699, 0.00435875, 0.0032004, 0.00228488, 0.00151647, 0.00104739, 0.000698106, 0.000508015, 0.000265823, 8.1676e-05, 2.04122e-05" \
             );
           }
           vector (ccs_template) {
@@ -100032,7 +101602,7 @@
             index_2 ("0.00418628");
             index_3 ("0.0238681, 0.0289255, 0.0334053, 0.0402884, 0.0437247, 0.0483065, 0.05747, 0.0606289, 0.112624, 0.113724, 0.118127, 0.123998, 0.132185, 0.147997, 0.1662, 0.17917, 0.199603, 0.223635, 0.234319, 0.254073, 0.276648, 0.300853, 0.318513, 0.343525, 0.376241, 0.419863, 0.490544, 0.574785");
             values ( \
-              "0.00752779, 0.0521347, 0.0487588, 0.0457014, 0.044881, 0.0442102, 0.0431593, 0.0429302, 0.0400387, 0.0401242, 0.0399463, 0.0394261, 0.0375506, 0.031463, 0.0253398, 0.0216111, 0.0167761, 0.0122982, 0.0107014, 0.00822313, 0.0060291, 0.00432022, 0.00337661, 0.00236925, 0.00149197, 0.000792967, 0.000266172, 7.30662e-05" \
+              "0.00752779, 0.0521347, 0.0487588, 0.0457014, 0.044881, 0.0442102, 0.0431593, 0.0429302, 0.0400387, 0.0401242, 0.0399463, 0.0394261, 0.0375506, 0.031463, 0.0253398, 0.0216111, 0.0167761, 0.0122982, 0.0107014, 0.00822313, 0.0060291, 0.00432022, 0.00337661, 0.00236925, 0.00149197, 0.000792967, 0.000266172, 7.30661e-05" \
             );
           }
           vector (ccs_template) {
@@ -100131,7 +101701,7 @@
             index_2 ("0.00124625");
             index_3 ("0.140198, 0.155898, 0.16408, 0.168875, 0.204486, 0.220949, 0.247456, 0.258782, 0.260164, 0.26209, 0.271205, 0.276556, 0.286043, 0.291382, 0.298792, 0.308043, 0.320218, 0.326969, 0.339826, 0.353391, 0.365725, 0.382172, 0.396168, 0.41603, 0.442512, 0.489826, 0.54564, 0.616202");
             values ( \
-              "0.00171481, 0.00400418, 0.00545028, 0.00652189, 0.012409, 0.0148395, 0.0191047, 0.0205168, 0.0191816, 0.0196549, 0.0150922, 0.012899, 0.010196, 0.00906138, 0.00773269, 0.00637796, 0.00494219, 0.0043085, 0.00330164, 0.00249346, 0.00193044, 0.00135915, 0.00101983, 0.000673851, 0.000377284, 0.000134864, 3.42104e-05, 1.1668e-05" \
+              "0.00171481, 0.00400418, 0.00545028, 0.00652189, 0.012409, 0.0148395, 0.0191047, 0.0205168, 0.0191816, 0.0196549, 0.0150922, 0.012899, 0.010196, 0.00906138, 0.00773269, 0.00637796, 0.00494219, 0.0043085, 0.00330164, 0.00249346, 0.00193044, 0.00135915, 0.00101983, 0.000673851, 0.000377285, 0.000134864, 3.42105e-05, 1.16679e-05" \
             );
           }
           vector (ccs_template) {
@@ -100167,7 +101737,7 @@
             index_2 ("0.15867");
             index_3 ("0.211405, 0.211425, 0.775681, 1.36802, 1.78959, 2.1477, 2.36191, 2.64752, 2.84255, 3.1951, 3.9666, 4.19243, 4.64409, 4.96798, 5.41274, 5.76647, 6.05603, 6.40808, 6.90554, 7.23022, 7.83868, 8.64995, 9.78567, 10.9214, 12.0571");
             values ( \
-              "1e-22, 0.0784337, 0.073036, 0.0702108, 0.0679477, 0.0656001, 0.0636596, 0.060035, 0.0568755, 0.0501398, 0.0345521, 0.0303983, 0.0231022, 0.0187614, 0.0139353, 0.0109221, 0.00891754, 0.00693091, 0.00483574, 0.00381218, 0.00243567, 0.00132213, 0.00053393, 0.000222476, 8.56573e-05" \
+              "1e-22, 0.0784337, 0.073036, 0.0702108, 0.0679477, 0.0656001, 0.0636596, 0.060035, 0.0568755, 0.0501398, 0.0345521, 0.0303983, 0.0231022, 0.0187614, 0.0139353, 0.0109221, 0.00891754, 0.00693091, 0.00483574, 0.00381218, 0.00243567, 0.00132213, 0.00053393, 0.000222476, 8.56572e-05" \
             );
           }
           vector (ccs_template) {
@@ -100192,9 +101762,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00418628");
-            index_3 ("0.464542, 0.533555, 0.559726, 0.583386, 0.620874, 0.653173, 0.691533, 0.777384, 0.812522, 0.843611, 0.849373, 0.855519, 0.867811, 0.872754, 0.88264, 0.902412, 0.918948, 0.93112, 0.955463, 0.981356, 0.99849, 1.02172, 1.04063, 1.04248, 1.0462, 1.04976, 1.06729, 1.10038, 1.12499, 1.17419, 1.24536, 1.33121");
+            index_3 ("0.483181, 0.560438, 0.583625, 0.620615, 0.653813, 0.691505, 0.777399, 0.812534, 0.843621, 0.849381, 0.855525, 0.867813, 0.872763, 0.882665, 0.902467, 0.918875, 0.930952, 0.955105, 0.981778, 0.998773, 1.02861, 1.04062, 1.04286, 1.04717, 1.07069, 1.09333, 1.11075, 1.13398, 1.18044, 1.25408, 1.34341");
             values ( \
-              "0.0015798, 0.00278946, 0.00412149, 0.00592645, 0.0093961, 0.0120181, 0.0147826, 0.0202659, 0.0226406, 0.0258591, 0.0261033, 0.0261965, 0.0255938, 0.0248862, 0.023033, 0.0185047, 0.0155149, 0.0134973, 0.0100885, 0.00735296, 0.00589767, 0.00433959, 0.00337355, 0.00383645, 0.00414888, 0.00409161, 0.00326605, 0.00204592, 0.00144716, 0.000694395, 0.000236802, 5.5959e-05" \
+              "0.00213404, 0.00417507, 0.00594595, 0.00937476, 0.0120678, 0.014781, 0.020267, 0.0226423, 0.0258599, 0.0261044, 0.0261975, 0.0255953, 0.0248868, 0.02303, 0.0184946, 0.0155295, 0.0135253, 0.0101343, 0.00731468, 0.00587702, 0.00396244, 0.00337432, 0.0038656, 0.00415607, 0.0031196, 0.00226407, 0.00177343, 0.0012672, 0.000646736, 0.000200667, 5.3484e-05" \
             );
           }
           vector (ccs_template) {
@@ -100239,7 +101809,7 @@
             index_2 ("0.00124625");
             index_3 ("1.65166, 1.95818, 2.02073, 2.08328, 2.14594, 2.20912, 2.34955, 2.54334, 2.59213, 2.61159, 2.63229, 2.67347, 2.67874, 2.73554, 2.78124, 2.82289, 2.8509, 2.89795, 2.96069, 3.04221, 3.14551, 3.40321");
             values ( \
-              "7.43367e-05, 0.000218632, 0.000306851, 0.000433343, 0.000690134, 0.0011817, 0.00266365, 0.00427684, 0.00525084, 0.00541408, 0.0054881, 0.00532186, 0.00517769, 0.00267665, 0.00141142, 0.000768241, 0.00050877, 0.000252616, 9.66244e-05, 2.90612e-05, 1.04345e-05, 8.09967e-06" \
+              "7.43367e-05, 0.000218632, 0.000306851, 0.000433343, 0.000690134, 0.0011817, 0.00266365, 0.00427684, 0.00525084, 0.00541408, 0.0054881, 0.00532186, 0.00517769, 0.00267665, 0.00141142, 0.000768241, 0.00050877, 0.000252616, 9.66244e-05, 2.90612e-05, 1.04344e-05, 8.09965e-06" \
             );
           }
           vector (ccs_template) {
@@ -100248,7 +101818,7 @@
             index_2 ("0.00418628");
             index_3 ("1.66002, 1.97578, 2.02775, 2.08318, 2.1518, 2.18214, 2.2226, 2.38065, 2.47136, 2.51043, 2.66285, 2.73327, 2.75551, 2.78515, 2.84277, 2.93046, 2.98019, 3.00662, 3.04186, 3.06859, 3.10962, 3.16433, 3.25832, 3.3693, 3.50997, 4.16463, 4.243, 4.34937");
             values ( \
-              "0.000231753, 0.000723418, 0.000922734, 0.00122384, 0.00182418, 0.00223348, 0.00296307, 0.00644129, 0.00812913, 0.00876645, 0.011014, 0.0127172, 0.0129859, 0.0131514, 0.0125816, 0.00664052, 0.00414153, 0.00316432, 0.00216365, 0.00161111, 0.00101211, 0.000527484, 0.000154765, 3.7475e-05, 8.9831e-06, 2.94235e-06, 0.000149186, 3.4024e-05" \
+              "0.000231753, 0.000723418, 0.000922734, 0.00122384, 0.00182418, 0.00223348, 0.00296307, 0.00644129, 0.00812913, 0.00876645, 0.011014, 0.0127172, 0.0129859, 0.0131514, 0.0125816, 0.00664052, 0.00414153, 0.00316432, 0.00216365, 0.00161111, 0.00101211, 0.000527484, 0.000154765, 3.7475e-05, 8.9831e-06, 2.94236e-06, 0.000149186, 3.4024e-05" \
             );
           }
           vector (ccs_template) {
@@ -100349,7 +101919,7 @@
             "0.00195677, 0.00201288, 0.00205278, 0.00207045, 0.00207649, 0.00207837", \
             "0.00238639, 0.00244914, 0.00251649, 0.00255351, 0.00256716, 0.0025715", \
             "0.00268344, 0.00268391, 0.00269619, 0.00271283, 0.00272229, 0.00272582", \
-            "0.00292193, 0.00287569, 0.00281708, 0.00278762, 0.00277267, 0.00276874", \
+            "0.00292193, 0.00287569, 0.00281832, 0.00278762, 0.00277267, 0.00276874", \
             "0.00314068, 0.00303554, 0.00293662, 0.0028671, 0.00281581, 0.00278977", \
             "0.00336823, 0.0032724, 0.00310931, 0.00296504, 0.00288523, 0.00282444" \
           );
@@ -100361,7 +101931,7 @@
             "0.00256111, 0.0026179, 0.00266746, 0.00268618, 0.00269174, 0.00269337", \
             "0.00282017, 0.0026881, 0.00257936, 0.00253354, 0.00251559, 0.00250983", \
             "0.00316299, 0.00285963, 0.0025689, 0.00242804, 0.00237403, 0.00235713", \
-            "0.0034381, 0.00349573, 0.0029606, 0.00254324, 0.00238997, 0.00233434", \
+            "0.0034381, 0.00349573, 0.00295914, 0.00254324, 0.00238997, 0.00233434", \
             "0.00308881, 0.00326363, 0.00342819, 0.00316202, 0.00257379, 0.00238642", \
             "0.00271275, 0.00287186, 0.0031459, 0.00338521, 0.00335766, 0.00262586" \
           );
@@ -100535,7 +102105,7 @@
             index_2 ("0.00124625");
             index_3 ("0.431108, 0.474165, 0.481212, 0.495306, 0.52063, 0.53882, 0.561713, 0.581382, 0.591211, 0.60002, 0.612009, 0.615103, 0.620592, 0.625775, 0.630554, 0.635799, 0.641374, 0.655024, 0.664501, 0.678133, 0.700372, 0.714094, 0.72167, 0.731108, 0.743692, 0.765352, 0.791081, 0.823397, 1.04079, 1.06435");
             values ( \
-              "-0.00167152, -0.00261543, -0.00305357, -0.00414943, -0.00700559, -0.00883166, -0.0107761, -0.0120546, -0.0120247, -0.0110418, -0.00830865, -0.00777501, -0.00738692, -0.00852461, -0.0105769, -0.0116038, -0.0120912, -0.0124357, -0.0122448, -0.0103625, -0.00512693, -0.0029112, -0.00204455, -0.00130638, -0.000714073, -0.000206015, -6.80807e-05, -7.02275e-06, -1.29598e-05, -0.000130648" \
+              "-0.00167152, -0.00261543, -0.00305357, -0.00414943, -0.00700559, -0.00883166, -0.0107761, -0.0120546, -0.0120247, -0.0110418, -0.00830865, -0.00777501, -0.00738692, -0.00852461, -0.0105769, -0.0116038, -0.0120912, -0.0124357, -0.0122448, -0.0103625, -0.00512693, -0.0029112, -0.00204455, -0.00130638, -0.000714073, -0.000206015, -6.80807e-05, -7.02277e-06, -1.29598e-05, -0.000130648" \
             );
           }
           vector (ccs_template) {
@@ -100551,9 +102121,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0140621");
-            index_3 ("0.449785, 0.491495, 0.520711, 0.654154, 0.702456, 0.743945, 0.760355, 0.771385, 0.804404, 0.820539, 0.835604, 0.854719, 0.871578, 0.890177, 0.948584, 0.979129, 0.999904, 1.02365, 1.04087, 1.04605, 1.09124, 1.12908, 1.13533");
+            index_3 ("0.441027, 0.482641, 0.519573, 0.53373, 0.629504, 0.703099, 0.744605, 0.761022, 0.772063, 0.78273, 0.793615, 0.805105, 0.807237, 0.821248, 0.828529, 0.836321, 0.84598, 0.855443, 0.872308, 0.890911, 0.939709, 0.968554, 0.982842, 1.00066, 1.02441, 1.04175, 1.0472, 1.07356, 1.09386, 1.11766");
             values ( \
-              "-0.00636422, -0.0115855, -0.0167854, -0.0473898, -0.0573552, -0.0648006, -0.0667939, -0.0670668, -0.0635738, -0.0718993, -0.0743912, -0.0750382, -0.0725555, -0.0650828, -0.0341513, -0.02169, -0.0155275, -0.0103563, -0.00768736, -0.00785639, -0.00347868, -0.00170802, -0.00158265" \
+              "-0.00579173, -0.0100847, -0.0164095, -0.0193649, -0.0419352, -0.0572788, -0.0647637, -0.0667322, -0.0670285, -0.0660872, -0.0643844, -0.0635369, -0.0638329, -0.0718679, -0.0734435, -0.0743593, -0.074966, -0.0750111, -0.0725332, -0.0650703, -0.03889, -0.0258086, -0.0207019, -0.0155245, -0.0103526, -0.00766878, -0.00781115, -0.00495762, -0.00336016, -0.00229261" \
             );
           }
           vector (ccs_template) {
@@ -100598,7 +102168,7 @@
             index_2 ("0.00418628");
             index_3 ("1.37551, 1.59627, 1.66463, 1.70604, 1.76993, 1.79294, 1.83895, 1.89321, 2.04629, 2.0838, 2.12655, 2.14665, 2.16711, 2.18524, 2.22189, 2.23147, 2.23444, 2.24827, 2.26156, 2.30313, 2.32633, 2.37757, 2.41601, 2.47734, 2.51035, 2.53598, 2.55682, 2.5985, 2.65446, 2.72497, 2.97312, 3.2648");
             values ( \
-              "-0.000184477, -0.000703151, -0.00116835, -0.00150478, -0.00216698, -0.00249009, -0.00327175, -0.00470447, -0.0100424, -0.0111966, -0.0123356, -0.0127397, -0.0128642, -0.0124149, -0.0100472, -0.00970436, -0.00970421, -0.0120905, -0.0135972, -0.0142939, -0.014569, -0.0148557, -0.0137429, -0.0062839, -0.00321449, -0.00184031, -0.00117441, -0.000447397, -0.000184402, -8.18907e-05, -7.34007e-06, -1.36605e-05" \
+              "-0.000184477, -0.000703151, -0.00116835, -0.00150478, -0.00216698, -0.00249009, -0.00327175, -0.00470447, -0.0100424, -0.0111966, -0.0123356, -0.0127397, -0.0128642, -0.0124149, -0.0100472, -0.00970436, -0.00970421, -0.0120905, -0.0135972, -0.0142938, -0.014569, -0.0148557, -0.0137429, -0.0062839, -0.00321449, -0.00184031, -0.00117441, -0.000447397, -0.000184402, -8.18905e-05, -7.33987e-06, -1.36607e-05" \
             );
           }
           vector (ccs_template) {
@@ -100607,7 +102177,7 @@
             index_2 ("0.0140621");
             index_3 ("1.55494, 1.73598, 1.79707, 1.85269, 1.93753, 2.01054, 2.16246, 2.27186, 2.36369, 2.39323, 2.41428, 2.46935, 2.48271, 2.49287, 2.50696, 2.51929, 2.53099, 2.55164, 2.60418, 2.62669, 2.67483, 2.72794, 2.80051, 2.84988, 2.87716, 2.9102, 2.95425, 3.03126, 3.12072, 3.23186");
             values ( \
-              "-0.00197271, -0.003623, -0.00487999, -0.00630015, -0.00922123, -0.0127322, -0.0205533, -0.0257373, -0.0294658, -0.0301073, -0.0300879, -0.0281065, -0.0295673, -0.0317529, -0.0328643, -0.0334055, -0.0336213, -0.0343386, -0.0355281, -0.0357549, -0.0357933, -0.0311099, -0.0177659, -0.0103165, -0.00736381, -0.00480065, -0.00261379, -0.000785919, -0.00018752, -3.0273e-05" \
+              "-0.00197271, -0.003623, -0.00487999, -0.00630015, -0.00922123, -0.0127322, -0.0205533, -0.0257373, -0.0294658, -0.0301073, -0.0300879, -0.0281065, -0.0295673, -0.0317529, -0.0328643, -0.0334055, -0.0336213, -0.0343386, -0.0355281, -0.0357549, -0.0357933, -0.0311099, -0.0177659, -0.0103165, -0.00736381, -0.00480065, -0.00261379, -0.000785919, -0.00018752, -3.02729e-05" \
             );
           }
           vector (ccs_template) {
@@ -100643,7 +102213,7 @@
             index_2 ("0.00124625");
             index_3 ("4.80197, 5.31462, 5.99557, 6.324, 6.54552, 6.76484, 6.87271, 7.01655, 7.13372, 7.1761, 7.2326, 7.41698, 7.5597, 7.6167, 7.69271, 7.71667, 7.76459, 7.84102, 7.88398, 7.89075, 7.92347, 7.95214, 7.97583, 8.00484, 8.03357, 8.09102, 8.16288, 8.29182, 8.29668, 8.33777, 8.38115, 8.435, 8.53111, 8.55279, 8.58545, 8.629, 8.70375, 8.79327, 8.89539, 9.04723, 9.08345, 9.15589, 9.30077, 9.59054, 10.1701, 10.8924, 11.6147");
             values ( \
-              "-4.19789e-06, -1.0094e-05, -4.65935e-05, -9.11905e-05, -0.000130358, -0.00019381, -0.000242159, -0.000335333, -0.000475353, -0.000552258, -0.000682977, -0.00121064, -0.00152228, -0.00160736, -0.00167043, -0.00165963, -0.00153418, -0.00104631, -0.000930732, -0.000921097, -0.00152085, -0.0017099, -0.00168073, -0.00172611, -0.00171957, -0.00174306, -0.00173404, -0.001696, -0.00168651, -0.00164788, -0.00157695, -0.00139402, -0.000657989, -0.000509148, -0.000343178, -0.000214639, -0.000113956, -8.63197e-05, -7.47483e-05, -5.52969e-05, -5.84971e-05, -4.70333e-05, -4.49111e-05, -2.60158e-05, -1.73021e-05, -1.96568e-06, -4.49522e-06" \
+              "-4.19789e-06, -1.0094e-05, -4.65935e-05, -9.11905e-05, -0.000130358, -0.00019381, -0.000242159, -0.000335333, -0.000475353, -0.000552258, -0.000682977, -0.00121064, -0.00152228, -0.00160736, -0.00167043, -0.00165963, -0.00153418, -0.00104631, -0.000930732, -0.000921097, -0.00152085, -0.0017099, -0.00168073, -0.00172611, -0.00171957, -0.00174306, -0.00173404, -0.001696, -0.00168651, -0.00164788, -0.00157695, -0.00139402, -0.000657989, -0.000509148, -0.000343178, -0.000214639, -0.000113956, -8.63197e-05, -7.47483e-05, -5.52969e-05, -5.84971e-05, -4.70333e-05, -4.49111e-05, -2.60158e-05, -1.73021e-05, -1.9657e-06, -4.49519e-06" \
             );
           }
           vector (ccs_template) {
@@ -100688,7 +102258,7 @@
             index_2 ("0.532987");
             index_3 ("7.49206, 8.8813, 11.0041, 12.8922, 13.6533, 14.4693, 15.1884, 15.5186, 16.179, 16.2979, 16.6614, 17.6257, 18.133, 18.624, 19.3798, 19.6547, 20.1166, 20.5141, 21.0725, 21.7247, 22.4471, 23.1694, 23.8917, 24.6141, 26.7811");
             values ( \
-              "-0.0234228, -0.0304137, -0.0647268, -0.0929741, -0.103339, -0.113553, -0.121135, -0.123622, -0.122726, -0.120835, -0.112408, -0.0791731, -0.0631288, -0.049743, -0.0334751, -0.0288014, -0.0222524, -0.0177198, -0.0127829, -0.00868819, -0.00560334, -0.00360483, -0.00230807, -0.0014805, -0.000384074" \
+              "-0.0234228, -0.0304137, -0.0647268, -0.0929741, -0.103339, -0.113553, -0.121135, -0.123622, -0.122726, -0.120835, -0.112408, -0.0791731, -0.0631288, -0.049743, -0.0334751, -0.0288014, -0.0222524, -0.0177198, -0.0127829, -0.00868819, -0.00560335, -0.00360483, -0.00230807, -0.0014805, -0.000384074" \
             );
           }
         }
@@ -100699,7 +102269,7 @@
             "0.00190073, 0.00192694, 0.00195256, 0.00196584, 0.00197065, 0.00197218", \
             "0.002211, 0.00222242, 0.00223972, 0.00225212, 0.00225774, 0.00225961", \
             "0.00235077, 0.00234943, 0.00235059, 0.00235444, 0.00235763, 0.00235911", \
-            "0.00240761, 0.00240282, 0.00239608, 0.00239024, 0.00238692, 0.00238612", \
+            "0.00240761, 0.00240272, 0.00239608, 0.00239024, 0.00238692, 0.00238612", \
             "0.00243195, 0.00242783, 0.00241948, 0.00241179, 0.00240138, 0.00239499", \
             "0.00244297, 0.00244084, 0.0024355, 0.0024257, 0.00241292, 0.00240135" \
           );
@@ -100711,7 +102281,7 @@
             "0.00238672, 0.00240062, 0.00240893, 0.00241402, 0.0024154, 0.00241577", \
             "0.00247087, 0.0024599, 0.00244104, 0.0024286, 0.00242371, 0.00242267", \
             "0.00254864, 0.00245488, 0.00237106, 0.00233092, 0.00231317, 0.00230691", \
-            "0.00340468, 0.00285253, 0.00252503, 0.00235978, 0.00229879, 0.00227631", \
+            "0.00340468, 0.00285264, 0.00252503, 0.00235978, 0.00229879, 0.00227631", \
             "0.00391263, 0.0039168, 0.00336134, 0.00260868, 0.00237053, 0.00229063", \
             "0.00391095, 0.00391477, 0.003923, 0.00377115, 0.00269091, 0.00239868" \
           );
@@ -100753,7 +102323,7 @@
           values ( \
             "0.0304898, 0.0483648, 0.106593, 0.300872, 0.952918, 3.14308", \
             "0.0389939, 0.0572653, 0.115914, 0.310688, 0.962852, 3.15303", \
-            "0.0609479, 0.0894444, 0.154099, 0.348713, 1.00112, 3.19142", \
+            "0.0609484, 0.0894444, 0.154099, 0.348713, 1.00112, 3.19142", \
             "0.0781504, 0.134043, 0.259072, 0.506627, 1.15735, 3.34639", \
             "0.022152, 0.126933, 0.365323, 0.852269, 1.7815, 3.98121", \
             "-0.413939, -0.227179, 0.212969, 1.13305, 2.95204, 6.38872" \
@@ -100765,7 +102335,7 @@
           values ( \
             "0.0247223, 0.0476701, 0.124946, 0.384293, 1.25575, 4.18322", \
             "0.025468, 0.0476748, 0.124917, 0.384293, 1.25575, 4.18327", \
-            "0.0471294, 0.0680799, 0.130405, 0.384558, 1.25598, 4.18322", \
+            "0.0471291, 0.0680799, 0.130405, 0.384558, 1.25598, 4.18322", \
             "0.104833, 0.142655, 0.22796, 0.43033, 1.25577, 4.18325", \
             "0.264411, 0.333273, 0.490142, 0.817848, 1.50921, 4.18321", \
             "0.79131, 0.900481, 1.18327, 1.781, 2.98652, 5.41114" \
@@ -101103,7 +102673,7 @@
           values ( \
             "0.00198288, 0.00203674, 0.00207607, 0.00209313, 0.00209895, 0.00210079", \
             "0.00243684, 0.00248709, 0.00254311, 0.00257488, 0.00258705, 0.00259093", \
-            "0.00272505, 0.00271231, 0.0027113, 0.00272102, 0.00272769, 0.00273023", \
+            "0.00272503, 0.00271231, 0.0027113, 0.00272102, 0.00272769, 0.00273023", \
             "0.00291635, 0.00287438, 0.00282232, 0.00279057, 0.00277453, 0.00277022", \
             "0.00311755, 0.00301769, 0.00292709, 0.00286351, 0.00281548, 0.00278995", \
             "0.00335442, 0.00325425, 0.00308503, 0.0029534, 0.00287896, 0.00282262" \
@@ -101115,7 +102685,7 @@
           values ( \
             "0.00258803, 0.00264823, 0.0026954, 0.00271887, 0.00272589, 0.00272805", \
             "0.00278508, 0.0026596, 0.00255934, 0.00251683, 0.00250102, 0.00249593", \
-            "0.00321212, 0.00282612, 0.00254889, 0.00241759, 0.00237643, 0.00235704", \
+            "0.00321217, 0.00282612, 0.00254889, 0.00241759, 0.00237643, 0.00235704", \
             "0.00344805, 0.00351275, 0.00297977, 0.00254115, 0.0023869, 0.0023317", \
             "0.00312706, 0.00329336, 0.00344443, 0.0031825, 0.00257486, 0.00238634", \
             "0.00273702, 0.00290313, 0.00318565, 0.00340422, 0.00337035, 0.00262841" \
@@ -101234,9 +102804,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.130182, 0.136897, 0.140289, 0.152994, 0.174986, 0.191087, 0.19816, 0.204985, 0.211791, 0.220273, 0.226209, 0.233525, 0.24309, 0.250062, 0.260169, 0.263769, 0.267954, 0.272008, 0.274203");
+            index_3 ("0.130184, 0.1369, 0.140291, 0.152996, 0.174988, 0.191089, 0.198161, 0.204987, 0.211793, 0.220274, 0.226211, 0.233526, 0.243086, 0.250064, 0.260171, 0.263768, 0.267952, 0.272005, 0.273956");
             values ( \
-              "-0.00638708, -0.00699545, -0.00842788, -0.0158675, -0.0250322, -0.0307599, -0.0325682, -0.0333564, -0.0279661, -0.0177569, -0.0122009, -0.00723111, -0.00343007, -0.00195264, -0.000845079, -0.00182073, -0.00157152, -0.000973819, -0.000849938" \
+              "-0.00638884, -0.00699066, -0.00843108, -0.0158691, -0.0250328, -0.0307595, -0.0325694, -0.033355, -0.027968, -0.0177633, -0.0122061, -0.00722527, -0.00343726, -0.00195836, -0.000850765, -0.00182087, -0.00157184, -0.000974219, -0.000864009" \
             );
           }
           vector (ccs_template) {
@@ -101290,7 +102860,7 @@
             index_2 ("0.00124625");
             index_3 ("0.402714, 0.450087, 0.46577, 0.48064, 0.488163, 0.501815, 0.520634, 0.53918, 0.562079, 0.58139, 0.598572, 0.614371, 0.62938, 0.644058, 0.655047, 0.672488, 0.67954, 0.685356, 0.691896, 0.702287, 0.716141, 0.735301, 0.758895, 0.789212, 1.04079, 1.06457");
             values ( \
-              "-0.000269691, -0.0016256, -0.00213488, -0.00294443, -0.0034998, -0.00478183, -0.00690574, -0.00877217, -0.0108302, -0.0124391, -0.0136715, -0.0146582, -0.0153007, -0.0153247, -0.013028, -0.0064645, -0.00449934, -0.00324066, -0.00220903, -0.00116916, -0.000473898, -0.000131, -4.50615e-05, -1.95409e-05, -4.80246e-06, -0.000141788" \
+              "-0.000269691, -0.0016256, -0.00213488, -0.00294443, -0.0034998, -0.00478183, -0.00690574, -0.00877217, -0.0108302, -0.0124391, -0.0136715, -0.0146582, -0.0153007, -0.0153247, -0.013028, -0.0064645, -0.00449934, -0.00324066, -0.00220903, -0.00116916, -0.000473898, -0.000131, -4.50615e-05, -1.95409e-05, -4.80248e-06, -0.000141788" \
             );
           }
           vector (ccs_template) {
@@ -101317,7 +102887,7 @@
             index_2 ("0.0472359");
             index_3 ("0.55443, 0.675389, 0.733414, 0.778563, 0.824725, 0.890462, 0.911144, 0.962542, 0.96756, 0.982612, 1.00269, 1.02276, 1.03709, 1.04103, 1.04892, 1.05752, 1.07446, 1.08293, 1.08935, 1.09576, 1.10218, 1.11493, 1.12769, 1.13476, 1.15596, 1.18424, 1.19838, 1.2231, 1.25488, 1.27305, 1.29123, 1.30334, 1.32757, 1.34664, 1.36885, 1.38471, 1.39, 1.40057, 1.42172, 1.43229, 1.4478, 1.46517, 1.4857, 1.50064, 1.51557, 1.5305, 1.54543, 1.56036, 1.5753, 1.6147");
             values ( \
-              "-0.0586455, -0.0593489, -0.0739193, -0.0849304, -0.0957115, -0.11056, -0.114961, -0.125454, -0.126401, -0.129167, -0.132679, -0.135991, -0.138198, -0.138892, -0.138558, -0.137971, -0.136174, -0.135169, -0.134317, -0.133304, -0.132188, -0.129664, -0.126734, -0.124828, -0.11872, -0.109363, -0.104517, -0.095776, -0.0839408, -0.0773658, -0.0711544, -0.0671877, -0.0596349, -0.0540756, -0.0477723, -0.0436829, -0.0423964, -0.0400273, -0.0355705, -0.0334828, -0.0306389, -0.0275785, -0.0241132, -0.0220177, -0.0200814, -0.0183722, -0.0167768, -0.0152952, -0.0139273, -0.0107992" \
+              "-0.0586455, -0.0593489, -0.0739193, -0.0849304, -0.0957115, -0.11056, -0.114961, -0.125454, -0.126401, -0.129167, -0.132679, -0.135991, -0.138198, -0.138892, -0.138558, -0.137971, -0.136174, -0.135169, -0.134317, -0.133304, -0.132188, -0.129664, -0.126734, -0.124828, -0.11872, -0.109363, -0.104517, -0.095776, -0.0839413, -0.0773659, -0.0711544, -0.0671876, -0.0596347, -0.0540756, -0.0477723, -0.043683, -0.0423965, -0.040027, -0.0355698, -0.0334822, -0.0306403, -0.0275788, -0.0241137, -0.022018, -0.0200814, -0.0183718, -0.0167761, -0.0152945, -0.0139268, -0.0107991" \
             );
           }
           vector (ccs_template) {
@@ -101344,7 +102914,7 @@
             index_2 ("0.00124625");
             index_3 ("1.47522, 1.64971, 1.70114, 1.7632, 1.78942, 1.82437, 1.86332, 1.88995, 1.9555, 2.01381, 2.06188, 2.10465, 2.14445, 2.18254, 2.22001, 2.24808, 2.25978, 2.29758, 2.31261, 2.32888, 2.34578, 2.3581, 2.38275, 2.43034, 2.49292, 2.58781, 2.74778, 2.76063");
             values ( \
-              "-0.000152803, -0.000361664, -0.000497954, -0.000741613, -0.000894445, -0.00117104, -0.00165177, -0.00212576, -0.00337528, -0.00433459, -0.00499989, -0.00547101, -0.00579786, -0.0059942, -0.00599578, -0.005584, -0.00491837, -0.00198748, -0.00123676, -0.000705896, -0.000428926, -0.00033169, -0.000195695, -0.000138524, -8.62551e-05, -6.54853e-05, -1.02497e-05, -1.0292e-05" \
+              "-0.000152803, -0.000361664, -0.000497954, -0.000741613, -0.000894445, -0.00117104, -0.00165177, -0.00212576, -0.00337528, -0.00433459, -0.00499989, -0.00547101, -0.00579786, -0.0059942, -0.00599578, -0.005584, -0.00491837, -0.00198748, -0.00123676, -0.000705896, -0.000428926, -0.00033169, -0.000195695, -0.000138524, -8.62552e-05, -6.54852e-05, -1.02498e-05, -1.0292e-05" \
             );
           }
           vector (ccs_template) {
@@ -101389,7 +102959,7 @@
             index_2 ("0.532987");
             index_3 ("2.08019, 2.66528, 3.42568, 4.17147, 5.27509, 6.06195, 6.7174, 7.00328, 7.30823, 7.46056, 7.76523, 8.7579, 9.32127, 9.64839, 9.99904, 10.4666, 10.8651, 11.3285, 11.9465, 12.4163, 13.1326, 13.8547, 14.5768, 15.2989, 16.021, 17.4651");
             values ( \
-              "-0.04291, -0.0609784, -0.111211, -0.154993, -0.149848, -0.145235, -0.139396, -0.13512, -0.128396, -0.124166, -0.114521, -0.0799797, -0.0621347, -0.0530443, -0.0444222, -0.0346696, -0.0278715, -0.0214761, -0.0149983, -0.0113815, -0.00742292, -0.00477611, -0.0030664, -0.00196085, -0.00125572, -0.000512787" \
+              "-0.04291, -0.0609784, -0.111211, -0.154993, -0.149848, -0.145235, -0.139396, -0.13512, -0.128396, -0.124166, -0.114521, -0.0799797, -0.0621347, -0.0530443, -0.0444222, -0.0346696, -0.0278715, -0.0214761, -0.0149983, -0.0113815, -0.00742291, -0.00477611, -0.00306639, -0.00196085, -0.00125572, -0.000512786" \
             );
           }
           vector (ccs_template) {
@@ -101398,7 +102968,7 @@
             index_2 ("0.00124625");
             index_3 ("6.01302, 6.63672, 6.89044, 6.9653, 7.11503, 7.22158, 7.25764, 7.30573, 7.49827, 7.65894, 7.79441, 7.91985, 8.04198, 8.16396, 8.28606, 8.33779, 8.38007, 8.43057, 8.56176, 8.61756, 8.69196, 8.79482, 8.92112, 9.11803, 9.17792, 9.29771, 9.53727, 10.0164, 10.3234");
             values ( \
-              "-9.5184e-05, -0.000128736, -0.000209276, -0.000245439, -0.000348559, -0.000490049, -0.000557799, -0.000667648, -0.00122418, -0.00156987, -0.00174514, -0.00182786, -0.00185205, -0.00182535, -0.00174281, -0.00166933, -0.00157241, -0.00134314, -0.000356868, -0.00020756, -0.00014681, -0.000105154, -9.17548e-05, -5.92315e-05, -6.3476e-05, -4.62965e-05, -4.06093e-05, -1.55277e-05, -1.22272e-05" \
+              "-9.5184e-05, -0.000128736, -0.000209276, -0.000245439, -0.000348559, -0.000490049, -0.000557799, -0.000667648, -0.00122418, -0.00156987, -0.00174514, -0.00182786, -0.00185205, -0.00182535, -0.00174281, -0.00166933, -0.00157241, -0.00134314, -0.000356868, -0.00020756, -0.00014681, -0.000105154, -9.17548e-05, -5.92315e-05, -6.3476e-05, -4.62966e-05, -4.06093e-05, -1.55277e-05, -1.22272e-05" \
             );
           }
           vector (ccs_template) {
@@ -101407,7 +102977,7 @@
             index_2 ("0.00418628");
             index_3 ("6.02346, 6.7582, 6.88924, 7.02277, 7.20082, 7.29831, 7.49328, 7.60745, 7.80148, 7.96188, 8.10649, 8.22197, 8.28495, 8.37561, 8.42465, 8.50828, 8.60811, 8.6508, 8.76049, 8.8206, 8.87838, 8.96878, 9.20978, 9.42542, 9.8567, 10.4972, 11.2193, 11.9414");
             values ( \
-              "-0.000247488, -0.000499482, -0.000621941, -0.000803179, -0.00118783, -0.00154661, -0.00265365, -0.00337959, -0.00439137, -0.00501839, -0.00540201, -0.00561005, -0.00567694, -0.00571696, -0.00570593, -0.00562175, -0.00522322, -0.00468061, -0.00201904, -0.00103304, -0.000572378, -0.000304292, -0.000195108, -0.000147963, -8.11138e-05, -3.49062e-05, -8.63009e-06, -4.48251e-06" \
+              "-0.000247488, -0.000499482, -0.000621941, -0.000803179, -0.00118783, -0.00154661, -0.00265365, -0.00337959, -0.00439137, -0.00501839, -0.00540201, -0.00561005, -0.00567694, -0.00571696, -0.00570593, -0.00562175, -0.00522322, -0.00468061, -0.00201904, -0.00103304, -0.000572378, -0.000304292, -0.000195108, -0.000147963, -8.11138e-05, -3.49062e-05, -8.63007e-06, -4.48253e-06" \
             );
           }
           vector (ccs_template) {
@@ -101416,7 +102986,7 @@
             index_2 ("0.0140621");
             index_3 ("6.02916, 6.74623, 7.02804, 7.11994, 7.24247, 7.47152, 7.78349, 8.0339, 8.33731, 8.38815, 8.54651, 8.72411, 8.8925, 9.05663, 9.17959, 9.22747, 9.29987, 9.37225, 9.41472, 9.47881, 9.52396, 9.61274, 9.73113, 9.9052, 10.1344, 10.4598, 11.0513, 11.7734");
             values ( \
-              "-0.000767884, -0.00129798, -0.00198566, -0.00230576, -0.00283358, -0.00428942, -0.00743621, -0.00989392, -0.0124142, -0.0127747, -0.0138002, -0.0147132, -0.0153567, -0.0155332, -0.0146972, -0.0133218, -0.0100348, -0.00637834, -0.00473841, -0.00280568, -0.00196532, -0.00100938, -0.000536277, -0.000265804, -0.000247663, -0.000106592, -7.67888e-05, -1e-22" \
+              "-0.000767884, -0.00129798, -0.00198566, -0.00230576, -0.00283358, -0.00428942, -0.00743621, -0.00989392, -0.0124142, -0.0127747, -0.0138002, -0.0147132, -0.0153567, -0.0155332, -0.0146972, -0.0133218, -0.0100348, -0.00637834, -0.00473841, -0.00280568, -0.00196532, -0.00100938, -0.000536277, -0.000265804, -0.000247663, -0.000106592, -7.67887e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -101483,7 +103053,7 @@
             "0.0664555, 0.104819, 0.229509, 0.644214, 2.03502, 6.70538", \
             "0.0740083, 0.112425, 0.238627, 0.654178, 2.04545, 6.71593", \
             "0.117305, 0.154757, 0.279961, 0.695908, 2.08825, 6.75913", \
-            "0.222412, 0.292204, 0.457992, 0.870984, 2.26022, 6.92242", \
+            "0.222412, 0.29222, 0.457992, 0.870984, 2.26022, 6.92242", \
             "0.460916, 0.580512, 0.884519, 1.54608, 2.97463, 7.62556", \
             "1.15094, 1.34825, 1.85479, 3.0253, 5.51809, 10.5443" \
           );
@@ -101495,7 +103065,7 @@
             "0.0740926, 0.127341, 0.303831, 0.894476, 2.87678, 9.53597", \
             "0.0734317, 0.1272, 0.303824, 0.894492, 2.87675, 9.53583", \
             "0.0856236, 0.130971, 0.303696, 0.894441, 2.87678, 9.53541", \
-            "0.155965, 0.216721, 0.362777, 0.895763, 2.877, 9.53382", \
+            "0.155965, 0.216702, 0.362777, 0.895763, 2.877, 9.53382", \
             "0.32425, 0.427724, 0.681374, 1.22045, 2.91213, 9.53386", \
             "0.846402, 1.00242, 1.40631, 2.36696, 4.34029, 9.81911" \
           );
@@ -101507,7 +103077,7 @@
             "0.0311683, 0.0491069, 0.107593, 0.302528, 0.955673, 3.14582", \
             "0.0399564, 0.0583166, 0.117223, 0.312626, 0.9659, 3.15608", \
             "0.0630567, 0.0911872, 0.155316, 0.351046, 1.00467, 3.19505", \
-            "0.0800052, 0.136046, 0.261547, 0.50867, 1.16035, 3.3494", \
+            "0.0800052, 0.136046, 0.26135, 0.50867, 1.16035, 3.3494", \
             "0.0189732, 0.124729, 0.366064, 0.855647, 1.78504, 3.98456", \
             "-0.443005, -0.263465, 0.184106, 1.12022, 2.94912, 6.3904" \
           );
@@ -101519,7 +103089,7 @@
             "0.0318003, 0.0547497, 0.131962, 0.391459, 1.26307, 4.19051", \
             "0.0323919, 0.0547416, 0.131946, 0.391414, 1.26295, 4.19205", \
             "0.0561395, 0.0749969, 0.137206, 0.391409, 1.26308, 4.19144", \
-            "0.125613, 0.157454, 0.236066, 0.437099, 1.26312, 4.19107", \
+            "0.125613, 0.157454, 0.23624, 0.437099, 1.26312, 4.19107", \
             "0.311753, 0.368713, 0.51224, 0.827688, 1.51501, 4.19053", \
             "0.876319, 0.973234, 1.23954, 1.81945, 3.00402, 5.42006" \
           );
@@ -101531,7 +103101,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0238258, 0.0265988, 0.0297592, 0.0336317, 0.0381835, 0.0432885, 0.0534767, 0.063993, 0.0745527, 0.0749635, 0.0782494, 0.0816878, 0.0852434, 0.0970832, 0.100511, 0.105082, 0.114223, 0.123389, 0.130161, 0.143705, 0.153752, 0.168675, 0.184869, 0.204468, 0.22202, 0.241477, 0.256595, 0.28683, 0.338646, 0.400998");
             values ( \
-              "0.0053495, 0.0271136, 0.0255421, 0.0242027, 0.0232227, 0.0224183, 0.021591, 0.021153, 0.021121, 0.0212269, 0.0214052, 0.0212136, 0.0201698, 0.0149165, 0.0137188, 0.012275, 0.0099308, 0.00818828, 0.00710166, 0.00534699, 0.00435875, 0.0032004, 0.00228488, 0.00151647, 0.00104739, 0.000698106, 0.000508015, 0.000265823, 8.16759e-05, 2.04122e-05" \
+              "0.0053495, 0.0271136, 0.0255421, 0.0242027, 0.0232227, 0.0224183, 0.021591, 0.021153, 0.021121, 0.0212269, 0.0214052, 0.0212136, 0.0201698, 0.0149165, 0.0137188, 0.012275, 0.0099308, 0.00818828, 0.00710166, 0.00534699, 0.00435875, 0.0032004, 0.00228488, 0.00151647, 0.00104739, 0.000698106, 0.000508015, 0.000265823, 8.1676e-05, 2.04122e-05" \
             );
           }
           vector (ccs_template) {
@@ -101540,7 +103110,7 @@
             index_2 ("0.00418628");
             index_3 ("0.0238681, 0.0289255, 0.0334053, 0.0402884, 0.0437247, 0.0483065, 0.05747, 0.0606289, 0.112624, 0.113724, 0.118127, 0.123998, 0.132185, 0.147997, 0.1662, 0.17917, 0.199603, 0.223635, 0.234319, 0.254073, 0.276648, 0.300853, 0.318513, 0.343525, 0.376241, 0.419863, 0.490544, 0.574785");
             values ( \
-              "0.00752779, 0.0521347, 0.0487588, 0.0457014, 0.044881, 0.0442102, 0.0431593, 0.0429302, 0.0400387, 0.0401242, 0.0399463, 0.0394261, 0.0375506, 0.031463, 0.0253398, 0.0216111, 0.0167761, 0.0122982, 0.0107014, 0.00822313, 0.0060291, 0.00432022, 0.00337661, 0.00236925, 0.00149197, 0.000792967, 0.000266172, 7.30662e-05" \
+              "0.00752779, 0.0521347, 0.0487588, 0.0457014, 0.044881, 0.0442102, 0.0431593, 0.0429302, 0.0400387, 0.0401242, 0.0399463, 0.0394261, 0.0375506, 0.031463, 0.0253398, 0.0216111, 0.0167761, 0.0122982, 0.0107014, 0.00822313, 0.0060291, 0.00432022, 0.00337661, 0.00236925, 0.00149197, 0.000792967, 0.000266172, 7.30661e-05" \
             );
           }
           vector (ccs_template) {
@@ -101639,7 +103209,7 @@
             index_2 ("0.00124625");
             index_3 ("0.140198, 0.155898, 0.16408, 0.168875, 0.204486, 0.220949, 0.247456, 0.258782, 0.260164, 0.26209, 0.271205, 0.276556, 0.286043, 0.291382, 0.298792, 0.308043, 0.320218, 0.326969, 0.339826, 0.353391, 0.365725, 0.382172, 0.396168, 0.41603, 0.442512, 0.489826, 0.54564, 0.616202");
             values ( \
-              "0.00171481, 0.00400418, 0.00545028, 0.00652189, 0.012409, 0.0148395, 0.0191047, 0.0205168, 0.0191816, 0.0196549, 0.0150922, 0.012899, 0.010196, 0.00906138, 0.00773269, 0.00637796, 0.00494219, 0.0043085, 0.00330164, 0.00249346, 0.00193044, 0.00135915, 0.00101983, 0.000673851, 0.000377284, 0.000134864, 3.42104e-05, 1.1668e-05" \
+              "0.00171481, 0.00400418, 0.00545028, 0.00652189, 0.012409, 0.0148395, 0.0191047, 0.0205168, 0.0191816, 0.0196549, 0.0150922, 0.012899, 0.010196, 0.00906138, 0.00773269, 0.00637796, 0.00494219, 0.0043085, 0.00330164, 0.00249346, 0.00193044, 0.00135915, 0.00101983, 0.000673851, 0.000377285, 0.000134864, 3.42105e-05, 1.16679e-05" \
             );
           }
           vector (ccs_template) {
@@ -101675,7 +103245,7 @@
             index_2 ("0.15867");
             index_3 ("0.211405, 0.211425, 0.775681, 1.36802, 1.78959, 2.1477, 2.36191, 2.64752, 2.84255, 3.1951, 3.9666, 4.19243, 4.64409, 4.96798, 5.41274, 5.76647, 6.05603, 6.40808, 6.90554, 7.23022, 7.83868, 8.64995, 9.78567, 10.9214, 12.0571");
             values ( \
-              "1e-22, 0.0784337, 0.073036, 0.0702108, 0.0679477, 0.0656001, 0.0636596, 0.060035, 0.0568755, 0.0501398, 0.0345521, 0.0303983, 0.0231022, 0.0187614, 0.0139353, 0.0109221, 0.00891754, 0.00693091, 0.00483574, 0.00381218, 0.00243567, 0.00132213, 0.00053393, 0.000222476, 8.56573e-05" \
+              "1e-22, 0.0784337, 0.073036, 0.0702108, 0.0679477, 0.0656001, 0.0636596, 0.060035, 0.0568755, 0.0501398, 0.0345521, 0.0303983, 0.0231022, 0.0187614, 0.0139353, 0.0109221, 0.00891754, 0.00693091, 0.00483574, 0.00381218, 0.00243567, 0.00132213, 0.00053393, 0.000222476, 8.56572e-05" \
             );
           }
           vector (ccs_template) {
@@ -101700,9 +103270,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00418628");
-            index_3 ("0.464542, 0.533555, 0.559726, 0.583386, 0.620874, 0.653173, 0.691533, 0.777384, 0.812522, 0.843611, 0.849373, 0.855519, 0.867811, 0.872754, 0.88264, 0.902412, 0.918948, 0.93112, 0.955463, 0.981356, 0.99849, 1.02172, 1.04063, 1.04248, 1.0462, 1.04976, 1.06729, 1.10038, 1.12499, 1.17419, 1.24536, 1.33121");
+            index_3 ("0.483181, 0.560438, 0.583625, 0.620615, 0.653813, 0.691505, 0.777399, 0.812534, 0.843621, 0.849381, 0.855525, 0.867813, 0.872763, 0.882665, 0.902467, 0.918875, 0.930952, 0.955105, 0.981778, 0.998773, 1.02861, 1.04062, 1.04286, 1.04717, 1.07069, 1.09333, 1.11075, 1.13398, 1.18044, 1.25408, 1.34341");
             values ( \
-              "0.0015798, 0.00278946, 0.00412149, 0.00592645, 0.0093961, 0.0120181, 0.0147826, 0.0202659, 0.0226406, 0.0258591, 0.0261033, 0.0261965, 0.0255938, 0.0248862, 0.023033, 0.0185047, 0.0155149, 0.0134973, 0.0100885, 0.00735296, 0.00589767, 0.00433959, 0.00337355, 0.00383645, 0.00414888, 0.00409161, 0.00326605, 0.00204592, 0.00144716, 0.000694395, 0.000236802, 5.5959e-05" \
+              "0.00213404, 0.00417507, 0.00594595, 0.00937476, 0.0120678, 0.014781, 0.020267, 0.0226423, 0.0258599, 0.0261044, 0.0261975, 0.0255953, 0.0248868, 0.02303, 0.0184946, 0.0155295, 0.0135253, 0.0101343, 0.00731468, 0.00587702, 0.00396244, 0.00337432, 0.0038656, 0.00415607, 0.0031196, 0.00226407, 0.00177343, 0.0012672, 0.000646736, 0.000200667, 5.3484e-05" \
             );
           }
           vector (ccs_template) {
@@ -101747,7 +103317,7 @@
             index_2 ("0.00124625");
             index_3 ("1.65166, 1.95818, 2.02073, 2.08328, 2.14594, 2.20912, 2.34955, 2.54334, 2.59213, 2.61159, 2.63229, 2.67347, 2.67874, 2.73554, 2.78124, 2.82289, 2.8509, 2.89795, 2.96069, 3.04221, 3.14551, 3.40321");
             values ( \
-              "7.43367e-05, 0.000218632, 0.000306851, 0.000433343, 0.000690134, 0.0011817, 0.00266365, 0.00427684, 0.00525084, 0.00541408, 0.0054881, 0.00532186, 0.00517769, 0.00267665, 0.00141142, 0.000768241, 0.00050877, 0.000252616, 9.66244e-05, 2.90612e-05, 1.04345e-05, 8.09967e-06" \
+              "7.43367e-05, 0.000218632, 0.000306851, 0.000433343, 0.000690134, 0.0011817, 0.00266365, 0.00427684, 0.00525084, 0.00541408, 0.0054881, 0.00532186, 0.00517769, 0.00267665, 0.00141142, 0.000768241, 0.00050877, 0.000252616, 9.66244e-05, 2.90612e-05, 1.04344e-05, 8.09965e-06" \
             );
           }
           vector (ccs_template) {
@@ -101756,7 +103326,7 @@
             index_2 ("0.00418628");
             index_3 ("1.66002, 1.97578, 2.02775, 2.08318, 2.1518, 2.18214, 2.2226, 2.38065, 2.47136, 2.51043, 2.66285, 2.73327, 2.75551, 2.78515, 2.84277, 2.93046, 2.98019, 3.00662, 3.04186, 3.06859, 3.10962, 3.16433, 3.25832, 3.3693, 3.50997, 4.16463, 4.243, 4.34937");
             values ( \
-              "0.000231753, 0.000723418, 0.000922734, 0.00122384, 0.00182418, 0.00223348, 0.00296307, 0.00644129, 0.00812913, 0.00876645, 0.011014, 0.0127172, 0.0129859, 0.0131514, 0.0125816, 0.00664052, 0.00414153, 0.00316432, 0.00216365, 0.00161111, 0.00101211, 0.000527484, 0.000154765, 3.7475e-05, 8.9831e-06, 2.94235e-06, 0.000149186, 3.4024e-05" \
+              "0.000231753, 0.000723418, 0.000922734, 0.00122384, 0.00182418, 0.00223348, 0.00296307, 0.00644129, 0.00812913, 0.00876645, 0.011014, 0.0127172, 0.0129859, 0.0131514, 0.0125816, 0.00664052, 0.00414153, 0.00316432, 0.00216365, 0.00161111, 0.00101211, 0.000527484, 0.000154765, 3.7475e-05, 8.9831e-06, 2.94236e-06, 0.000149186, 3.4024e-05" \
             );
           }
           vector (ccs_template) {
@@ -101857,7 +103427,7 @@
             "0.00195677, 0.00201288, 0.00205278, 0.00207045, 0.00207649, 0.00207837", \
             "0.00238639, 0.00244914, 0.00251649, 0.00255351, 0.00256716, 0.0025715", \
             "0.00268344, 0.00268391, 0.00269619, 0.00271283, 0.00272229, 0.00272582", \
-            "0.00292193, 0.00287569, 0.00281708, 0.00278762, 0.00277267, 0.00276874", \
+            "0.00292193, 0.00287569, 0.00281832, 0.00278762, 0.00277267, 0.00276874", \
             "0.00314068, 0.00303554, 0.00293662, 0.0028671, 0.00281581, 0.00278977", \
             "0.00336823, 0.0032724, 0.00310931, 0.00296504, 0.00288523, 0.00282444" \
           );
@@ -101869,7 +103439,7 @@
             "0.00256111, 0.0026179, 0.00266746, 0.00268618, 0.00269174, 0.00269337", \
             "0.00282017, 0.0026881, 0.00257936, 0.00253354, 0.00251559, 0.00250983", \
             "0.00316299, 0.00285963, 0.0025689, 0.00242804, 0.00237403, 0.00235713", \
-            "0.0034381, 0.00349573, 0.0029606, 0.00254324, 0.00238997, 0.00233434", \
+            "0.0034381, 0.00349573, 0.00295914, 0.00254324, 0.00238997, 0.00233434", \
             "0.00308881, 0.00326363, 0.00342819, 0.00316202, 0.00257379, 0.00238642", \
             "0.00271275, 0.00287186, 0.0031459, 0.00338521, 0.00335766, 0.00262586" \
           );
@@ -102043,7 +103613,7 @@
             index_2 ("0.00124625");
             index_3 ("0.431108, 0.474165, 0.481212, 0.495306, 0.52063, 0.53882, 0.561713, 0.581382, 0.591211, 0.60002, 0.612009, 0.615103, 0.620592, 0.625775, 0.630554, 0.635799, 0.641374, 0.655024, 0.664501, 0.678133, 0.700372, 0.714094, 0.72167, 0.731108, 0.743692, 0.765352, 0.791081, 0.823397, 1.04079, 1.06435");
             values ( \
-              "-0.00167152, -0.00261543, -0.00305357, -0.00414943, -0.00700559, -0.00883166, -0.0107761, -0.0120546, -0.0120247, -0.0110418, -0.00830865, -0.00777501, -0.00738692, -0.00852461, -0.0105769, -0.0116038, -0.0120912, -0.0124357, -0.0122448, -0.0103625, -0.00512693, -0.0029112, -0.00204455, -0.00130638, -0.000714073, -0.000206015, -6.80807e-05, -7.02275e-06, -1.29598e-05, -0.000130648" \
+              "-0.00167152, -0.00261543, -0.00305357, -0.00414943, -0.00700559, -0.00883166, -0.0107761, -0.0120546, -0.0120247, -0.0110418, -0.00830865, -0.00777501, -0.00738692, -0.00852461, -0.0105769, -0.0116038, -0.0120912, -0.0124357, -0.0122448, -0.0103625, -0.00512693, -0.0029112, -0.00204455, -0.00130638, -0.000714073, -0.000206015, -6.80807e-05, -7.02277e-06, -1.29598e-05, -0.000130648" \
             );
           }
           vector (ccs_template) {
@@ -102059,9 +103629,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0140621");
-            index_3 ("0.449785, 0.491495, 0.520711, 0.654154, 0.702456, 0.743945, 0.760355, 0.771385, 0.804404, 0.820539, 0.835604, 0.854719, 0.871578, 0.890177, 0.948584, 0.979129, 0.999904, 1.02365, 1.04087, 1.04605, 1.09124, 1.12908, 1.13533");
+            index_3 ("0.441027, 0.482641, 0.519573, 0.53373, 0.629504, 0.703099, 0.744605, 0.761022, 0.772063, 0.78273, 0.793615, 0.805105, 0.807237, 0.821248, 0.828529, 0.836321, 0.84598, 0.855443, 0.872308, 0.890911, 0.939709, 0.968554, 0.982842, 1.00066, 1.02441, 1.04175, 1.0472, 1.07356, 1.09386, 1.11766");
             values ( \
-              "-0.00636422, -0.0115855, -0.0167854, -0.0473898, -0.0573552, -0.0648006, -0.0667939, -0.0670668, -0.0635738, -0.0718993, -0.0743912, -0.0750382, -0.0725555, -0.0650828, -0.0341513, -0.02169, -0.0155275, -0.0103563, -0.00768736, -0.00785639, -0.00347868, -0.00170802, -0.00158265" \
+              "-0.00579173, -0.0100847, -0.0164095, -0.0193649, -0.0419352, -0.0572788, -0.0647637, -0.0667322, -0.0670285, -0.0660872, -0.0643844, -0.0635369, -0.0638329, -0.0718679, -0.0734435, -0.0743593, -0.074966, -0.0750111, -0.0725332, -0.0650703, -0.03889, -0.0258086, -0.0207019, -0.0155245, -0.0103526, -0.00766878, -0.00781115, -0.00495762, -0.00336016, -0.00229261" \
             );
           }
           vector (ccs_template) {
@@ -102106,7 +103676,7 @@
             index_2 ("0.00418628");
             index_3 ("1.37551, 1.59627, 1.66463, 1.70604, 1.76993, 1.79294, 1.83895, 1.89321, 2.04629, 2.0838, 2.12655, 2.14665, 2.16711, 2.18524, 2.22189, 2.23147, 2.23444, 2.24827, 2.26156, 2.30313, 2.32633, 2.37757, 2.41601, 2.47734, 2.51035, 2.53598, 2.55682, 2.5985, 2.65446, 2.72497, 2.97312, 3.2648");
             values ( \
-              "-0.000184477, -0.000703151, -0.00116835, -0.00150478, -0.00216698, -0.00249009, -0.00327175, -0.00470447, -0.0100424, -0.0111966, -0.0123356, -0.0127397, -0.0128642, -0.0124149, -0.0100472, -0.00970436, -0.00970421, -0.0120905, -0.0135972, -0.0142939, -0.014569, -0.0148557, -0.0137429, -0.0062839, -0.00321449, -0.00184031, -0.00117441, -0.000447397, -0.000184402, -8.18907e-05, -7.34007e-06, -1.36605e-05" \
+              "-0.000184477, -0.000703151, -0.00116835, -0.00150478, -0.00216698, -0.00249009, -0.00327175, -0.00470447, -0.0100424, -0.0111966, -0.0123356, -0.0127397, -0.0128642, -0.0124149, -0.0100472, -0.00970436, -0.00970421, -0.0120905, -0.0135972, -0.0142938, -0.014569, -0.0148557, -0.0137429, -0.0062839, -0.00321449, -0.00184031, -0.00117441, -0.000447397, -0.000184402, -8.18905e-05, -7.33987e-06, -1.36607e-05" \
             );
           }
           vector (ccs_template) {
@@ -102115,7 +103685,7 @@
             index_2 ("0.0140621");
             index_3 ("1.55494, 1.73598, 1.79707, 1.85269, 1.93753, 2.01054, 2.16246, 2.27186, 2.36369, 2.39323, 2.41428, 2.46935, 2.48271, 2.49287, 2.50696, 2.51929, 2.53099, 2.55164, 2.60418, 2.62669, 2.67483, 2.72794, 2.80051, 2.84988, 2.87716, 2.9102, 2.95425, 3.03126, 3.12072, 3.23186");
             values ( \
-              "-0.00197271, -0.003623, -0.00487999, -0.00630015, -0.00922123, -0.0127322, -0.0205533, -0.0257373, -0.0294658, -0.0301073, -0.0300879, -0.0281065, -0.0295673, -0.0317529, -0.0328643, -0.0334055, -0.0336213, -0.0343386, -0.0355281, -0.0357549, -0.0357933, -0.0311099, -0.0177659, -0.0103165, -0.00736381, -0.00480065, -0.00261379, -0.000785919, -0.00018752, -3.0273e-05" \
+              "-0.00197271, -0.003623, -0.00487999, -0.00630015, -0.00922123, -0.0127322, -0.0205533, -0.0257373, -0.0294658, -0.0301073, -0.0300879, -0.0281065, -0.0295673, -0.0317529, -0.0328643, -0.0334055, -0.0336213, -0.0343386, -0.0355281, -0.0357549, -0.0357933, -0.0311099, -0.0177659, -0.0103165, -0.00736381, -0.00480065, -0.00261379, -0.000785919, -0.00018752, -3.02729e-05" \
             );
           }
           vector (ccs_template) {
@@ -102151,7 +103721,7 @@
             index_2 ("0.00124625");
             index_3 ("4.80197, 5.31462, 5.99557, 6.324, 6.54552, 6.76484, 6.87271, 7.01655, 7.13372, 7.1761, 7.2326, 7.41698, 7.5597, 7.6167, 7.69271, 7.71667, 7.76459, 7.84102, 7.88398, 7.89075, 7.92347, 7.95214, 7.97583, 8.00484, 8.03357, 8.09102, 8.16288, 8.29182, 8.29668, 8.33777, 8.38115, 8.435, 8.53111, 8.55279, 8.58545, 8.629, 8.70375, 8.79327, 8.89539, 9.04723, 9.08345, 9.15589, 9.30077, 9.59054, 10.1701, 10.8924, 11.6147");
             values ( \
-              "-4.19789e-06, -1.0094e-05, -4.65935e-05, -9.11905e-05, -0.000130358, -0.00019381, -0.000242159, -0.000335333, -0.000475353, -0.000552258, -0.000682977, -0.00121064, -0.00152228, -0.00160736, -0.00167043, -0.00165963, -0.00153418, -0.00104631, -0.000930732, -0.000921097, -0.00152085, -0.0017099, -0.00168073, -0.00172611, -0.00171957, -0.00174306, -0.00173404, -0.001696, -0.00168651, -0.00164788, -0.00157695, -0.00139402, -0.000657989, -0.000509148, -0.000343178, -0.000214639, -0.000113956, -8.63197e-05, -7.47483e-05, -5.52969e-05, -5.84971e-05, -4.70333e-05, -4.49111e-05, -2.60158e-05, -1.73021e-05, -1.96568e-06, -4.49522e-06" \
+              "-4.19789e-06, -1.0094e-05, -4.65935e-05, -9.11905e-05, -0.000130358, -0.00019381, -0.000242159, -0.000335333, -0.000475353, -0.000552258, -0.000682977, -0.00121064, -0.00152228, -0.00160736, -0.00167043, -0.00165963, -0.00153418, -0.00104631, -0.000930732, -0.000921097, -0.00152085, -0.0017099, -0.00168073, -0.00172611, -0.00171957, -0.00174306, -0.00173404, -0.001696, -0.00168651, -0.00164788, -0.00157695, -0.00139402, -0.000657989, -0.000509148, -0.000343178, -0.000214639, -0.000113956, -8.63197e-05, -7.47483e-05, -5.52969e-05, -5.84971e-05, -4.70333e-05, -4.49111e-05, -2.60158e-05, -1.73021e-05, -1.9657e-06, -4.49519e-06" \
             );
           }
           vector (ccs_template) {
@@ -102196,7 +103766,7 @@
             index_2 ("0.532987");
             index_3 ("7.49206, 8.8813, 11.0041, 12.8922, 13.6533, 14.4693, 15.1884, 15.5186, 16.179, 16.2979, 16.6614, 17.6257, 18.133, 18.624, 19.3798, 19.6547, 20.1166, 20.5141, 21.0725, 21.7247, 22.4471, 23.1694, 23.8917, 24.6141, 26.7811");
             values ( \
-              "-0.0234228, -0.0304137, -0.0647268, -0.0929741, -0.103339, -0.113553, -0.121135, -0.123622, -0.122726, -0.120835, -0.112408, -0.0791731, -0.0631288, -0.049743, -0.0334751, -0.0288014, -0.0222524, -0.0177198, -0.0127829, -0.00868819, -0.00560334, -0.00360483, -0.00230807, -0.0014805, -0.000384074" \
+              "-0.0234228, -0.0304137, -0.0647268, -0.0929741, -0.103339, -0.113553, -0.121135, -0.123622, -0.122726, -0.120835, -0.112408, -0.0791731, -0.0631288, -0.049743, -0.0334751, -0.0288014, -0.0222524, -0.0177198, -0.0127829, -0.00868819, -0.00560335, -0.00360483, -0.00230807, -0.0014805, -0.000384074" \
             );
           }
         }
@@ -102207,7 +103777,7 @@
             "0.00190073, 0.00192694, 0.00195256, 0.00196584, 0.00197065, 0.00197218", \
             "0.002211, 0.00222242, 0.00223972, 0.00225212, 0.00225774, 0.00225961", \
             "0.00235077, 0.00234943, 0.00235059, 0.00235444, 0.00235763, 0.00235911", \
-            "0.00240761, 0.00240282, 0.00239608, 0.00239024, 0.00238692, 0.00238612", \
+            "0.00240761, 0.00240272, 0.00239608, 0.00239024, 0.00238692, 0.00238612", \
             "0.00243195, 0.00242783, 0.00241948, 0.00241179, 0.00240138, 0.00239499", \
             "0.00244297, 0.00244084, 0.0024355, 0.0024257, 0.00241292, 0.00240135" \
           );
@@ -102219,7 +103789,7 @@
             "0.00238672, 0.00240062, 0.00240893, 0.00241402, 0.0024154, 0.00241577", \
             "0.00247087, 0.0024599, 0.00244104, 0.0024286, 0.00242371, 0.00242267", \
             "0.00254864, 0.00245488, 0.00237106, 0.00233092, 0.00231317, 0.00230691", \
-            "0.00340468, 0.00285253, 0.00252503, 0.00235978, 0.00229879, 0.00227631", \
+            "0.00340468, 0.00285264, 0.00252503, 0.00235978, 0.00229879, 0.00227631", \
             "0.00391263, 0.0039168, 0.00336134, 0.00260868, 0.00237053, 0.00229063", \
             "0.00391095, 0.00391477, 0.003923, 0.00377115, 0.00269091, 0.00239868" \
           );
@@ -103041,7 +104611,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0223684, 0.025119, 0.0267189, 0.0312724, 0.0343885, 0.0404261, 0.0471193, 0.0582788, 0.0603434, 0.0644725, 0.0737892, 0.0801391, 0.0869652, 0.0991994, 0.111453, 0.112976, 0.120084, 0.127667, 0.136877, 0.142115, 0.151608, 0.164088, 0.175211, 0.183829, 0.198179, 0.211454, 0.229154, 0.243273, 0.259965, 0.282222, 0.326735, 0.382011, 0.451523, 0.540707");
             values ( \
-              "0.0118376, 0.0208525, 0.0201684, 0.0188671, 0.0183108, 0.0175516, 0.017161, 0.0167878, 0.0167878, 0.0167101, 0.0167058, 0.0169085, 0.0175583, 0.0190967, 0.0140979, 0.0138963, 0.0118315, 0.0100028, 0.00828745, 0.00746738, 0.00614659, 0.0047977, 0.00383019, 0.00322902, 0.00239921, 0.00183167, 0.00128221, 0.000951422, 0.000676145, 0.000436796, 0.000161439, 5.76802e-05, 8.65767e-06, 9.97257e-06" \
+              "0.0118376, 0.0208525, 0.0201684, 0.0188671, 0.0183108, 0.0175516, 0.017161, 0.0167878, 0.0167878, 0.0167101, 0.0167058, 0.0169085, 0.0175583, 0.0190967, 0.0140979, 0.0138963, 0.0118315, 0.0100028, 0.00828745, 0.00746738, 0.00614659, 0.0047977, 0.00383019, 0.00322902, 0.00239921, 0.00183167, 0.00128221, 0.000951422, 0.000676145, 0.000436796, 0.00016144, 5.76802e-05, 8.65769e-06, 9.97255e-06" \
             );
           }
           vector (ccs_template) {
@@ -103149,7 +104719,7 @@
             index_2 ("0.00124625");
             index_3 ("0.130146, 0.155969, 0.179406, 0.213143, 0.247698, 0.258718, 0.260133, 0.261386, 0.263893, 0.265947, 0.26904, 0.272999, 0.280799, 0.285769, 0.286633, 0.288361, 0.291815, 0.297899, 0.30535, 0.306634, 0.3092, 0.314332, 0.324245, 0.33734, 0.340697, 0.34133, 0.342595, 0.345125, 0.350185, 0.359856, 0.372547, 0.376306, 0.383823, 0.396544, 0.41493, 0.421605, 0.423694, 0.427873, 0.43623, 0.452945, 0.486375, 0.540745, 0.542767");
             values ( \
-              "0.000307186, 0.00316954, 0.00644816, 0.010814, 0.0151974, 0.0169864, 0.0174311, 0.0186767, 0.0189788, 0.0198382, 0.0194512, 0.0193679, 0.0157853, 0.0144706, 0.0136943, 0.0136088, 0.0121064, 0.0110104, 0.00897262, 0.00920616, 0.00828183, 0.00790563, 0.00604368, 0.00505911, 0.00428342, 0.00469184, 0.00411872, 0.00436468, 0.00350639, 0.00330125, 0.00214433, 0.00242867, 0.00166005, 0.00168398, 0.000769455, 0.00109905, 0.000608664, 0.000993484, 0.000421973, 0.000686564, 4.80026e-06, 0.000297189, 0.000288103" \
+              "0.000307186, 0.00316954, 0.00644816, 0.010814, 0.0151974, 0.0169864, 0.0174311, 0.0186767, 0.0189788, 0.0198382, 0.0194512, 0.0193679, 0.0157853, 0.0144706, 0.0136943, 0.0136088, 0.0121064, 0.0110104, 0.00897262, 0.00920616, 0.00828183, 0.00790563, 0.00604368, 0.00505911, 0.00428342, 0.00469184, 0.00411872, 0.00436468, 0.00350639, 0.00330125, 0.00214433, 0.00242867, 0.00166005, 0.00168398, 0.000769455, 0.00109905, 0.000608664, 0.000993484, 0.000421973, 0.000686564, 4.80027e-06, 0.000297189, 0.000288103" \
             );
           }
           vector (ccs_template) {
@@ -103257,7 +104827,7 @@
             index_2 ("0.00124625");
             index_3 ("1.68125, 1.96497, 1.99575, 2.05732, 2.08352, 2.13206, 2.15571, 2.20301, 2.26864, 2.30537, 2.34735, 2.38967, 2.47148, 2.53994, 2.5991, 2.61384, 2.6452, 2.68329, 2.7213, 2.7497, 2.76563, 2.77044, 2.77125, 2.77287, 2.77612, 2.7826, 2.79558, 2.81481, 2.82085, 2.83292, 2.85707, 2.87958, 2.88944, 2.89239, 2.89829, 2.91009, 2.93369, 3.05694, 3.15227, 3.27708, 3.46073, 3.4762");
             values ( \
-              "0.000107349, 0.000208917, 0.000242374, 0.000323114, 0.000374413, 0.000495965, 0.000583165, 0.000821707, 0.0013619, 0.00170918, 0.00208532, 0.00244146, 0.00304525, 0.00351494, 0.00408061, 0.00455919, 0.00565867, 0.00614101, 0.00569184, 0.004406, 0.00334941, 0.00328741, 0.00311092, 0.0031414, 0.00291261, 0.00272748, 0.00218572, 0.0017123, 0.00149921, 0.00131385, 0.000847482, 0.000655634, 0.000501323, 0.000539696, 0.000437732, 0.000415054, 0.000241401, 1.22376e-05, 4.00305e-05, 1e-22, 2.9868e-05, 2.83172e-05" \
+              "0.000107349, 0.000208917, 0.000242374, 0.000323114, 0.000374413, 0.000495965, 0.000583165, 0.000821707, 0.0013619, 0.00170918, 0.00208532, 0.00244146, 0.00304525, 0.00351494, 0.00408061, 0.00455919, 0.00565867, 0.00614101, 0.00569184, 0.004406, 0.00334941, 0.00328741, 0.00311092, 0.0031414, 0.00291261, 0.00272748, 0.00218572, 0.0017123, 0.00149921, 0.00131385, 0.000847482, 0.000655634, 0.000501323, 0.000539696, 0.000437732, 0.000415054, 0.000241401, 1.22376e-05, 4.00305e-05, 1e-22, 2.9868e-05, 2.83173e-05" \
             );
           }
           vector (ccs_template) {
@@ -103320,14 +104890,14 @@
             index_2 ("0.00418628");
             index_3 ("6.57117, 7.79664, 8.12043, 8.33833, 8.47313, 8.74273, 9.20863, 9.43791, 9.62906, 9.79619, 9.93433, 10.0089, 10.1455, 10.2246, 10.3711, 10.4933, 10.5929, 10.6359, 10.808, 11.2321, 11.4812");
             values ( \
-              "7.68411e-05, 0.000190033, 0.000287502, 0.000409216, 0.000534292, 0.00104001, 0.00290956, 0.00366962, 0.00421597, 0.00480745, 0.00606978, 0.00636964, 0.00645193, 0.00604585, 0.00301585, 0.00131539, 0.000643709, 0.000481559, 0.000171282, 3.77588e-05, 4.18037e-05" \
+              "7.68411e-05, 0.000190033, 0.000287502, 0.000409216, 0.000534292, 0.00104001, 0.00290956, 0.00366962, 0.00421597, 0.00480745, 0.00606978, 0.00636964, 0.00645193, 0.00604585, 0.00301585, 0.00131539, 0.000643709, 0.000481559, 0.000171282, 3.77587e-05, 4.18037e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0140621");
-            index_3 ("6.57087, 7.80265, 7.92694, 8.13194, 8.33695, 8.60969, 8.86583, 9.46958, 9.77963, 10.2747, 10.4826, 10.5483, 10.6732, 10.8153, 10.86, 10.9495, 11.2977, 11.4412, 11.609, 11.7562, 11.8776");
+            index_3 ("6.57087, 7.80265, 7.92694, 8.13194, 8.33695, 8.60969, 8.86583, 9.46958, 9.77963, 10.2747, 10.4826, 10.5483, 10.6732, 10.8153, 10.86, 10.9495, 11.2977, 11.4412, 11.609, 11.7563, 11.8776");
             values ( \
               "0.000236115, 0.000586276, 0.000671559, 0.000862389, 0.00113753, 0.00178801, 0.00300523, 0.00728098, 0.00905245, 0.0113889, 0.0129477, 0.0132369, 0.0135609, 0.0135315, 0.0133464, 0.0122279, 0.00439398, 0.00242285, 0.00117274, 0.000572208, 0.000378944" \
             );
@@ -103526,7 +105096,7 @@
             index_2 ("0.0472359");
             index_3 ("0.172634, 0.215211, 0.247748, 0.260072, 0.264953, 0.267436, 0.333133, 0.362124, 0.419684, 0.433877, 0.449017, 0.464821, 0.498377, 0.503151, 0.512699, 0.521672, 0.532125, 0.545818, 0.563341, 0.586706, 0.61388, 0.668229, 0.745145, 0.800896, 0.841416, 0.868691, 0.921102, 0.967263, 1.02881, 1.08149, 1.11016, 1.1675, 1.28218, 1.45129, 1.65639");
             values ( \
-              "-0.0821907, -0.117526, -0.142694, -0.147874, -0.151038, -0.151321, -0.148514, -0.147067, -0.143737, -0.142418, -0.140518, -0.137709, -0.130707, -0.130497, -0.13273, -0.133511, -0.133013, -0.131382, -0.128755, -0.124017, -0.116775, -0.0987146, -0.0721206, -0.0550059, -0.0444959, -0.0383404, -0.0284052, -0.0216349, -0.0148565, -0.0107176, -0.00894675, -0.00621885, -0.00288751, -0.000858068, -0.000171357" \
+              "-0.0821907, -0.117526, -0.142694, -0.147874, -0.151038, -0.151321, -0.148514, -0.147067, -0.143737, -0.142418, -0.140518, -0.137709, -0.130707, -0.130497, -0.13273, -0.133511, -0.133013, -0.131382, -0.128755, -0.124017, -0.116775, -0.0987146, -0.0721206, -0.0550059, -0.0444959, -0.0383404, -0.0284052, -0.0216349, -0.0148565, -0.0107176, -0.00894675, -0.00621885, -0.00288751, -0.000858069, -0.000171357" \
             );
           }
           vector (ccs_template) {
@@ -103544,7 +105114,7 @@
             index_2 ("0.532987");
             index_3 ("0.164945, 0.230335, 0.276529, 0.286545, 0.299043, 1.40922, 2.54329, 2.99058, 3.13755, 3.37863, 3.70187, 3.9999, 4.59596, 4.72774, 4.9913, 6.11978, 6.72462, 6.99051, 7.39634, 7.93746, 8.37261, 8.67584, 9.2823, 9.69829, 10.3072, 10.9891, 11.671, 12.3529, 13.7167, 15.0805");
             values ( \
-              "-0.0571677, -0.139568, -0.1602, -0.15959, -0.159776, -0.155103, -0.149678, -0.146456, -0.146255, -0.144607, -0.142033, -0.138842, -0.127606, -0.123897, -0.115686, -0.0767692, -0.058193, -0.0511118, -0.0415452, -0.0310469, -0.0243996, -0.0205652, -0.0144432, -0.0113153, -0.00787861, -0.00522054, -0.00342986, -0.0022667, -0.000980537, -0.000425172" \
+              "-0.0571677, -0.139568, -0.1602, -0.15959, -0.159776, -0.155103, -0.149678, -0.146456, -0.146255, -0.144607, -0.142033, -0.138842, -0.127606, -0.123897, -0.115686, -0.0767692, -0.058193, -0.0511118, -0.0415452, -0.0310469, -0.0243996, -0.0205652, -0.0144433, -0.0113153, -0.00787859, -0.00522052, -0.00342985, -0.00226669, -0.000980534, -0.00042517" \
             );
           }
           vector (ccs_template) {
@@ -103553,7 +105123,7 @@
             index_2 ("0.00124625");
             index_3 ("0.473406, 0.502892, 0.509574, 0.570733, 0.58001, 0.590071, 0.597911, 0.605383, 0.613767, 0.622421, 0.626798, 0.63336, 0.637702, 0.642245, 0.646366, 0.653701, 0.664066, 0.67751, 0.694289, 0.70761, 0.715732, 0.726022, 0.732536, 0.745562, 0.768403, 0.795166, 0.821249");
             values ( \
-              "-0.00201908, -0.00378937, -0.00439143, -0.012599, -0.0136828, -0.0145601, -0.0147866, -0.0135351, -0.0107142, -0.00868662, -0.00895125, -0.011557, -0.0124238, -0.0127266, -0.0127927, -0.0127769, -0.0122717, -0.010038, -0.00627565, -0.00387203, -0.00277368, -0.00178486, -0.00134277, -0.000725304, -0.00022771, -5.54672e-05, -4.95763e-05" \
+              "-0.00201908, -0.00378938, -0.00439143, -0.012599, -0.0136828, -0.0145601, -0.0147866, -0.0135351, -0.0107142, -0.00868662, -0.00895125, -0.011557, -0.0124238, -0.0127266, -0.0127927, -0.0127769, -0.0122717, -0.010038, -0.00627565, -0.00387203, -0.00277368, -0.00178486, -0.00134277, -0.000725304, -0.00022771, -5.54672e-05, -4.95763e-05" \
             );
           }
           vector (ccs_template) {
@@ -103562,7 +105132,7 @@
             index_2 ("0.00418628");
             index_3 ("0.471369, 0.50494, 0.528188, 0.614254, 0.636433, 0.647507, 0.656935, 0.672583, 0.676509, 0.690188, 0.695605, 0.70976, 0.723323, 0.755916, 0.777904, 0.798168, 0.813902, 0.826673, 0.852214, 0.887304, 1.04071, 1.05797");
             values ( \
-              "-0.00103798, -0.00794955, -0.0118198, -0.0317906, -0.0362475, -0.0372566, -0.0359006, -0.0296924, -0.0290156, -0.0359888, -0.0368546, -0.0364299, -0.0333136, -0.0186189, -0.010377, -0.00557953, -0.00336049, -0.00218455, -0.000856871, -0.000212128, -8.04767e-06, -0.000217317" \
+              "-0.00103798, -0.00794955, -0.0118198, -0.0317906, -0.0362475, -0.0372566, -0.0359006, -0.0296924, -0.0290156, -0.0359888, -0.0368546, -0.0364299, -0.0333136, -0.0186189, -0.010377, -0.00557953, -0.00336049, -0.00218455, -0.000856871, -0.000212128, -8.04762e-06, -0.000217317" \
             );
           }
           vector (ccs_template) {
@@ -103607,7 +105177,7 @@
             index_2 ("0.00124625");
             index_3 ("1.63044, 1.73868, 1.78025, 1.8166, 1.88535, 1.89878, 1.92565, 1.97716, 2.02815, 2.06959, 2.08357, 2.09594, 2.11488, 2.12245, 2.13652, 2.13918, 2.15131, 2.16038, 2.16672, 2.17696, 2.19028, 2.20995, 2.2474, 2.25277, 2.26351, 2.27987, 2.2991, 2.33054, 2.34294, 2.35949, 2.3756, 2.38661, 2.40472, 2.42887, 2.47711, 2.53629, 2.61723, 2.9783");
             values ( \
-              "-0.000470873, -0.000564235, -0.000710674, -0.00092211, -0.00163877, -0.0019765, -0.00252019, -0.0038414, -0.00497489, -0.00585004, -0.00574268, -0.00544525, -0.0040875, -0.00364904, -0.00318899, -0.00320944, -0.00452535, -0.00506504, -0.00507602, -0.00519074, -0.00517854, -0.00527379, -0.00520978, -0.00522654, -0.00510826, -0.00487864, -0.00412561, -0.00242275, -0.00183986, -0.00125335, -0.000813808, -0.000617649, -0.000396345, -0.000243741, -9.61868e-05, -7.76606e-05, -3.85035e-05, -1e-22" \
+              "-0.000470873, -0.000564235, -0.000710674, -0.00092211, -0.00163877, -0.0019765, -0.00252019, -0.0038414, -0.00497489, -0.00585004, -0.00574269, -0.00544525, -0.0040875, -0.00364904, -0.00318899, -0.00320944, -0.00452535, -0.00506504, -0.00507602, -0.00519074, -0.00517854, -0.00527379, -0.00520978, -0.00522654, -0.00510826, -0.00487864, -0.00412561, -0.00242275, -0.00183986, -0.00125335, -0.000813808, -0.000617649, -0.000396345, -0.000243741, -9.61867e-05, -7.76606e-05, -3.85035e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -103616,7 +105186,7 @@
             index_2 ("0.00418628");
             index_3 ("1.67004, 1.79013, 1.85451, 1.89895, 1.94332, 2.05393, 2.11032, 2.16357, 2.18241, 2.19746, 2.22687, 2.23868, 2.26212, 2.28089, 2.3181, 2.36143, 2.37322, 2.38894, 2.414, 2.45373, 2.48049, 2.51022, 2.52508, 2.54489, 2.58452, 2.64265, 2.71485, 2.95865");
             values ( \
-              "-0.00180063, -0.00192811, -0.00284871, -0.0038801, -0.00538738, -0.0103579, -0.0130577, -0.0152524, -0.0155598, -0.0150792, -0.0122889, -0.0115576, -0.0153464, -0.0156779, -0.0159929, -0.0159103, -0.0156519, -0.0149518, -0.0125246, -0.00724497, -0.00446127, -0.00245947, -0.00181447, -0.00120329, -0.000532553, -0.000194712, -0.000100396, -2.07488e-05" \
+              "-0.00180063, -0.00192811, -0.00284871, -0.0038801, -0.00538738, -0.0103579, -0.0130577, -0.0152523, -0.0155598, -0.0150792, -0.0122889, -0.0115576, -0.0153464, -0.0156779, -0.0159929, -0.0159103, -0.0156519, -0.0149518, -0.0125246, -0.00724497, -0.00446127, -0.00245947, -0.00181447, -0.00120329, -0.000532553, -0.000194712, -0.000100396, -2.0749e-05" \
             );
           }
           vector (ccs_template) {
@@ -103661,7 +105231,7 @@
             index_2 ("0.00124625");
             index_3 ("6.07256, 6.68006, 6.92412, 7.12331, 7.26286, 7.29883, 7.37078, 7.59019, 7.66296, 7.77067, 7.79758, 7.82911, 7.86415, 7.89589, 7.90948, 7.92662, 7.94277, 7.96336, 7.98378, 8.00354, 8.02339, 8.06309, 8.14059, 8.239, 8.26239, 8.2999, 8.33741, 8.39833, 8.45702, 8.49371, 8.51097, 8.51698, 8.52899, 8.55301, 8.60106, 8.67661, 8.76855, 8.87166, 9.02689, 9.06083, 9.1287, 9.26444, 9.53593, 10.0789, 10.7608, 10.7653");
             values ( \
-              "-7.4053e-05, -0.000123208, -0.000190733, -0.000312884, -0.000498909, -0.000580436, -0.000784288, -0.00166545, -0.00193575, -0.00223162, -0.0021475, -0.00192178, -0.00145363, -0.0011995, -0.00120909, -0.00152525, -0.00191696, -0.00193706, -0.00197304, -0.00192786, -0.00196093, -0.00190565, -0.00190801, -0.00179697, -0.0018077, -0.00171883, -0.00167663, -0.00139853, -0.000974372, -0.000639429, -0.000557743, -0.000490659, -0.000452966, -0.000319965, -0.000210554, -9.84477e-05, -9.2116e-05, -5.99975e-05, -6.48275e-05, -4.47368e-05, -5.82446e-05, -3.28749e-05, -3.93282e-05, -6.90227e-06, -1.52495e-05, -1.51495e-05" \
+              "-7.4053e-05, -0.000123208, -0.000190734, -0.000312884, -0.000498908, -0.000580436, -0.000784288, -0.00166545, -0.00193575, -0.00223162, -0.0021475, -0.00192178, -0.00145363, -0.0011995, -0.00120909, -0.00152525, -0.00191696, -0.00193706, -0.00197304, -0.00192786, -0.00196093, -0.00190565, -0.00190801, -0.00179697, -0.0018077, -0.00171883, -0.00167663, -0.00139853, -0.000974372, -0.000639429, -0.000557743, -0.000490659, -0.000452966, -0.000319965, -0.000210554, -9.84477e-05, -9.2116e-05, -5.99975e-05, -6.48275e-05, -4.47368e-05, -5.82446e-05, -3.28749e-05, -3.93282e-05, -6.90226e-06, -1.52495e-05, -1.51495e-05" \
             );
           }
           vector (ccs_template) {
@@ -103670,7 +105240,7 @@
             index_2 ("0.00418628");
             index_3 ("6.25608, 6.97336, 7.04248, 7.18072, 7.34239, 7.43809, 7.65228, 7.81964, 7.95284, 7.99618, 8.02669, 8.08762, 8.09817, 8.10344, 8.12962, 8.15279, 8.17222, 8.2204, 8.27085, 8.33763, 8.34384, 8.35626, 8.3811, 8.42475, 8.46977, 8.5598, 8.60824, 8.71856, 8.76328, 8.81138, 8.90142, 8.97958, 9.05631, 9.08431, 9.14033, 9.25236, 9.47642, 9.92454, 11.2884");
             values ( \
-              "-0.000283293, -0.000636465, -0.000729083, -0.00100163, -0.00153671, -0.00209353, -0.00380544, -0.00521318, -0.00610021, -0.00605342, -0.00569007, -0.00454443, -0.00446179, -0.00449806, -0.00573331, -0.00612965, -0.00608873, -0.00612768, -0.00609631, -0.00609746, -0.00605553, -0.00608633, -0.00601651, -0.00598896, -0.00584019, -0.00541563, -0.00471345, -0.00211753, -0.0013642, -0.000803596, -0.00035687, -0.000228726, -0.000200275, -0.000177743, -0.000177963, -0.000139822, -0.000120186, -5.86481e-05, -1.77343e-06" \
+              "-0.000283293, -0.000636465, -0.000729083, -0.00100163, -0.00153671, -0.00209353, -0.00380544, -0.00521318, -0.00610021, -0.00605342, -0.00569007, -0.00454443, -0.00446179, -0.00449806, -0.00573331, -0.00612965, -0.00608873, -0.00612768, -0.00609631, -0.00609746, -0.00605553, -0.00608633, -0.00601651, -0.00598896, -0.00584019, -0.00541563, -0.00471345, -0.00211753, -0.0013642, -0.000803596, -0.00035687, -0.000228726, -0.000200275, -0.000177743, -0.000177963, -0.000139822, -0.000120186, -5.86481e-05, -1.77346e-06" \
             );
           }
           vector (ccs_template) {
@@ -103679,7 +105249,7 @@
             index_2 ("0.0140621");
             index_3 ("6.24527, 6.78451, 6.96038, 7.16755, 7.23234, 7.31871, 7.49147, 7.57144, 7.73138, 7.93833, 8.24456, 8.33758, 8.36039, 8.40601, 8.45995, 8.53288, 8.55174, 8.5747, 8.59702, 8.62138, 8.64603, 8.69086, 8.84047, 8.98901, 9.02021, 9.08006, 9.15009, 9.25904, 9.30258, 9.36063, 9.41732, 9.45806, 9.52737, 9.6198, 9.79227, 10.3087, 11.4563");
             values ( \
-              "-0.00107554, -0.00115121, -0.00152578, -0.00216744, -0.00243312, -0.00284465, -0.00398501, -0.00472517, -0.00653492, -0.00920839, -0.0132874, -0.0144572, -0.0146829, -0.0149969, -0.0148395, -0.0139905, -0.0143959, -0.0159452, -0.0162748, -0.016463, -0.0165369, -0.0167715, -0.0171459, -0.0169244, -0.0166633, -0.0158665, -0.0134679, -0.00788318, -0.00594743, -0.00397103, -0.00257665, -0.00191208, -0.00117168, -0.00067797, -0.000296741, -0.000138764, -7.58478e-06" \
+              "-0.00107554, -0.00115121, -0.00152578, -0.00216744, -0.00243312, -0.00284465, -0.00398501, -0.00472517, -0.00653492, -0.00920839, -0.0132874, -0.0144572, -0.0146829, -0.0149969, -0.0148395, -0.0139905, -0.0143959, -0.0159452, -0.0162748, -0.016463, -0.0165369, -0.0167715, -0.0171459, -0.0169244, -0.0166633, -0.0158665, -0.0134679, -0.00788318, -0.00594743, -0.00397103, -0.00257665, -0.00191208, -0.00117168, -0.00067797, -0.000296741, -0.000138764, -7.58476e-06" \
             );
           }
           vector (ccs_template) {
@@ -103688,7 +105258,7 @@
             index_2 ("0.0472359");
             index_3 ("6.53547, 7.27726, 7.47353, 7.67562, 7.907, 8.17459, 8.53138, 9.06359, 9.17247, 9.22737, 9.28176, 9.38587, 9.42702, 9.45973, 9.49422, 9.64053, 9.73912, 9.8692, 9.904, 9.97361, 10.0807, 10.2404, 10.4007, 10.4966, 10.5506, 10.648, 10.7311, 10.8244, 10.9489, 11.1978, 11.483, 11.8407");
             values ( \
-              "-0.00301697, -0.00455242, -0.00592539, -0.00775511, -0.0105501, -0.014689, -0.0207901, -0.0303136, -0.032155, -0.0329101, -0.0334561, -0.0339172, -0.0348359, -0.036435, -0.0369462, -0.0386681, -0.0395447, -0.0403159, -0.0404114, -0.0404236, -0.0395056, -0.0335298, -0.0231469, -0.0172682, -0.0144065, -0.0101491, -0.00738916, -0.00510711, -0.00304279, -0.000978418, -0.000270955, -7.68966e-05" \
+              "-0.00301697, -0.00455242, -0.00592539, -0.00775511, -0.0105501, -0.014689, -0.0207901, -0.0303136, -0.032155, -0.0329101, -0.0334561, -0.0339172, -0.0348359, -0.036435, -0.0369462, -0.0386681, -0.0395447, -0.0403159, -0.0404114, -0.0404236, -0.0395056, -0.0335298, -0.0231469, -0.0172682, -0.0144065, -0.0101491, -0.00738916, -0.00510711, -0.00304279, -0.000978418, -0.000270955, -7.68967e-05" \
             );
           }
           vector (ccs_template) {
@@ -103697,7 +105267,7 @@
             index_2 ("0.15867");
             index_3 ("6.55931, 7.5259, 7.85037, 8.09503, 8.42025, 8.85668, 10.5622, 10.7929, 10.9957, 11.07, 11.159, 11.489, 11.8025, 11.9416, 12.1271, 12.225, 12.8041, 13.0893, 13.3758, 13.6078, 13.7613, 14.0578, 14.4532, 14.73");
             values ( \
-              "-0.00337583, -0.00844956, -0.011916, -0.0150992, -0.0202148, -0.0283037, -0.0624425, -0.0666237, -0.0695923, -0.0718846, -0.0735905, -0.0785162, -0.0802889, -0.0789031, -0.0736563, -0.069551, -0.0390859, -0.0264903, -0.0171314, -0.0117548, -0.00906725, -0.00541436, -0.00261383, -0.00176188" \
+              "-0.00337583, -0.00844956, -0.011916, -0.0150992, -0.0202148, -0.0283037, -0.0624425, -0.0666237, -0.0695923, -0.0718846, -0.0735905, -0.0785162, -0.0802889, -0.0789031, -0.0736563, -0.069551, -0.0390859, -0.0264903, -0.0171314, -0.0117548, -0.00906725, -0.00541437, -0.00261383, -0.00176188" \
             );
           }
           vector (ccs_template) {
@@ -104351,9 +105921,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.532987");
-            index_3 ("0.68571, 1.0688, 2.56467, 3.49698, 4.09798, 4.42456, 4.72777, 5.13206, 5.25151, 5.49041, 6.44119, 7.07185, 7.43687, 7.86391, 8.4333, 8.92298, 9.27287, 9.93559, 10.3521, 11.1617, 11.8436, 12.5256, 13.2076, 15.2536");
+            index_3 ("0.68571, 1.0688, 2.56467, 3.49698, 4.09798, 4.42456, 4.72777, 5.13206, 5.25151, 5.49041, 6.4412, 7.07185, 7.43687, 7.86391, 8.43329, 8.92298, 9.27288, 9.93559, 10.3521, 11.1616, 11.8436, 12.5256, 13.2076, 15.2536");
             values ( \
-              "-0.128987, -0.158815, -0.15231, -0.147489, -0.143418, -0.140352, -0.13626, -0.127524, -0.124168, -0.116697, -0.0836362, -0.0633036, -0.0530898, -0.0427331, -0.031475, -0.0239838, -0.0196522, -0.0133281, -0.0104275, -0.00641681, -0.00423159, -0.00278278, -0.00182721, -0.000514238" \
+              "-0.128987, -0.158815, -0.15231, -0.147489, -0.143418, -0.140352, -0.13626, -0.127524, -0.124168, -0.116697, -0.0836362, -0.0633035, -0.0530898, -0.0427331, -0.0314751, -0.0239837, -0.019652, -0.013328, -0.0104275, -0.00641692, -0.00423166, -0.00278282, -0.00182724, -0.000514247" \
             );
           }
           vector (ccs_template) {
@@ -104416,7 +105986,7 @@
             index_2 ("0.00124625");
             index_3 ("6.22575, 6.8125, 7.00514, 7.04598, 7.12766, 7.291, 7.35052, 7.46955, 7.63329, 7.7107, 7.81556, 7.91006, 8.00465, 8.01206, 8.02688, 8.05652, 8.08797, 8.12089, 8.17644, 8.23697, 8.32578, 8.3373, 8.36036, 8.37135, 8.39333, 8.4767, 8.49809, 8.50808, 8.52806, 8.56802, 8.64794, 8.75381, 8.88266, 9.07697, 9.12872, 9.23224, 9.43926, 9.85332, 10.5353, 10.6495");
             values ( \
-              "-9.68729e-05, -0.000141935, -0.000203018, -0.000234774, -0.000276295, -0.000459899, -0.000587795, -0.000973791, -0.00166116, -0.00195488, -0.00232735, -0.00241777, -0.00200049, -0.00217412, -0.00206201, -0.00210973, -0.00200459, -0.00203583, -0.00190914, -0.00188296, -0.00161031, -0.00161228, -0.00143962, -0.00140909, -0.00118786, -0.000481451, -0.000405752, -0.000327866, -0.000294885, -0.000175428, -0.000139087, -8.04729e-05, -9.46161e-05, -4.36452e-05, -7.17493e-05, -3.38214e-05, -5.36139e-05, -7.54845e-06, -2.41836e-05, -2.01335e-05" \
+              "-9.68729e-05, -0.000141935, -0.000203018, -0.000234774, -0.000276295, -0.000459899, -0.000587795, -0.000973791, -0.00166116, -0.00195488, -0.00232735, -0.00241777, -0.00200049, -0.00217412, -0.00206201, -0.00210973, -0.00200459, -0.00203583, -0.00190914, -0.00188296, -0.00161031, -0.00161228, -0.00143962, -0.00140909, -0.00118786, -0.000481451, -0.000405752, -0.000327866, -0.000294885, -0.000175428, -0.000139087, -8.04729e-05, -9.4616e-05, -4.36452e-05, -7.17493e-05, -3.38214e-05, -5.36139e-05, -7.54846e-06, -2.41836e-05, -2.01335e-05" \
             );
           }
           vector (ccs_template) {
@@ -104425,7 +105995,7 @@
             index_2 ("0.00418628");
             index_3 ("6.26082, 6.78897, 7.02835, 7.09449, 7.22676, 7.39459, 7.47806, 7.69667, 7.86161, 7.99197, 8.10601, 8.21924, 8.3105, 8.33409, 8.38128, 8.45305, 8.5386, 8.64345, 8.67858, 8.72604, 8.76287, 8.82104, 8.91418, 9.02498, 9.15749, 9.3815, 9.82951, 10.5115, 11.1216");
             values ( \
-              "-0.000384502, -0.000399699, -0.000640687, -0.000733291, -0.000999368, -0.00157479, -0.00207384, -0.00385787, -0.0052779, -0.00629941, -0.00690854, -0.00642701, -0.00669861, -0.00634873, -0.0065142, -0.00605729, -0.00573143, -0.00340846, -0.00229251, -0.00138908, -0.000976366, -0.000502028, -0.000316378, -0.000199278, -0.00021632, -0.000112502, -0.000109401, -2.71107e-06, -3.63154e-05" \
+              "-0.000384502, -0.000399699, -0.000640687, -0.000733291, -0.000999368, -0.00157479, -0.00207384, -0.00385787, -0.0052779, -0.00629941, -0.00690854, -0.00642701, -0.00669861, -0.00634873, -0.0065142, -0.00605729, -0.00573143, -0.00340846, -0.00229251, -0.00138908, -0.000976366, -0.000502028, -0.000316378, -0.000199278, -0.00021632, -0.000112503, -0.000109401, -2.71112e-06, -3.63153e-05" \
             );
           }
           vector (ccs_template) {
@@ -104434,7 +106004,7 @@
             index_2 ("0.0140621");
             index_3 ("6.25846, 6.83227, 6.95851, 7.15251, 7.2329, 7.39368, 7.58219, 7.66523, 7.77596, 7.97313, 8.33764, 8.47528, 8.54726, 8.67845, 8.69498, 8.72802, 8.78315, 8.83757, 8.9464, 8.97945, 9.04554, 9.12286, 9.24541, 9.30713, 9.37766, 9.41792, 9.44727, 9.50596, 9.62335, 9.79632, 10.3336, 10.8829, 11.5648");
             values ( \
-              "-0.000974476, -0.00114131, -0.00142252, -0.0019556, -0.00227305, -0.00303902, -0.00449225, -0.00537027, -0.00671801, -0.00933516, -0.0143345, -0.0161435, -0.0168451, -0.017461, -0.0175997, -0.0176576, -0.0178283, -0.0178633, -0.0177561, -0.0175715, -0.0168893, -0.014537, -0.00780152, -0.00506122, -0.00293251, -0.00215972, -0.00173702, -0.00114998, -0.000568221, -0.000322368, -0.000157646, -6.49606e-05, -2.05413e-05" \
+              "-0.000974476, -0.00114131, -0.00142252, -0.0019556, -0.00227305, -0.00303902, -0.00449225, -0.00537027, -0.00671801, -0.00933516, -0.0143345, -0.0161435, -0.0168451, -0.017461, -0.0175997, -0.0176576, -0.0178283, -0.0178633, -0.0177561, -0.0175715, -0.0168893, -0.014537, -0.00780152, -0.00506122, -0.00293251, -0.00215972, -0.00173702, -0.00114998, -0.000568221, -0.000322367, -0.000157646, -6.49607e-05, -2.05413e-05" \
             );
           }
           vector (ccs_template) {
@@ -104549,7 +106119,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0223684, 0.025119, 0.0267189, 0.0312724, 0.0343885, 0.0404261, 0.0471193, 0.0582788, 0.0603434, 0.0644725, 0.0737892, 0.0801391, 0.0869652, 0.0991994, 0.111453, 0.112976, 0.120084, 0.127667, 0.136877, 0.142115, 0.151608, 0.164088, 0.175211, 0.183829, 0.198179, 0.211454, 0.229154, 0.243273, 0.259965, 0.282222, 0.326735, 0.382011, 0.451523, 0.540707");
             values ( \
-              "0.0118376, 0.0208525, 0.0201684, 0.0188671, 0.0183108, 0.0175516, 0.017161, 0.0167878, 0.0167878, 0.0167101, 0.0167058, 0.0169085, 0.0175583, 0.0190967, 0.0140979, 0.0138963, 0.0118315, 0.0100028, 0.00828745, 0.00746738, 0.00614659, 0.0047977, 0.00383019, 0.00322902, 0.00239921, 0.00183167, 0.00128221, 0.000951422, 0.000676145, 0.000436796, 0.000161439, 5.76802e-05, 8.65767e-06, 9.97257e-06" \
+              "0.0118376, 0.0208525, 0.0201684, 0.0188671, 0.0183108, 0.0175516, 0.017161, 0.0167878, 0.0167878, 0.0167101, 0.0167058, 0.0169085, 0.0175583, 0.0190967, 0.0140979, 0.0138963, 0.0118315, 0.0100028, 0.00828745, 0.00746738, 0.00614659, 0.0047977, 0.00383019, 0.00322902, 0.00239921, 0.00183167, 0.00128221, 0.000951422, 0.000676145, 0.000436796, 0.00016144, 5.76802e-05, 8.65769e-06, 9.97255e-06" \
             );
           }
           vector (ccs_template) {
@@ -104657,7 +106227,7 @@
             index_2 ("0.00124625");
             index_3 ("0.130146, 0.155969, 0.179406, 0.213143, 0.247698, 0.258718, 0.260133, 0.261386, 0.263893, 0.265947, 0.26904, 0.272999, 0.280799, 0.285769, 0.286633, 0.288361, 0.291815, 0.297899, 0.30535, 0.306634, 0.3092, 0.314332, 0.324245, 0.33734, 0.340697, 0.34133, 0.342595, 0.345125, 0.350185, 0.359856, 0.372547, 0.376306, 0.383823, 0.396544, 0.41493, 0.421605, 0.423694, 0.427873, 0.43623, 0.452945, 0.486375, 0.540745, 0.542767");
             values ( \
-              "0.000307186, 0.00316954, 0.00644816, 0.010814, 0.0151974, 0.0169864, 0.0174311, 0.0186767, 0.0189788, 0.0198382, 0.0194512, 0.0193679, 0.0157853, 0.0144706, 0.0136943, 0.0136088, 0.0121064, 0.0110104, 0.00897262, 0.00920616, 0.00828183, 0.00790563, 0.00604368, 0.00505911, 0.00428342, 0.00469184, 0.00411872, 0.00436468, 0.00350639, 0.00330125, 0.00214433, 0.00242867, 0.00166005, 0.00168398, 0.000769455, 0.00109905, 0.000608664, 0.000993484, 0.000421973, 0.000686564, 4.80026e-06, 0.000297189, 0.000288103" \
+              "0.000307186, 0.00316954, 0.00644816, 0.010814, 0.0151974, 0.0169864, 0.0174311, 0.0186767, 0.0189788, 0.0198382, 0.0194512, 0.0193679, 0.0157853, 0.0144706, 0.0136943, 0.0136088, 0.0121064, 0.0110104, 0.00897262, 0.00920616, 0.00828183, 0.00790563, 0.00604368, 0.00505911, 0.00428342, 0.00469184, 0.00411872, 0.00436468, 0.00350639, 0.00330125, 0.00214433, 0.00242867, 0.00166005, 0.00168398, 0.000769455, 0.00109905, 0.000608664, 0.000993484, 0.000421973, 0.000686564, 4.80027e-06, 0.000297189, 0.000288103" \
             );
           }
           vector (ccs_template) {
@@ -104765,7 +106335,7 @@
             index_2 ("0.00124625");
             index_3 ("1.68125, 1.96497, 1.99575, 2.05732, 2.08352, 2.13206, 2.15571, 2.20301, 2.26864, 2.30537, 2.34735, 2.38967, 2.47148, 2.53994, 2.5991, 2.61384, 2.6452, 2.68329, 2.7213, 2.7497, 2.76563, 2.77044, 2.77125, 2.77287, 2.77612, 2.7826, 2.79558, 2.81481, 2.82085, 2.83292, 2.85707, 2.87958, 2.88944, 2.89239, 2.89829, 2.91009, 2.93369, 3.05694, 3.15227, 3.27708, 3.46073, 3.4762");
             values ( \
-              "0.000107349, 0.000208917, 0.000242374, 0.000323114, 0.000374413, 0.000495965, 0.000583165, 0.000821707, 0.0013619, 0.00170918, 0.00208532, 0.00244146, 0.00304525, 0.00351494, 0.00408061, 0.00455919, 0.00565867, 0.00614101, 0.00569184, 0.004406, 0.00334941, 0.00328741, 0.00311092, 0.0031414, 0.00291261, 0.00272748, 0.00218572, 0.0017123, 0.00149921, 0.00131385, 0.000847482, 0.000655634, 0.000501323, 0.000539696, 0.000437732, 0.000415054, 0.000241401, 1.22376e-05, 4.00305e-05, 1e-22, 2.9868e-05, 2.83172e-05" \
+              "0.000107349, 0.000208917, 0.000242374, 0.000323114, 0.000374413, 0.000495965, 0.000583165, 0.000821707, 0.0013619, 0.00170918, 0.00208532, 0.00244146, 0.00304525, 0.00351494, 0.00408061, 0.00455919, 0.00565867, 0.00614101, 0.00569184, 0.004406, 0.00334941, 0.00328741, 0.00311092, 0.0031414, 0.00291261, 0.00272748, 0.00218572, 0.0017123, 0.00149921, 0.00131385, 0.000847482, 0.000655634, 0.000501323, 0.000539696, 0.000437732, 0.000415054, 0.000241401, 1.22376e-05, 4.00305e-05, 1e-22, 2.9868e-05, 2.83173e-05" \
             );
           }
           vector (ccs_template) {
@@ -104828,14 +106398,14 @@
             index_2 ("0.00418628");
             index_3 ("6.57117, 7.79664, 8.12043, 8.33833, 8.47313, 8.74273, 9.20863, 9.43791, 9.62906, 9.79619, 9.93433, 10.0089, 10.1455, 10.2246, 10.3711, 10.4933, 10.5929, 10.6359, 10.808, 11.2321, 11.4812");
             values ( \
-              "7.68411e-05, 0.000190033, 0.000287502, 0.000409216, 0.000534292, 0.00104001, 0.00290956, 0.00366962, 0.00421597, 0.00480745, 0.00606978, 0.00636964, 0.00645193, 0.00604585, 0.00301585, 0.00131539, 0.000643709, 0.000481559, 0.000171282, 3.77588e-05, 4.18037e-05" \
+              "7.68411e-05, 0.000190033, 0.000287502, 0.000409216, 0.000534292, 0.00104001, 0.00290956, 0.00366962, 0.00421597, 0.00480745, 0.00606978, 0.00636964, 0.00645193, 0.00604585, 0.00301585, 0.00131539, 0.000643709, 0.000481559, 0.000171282, 3.77587e-05, 4.18037e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0140621");
-            index_3 ("6.57087, 7.80265, 7.92694, 8.13194, 8.33695, 8.60969, 8.86583, 9.46958, 9.77963, 10.2747, 10.4826, 10.5483, 10.6732, 10.8153, 10.86, 10.9495, 11.2977, 11.4412, 11.609, 11.7562, 11.8776");
+            index_3 ("6.57087, 7.80265, 7.92694, 8.13194, 8.33695, 8.60969, 8.86583, 9.46958, 9.77963, 10.2747, 10.4826, 10.5483, 10.6732, 10.8153, 10.86, 10.9495, 11.2977, 11.4412, 11.609, 11.7563, 11.8776");
             values ( \
               "0.000236115, 0.000586276, 0.000671559, 0.000862389, 0.00113753, 0.00178801, 0.00300523, 0.00728098, 0.00905245, 0.0113889, 0.0129477, 0.0132369, 0.0135609, 0.0135315, 0.0133464, 0.0122279, 0.00439398, 0.00242285, 0.00117274, 0.000572208, 0.000378944" \
             );
@@ -105034,7 +106604,7 @@
             index_2 ("0.0472359");
             index_3 ("0.172634, 0.215211, 0.247748, 0.260072, 0.264953, 0.267436, 0.333133, 0.362124, 0.419684, 0.433877, 0.449017, 0.464821, 0.498377, 0.503151, 0.512699, 0.521672, 0.532125, 0.545818, 0.563341, 0.586706, 0.61388, 0.668229, 0.745145, 0.800896, 0.841416, 0.868691, 0.921102, 0.967263, 1.02881, 1.08149, 1.11016, 1.1675, 1.28218, 1.45129, 1.65639");
             values ( \
-              "-0.0821907, -0.117526, -0.142694, -0.147874, -0.151038, -0.151321, -0.148514, -0.147067, -0.143737, -0.142418, -0.140518, -0.137709, -0.130707, -0.130497, -0.13273, -0.133511, -0.133013, -0.131382, -0.128755, -0.124017, -0.116775, -0.0987146, -0.0721206, -0.0550059, -0.0444959, -0.0383404, -0.0284052, -0.0216349, -0.0148565, -0.0107176, -0.00894675, -0.00621885, -0.00288751, -0.000858068, -0.000171357" \
+              "-0.0821907, -0.117526, -0.142694, -0.147874, -0.151038, -0.151321, -0.148514, -0.147067, -0.143737, -0.142418, -0.140518, -0.137709, -0.130707, -0.130497, -0.13273, -0.133511, -0.133013, -0.131382, -0.128755, -0.124017, -0.116775, -0.0987146, -0.0721206, -0.0550059, -0.0444959, -0.0383404, -0.0284052, -0.0216349, -0.0148565, -0.0107176, -0.00894675, -0.00621885, -0.00288751, -0.000858069, -0.000171357" \
             );
           }
           vector (ccs_template) {
@@ -105052,7 +106622,7 @@
             index_2 ("0.532987");
             index_3 ("0.164945, 0.230335, 0.276529, 0.286545, 0.299043, 1.40922, 2.54329, 2.99058, 3.13755, 3.37863, 3.70187, 3.9999, 4.59596, 4.72774, 4.9913, 6.11978, 6.72462, 6.99051, 7.39634, 7.93746, 8.37261, 8.67584, 9.2823, 9.69829, 10.3072, 10.9891, 11.671, 12.3529, 13.7167, 15.0805");
             values ( \
-              "-0.0571677, -0.139568, -0.1602, -0.15959, -0.159776, -0.155103, -0.149678, -0.146456, -0.146255, -0.144607, -0.142033, -0.138842, -0.127606, -0.123897, -0.115686, -0.0767692, -0.058193, -0.0511118, -0.0415452, -0.0310469, -0.0243996, -0.0205652, -0.0144432, -0.0113153, -0.00787861, -0.00522054, -0.00342986, -0.0022667, -0.000980537, -0.000425172" \
+              "-0.0571677, -0.139568, -0.1602, -0.15959, -0.159776, -0.155103, -0.149678, -0.146456, -0.146255, -0.144607, -0.142033, -0.138842, -0.127606, -0.123897, -0.115686, -0.0767692, -0.058193, -0.0511118, -0.0415452, -0.0310469, -0.0243996, -0.0205652, -0.0144433, -0.0113153, -0.00787859, -0.00522052, -0.00342985, -0.00226669, -0.000980534, -0.00042517" \
             );
           }
           vector (ccs_template) {
@@ -105061,7 +106631,7 @@
             index_2 ("0.00124625");
             index_3 ("0.473406, 0.502892, 0.509574, 0.570733, 0.58001, 0.590071, 0.597911, 0.605383, 0.613767, 0.622421, 0.626798, 0.63336, 0.637702, 0.642245, 0.646366, 0.653701, 0.664066, 0.67751, 0.694289, 0.70761, 0.715732, 0.726022, 0.732536, 0.745562, 0.768403, 0.795166, 0.821249");
             values ( \
-              "-0.00201908, -0.00378937, -0.00439143, -0.012599, -0.0136828, -0.0145601, -0.0147866, -0.0135351, -0.0107142, -0.00868662, -0.00895125, -0.011557, -0.0124238, -0.0127266, -0.0127927, -0.0127769, -0.0122717, -0.010038, -0.00627565, -0.00387203, -0.00277368, -0.00178486, -0.00134277, -0.000725304, -0.00022771, -5.54672e-05, -4.95763e-05" \
+              "-0.00201908, -0.00378938, -0.00439143, -0.012599, -0.0136828, -0.0145601, -0.0147866, -0.0135351, -0.0107142, -0.00868662, -0.00895125, -0.011557, -0.0124238, -0.0127266, -0.0127927, -0.0127769, -0.0122717, -0.010038, -0.00627565, -0.00387203, -0.00277368, -0.00178486, -0.00134277, -0.000725304, -0.00022771, -5.54672e-05, -4.95763e-05" \
             );
           }
           vector (ccs_template) {
@@ -105070,7 +106640,7 @@
             index_2 ("0.00418628");
             index_3 ("0.471369, 0.50494, 0.528188, 0.614254, 0.636433, 0.647507, 0.656935, 0.672583, 0.676509, 0.690188, 0.695605, 0.70976, 0.723323, 0.755916, 0.777904, 0.798168, 0.813902, 0.826673, 0.852214, 0.887304, 1.04071, 1.05797");
             values ( \
-              "-0.00103798, -0.00794955, -0.0118198, -0.0317906, -0.0362475, -0.0372566, -0.0359006, -0.0296924, -0.0290156, -0.0359888, -0.0368546, -0.0364299, -0.0333136, -0.0186189, -0.010377, -0.00557953, -0.00336049, -0.00218455, -0.000856871, -0.000212128, -8.04767e-06, -0.000217317" \
+              "-0.00103798, -0.00794955, -0.0118198, -0.0317906, -0.0362475, -0.0372566, -0.0359006, -0.0296924, -0.0290156, -0.0359888, -0.0368546, -0.0364299, -0.0333136, -0.0186189, -0.010377, -0.00557953, -0.00336049, -0.00218455, -0.000856871, -0.000212128, -8.04762e-06, -0.000217317" \
             );
           }
           vector (ccs_template) {
@@ -105115,7 +106685,7 @@
             index_2 ("0.00124625");
             index_3 ("1.63044, 1.73868, 1.78025, 1.8166, 1.88535, 1.89878, 1.92565, 1.97716, 2.02815, 2.06959, 2.08357, 2.09594, 2.11488, 2.12245, 2.13652, 2.13918, 2.15131, 2.16038, 2.16672, 2.17696, 2.19028, 2.20995, 2.2474, 2.25277, 2.26351, 2.27987, 2.2991, 2.33054, 2.34294, 2.35949, 2.3756, 2.38661, 2.40472, 2.42887, 2.47711, 2.53629, 2.61723, 2.9783");
             values ( \
-              "-0.000470873, -0.000564235, -0.000710674, -0.00092211, -0.00163877, -0.0019765, -0.00252019, -0.0038414, -0.00497489, -0.00585004, -0.00574268, -0.00544525, -0.0040875, -0.00364904, -0.00318899, -0.00320944, -0.00452535, -0.00506504, -0.00507602, -0.00519074, -0.00517854, -0.00527379, -0.00520978, -0.00522654, -0.00510826, -0.00487864, -0.00412561, -0.00242275, -0.00183986, -0.00125335, -0.000813808, -0.000617649, -0.000396345, -0.000243741, -9.61868e-05, -7.76606e-05, -3.85035e-05, -1e-22" \
+              "-0.000470873, -0.000564235, -0.000710674, -0.00092211, -0.00163877, -0.0019765, -0.00252019, -0.0038414, -0.00497489, -0.00585004, -0.00574269, -0.00544525, -0.0040875, -0.00364904, -0.00318899, -0.00320944, -0.00452535, -0.00506504, -0.00507602, -0.00519074, -0.00517854, -0.00527379, -0.00520978, -0.00522654, -0.00510826, -0.00487864, -0.00412561, -0.00242275, -0.00183986, -0.00125335, -0.000813808, -0.000617649, -0.000396345, -0.000243741, -9.61867e-05, -7.76606e-05, -3.85035e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -105124,7 +106694,7 @@
             index_2 ("0.00418628");
             index_3 ("1.67004, 1.79013, 1.85451, 1.89895, 1.94332, 2.05393, 2.11032, 2.16357, 2.18241, 2.19746, 2.22687, 2.23868, 2.26212, 2.28089, 2.3181, 2.36143, 2.37322, 2.38894, 2.414, 2.45373, 2.48049, 2.51022, 2.52508, 2.54489, 2.58452, 2.64265, 2.71485, 2.95865");
             values ( \
-              "-0.00180063, -0.00192811, -0.00284871, -0.0038801, -0.00538738, -0.0103579, -0.0130577, -0.0152524, -0.0155598, -0.0150792, -0.0122889, -0.0115576, -0.0153464, -0.0156779, -0.0159929, -0.0159103, -0.0156519, -0.0149518, -0.0125246, -0.00724497, -0.00446127, -0.00245947, -0.00181447, -0.00120329, -0.000532553, -0.000194712, -0.000100396, -2.07488e-05" \
+              "-0.00180063, -0.00192811, -0.00284871, -0.0038801, -0.00538738, -0.0103579, -0.0130577, -0.0152523, -0.0155598, -0.0150792, -0.0122889, -0.0115576, -0.0153464, -0.0156779, -0.0159929, -0.0159103, -0.0156519, -0.0149518, -0.0125246, -0.00724497, -0.00446127, -0.00245947, -0.00181447, -0.00120329, -0.000532553, -0.000194712, -0.000100396, -2.0749e-05" \
             );
           }
           vector (ccs_template) {
@@ -105169,7 +106739,7 @@
             index_2 ("0.00124625");
             index_3 ("6.07256, 6.68006, 6.92412, 7.12331, 7.26286, 7.29883, 7.37078, 7.59019, 7.66296, 7.77067, 7.79758, 7.82911, 7.86415, 7.89589, 7.90948, 7.92662, 7.94277, 7.96336, 7.98378, 8.00354, 8.02339, 8.06309, 8.14059, 8.239, 8.26239, 8.2999, 8.33741, 8.39833, 8.45702, 8.49371, 8.51097, 8.51698, 8.52899, 8.55301, 8.60106, 8.67661, 8.76855, 8.87166, 9.02689, 9.06083, 9.1287, 9.26444, 9.53593, 10.0789, 10.7608, 10.7653");
             values ( \
-              "-7.4053e-05, -0.000123208, -0.000190733, -0.000312884, -0.000498909, -0.000580436, -0.000784288, -0.00166545, -0.00193575, -0.00223162, -0.0021475, -0.00192178, -0.00145363, -0.0011995, -0.00120909, -0.00152525, -0.00191696, -0.00193706, -0.00197304, -0.00192786, -0.00196093, -0.00190565, -0.00190801, -0.00179697, -0.0018077, -0.00171883, -0.00167663, -0.00139853, -0.000974372, -0.000639429, -0.000557743, -0.000490659, -0.000452966, -0.000319965, -0.000210554, -9.84477e-05, -9.2116e-05, -5.99975e-05, -6.48275e-05, -4.47368e-05, -5.82446e-05, -3.28749e-05, -3.93282e-05, -6.90227e-06, -1.52495e-05, -1.51495e-05" \
+              "-7.4053e-05, -0.000123208, -0.000190734, -0.000312884, -0.000498908, -0.000580436, -0.000784288, -0.00166545, -0.00193575, -0.00223162, -0.0021475, -0.00192178, -0.00145363, -0.0011995, -0.00120909, -0.00152525, -0.00191696, -0.00193706, -0.00197304, -0.00192786, -0.00196093, -0.00190565, -0.00190801, -0.00179697, -0.0018077, -0.00171883, -0.00167663, -0.00139853, -0.000974372, -0.000639429, -0.000557743, -0.000490659, -0.000452966, -0.000319965, -0.000210554, -9.84477e-05, -9.2116e-05, -5.99975e-05, -6.48275e-05, -4.47368e-05, -5.82446e-05, -3.28749e-05, -3.93282e-05, -6.90226e-06, -1.52495e-05, -1.51495e-05" \
             );
           }
           vector (ccs_template) {
@@ -105178,7 +106748,7 @@
             index_2 ("0.00418628");
             index_3 ("6.25608, 6.97336, 7.04248, 7.18072, 7.34239, 7.43809, 7.65228, 7.81964, 7.95284, 7.99618, 8.02669, 8.08762, 8.09817, 8.10344, 8.12962, 8.15279, 8.17222, 8.2204, 8.27085, 8.33763, 8.34384, 8.35626, 8.3811, 8.42475, 8.46977, 8.5598, 8.60824, 8.71856, 8.76328, 8.81138, 8.90142, 8.97958, 9.05631, 9.08431, 9.14033, 9.25236, 9.47642, 9.92454, 11.2884");
             values ( \
-              "-0.000283293, -0.000636465, -0.000729083, -0.00100163, -0.00153671, -0.00209353, -0.00380544, -0.00521318, -0.00610021, -0.00605342, -0.00569007, -0.00454443, -0.00446179, -0.00449806, -0.00573331, -0.00612965, -0.00608873, -0.00612768, -0.00609631, -0.00609746, -0.00605553, -0.00608633, -0.00601651, -0.00598896, -0.00584019, -0.00541563, -0.00471345, -0.00211753, -0.0013642, -0.000803596, -0.00035687, -0.000228726, -0.000200275, -0.000177743, -0.000177963, -0.000139822, -0.000120186, -5.86481e-05, -1.77343e-06" \
+              "-0.000283293, -0.000636465, -0.000729083, -0.00100163, -0.00153671, -0.00209353, -0.00380544, -0.00521318, -0.00610021, -0.00605342, -0.00569007, -0.00454443, -0.00446179, -0.00449806, -0.00573331, -0.00612965, -0.00608873, -0.00612768, -0.00609631, -0.00609746, -0.00605553, -0.00608633, -0.00601651, -0.00598896, -0.00584019, -0.00541563, -0.00471345, -0.00211753, -0.0013642, -0.000803596, -0.00035687, -0.000228726, -0.000200275, -0.000177743, -0.000177963, -0.000139822, -0.000120186, -5.86481e-05, -1.77346e-06" \
             );
           }
           vector (ccs_template) {
@@ -105187,7 +106757,7 @@
             index_2 ("0.0140621");
             index_3 ("6.24527, 6.78451, 6.96038, 7.16755, 7.23234, 7.31871, 7.49147, 7.57144, 7.73138, 7.93833, 8.24456, 8.33758, 8.36039, 8.40601, 8.45995, 8.53288, 8.55174, 8.5747, 8.59702, 8.62138, 8.64603, 8.69086, 8.84047, 8.98901, 9.02021, 9.08006, 9.15009, 9.25904, 9.30258, 9.36063, 9.41732, 9.45806, 9.52737, 9.6198, 9.79227, 10.3087, 11.4563");
             values ( \
-              "-0.00107554, -0.00115121, -0.00152578, -0.00216744, -0.00243312, -0.00284465, -0.00398501, -0.00472517, -0.00653492, -0.00920839, -0.0132874, -0.0144572, -0.0146829, -0.0149969, -0.0148395, -0.0139905, -0.0143959, -0.0159452, -0.0162748, -0.016463, -0.0165369, -0.0167715, -0.0171459, -0.0169244, -0.0166633, -0.0158665, -0.0134679, -0.00788318, -0.00594743, -0.00397103, -0.00257665, -0.00191208, -0.00117168, -0.00067797, -0.000296741, -0.000138764, -7.58478e-06" \
+              "-0.00107554, -0.00115121, -0.00152578, -0.00216744, -0.00243312, -0.00284465, -0.00398501, -0.00472517, -0.00653492, -0.00920839, -0.0132874, -0.0144572, -0.0146829, -0.0149969, -0.0148395, -0.0139905, -0.0143959, -0.0159452, -0.0162748, -0.016463, -0.0165369, -0.0167715, -0.0171459, -0.0169244, -0.0166633, -0.0158665, -0.0134679, -0.00788318, -0.00594743, -0.00397103, -0.00257665, -0.00191208, -0.00117168, -0.00067797, -0.000296741, -0.000138764, -7.58476e-06" \
             );
           }
           vector (ccs_template) {
@@ -105196,7 +106766,7 @@
             index_2 ("0.0472359");
             index_3 ("6.53547, 7.27726, 7.47353, 7.67562, 7.907, 8.17459, 8.53138, 9.06359, 9.17247, 9.22737, 9.28176, 9.38587, 9.42702, 9.45973, 9.49422, 9.64053, 9.73912, 9.8692, 9.904, 9.97361, 10.0807, 10.2404, 10.4007, 10.4966, 10.5506, 10.648, 10.7311, 10.8244, 10.9489, 11.1978, 11.483, 11.8407");
             values ( \
-              "-0.00301697, -0.00455242, -0.00592539, -0.00775511, -0.0105501, -0.014689, -0.0207901, -0.0303136, -0.032155, -0.0329101, -0.0334561, -0.0339172, -0.0348359, -0.036435, -0.0369462, -0.0386681, -0.0395447, -0.0403159, -0.0404114, -0.0404236, -0.0395056, -0.0335298, -0.0231469, -0.0172682, -0.0144065, -0.0101491, -0.00738916, -0.00510711, -0.00304279, -0.000978418, -0.000270955, -7.68966e-05" \
+              "-0.00301697, -0.00455242, -0.00592539, -0.00775511, -0.0105501, -0.014689, -0.0207901, -0.0303136, -0.032155, -0.0329101, -0.0334561, -0.0339172, -0.0348359, -0.036435, -0.0369462, -0.0386681, -0.0395447, -0.0403159, -0.0404114, -0.0404236, -0.0395056, -0.0335298, -0.0231469, -0.0172682, -0.0144065, -0.0101491, -0.00738916, -0.00510711, -0.00304279, -0.000978418, -0.000270955, -7.68967e-05" \
             );
           }
           vector (ccs_template) {
@@ -105205,7 +106775,7 @@
             index_2 ("0.15867");
             index_3 ("6.55931, 7.5259, 7.85037, 8.09503, 8.42025, 8.85668, 10.5622, 10.7929, 10.9957, 11.07, 11.159, 11.489, 11.8025, 11.9416, 12.1271, 12.225, 12.8041, 13.0893, 13.3758, 13.6078, 13.7613, 14.0578, 14.4532, 14.73");
             values ( \
-              "-0.00337583, -0.00844956, -0.011916, -0.0150992, -0.0202148, -0.0283037, -0.0624425, -0.0666237, -0.0695923, -0.0718846, -0.0735905, -0.0785162, -0.0802889, -0.0789031, -0.0736563, -0.069551, -0.0390859, -0.0264903, -0.0171314, -0.0117548, -0.00906725, -0.00541436, -0.00261383, -0.00176188" \
+              "-0.00337583, -0.00844956, -0.011916, -0.0150992, -0.0202148, -0.0283037, -0.0624425, -0.0666237, -0.0695923, -0.0718846, -0.0735905, -0.0785162, -0.0802889, -0.0789031, -0.0736563, -0.069551, -0.0390859, -0.0264903, -0.0171314, -0.0117548, -0.00906725, -0.00541437, -0.00261383, -0.00176188" \
             );
           }
           vector (ccs_template) {
@@ -105283,8 +106853,8 @@
             "0.00837455, 0.00838524, 0.00839361, 0.00839787, 0.00839876, 0.00839277", \
             "0.00830288, 0.00832992, 0.00836378, 0.00838544, 0.00839318, 0.00838993", \
             "0.00820436, 0.0082326, 0.00828393, 0.00834224, 0.0083673, 0.0083735", \
-            "0.00820707, 0.00820627, 0.00821739, 0.00827604, 0.00833509, 0.00836728", \
-            "0.0101069, 0.00986828, 0.0094109, 0.00890489, 0.00856939, 0.00842145", \
+            "0.00820707, 0.00820975, 0.0082178, 0.00827668, 0.00833509, 0.00836728", \
+            "0.0101069, 0.00986828, 0.0094109, 0.00890489, 0.00856841, 0.00842145", \
             "0.0227663, 0.0220585, 0.0203602, 0.0173987, 0.0139064, 0.010835" \
           );
         }
@@ -105341,8 +106911,8 @@
             "0.00716846, 0.00718364, 0.00719484, 0.00720021, 0.00720159, 0.00719862", \
             "0.00708657, 0.00712173, 0.00716275, 0.00718729, 0.00719639, 0.00719602", \
             "0.00699972, 0.00702827, 0.00708071, 0.00714354, 0.00717238, 0.00718063", \
-            "0.00700941, 0.00699456, 0.00701889, 0.00707854, 0.0071318, 0.00717501", \
-            "0.00906748, 0.00876568, 0.00825411, 0.00776126, 0.00737835, 0.00722871", \
+            "0.00700941, 0.00699643, 0.00702031, 0.00707854, 0.00715034, 0.00717501", \
+            "0.00906583, 0.00876016, 0.00825411, 0.00776126, 0.00737835, 0.00722871", \
             "0.021941, 0.0211449, 0.0193572, 0.0163033, 0.012795, 0.00965237" \
           );
         }
@@ -105500,7 +107070,7 @@
             "0.00718324, 0.00725565, 0.00734798, 0.00740613, 0.00742877, 0.0074335", \
             "0.00703642, 0.00707857, 0.00719189, 0.00732835, 0.00740565, 0.00743192", \
             "0.00702747, 0.00702952, 0.00704957, 0.00716587, 0.00731805, 0.0073928", \
-            "0.00905894, 0.0087315, 0.00821009, 0.00777348, 0.00745149, 0.00738467", \
+            "0.00905594, 0.00873342, 0.0082125, 0.00777514, 0.00745248, 0.00738532", \
             "0.0226773, 0.0215458, 0.019226, 0.0160118, 0.012664, 0.00967288" \
           );
         }
@@ -105555,10 +107125,10 @@
           index_2 ("0.00124625, 0.00418628, 0.0140621, 0.0472359, 0.15867, 0.532987");
           values ( \
             "0.0060922, 0.00615481, 0.00620131, 0.00621885, 0.00622576, 0.00622741", \
-            "0.00593779, 0.00603063, 0.00614186, 0.00620655, 0.00623137, 0.00623913", \
+            "0.00593779, 0.00603063, 0.00614185, 0.00620655, 0.00623137, 0.00623913", \
             "0.00581632, 0.00585724, 0.00597963, 0.00612818, 0.00620769, 0.00623707", \
             "0.00583666, 0.00583, 0.0058557, 0.00596866, 0.00611779, 0.00620328", \
-            "0.00808105, 0.00766978, 0.00709051, 0.00669766, 0.00625779, 0.00620568", \
+            "0.00808272, 0.00766978, 0.0070905, 0.00669766, 0.00625779, 0.00620568", \
             "0.0220637, 0.020794, 0.0183102, 0.0148969, 0.0114017, 0.00850378" \
           );
         }
@@ -105569,7 +107139,7 @@
             "0.00230215, 0.00230637, 0.00230909, 0.00230972, 0.00230752, 0.00229856", \
             "0.00217017, 0.00218758, 0.00220731, 0.00222335, 0.00222549, 0.0022178", \
             "0.00196187, 0.00200644, 0.00207719, 0.00212815, 0.00214446, 0.0021417", \
-            "0.00217822, 0.0021459, 0.00211066, 0.00214103, 0.00212443, 0.00213507", \
+            "0.00216999, 0.00214992, 0.00211066, 0.00214103, 0.00212443, 0.00213507", \
             "0.00511924, 0.0048053, 0.00417571, 0.00328374, 0.00272373, 0.0023508", \
             "0.0192227, 0.0184426, 0.0164766, 0.0126953, 0.00821051, 0.00493223" \
           );
@@ -105773,7 +107343,7 @@
             "0.00581122, 0.00588098, 0.00593495, 0.00596142, 0.00596919, 0.00596867", \
             "0.00559099, 0.00568044, 0.0058449, 0.0059337, 0.00596926, 0.00597763", \
             "0.00552105, 0.00554248, 0.00566717, 0.00580477, 0.00592843, 0.00596558", \
-            "0.00614264, 0.00601655, 0.00597393, 0.00593691, 0.0059431, 0.00539242", \
+            "0.00614264, 0.00602289, 0.00597393, 0.00593691, 0.0059431, 0.00539242", \
             "0.00986507, 0.00943589, 0.00872254, 0.00874683, 0.0073878, 0.00652144", \
             "0.0270498, 0.0258481, 0.0233434, 0.0197252, 0.0153805, 0.0105765" \
           );
@@ -105785,7 +107355,7 @@
             "-0.000332914, -0.000261706, -0.000213466, -0.000194551, -0.000188699, -0.000187376", \
             "-0.00046402, -0.000325642, -0.000193891, -0.000128841, -0.000110289, -0.000103103", \
             "-0.00065229, -0.000496157, -0.000286915, -0.000139841, -7.67263e-05, -5.86496e-05", \
-            "-0.000240091, -0.000379814, -0.000369872, -0.000185471, -9.14477e-05, -3.1924e-05", \
+            "-0.000240091, -0.000379814, -0.000362496, -0.000185471, -9.14477e-05, -3.1924e-05", \
             "0.0033412, 0.00265034, 0.00157663, 0.000770127, 0.000374388, 0.000134065", \
             "0.0205283, 0.0190868, 0.0156536, 0.0101253, 0.00531053, 0.00244898" \
           );
@@ -105842,7 +107412,7 @@
           values ( \
             "-0.000382344, -0.000308071, -0.000260357, -0.000241663, -0.000235714, -0.000234022", \
             "-0.000558302, -0.000418054, -0.000279825, -0.000214942, -0.000198314, -0.00019087", \
-            "-0.000708509, -0.000608864, -0.000416547, -0.000260929, -0.000181102, -0.000165544", \
+            "-0.000708537, -0.000608864, -0.000416547, -0.000260929, -0.000181102, -0.000165544", \
             "-0.000262861, -0.000409617, -0.000431306, -0.00031758, -0.000220832, -0.000158917", \
             "0.00383542, 0.00297432, 0.00178608, 0.000871497, 0.000316202, 2.74354e-05", \
             "0.0228915, 0.0211733, 0.0172145, 0.0111315, 0.00581299, 0.00258607" \
@@ -105884,7 +107454,7 @@
           values ( \
             "-0.000382344, -0.000308071, -0.000260357, -0.000241663, -0.000235714, -0.000234022", \
             "-0.000558302, -0.000418054, -0.000279825, -0.000214942, -0.000198314, -0.00019087", \
-            "-0.000708509, -0.000608864, -0.000416547, -0.000260929, -0.000181102, -0.000165544", \
+            "-0.000708537, -0.000608864, -0.000416547, -0.000260929, -0.000181102, -0.000165544", \
             "-0.000262861, -0.000409617, -0.000431306, -0.00031758, -0.000220832, -0.000158917", \
             "0.00383542, 0.00297432, 0.00178608, 0.000871497, 0.000316202, 2.74354e-05", \
             "0.0228915, 0.0211733, 0.0172145, 0.0111315, 0.00581299, 0.00258607" \
@@ -111693,8 +113263,8 @@
             "0.182941, 0.205525, 0.2883, 0.609319, 1.86529, 6.77852", \
             "0.191614, 0.214234, 0.297058, 0.617989, 1.87399, 6.78719", \
             "0.222823, 0.245428, 0.328156, 0.649248, 1.90548, 6.81838", \
-            "0.27412, 0.296723, 0.379696, 0.700586, 1.95707, 6.86996", \
-            "0.299401, 0.322255, 0.405218, 0.725969, 1.98242, 6.89545", \
+            "0.27412, 0.296723, 0.379696, 0.700785, 1.95707, 6.86976", \
+            "0.299596, 0.321988, 0.405218, 0.72642, 1.98242, 6.89511", \
             "-0.00122108, 0.0218361, 0.104751, 0.426156, 1.68221, 6.59531" \
           );
         }
@@ -111705,8 +113275,8 @@
             "0.0256313, 0.0556335, 0.177258, 0.65587, 2.52819, 9.85186", \
             "0.0256635, 0.0556395, 0.177258, 0.655871, 2.52869, 9.85187", \
             "0.0256612, 0.0556418, 0.177218, 0.655925, 2.52862, 9.85187", \
-            "0.025719, 0.0556755, 0.177227, 0.655965, 2.52862, 9.85184", \
-            "0.0262215, 0.055948, 0.17729, 0.655986, 2.52832, 9.85198", \
+            "0.025719, 0.0556755, 0.177227, 0.655964, 2.52862, 9.85184", \
+            "0.0262442, 0.0559352, 0.17729, 0.655986, 2.52832, 9.85176", \
             "0.028235, 0.0569075, 0.17762, 0.656015, 2.52824, 9.85241" \
           );
         }
@@ -111811,7 +113381,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0190645");
-            index_3 ("0.198489, 0.218083, 0.226253, 0.232658, 0.237424, 0.242298, 0.255518, 0.279023, 0.308897, 0.329584, 0.355991, 0.369423, 0.425846, 0.447085, 0.475404, 0.494239, 0.510365, 0.52959, 0.562902, 0.578645, 0.610131, 0.634036, 0.660622, 0.69607, 0.766966, 0.86686, 0.990006");
+            index_3 ("0.198489, 0.218083, 0.226253, 0.232658, 0.237424, 0.242298, 0.255518, 0.279023, 0.308897, 0.329584, 0.355991, 0.369423, 0.425846, 0.447085, 0.475404, 0.494239, 0.510365, 0.52959, 0.562902, 0.578645, 0.610131, 0.634036, 0.660622, 0.69607, 0.766965, 0.86686, 0.990006");
             values ( \
               "0.00578463, 0.13198, 0.146316, 0.149906, 0.150698, 0.150719, 0.148805, 0.14319, 0.13467, 0.127665, 0.115755, 0.107993, 0.0723766, 0.0604385, 0.0465893, 0.0388019, 0.0330154, 0.0270765, 0.0189891, 0.0160047, 0.0112776, 0.00862605, 0.00639047, 0.00424827, 0.00180059, 0.000481588, 8.5259e-05" \
             );
@@ -111928,9 +113498,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0745651");
-            index_3 ("0.78336, 0.78338, 0.917036, 1.09961, 1.17091, 1.22106, 1.31932, 1.34051, 1.42525, 1.58102, 1.64537, 1.72027, 1.81749, 1.87081, 1.96945, 2.0528, 2.1215, 2.20401, 2.3206, 2.44335, 2.5376, 2.7261, 3.07988, 3.50083");
+            index_3 ("0.783622, 0.783642, 0.917237, 1.09899, 1.1711, 1.22126, 1.31952, 1.34071, 1.42545, 1.58119, 1.64563, 1.7205, 1.81771, 1.87099, 1.96962, 2.05299, 2.12173, 2.20422, 2.32081, 2.44354, 2.53777, 2.72622, 3.08001, 3.50096");
             values ( \
-              "1e-22, 0.173796, 0.159562, 0.14539, 0.13916, 0.134203, 0.121835, 0.1186, 0.104348, 0.0767574, 0.0662271, 0.0551052, 0.0426561, 0.0368764, 0.0278902, 0.0218564, 0.0178186, 0.0138754, 0.00968072, 0.00661682, 0.00491799, 0.00267381, 0.000753559, 0.000151912" \
+              "1e-22, 0.173947, 0.159562, 0.145459, 0.139161, 0.134204, 0.121835, 0.1186, 0.104347, 0.0767625, 0.0662174, 0.0551001, 0.0426543, 0.0368783, 0.0278926, 0.0218572, 0.0178174, 0.0138748, 0.00968052, 0.00661718, 0.0049185, 0.00267455, 0.000753675, 0.000152003" \
             );
           }
           vector (ccs_template) {
@@ -111946,27 +113516,27 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.14066");
-            index_3 ("0.897245, 0.897265, 2.5175, 4.56324, 5.93723, 6.98817, 8.13794, 8.97212, 9.78304, 13.1125, 14.8095, 15.8604, 16.6042, 17.5079, 18.5074, 19.5584, 21.2325, 23.1224, 24.1734, 25.3831, 27.485, 30.6378, 33.7906, 39.0453");
+            index_3 ("0.897015, 0.897035, 2.51689, 4.56304, 5.93703, 6.98797, 8.13774, 8.97192, 9.78284, 13.1123, 14.8093, 15.8602, 16.604, 17.5077, 18.5072, 19.5582, 21.2323, 23.1223, 24.1732, 25.3829, 27.4848, 30.6376, 33.7904, 39.0451");
             values ( \
-              "1e-22, 0.19663, 0.163744, 0.153233, 0.145659, 0.139212, 0.130636, 0.122892, 0.114232, 0.0748906, 0.0570302, 0.0475234, 0.0415667, 0.0351649, 0.0290685, 0.0236825, 0.0169594, 0.0115355, 0.00928263, 0.00721971, 0.00464485, 0.00238544, 0.00121928, 0.000398589" \
+              "1e-22, 0.19663, 0.163746, 0.153233, 0.145659, 0.139212, 0.130636, 0.122892, 0.114232, 0.0748905, 0.0570301, 0.0475235, 0.0415666, 0.035165, 0.0290684, 0.0236826, 0.0169595, 0.0115356, 0.00928255, 0.00721964, 0.00464478, 0.00238551, 0.00121921, 0.000398658" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.36082, 2.36734, 2.36853, 2.36913, 2.37033, 2.37135, 2.37237, 2.37339, 2.3744, 2.37536, 2.37631, 2.37726, 2.37821, 2.37916, 2.3801, 2.38105, 2.38199, 2.38293, 2.38388, 2.38482, 2.38576, 2.38606, 2.3868, 2.38798, 2.38979, 2.39157, 2.39275, 2.39512, 2.39629, 2.39822, 2.39987, 2.40042, 2.40153, 2.40263, 2.40373, 2.40483, 2.40805, 2.40985, 2.41131, 2.41278, 2.41473, 2.41725, 2.4184, 2.42012, 2.42204, 2.42396, 2.42534, 2.42809, 2.42947, 2.4317");
+            index_3 ("2.35715, 2.36821, 2.37059, 2.37467, 2.37849, 2.38227, 2.38619, 2.3954, 2.40071, 2.40833, 2.41696, 2.42424, 2.42976, 2.44037, 2.4471");
             values ( \
-              "0.04611, 0.0464277, 0.0493492, 0.0507046, 0.0531869, 0.0549502, 0.0564305, 0.0576279, 0.0585422, 0.0588356, 0.059038, 0.0591495, 0.05917, 0.0591006, 0.0589419, 0.0586939, 0.0583567, 0.0577805, 0.0570551, 0.0561806, 0.0551569, 0.0547532, 0.0532948, 0.0505621, 0.0455545, 0.0408059, 0.0377684, 0.0319535, 0.0298335, 0.026052, 0.0233758, 0.0225444, 0.0210126, 0.0195752, 0.0182324, 0.016984, 0.0137468, 0.0121642, 0.0110861, 0.0100865, 0.00890724, 0.00750698, 0.00692464, 0.00614172, 0.00543823, 0.00480289, 0.00439746, 0.00367468, 0.00335733, 0.00290195" \
+              "0.0142149, 0.0473003, 0.0520822, 0.0578754, 0.0596676, 0.0591967, 0.0550448, 0.0319153, 0.0227147, 0.0138076, 0.00784009, 0.0048381, 0.00336977, 0.00166642, 0.00111447" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00487434");
-            index_3 ("2.35929, 2.36603, 2.37258, 2.37697, 2.38099, 2.38806, 2.39027, 2.39655, 2.40743, 2.41334, 2.43616, 2.44838, 2.46344, 2.47729, 2.4847, 2.49459, 2.5031, 2.51463, 2.53, 2.55888, 2.59248");
+            index_3 ("2.35637, 2.36434, 2.37009, 2.37673, 2.38073, 2.38854, 2.39289, 2.3963, 2.40692, 2.4131, 2.43593, 2.44716, 2.45933, 2.47744, 2.49118, 2.50184, 2.51315, 2.53924, 2.56137");
             values ( \
-              "0.0287899, 0.0689144, 0.0952629, 0.105729, 0.111028, 0.114148, 0.113883, 0.111448, 0.102789, 0.0952711, 0.0552416, 0.0389915, 0.0246787, 0.015821, 0.012403, 0.00897943, 0.00665715, 0.00447932, 0.00268151, 0.000840278, 0.00027715" \
+              "1.27813e-05, 0.0612036, 0.0874737, 0.105506, 0.111053, 0.114135, 0.113084, 0.111296, 0.103206, 0.0952485, 0.0551751, 0.040021, 0.0277315, 0.015547, 0.0100512, 0.00682131, 0.0046131, 0.00178261, 0.001206" \
             );
           }
           vector (ccs_template) {
@@ -111975,16 +113545,16 @@
             index_2 ("0.0190645");
             index_3 ("2.36054, 2.37002, 2.37545, 2.37862, 2.38496, 2.39066, 2.3984, 2.40603, 2.41365, 2.42517, 2.4372, 2.46339, 2.47307, 2.49134, 2.49851, 2.51284, 2.5225, 2.57729, 2.59501, 2.61906, 2.64908, 2.6666, 2.67845, 2.70214, 2.71725, 2.72782, 2.7419, 2.77008, 2.8015, 2.8174, 2.84921, 2.91282, 3.00734, 3.12223");
             values ( \
-              "0.042562, 0.102319, 0.125774, 0.133554, 0.144679, 0.148124, 0.150358, 0.149373, 0.148643, 0.145684, 0.143193, 0.135736, 0.132728, 0.126235, 0.123287, 0.116383, 0.111003, 0.0763754, 0.0660701, 0.0533868, 0.040081, 0.0336708, 0.0298231, 0.0232664, 0.0197897, 0.0176571, 0.0151503, 0.0110694, 0.00777776, 0.00650585, 0.00451103, 0.00211295, 0.000618003, 0.000131419" \
+              "0.042562, 0.102319, 0.125774, 0.133554, 0.144679, 0.148124, 0.150358, 0.149373, 0.148643, 0.145684, 0.143193, 0.135736, 0.132728, 0.126235, 0.123287, 0.116383, 0.111003, 0.0763754, 0.0660701, 0.0533868, 0.040081, 0.0336708, 0.0298231, 0.0232664, 0.0197897, 0.0176571, 0.0151503, 0.0110693, 0.00777776, 0.00650585, 0.00451103, 0.00211295, 0.000618004, 0.000131419" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0745651");
-            index_3 ("2.37242, 2.37244, 2.50428, 2.53356, 2.62069, 2.71195, 2.80871, 2.9069, 2.92827, 3.01377, 3.19009, 3.24349, 3.3503, 3.4147, 3.46939, 3.54031, 3.63371, 3.66301, 3.72161, 3.83881, 3.9229, 4.01688, 4.16502, 4.35955, 4.51406, 4.61449");
+            index_3 ("2.37315, 2.37317, 2.5046, 2.62104, 2.7123, 2.80905, 2.90725, 2.92862, 3.01412, 3.19044, 3.24382, 3.35059, 3.41504, 3.46976, 3.54068, 3.63406, 3.72195, 3.83912, 3.95457, 4.01724, 4.14257, 4.26074, 4.39118, 4.56509, 4.91292");
             values ( \
-              "1e-22, 0.177552, 0.159451, 0.157523, 0.150861, 0.143299, 0.134201, 0.121846, 0.118583, 0.104195, 0.073203, 0.0645861, 0.0493775, 0.0415632, 0.0357606, 0.0292704, 0.0222687, 0.020439, 0.0171403, 0.0119888, 0.00923441, 0.00689689, 0.00431885, 0.0023535, 0.00142775, 0.00112337" \
+              "1e-22, 0.178538, 0.159462, 0.150854, 0.1433, 0.134202, 0.121845, 0.118583, 0.104195, 0.0732022, 0.0645897, 0.0493838, 0.0415654, 0.0357588, 0.0292684, 0.022269, 0.0171417, 0.0119896, 0.00839554, 0.00689727, 0.00465124, 0.00323464, 0.00213991, 0.00121521, 0.00035254" \
             );
           }
           vector (ccs_template) {
@@ -111993,25 +113563,25 @@
             index_2 ("0.291639");
             index_3 ("2.39479, 2.39481, 2.97331, 3.18707, 3.78539, 4.06606, 4.30815, 4.65001, 5.63344, 6.03082, 6.46669, 6.64658, 7.00636, 7.34025, 7.76536, 8.25951, 8.82512, 9.27624, 10.1785, 11.2294, 12.2803");
             values ( \
-              "1e-22, 0.186326, 0.159954, 0.155983, 0.143194, 0.136113, 0.128481, 0.115085, 0.070253, 0.0545285, 0.0403254, 0.0354216, 0.0270808, 0.0209756, 0.0150374, 0.0101041, 0.00639603, 0.00440604, 0.00203102, 0.000798913, 0.000318195" \
+              "1e-22, 0.186326, 0.159954, 0.155983, 0.143194, 0.136113, 0.128481, 0.115085, 0.070253, 0.0545285, 0.0403254, 0.0354216, 0.0270808, 0.0209756, 0.0150374, 0.0101041, 0.00639603, 0.00440604, 0.00203102, 0.000798913, 0.000318194" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.14066");
-            index_3 ("2.46374, 2.46376, 4.64743, 6.48812, 8.22482, 8.97934, 10.0303, 11.3795, 15.3449, 17.4468, 19.0964, 20.0955, 21.1465, 22.8199, 23.8708, 25.7608, 28.0214, 30.1233, 33.2761, 34.6761");
+            index_3 ("2.46231, 2.46233, 4.64588, 6.99106, 8.57411, 9.72374, 10.5579, 11.3688, 14.3753, 15.3443, 16.3953, 17.4462, 18.3191, 19.0938, 20.0932, 21.1442, 22.8183, 23.8692, 25.7592, 28.0199, 30.1218, 33.2746, 36.4274, 40.6311");
             values ( \
-              "1e-22, 0.187086, 0.161007, 0.151427, 0.14146, 0.136438, 0.127963, 0.114132, 0.067768, 0.0475304, 0.0351565, 0.0290659, 0.0236779, 0.016958, 0.0136991, 0.00928403, 0.00579325, 0.00372082, 0.0019087, 0.00162102" \
+              "1e-22, 0.187076, 0.161008, 0.148671, 0.139212, 0.130637, 0.122891, 0.114233, 0.0785837, 0.0677583, 0.0570306, 0.0475229, 0.040597, 0.0351643, 0.0290691, 0.0236819, 0.0169587, 0.0136985, 0.00928337, 0.0057939, 0.00372146, 0.00190805, 0.000975078, 0.000397631" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("8.29869, 8.30498, 8.3169, 8.32395, 8.32823, 8.33232, 8.33733, 8.34635, 8.35187, 8.35819, 8.36683, 8.38068, 8.39239, 8.39499");
+            index_3 ("8.29869, 8.30498, 8.3169, 8.32395, 8.32823, 8.33232, 8.33732, 8.34635, 8.35187, 8.35819, 8.36683, 8.38068, 8.39239, 8.39499");
             values ( \
-              "0.00638787, 0.0130543, 0.0386816, 0.0505875, 0.0544029, 0.0552393, 0.0514035, 0.030658, 0.0216089, 0.0144136, 0.00822375, 0.00332848, 0.00156212, 0.0014351" \
+              "0.00638787, 0.0130543, 0.038682, 0.0505875, 0.0544029, 0.0552393, 0.0514035, 0.030658, 0.0216089, 0.0144136, 0.00822372, 0.00332849, 0.00156208, 0.00143508" \
             );
           }
           vector (ccs_template) {
@@ -112067,8 +113637,8 @@
             "0.00611596, 0.00611594, 0.00611595, 0.00611596, 0.00611596, 0.00611596", \
             "0.00694935, 0.00694934, 0.00694934, 0.00694934, 0.00694934, 0.00694934", \
             "0.00757105, 0.00757105, 0.00757105, 0.00757105, 0.00757105, 0.00757105", \
-            "0.00807043, 0.00807043, 0.00806795, 0.00807043, 0.00806795, 0.00806795", \
-            "0.00870743, 0.00870761, 0.00870761, 0.00870853, 0.00870761, 0.00870761", \
+            "0.00807043, 0.00807043, 0.00806795, 0.00806795, 0.00806795, 0.00807043", \
+            "0.00870761, 0.00870743, 0.00870761, 0.00870761, 0.00870761, 0.00870853", \
             "0.00903751, 0.00904122, 0.00904264, 0.00904337, 0.00904375, 0.00904379" \
           );
         }
@@ -112079,8 +113649,8 @@
             "0.00750961, 0.00750956, 0.00750951, 0.00750949, 0.00750949, 0.00750949", \
             "0.00819836, 0.00819837, 0.00819837, 0.00819837, 0.00819837, 0.00819837", \
             "0.00972102, 0.00972103, 0.00972104, 0.00972104, 0.00972104, 0.00972104", \
-            "0.00941755, 0.00941591, 0.00942238, 0.00941889, 0.0094235, 0.00942354", \
-            "0.00858359, 0.00858616, 0.00858376, 0.00858236, 0.00858546, 0.0085918", \
+            "0.00941755, 0.00941591, 0.00942238, 0.00942312, 0.0094235, 0.00941925", \
+            "0.00858394, 0.00858382, 0.00858376, 0.00858434, 0.00858546, 0.00858645", \
             "0.00809568, 0.00808543, 0.00808251, 0.00808286, 0.00808156, 0.0080778" \
           );
         }
@@ -112361,7 +113931,7 @@
             index_2 ("0.00124625");
             index_3 ("8.47704, 8.48007, 8.48499, 8.48931, 8.49301, 8.49958, 8.50269, 8.50579, 8.50923, 8.51402, 8.51791, 8.52353, 8.52624, 8.5359, 8.53713");
             values ( \
-              "-0.00234559, -0.0273339, -0.046325, -0.057195, -0.0641698, -0.0716952, -0.0727802, -0.0712568, -0.0596743, -0.0341725, -0.0190637, -0.0073316, -0.00453785, -0.000702658, -0.000649937" \
+              "-0.00234559, -0.0273339, -0.046325, -0.057195, -0.0641698, -0.0716952, -0.0727802, -0.0712568, -0.0596743, -0.0341725, -0.0190637, -0.0073316, -0.00453785, -0.000702658, -0.000649938" \
             );
           }
           vector (ccs_template) {
@@ -112473,8 +114043,8 @@
             "0.0164798, 0.0165514, 0.0165851, 0.0165516, 0.0165266, 0.0165156", \
             "0.0160743, 0.0161466, 0.0161816, 0.0161486, 0.0161226, 0.0161133", \
             "0.0153383, 0.0154097, 0.0154455, 0.0154154, 0.0153937, 0.0153799", \
-            "0.0160198, 0.0161275, 0.0161571, 0.0161498, 0.0160732, 0.0160663", \
-            "0.023386, 0.0231581, 0.0231933, 0.023512, 0.0232453, 0.0231809", \
+            "0.0160198, 0.0161275, 0.0161571, 0.0161188, 0.0160732, 0.0160972", \
+            "0.023093, 0.0234609, 0.0231933, 0.0232226, 0.0232453, 0.0234684", \
             "0.0549406, 0.0550189, 0.0550475, 0.0549947, 0.0549383, 0.0550863" \
           );
         }
@@ -112853,7 +114423,7 @@
         receiver_capacitance2_fall (receiver_cap_power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           values ( \
-            "0.00242397, 0.00261894, 0.00278928, 0.0028363, 0.00283797, 0.0028336" \
+            "0.00242397, 0.00261894, 0.00278929, 0.0028363, 0.00283797, 0.0028336" \
           );
         }
       }
@@ -113074,8 +114644,8 @@
             "0.182401, 0.210194, 0.307827, 0.665103, 1.97997, 6.81936", \
             "0.191039, 0.218752, 0.316484, 0.673824, 1.98891, 6.8281", \
             "0.222161, 0.249882, 0.347557, 0.70483, 2.01996, 6.85914", \
-            "0.273643, 0.300966, 0.399068, 0.756122, 2.07135, 6.91074", \
-            "0.297049, 0.324779, 0.422141, 0.779997, 2.09863, 6.93451", \
+            "0.273643, 0.300966, 0.399068, 0.756122, 2.07124, 6.91044", \
+            "0.297049, 0.324779, 0.422141, 0.779557, 2.09863, 6.93451", \
             "-0.0084342, 0.0194717, 0.117711, 0.475386, 1.79043, 6.62985" \
           );
         }
@@ -113086,7 +114656,7 @@
             "0.0301088, 0.068146, 0.213059, 0.748734, 2.72072, 9.97522", \
             "0.0301208, 0.0681461, 0.213059, 0.74874, 2.72035, 9.97523", \
             "0.0301314, 0.0681519, 0.213061, 0.748662, 2.72031, 9.97542", \
-            "0.0301939, 0.0681865, 0.213069, 0.748806, 2.72051, 9.97523", \
+            "0.0301939, 0.0681865, 0.213069, 0.748806, 2.72039, 9.97523", \
             "0.0307495, 0.068448, 0.21312, 0.74878, 2.7235, 9.97526", \
             "0.032848, 0.069344, 0.213397, 0.748873, 2.72021, 9.97576" \
           );
@@ -113302,7 +114872,7 @@
             index_2 ("0.016878");
             index_3 ("0.775023, 0.789191, 0.79602, 0.803387, 0.811959, 0.83044, 0.858543, 0.888101, 0.919537, 0.953774, 0.979131, 1.04151, 1.07682, 1.11045, 1.13403, 1.14977, 1.18124, 1.19617, 1.23491, 1.27448, 1.31521, 1.34702, 1.41065, 1.52495, 1.66147");
             values ( \
-              "0.102345, 0.105419, 0.111164, 0.113131, 0.113196, 0.110662, 0.105687, 0.100024, 0.0933045, 0.0839385, 0.075094, 0.0516866, 0.0400689, 0.0306442, 0.025149, 0.0219414, 0.0165483, 0.0144401, 0.0100571, 0.00687058, 0.00463185, 0.00338539, 0.00177296, 0.000488991, 9.4646e-05" \
+              "0.102345, 0.105419, 0.111164, 0.113131, 0.113196, 0.110662, 0.105687, 0.100024, 0.0933045, 0.0839385, 0.075094, 0.0516866, 0.0400689, 0.0306442, 0.025149, 0.0219414, 0.0165483, 0.0144401, 0.0100571, 0.00687058, 0.00463185, 0.00338539, 0.00177296, 0.000488991, 9.46461e-05" \
             );
           }
           vector (ccs_template) {
@@ -113318,18 +114888,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.228577");
-            index_3 ("0.769065, 0.78679, 0.800831, 0.817106, 0.828838, 0.841513, 0.864796, 0.892147, 0.928178, 0.93954, 0.962262, 1.00771, 1.03963, 1.05935, 1.08247, 2.0601, 2.38131, 2.59145, 3.00175, 3.20904, 3.44594, 4.17185, 4.38089, 4.79897, 5.08003, 5.31482, 5.59751, 5.97029, 6.3131, 6.77018, 7.24913, 7.50727, 8.02354, 9.05609, 10.117, 11.1779");
+            index_3 ("0.803334, 0.803354, 1.39506, 2.18864, 2.5734, 2.8477, 3.0311, 3.3979, 4.27512, 4.89037, 5.15464, 5.44538, 5.83304, 6.17847, 6.67856, 7.10797, 7.61845, 8.29911, 9.35999, 9.72512");
             values ( \
-              "0.0390629, 0.11192, 0.124295, 0.126528, 0.126115, 0.126801, 0.125977, 0.126248, 0.12508, 0.125504, 0.124565, 0.124416, 0.123347, 0.123324, 0.122973, 0.10759, 0.102253, 0.0984332, 0.0894747, 0.0839689, 0.0770944, 0.0548825, 0.0488447, 0.0379483, 0.0316261, 0.0270068, 0.0221939, 0.0169582, 0.0131704, 0.00931652, 0.00644599, 0.00526689, 0.00350932, 0.00147568, 0.000605597, 0.000243267" \
+              "1e-22, 0.13853, 0.11805, 0.105506, 0.0987944, 0.0931354, 0.0887283, 0.0785413, 0.0518711, 0.035808, 0.0301135, 0.024699, 0.0187528, 0.0145702, 0.010011, 0.00719666, 0.00484116, 0.00280321, 0.00115237, 0.0009154" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.841181");
-            index_3 ("0.86853, 0.86855, 2.56113, 5.95276, 7.01365, 8.19191, 9.04226, 9.86823, 10.8861, 13.2259, 13.8579, 14.9188, 15.9797, 17.0822, 17.5812, 18.5764, 19.6372, 20.2363, 21.2972, 22.358, 23.1643, 24.2252, 25.2415, 26.3024, 28.4241, 29.485, 31.6068, 34.7894, 39.033");
+            index_3 ("0.877898, 0.877918, 2.50783, 5.95246, 7.01335, 8.19158, 9.04193, 9.8679, 10.8858, 13.2256, 13.8576, 14.9185, 15.9793, 17.0818, 17.5809, 18.576, 19.6369, 20.2359, 21.2968, 22.3577, 23.164, 24.2249, 25.2411, 26.302, 27.3629, 28.4238, 29.4847, 31.6065, 34.7891, 39.0327");
             values ( \
-              "1e-22, 0.141768, 0.120488, 0.105843, 0.100878, 0.0945226, 0.0890309, 0.0829474, 0.0747214, 0.0551163, 0.0501016, 0.0422456, 0.0352162, 0.0288709, 0.0263106, 0.0217638, 0.0176728, 0.0156867, 0.0126485, 0.0101685, 0.00859666, 0.00688148, 0.00555247, 0.004426, 0.00280578, 0.00223413, 0.00141134, 0.000703985, 0.000278016" \
+              "1e-22, 0.143783, 0.120717, 0.105842, 0.100879, 0.0945232, 0.0890304, 0.0829479, 0.074721, 0.0551164, 0.0501016, 0.0422455, 0.0352163, 0.0288704, 0.0263111, 0.0217632, 0.0176735, 0.0156861, 0.0126492, 0.0101678, 0.00859744, 0.00688067, 0.00555154, 0.00442695, 0.00352764, 0.00280679, 0.0022331, 0.00141025, 0.000705148, 0.000279276" \
             );
           }
           vector (ccs_template) {
@@ -113338,7 +114908,7 @@
             index_2 ("0.00124625");
             index_3 ("2.34835, 2.35556, 2.36375, 2.36589, 2.37018, 2.37327, 2.37506, 2.37865, 2.38117, 2.38415, 2.38887, 2.39321, 2.39667, 2.40303, 2.40739, 2.41101, 2.41919, 2.42199, 2.43238, 2.44021, 2.45906, 2.47158");
             values ( \
-              "0.000813562, 0.023303, 0.04172, 0.0449202, 0.0495602, 0.0508313, 0.0509981, 0.0503694, 0.0489719, 0.0460891, 0.0388526, 0.0308212, 0.0255681, 0.0181065, 0.0140653, 0.0114392, 0.00706149, 0.00597254, 0.00315944, 0.00194844, 0.000555948, 0.000385967" \
+              "0.000813541, 0.023303, 0.04172, 0.0449202, 0.0495602, 0.0508313, 0.0509981, 0.0503694, 0.0489719, 0.0460891, 0.0388526, 0.0308212, 0.0255681, 0.0181065, 0.0140653, 0.0114392, 0.00706149, 0.00597254, 0.00315944, 0.00194844, 0.000555948, 0.000385966" \
             );
           }
           vector (ccs_template) {
@@ -113363,18 +114933,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0621121");
-            index_3 ("2.36946, 2.36948, 2.51365, 2.64755, 2.80807, 2.97563, 3.09639, 3.33935, 3.42189, 3.53732, 3.64453, 3.80355, 3.94454, 4.08873, 4.23571, 4.39115, 4.59841, 4.82675");
+            index_3 ("2.36373, 2.36375, 2.5131, 2.64699, 2.86216, 3.03143, 3.42133, 3.60162, 3.71323, 3.82314, 3.94398, 4.08817, 4.39722, 4.60987, 4.68741");
             values ( \
-              "1e-22, 0.136141, 0.117538, 0.110512, 0.100873, 0.0883937, 0.0762061, 0.0496916, 0.0417187, 0.0319542, 0.0246117, 0.0163227, 0.0111618, 0.00748634, 0.00496459, 0.00319258, 0.00173896, 0.00101399" \
+              "1e-22, 0.12736, 0.117524, 0.110525, 0.0972765, 0.0829549, 0.0417191, 0.0273208, 0.0206212, 0.015459, 0.0111617, 0.00748649, 0.00313291, 0.00167765, 0.00144061" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.228577");
-            index_3 ("2.39317, 2.3932, 3.02365, 3.46514, 3.68011, 3.77271, 3.81902, 3.86532, 3.91162, 3.95792, 4.00911, 4.06031, 4.1115, 4.1627, 4.17981, 4.21405, 4.31155, 4.39867, 4.52855, 4.61741, 4.68577, 4.7997, 4.89085, 5.12157, 5.46765, 5.90563, 6.05267, 6.10168, 6.1997, 6.29773, 6.39575, 6.49378, 6.59629, 6.83037, 6.91807, 7.00578, 7.09349, 7.18119, 7.2689, 7.3566, 7.48113, 7.62081, 7.78755, 7.90882, 8.03009, 8.15136, 8.27263, 8.3939, 8.51517, 8.70437, 8.85403");
+            index_3 ("2.39317, 2.3932, 3.02365, 3.46514, 3.68011, 3.77271, 3.81902, 3.86532, 3.91162, 3.95792, 4.00911, 4.06031, 4.1115, 4.1627, 4.17981, 4.21405, 4.31155, 4.39867, 4.52855, 4.61741, 4.68577, 4.7997, 4.89085, 5.12157, 5.46765, 5.90563, 6.05267, 6.10168, 6.1997, 6.29773, 6.39575, 6.49378, 6.59629, 6.83037, 6.91807, 7.00578, 7.09349, 7.18119, 7.2689, 7.3566, 7.48113, 7.62081, 7.78755, 7.90882, 8.03009, 8.15136, 8.27263, 8.3939, 8.51516, 8.70437, 8.85403");
             values ( \
-              "1e-22, 0.140091, 0.117353, 0.110426, 0.106999, 0.105488, 0.104307, 0.102842, 0.101095, 0.0990638, 0.0990887, 0.0989966, 0.0987877, 0.0984619, 0.0983269, 0.0977688, 0.0957687, 0.0938733, 0.0908995, 0.088741, 0.0869376, 0.0837934, 0.0811977, 0.0743365, 0.0636783, 0.0504481, 0.0463107, 0.0449878, 0.0424611, 0.040024, 0.0376763, 0.0354182, 0.0331762, 0.0282292, 0.0265502, 0.024968, 0.0235382, 0.022168, 0.0208576, 0.0196068, 0.0179631, 0.0161922, 0.0141706, 0.0129453, 0.0118144, 0.0108215, 0.00989409, 0.00903209, 0.00823553, 0.00714001, 0.0063157" \
+              "1e-22, 0.140091, 0.117353, 0.110426, 0.106999, 0.105488, 0.104307, 0.102842, 0.101095, 0.0990638, 0.0990887, 0.0989966, 0.0987877, 0.0984619, 0.0983269, 0.0977688, 0.0957687, 0.0938733, 0.0908995, 0.088741, 0.0869376, 0.0837934, 0.0811977, 0.0743365, 0.0636783, 0.0504481, 0.0463107, 0.0449878, 0.0424611, 0.040024, 0.0376763, 0.0354182, 0.0331762, 0.0282292, 0.0265502, 0.024968, 0.0235382, 0.022168, 0.0208576, 0.0196068, 0.0179631, 0.0161922, 0.0141707, 0.0129452, 0.0118142, 0.0108216, 0.00989428, 0.00903234, 0.00823575, 0.00713991, 0.0063157" \
             );
           }
           vector (ccs_template) {
@@ -113390,9 +114960,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("8.29198, 8.29999, 8.30434, 8.30968, 8.30972, 8.30995, 8.31026, 8.31087, 8.31395, 8.3149, 8.31558, 8.31727, 8.31863, 8.32017, 8.32104, 8.32191, 8.32267, 8.32418, 8.32494, 8.32613, 8.32731, 8.3285, 8.32969, 8.32988, 8.33058, 8.33252, 8.33528, 8.3353, 8.33534, 8.33543, 8.33797, 8.33932, 8.34068, 8.34237, 8.34258, 8.34509, 8.34551, 8.34719, 8.35, 8.35225, 8.35464, 8.35729, 8.36032, 8.36385, 8.36711, 8.36919, 8.37335, 8.37473, 8.37719, 8.3819");
+            index_3 ("8.29198, 8.29999, 8.30434, 8.30968, 8.30972, 8.30995, 8.31026, 8.31087, 8.31395, 8.31524, 8.3166, 8.31795, 8.31931, 8.32017, 8.32104, 8.32191, 8.32267, 8.32418, 8.32494, 8.32613, 8.32731, 8.3285, 8.32969, 8.32988, 8.33058, 8.33252, 8.33528, 8.3353, 8.33534, 8.33543, 8.33763, 8.33932, 8.34068, 8.34237, 8.34258, 8.34509, 8.34551, 8.34719, 8.34999, 8.35225, 8.35464, 8.35729, 8.36032, 8.36385, 8.36711, 8.36919, 8.37334, 8.37473, 8.37719, 8.3819");
             values ( \
-              "0.0189278, 0.0217755, 0.0286597, 0.0373202, 0.0368782, 0.0374279, 0.0377858, 0.0387274, 0.0424678, 0.0435197, 0.044144, 0.0454025, 0.0462448, 0.0470151, 0.0471079, 0.0471375, 0.047104, 0.0469065, 0.0467425, 0.0463988, 0.0459487, 0.0453922, 0.0447293, 0.0444508, 0.043694, 0.0408447, 0.0372063, 0.0360899, 0.0372463, 0.0365031, 0.0321337, 0.0299283, 0.0278525, 0.0256765, 0.0252681, 0.021959, 0.0214332, 0.0196344, 0.0168864, 0.0149132, 0.0130461, 0.0111485, 0.00941188, 0.00768897, 0.00627714, 0.00552008, 0.00434314, 0.00399975, 0.00347316, 0.00255545" \
+              "0.0189278, 0.0217755, 0.0286597, 0.0373202, 0.0368784, 0.0374279, 0.0377857, 0.0387272, 0.0424678, 0.0438668, 0.0449371, 0.0458485, 0.0466017, 0.0470001, 0.047099, 0.0471334, 0.0471026, 0.0469088, 0.0467459, 0.0464029, 0.0459523, 0.0453941, 0.0447283, 0.0444497, 0.043693, 0.0408466, 0.0372063, 0.0360894, 0.0372482, 0.0365039, 0.0327074, 0.0299294, 0.0278508, 0.0256746, 0.0252665, 0.0219617, 0.02144, 0.0196341, 0.0168809, 0.0149094, 0.0130478, 0.0111503, 0.00941316, 0.00768782, 0.00627629, 0.00552023, 0.00434298, 0.00399969, 0.0034741, 0.00255541" \
             );
           }
           vector (ccs_template) {
@@ -113408,9 +114978,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.016878");
-            index_3 ("8.28658, 8.32699, 8.33423, 8.34274, 8.35157, 8.36171, 8.38997, 8.41962, 8.45109, 8.48174, 8.4927, 8.52481, 8.55977, 8.58838, 8.60595, 8.64109, 8.66711, 8.68485, 8.71801, 8.72787, 8.74758, 8.78701, 8.81201, 8.84017, 8.8777, 8.95277, 9.06271, 9.19578");
+            index_3 ("8.28658, 8.32699, 8.33423, 8.34274, 8.35157, 8.36171, 8.38998, 8.41963, 8.45109, 8.48174, 8.4927, 8.52481, 8.55977, 8.58838, 8.60595, 8.64109, 8.66711, 8.68485, 8.71801, 8.72787, 8.74758, 8.78701, 8.81202, 8.84017, 8.8777, 8.95276, 9.0627, 9.19577");
             values ( \
-              "0.00651807, 0.105374, 0.109736, 0.111281, 0.110888, 0.109755, 0.105246, 0.0998344, 0.0931769, 0.0850624, 0.0815115, 0.0698173, 0.0564827, 0.0464672, 0.0408405, 0.0308629, 0.0248169, 0.0212867, 0.0157696, 0.0144296, 0.0119996, 0.00825473, 0.00647305, 0.00492641, 0.00341554, 0.00156228, 0.00046857, 8.72907e-05" \
+              "0.00651799, 0.105374, 0.109736, 0.111281, 0.110888, 0.109755, 0.105246, 0.0998344, 0.0931769, 0.0850624, 0.0815115, 0.0698173, 0.0564828, 0.0464671, 0.0408404, 0.0308628, 0.024817, 0.0212869, 0.0157697, 0.0144296, 0.0119995, 0.00825457, 0.00647301, 0.00492644, 0.00341562, 0.00156239, 0.000468606, 8.73011e-05" \
             );
           }
           vector (ccs_template) {
@@ -113437,7 +115007,7 @@
             index_2 ("0.841181");
             index_3 ("8.40318, 8.4032, 10.3233, 14.1465, 16.0261, 16.6655, 17.5743, 20.7587, 22.6097, 23.6706, 24.5626, 25.7208, 26.7817, 28.0883, 29.8102, 31.7008, 33.9264, 36.0481, 39.2308, 42.4134, 46.657");
             values ( \
-              "1e-22, 0.140263, 0.119602, 0.102902, 0.092773, 0.0884977, 0.0815985, 0.0551186, 0.0411444, 0.034248, 0.0291506, 0.0234552, 0.0190872, 0.0147144, 0.010346, 0.0069686, 0.00434511, 0.00275463, 0.00138318, 0.000692182, 0.000274316" \
+              "1e-22, 0.140263, 0.119602, 0.102902, 0.092773, 0.0884977, 0.0815985, 0.0551186, 0.0411444, 0.034248, 0.0291506, 0.0234552, 0.0190872, 0.0147144, 0.010346, 0.0069686, 0.00434511, 0.00275463, 0.00138318, 0.000692181, 0.000274316" \
             );
           }
         }
@@ -113448,8 +115018,8 @@
             "0.00611243, 0.00611241, 0.00611242, 0.00611243, 0.00611243, 0.00611243", \
             "0.00694926, 0.00694925, 0.00694925, 0.00694925, 0.00694925, 0.00694925", \
             "0.00757085, 0.00757085, 0.00757084, 0.00757084, 0.00757084, 0.00757084", \
-            "0.00806803, 0.00807051, 0.00806803, 0.00807051, 0.00806803, 0.00806803", \
-            "0.00870763, 0.00870763, 0.00870855, 0.00870763, 0.00870763, 0.00870763", \
+            "0.00806803, 0.00807051, 0.00806803, 0.00807051, 0.00807051, 0.00807051", \
+            "0.00870763, 0.00870763, 0.00870855, 0.00870855, 0.00870763, 0.00870763", \
             "0.00900227, 0.00900484, 0.00900654, 0.00900726, 0.00900754, 0.00900762" \
           );
         }
@@ -113460,8 +115030,8 @@
             "0.00751015, 0.0075101, 0.00751006, 0.00751005, 0.00751004, 0.00751004", \
             "0.00819977, 0.00819977, 0.00819978, 0.00819978, 0.00819978, 0.00819978", \
             "0.00972271, 0.00972273, 0.00972274, 0.00972274, 0.00972274, 0.00972274", \
-            "0.00942054, 0.00941643, 0.00942144, 0.00941811, 0.00942184, 0.00942187", \
-            "0.00858468, 0.00858458, 0.00858256, 0.008585, 0.00858613, 0.00858742", \
+            "0.00942054, 0.00941643, 0.00942144, 0.00941811, 0.00941822, 0.00941811", \
+            "0.00858468, 0.00858458, 0.00858256, 0.00858302, 0.00858613, 0.00858742", \
             "0.00815387, 0.00814719, 0.00814279, 0.00812989, 0.00814713, 0.00813553" \
           );
         }
@@ -113668,9 +115238,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.228577");
-            index_3 ("0.864379, 0.881761, 0.901366, 0.926165, 0.948041, 0.969741, 1.02835, 1.56692, 2.11326, 2.34351, 2.56608, 2.61647, 2.71726, 2.92319, 3.32198, 3.46776, 3.58134, 3.73265, 3.85516, 3.99764, 4.19837, 4.4032, 4.55949, 4.87208, 5.45939, 6.15109");
+            index_3 ("0.864379, 0.881761, 0.901366, 0.926165, 0.948041, 0.969741, 1.02835, 1.56692, 2.11326, 2.34351, 2.56608, 2.61647, 2.71727, 2.92319, 3.32198, 3.46776, 3.58134, 3.73265, 3.85516, 3.99764, 4.19837, 4.4032, 4.55949, 4.87209, 5.45939, 6.15109");
             values ( \
-              "-0.0493967, -0.122965, -0.158754, -0.173762, -0.1771, -0.178167, -0.177817, -0.169815, -0.160518, -0.155381, -0.148036, -0.145625, -0.139322, -0.119002, -0.0727962, -0.0587446, -0.0492461, -0.0385298, -0.0313948, -0.0245719, -0.017221, -0.0119302, -0.00896844, -0.00497735, -0.00146747, -0.0003183" \
+              "-0.0493967, -0.122965, -0.158754, -0.173762, -0.1771, -0.178167, -0.177817, -0.169815, -0.160518, -0.155381, -0.148036, -0.145625, -0.139322, -0.119002, -0.0727962, -0.0587446, -0.0492461, -0.0385298, -0.0313948, -0.0245719, -0.017221, -0.0119302, -0.00896843, -0.00497734, -0.00146747, -0.000318299" \
             );
           }
           vector (ccs_template) {
@@ -113715,7 +115285,7 @@
             index_2 ("0.0621121");
             index_3 ("2.46861, 2.49384, 2.50246, 2.51003, 2.52242, 2.53316, 2.54327, 2.56095, 2.58325, 2.64119, 2.74139, 2.81201, 2.89419, 2.93286, 2.9589, 3.01098, 3.15246, 3.1939, 3.24916, 3.27133, 3.31244, 3.34867, 3.39939, 3.41913, 3.4586, 3.53755, 3.68775, 3.86208");
             values ( \
-              "-0.0991218, -0.145403, -0.156158, -0.162232, -0.168075, -0.170501, -0.171594, -0.172106, -0.171592, -0.168802, -0.163231, -0.158762, -0.152118, -0.147605, -0.143429, -0.129804, -0.0721686, -0.0578565, -0.0421231, -0.0369181, -0.0287225, -0.0228779, -0.0165016, -0.0145196, -0.0112042, -0.00656564, -0.00216598, -0.00055935" \
+              "-0.0991219, -0.145403, -0.156158, -0.162232, -0.168075, -0.170501, -0.171594, -0.172106, -0.171592, -0.168802, -0.163231, -0.158762, -0.152118, -0.147605, -0.143429, -0.129804, -0.0721686, -0.0578565, -0.0421231, -0.0369181, -0.0287225, -0.0228779, -0.0165016, -0.0145196, -0.0112042, -0.00656564, -0.00216598, -0.00055935" \
             );
           }
           vector (ccs_template) {
@@ -113769,7 +115339,7 @@
             index_2 ("0.0621121");
             index_3 ("8.4858, 8.51887, 8.53732, 8.55479, 8.57582, 8.68628, 8.82351, 8.8952, 8.94371, 8.97042, 9.02383, 9.16344, 9.23709, 9.3116, 9.35095, 9.40631, 9.463, 9.50628, 9.59286, 9.74478, 9.93403");
             values ( \
-              "-0.15228, -0.160272, -0.168878, -0.171567, -0.172057, -0.167041, -0.158808, -0.153171, -0.147651, -0.143471, -0.129301, -0.0724187, -0.0484668, -0.0309777, -0.0243335, -0.0169483, -0.0117006, -0.00888292, -0.00482058, -0.00163432, -0.000288186" \
+              "-0.15228, -0.160272, -0.168878, -0.171567, -0.172057, -0.167041, -0.158808, -0.153171, -0.147651, -0.143471, -0.129301, -0.0724187, -0.0484668, -0.0309777, -0.0243335, -0.0169483, -0.0117006, -0.00888292, -0.00482058, -0.00163431, -0.000288186" \
             );
           }
           vector (ccs_template) {
@@ -113854,8 +115424,8 @@
             "0.0148333, 0.0148975, 0.0149391, 0.0149276, 0.014912, 0.0149037", \
             "0.014433, 0.0144983, 0.0145399, 0.0145286, 0.0145175, 0.0145052", \
             "0.0136996, 0.0137636, 0.0138056, 0.0137956, 0.0137844, 0.0137736", \
-            "0.0144108, 0.0144825, 0.0145412, 0.0145395, 0.0144779, 0.0144704", \
-            "0.0215061, 0.0215296, 0.0218449, 0.0216135, 0.021951, 0.0215758", \
+            "0.0144108, 0.0144825, 0.0145412, 0.0145395, 0.0145252, 0.0145153", \
+            "0.0215061, 0.0215296, 0.0218449, 0.0219028, 0.021951, 0.0215758", \
             "0.0533475, 0.0533926, 0.0536162, 0.0534966, 0.0535401, 0.0536442" \
           );
         }
@@ -114185,7 +115755,7 @@
           values ( \
             "-0.108728, -0.220584, -0.390293", \
             "-0.208033, -0.309742, -0.453409", \
-            "-1.28615, -1.40237, -0.316195" \
+            "-1.28615, -1.40237, -0.316196" \
           );
         }
       }
@@ -114196,9 +115766,9 @@
           index_1 ("0.00974609, 0.312187, 10");
           index_2 ("0.00974609, 0.312187, 10");
           values ( \
-            "0.126837, 0.0936807, 4.52108", \
-            "0.195944, 0.164661, 2.09648", \
-            "0.0718328, 0.0109352, 1.84001" \
+            "0.126837, 0.0936807, 4.52107", \
+            "0.195944, 0.164661, 2.09647", \
+            "0.0718328, 0.0109352, 1.83999" \
           );
         }
         fall_constraint (constraint_template) {
@@ -114337,6 +115907,13820 @@
       power_down_function : "(!VDD) + (GND)";
     }
   }
+  cell (sky130_osu_sc_12T_ms__dffnr_1) {
+    area : 42.4908;
+    cell_footprint : "sky130_osu_sc_12T_ms__dffnr";
+    cell_leakage_power : 0.309003;
+    pg_pin (GND) {
+      pg_type : primary_ground;
+      voltage_name : "GND";
+    }
+    pg_pin (VDD) {
+      pg_type : primary_power;
+      voltage_name : "VDD";
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * D * RN * Q * !QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.108197;
+      when : "(CK * D * RN * Q * !QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * D * RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.537918;
+      when : "(CK * D * RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * D * !RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.537671;
+      when : "(CK * D * !RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * !D * RN * Q * !QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.262422;
+      when : "(CK * !D * RN * Q * !QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * !D * RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.667483;
+      when : "(CK * !D * RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * !D * !RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.0576874;
+      when : "(CK * !D * !RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * D * RN * Q * !QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.082358;
+      when : "(!CK * D * RN * Q * !QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * D * RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.64194;
+      when : "(!CK * D * RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * D * !RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.0321444;
+      when : "(!CK * D * !RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * !D * RN * Q * !QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.106721;
+      when : "(!CK * !D * RN * Q * !QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * !D * RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.641644;
+      when : "(!CK * !D * RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * !D * !RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.0318481;
+      when : "(!CK * !D * !RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.309003;
+      related_pg_pin : VDD;
+    }
+    pin (Q) {
+      direction : output;
+      function : "IQ";
+      power_down_function : "(!VDD) + (GND)";
+      related_ground_pin : GND;
+      related_power_pin : VDD;
+      max_capacitance : 1.27043;
+      timing () {
+        related_pin : "CK";
+        timing_sense : non_unate;
+        timing_type : falling_edge;
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.351169, 0.406966, 0.59553, 1.29212, 4.05413, 15.0906", \
+            "0.362258, 0.418006, 0.606563, 1.30308, 4.06522, 15.0976", \
+            "0.410325, 0.466099, 0.654764, 1.35143, 4.11291, 15.1456", \
+            "0.534605, 0.590041, 0.779111, 1.47543, 4.23766, 15.2731", \
+            "0.79192, 0.847484, 1.03625, 1.73332, 4.49694, 15.5281", \
+            "1.45937, 1.5149, 1.70305, 2.39881, 5.16153, 16.1903" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0276093, 0.0573806, 0.179002, 0.670241, 2.63781, 10.4976", \
+            "0.0276369, 0.0573871, 0.178978, 0.670246, 2.63731, 10.4979", \
+            "0.0276254, 0.0573806, 0.179014, 0.670478, 2.63721, 10.498", \
+            "0.0276752, 0.0574299, 0.178854, 0.670343, 2.63732, 10.4975", \
+            "0.0275946, 0.0574613, 0.1791, 0.670426, 2.63723, 10.4961", \
+            "0.027567, 0.0573843, 0.178884, 0.670289, 2.63747, 10.4967" \
+          );
+        }
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.227699, 0.276671, 0.461885, 1.19396, 4.11505, 15.7873", \
+            "0.235481, 0.284435, 0.469647, 1.20166, 4.12318, 15.7953", \
+            "0.277917, 0.326863, 0.512096, 1.24444, 4.16502, 15.8371", \
+            "0.39304, 0.442042, 0.626956, 1.35936, 4.28466, 15.9539", \
+            "0.654818, 0.704526, 0.890074, 1.62221, 4.54474, 16.2162", \
+            "1.35026, 1.40236, 1.58886, 2.32106, 5.24341, 16.9119" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0207462, 0.044997, 0.142124, 0.529339, 2.07911, 8.27324", \
+            "0.0207455, 0.0449738, 0.142102, 0.52937, 2.0788, 8.26394", \
+            "0.0207494, 0.0449841, 0.141836, 0.529735, 2.07921, 8.27348", \
+            "0.0208392, 0.0450235, 0.142231, 0.529471, 2.07848, 8.27233", \
+            "0.0212925, 0.0452902, 0.142241, 0.529425, 2.07995, 8.27244", \
+            "0.0227977, 0.0460306, 0.142356, 0.529847, 2.07812, 8.26593" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.324641, 0.331209, 0.347788, 0.350682, 0.355019, 0.359114, 0.363197, 0.367279, 0.374161, 0.381098, 0.385632, 0.393055, 0.398719, 0.403794, 0.413763, 0.422477, 0.437964, 0.456642");
+            values ( \
+              "0.000982921, 0.0126858, 0.0453185, 0.0495426, 0.0539619, 0.055625, 0.0543422, 0.0477127, 0.0297911, 0.0183795, 0.0134456, 0.0078978, 0.00523917, 0.00363222, 0.00176675, 0.000950022, 0.000277463, 7.51371e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498053");
+            index_3 ("0.349804, 0.359233, 0.388072, 0.397555, 0.406269, 0.414749, 0.42323, 0.429572, 0.456137, 0.469039, 0.4766, 0.486944, 0.503544, 0.525011, 0.544033, 0.553858");
+            values ( \
+              "0.0160278, 0.0220063, 0.0876666, 0.100305, 0.1058, 0.105683, 0.100468, 0.0913068, 0.0436549, 0.028457, 0.0218153, 0.0150205, 0.0080532, 0.00354237, 0.00165138, 0.00125445" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199042");
+            index_3 ("0.031228, 0.216599, 0.225444, 0.273289, 0.302559, 0.318154, 0.34927, 0.382506, 0.418488, 0.432051, 0.446624, 0.488696, 0.513245, 0.522589, 0.537921, 0.550683, 0.577241, 0.603789, 0.631463, 0.647948, 0.710252, 0.744448, 0.781292, 0.806613, 0.824656, 0.854516, 0.881857, 0.916069, 0.961685, 1.03447");
+            values ( \
+              "0.000194483, 0.000208037, 0.000356601, 0.00329815, 0.0039961, 0.00384191, 0.00244816, 0.000143281, 0.00681507, 0.0168478, 0.0320956, 0.0880305, 0.113428, 0.120539, 0.128904, 0.133402, 0.136634, 0.133755, 0.124419, 0.115285, 0.0718597, 0.0513667, 0.0344649, 0.0257224, 0.0207789, 0.0144566, 0.0102774, 0.00667629, 0.00368154, 0.00141877" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079545");
+            index_3 ("0.0726233, 0.119286, 0.151098, 0.202365, 0.225284, 0.241865, 0.288365, 0.305373, 0.323474, 0.35791, 0.395448, 0.441394, 0.485101, 0.526641, 0.580063, 0.618269, 0.647415, 0.683061, 0.752909, 0.872019, 0.955954, 0.997734, 1.0813, 1.10194, 1.14322, 1.20071, 1.30253, 1.33418, 1.3683, 1.40462, 1.47725, 1.52171, 1.71352, 1.77418, 1.8689, 1.94963, 2.00893, 2.08539, 2.14749, 2.22321, 2.3299, 2.37738, 2.44171, 2.52749, 2.69904, 3.02116, 3.40303");
+            values ( \
+              "2.00202e-05, 2.71125e-05, 0.00020124, 0.000165882, 0.000591467, 0.00201056, 0.00815301, 0.00997094, 0.0112143, 0.0119817, 0.011016, 0.00876287, 0.0062901, 0.00432134, 0.00365725, 0.00652177, 0.0111781, 0.019998, 0.0451362, 0.0948512, 0.121212, 0.130576, 0.141788, 0.143502, 0.145215, 0.145856, 0.141772, 0.139306, 0.135988, 0.131571, 0.120306, 0.112103, 0.074722, 0.0639614, 0.0492066, 0.0388004, 0.032361, 0.0254142, 0.0208048, 0.0162194, 0.011317, 0.0096399, 0.00774552, 0.00576938, 0.00313344, 0.000887669, 0.000175031" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.317894");
+            index_3 ("0.0954508, 0.226541, 0.395955, 0.487249, 0.855541, 1.1608, 1.24308, 1.34593, 1.47607, 1.72536, 2.37888, 2.69355, 2.87438, 3.23605, 3.52855, 3.67323, 3.96261, 4.26203, 4.47555, 4.89476, 5.73768, 6.31771, 6.89169, 7.35631, 8.08313, 8.96155, 9.68693, 9.97042");
+            values ( \
+              "4.48538e-05, 0.000675928, 0.0201118, 0.0194624, 0.00922332, 0.00482049, 0.00471959, 0.00592621, 0.0100565, 0.026568, 0.0955812, 0.122053, 0.132582, 0.14496, 0.148064, 0.148021, 0.145524, 0.139761, 0.133441, 0.115977, 0.0739103, 0.0495399, 0.0318829, 0.0218473, 0.0117653, 0.00546967, 0.00281954, 0.00236805" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27043");
+            index_3 ("0.105698, 0.209062, 0.232029, 0.363574, 0.492305, 1.29903, 1.83093, 2.33863, 3.1815, 3.71184, 4.04138, 4.70046, 5.90074, 8.39561, 9.62225, 10.3713, 11.6813, 12.0027, 12.6455, 13.5497, 14.8597, 15.1057, 15.5976, 16.7429, 17.7109, 18.5817, 21.6274, 22.5513, 23.8613, 25.4701, 26.6226, 28.0692, 28.851, 29.8964, 31.2064, 33.2485, 34.5585, 35.8685, 38.4885, 40.537");
+            values ( \
+              "5.00182e-05, 0.000197388, 0.000696974, 0.0207805, 0.0256432, 0.0174965, 0.0130961, 0.0099045, 0.00613798, 0.00504523, 0.00529214, 0.00895646, 0.0286968, 0.0956363, 0.12191, 0.13307, 0.144764, 0.146318, 0.148169, 0.148585, 0.145492, 0.144497, 0.142025, 0.133941, 0.124429, 0.114349, 0.0759068, 0.0652554, 0.0517464, 0.0382118, 0.0303676, 0.022609, 0.0191718, 0.0153793, 0.0115658, 0.00739468, 0.00555798, 0.00412835, 0.00229073, 0.00148862" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.360079, 0.366719, 0.381318, 0.386233, 0.390567, 0.394663, 0.398747, 0.40283, 0.409717, 0.416701, 0.423878, 0.428605, 0.43429, 0.439356, 0.449263, 0.457918, 0.465656");
+            values ( \
+              "0.000836666, 0.0126849, 0.041887, 0.0495088, 0.0539391, 0.0556101, 0.0543332, 0.0477108, 0.0297805, 0.0183108, 0.0110864, 0.00789826, 0.00523199, 0.00362882, 0.00177331, 0.000957806, 0.000618719" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498053");
+            index_3 ("0.385283, 0.395045, 0.412001, 0.423836, 0.433353, 0.442031, 0.450519, 0.458994, 0.465355, 0.481263, 0.491921, 0.504786, 0.512316, 0.52272, 0.539322, 0.551221, 0.560676, 0.579586, 0.587334");
+            values ( \
+              "0.014675, 0.0220756, 0.062967, 0.0879407, 0.100507, 0.105884, 0.105755, 0.100489, 0.0912913, 0.0605074, 0.0436286, 0.0284727, 0.0218526, 0.0150124, 0.00804813, 0.00512513, 0.00355624, 0.0016656, 0.00134986" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199042");
+            index_3 ("0.0704988, 0.25033, 0.268506, 0.313748, 0.340699, 0.358621, 0.424131, 0.460175, 0.467682, 0.481658, 0.523991, 0.547257, 0.558049, 0.579634, 0.599215, 0.612629, 0.638944, 0.652151, 0.666807, 0.694993, 0.737175, 0.770183, 0.809252, 0.83173, 0.864051, 0.883171, 0.914217, 0.949051, 0.976555, 1.03156, 1.06503");
+            values ( \
+              "0.000175597, 0.000180754, 0.000770586, 0.00350515, 0.00402069, 0.00366985, 1e-22, 0.0107716, 0.0170631, 0.0316416, 0.0876933, 0.112362, 0.120436, 0.131547, 0.135935, 0.136496, 0.133782, 0.130152, 0.124346, 0.107693, 0.0775624, 0.0567359, 0.0374572, 0.0290099, 0.0198083, 0.0157051, 0.0106624, 0.00688706, 0.00484596, 0.00232716, 0.00169169" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079545");
+            index_3 ("0.111213, 0.235934, 0.260133, 0.335351, 0.34888, 0.375938, 0.411317, 0.453289, 0.542014, 0.581189, 0.640001, 0.652367, 0.677099, 0.726562, 0.790073, 0.907382, 0.99217, 1.03315, 1.1151, 1.13734, 1.18181, 1.23611, 1.338, 1.40415, 1.44003, 1.51181, 1.80688, 1.90365, 1.98754, 2.04595, 2.12142, 2.1817, 2.25784, 2.36486, 2.47791, 2.56478, 2.73851, 2.96371");
+            values ( \
+              "3.64171e-05, 0.000164944, 0.000565829, 0.00942534, 0.0106105, 0.0118288, 0.0116862, 0.00999893, 0.00519577, 0.0036932, 0.00504831, 0.00636431, 0.0100489, 0.0224039, 0.0458443, 0.0947932, 0.12141, 0.130549, 0.141645, 0.143471, 0.145307, 0.145831, 0.14176, 0.135936, 0.131569, 0.120452, 0.0644246, 0.0492962, 0.0385093, 0.0321984, 0.0253649, 0.0208882, 0.0162607, 0.0113348, 0.00772502, 0.00573101, 0.00308762, 0.001541" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.317894");
+            index_3 ("0.132273, 0.259919, 0.375873, 0.453487, 0.543087, 0.823138, 1.14581, 1.22845, 1.31698, 1.43502, 1.67111, 1.9379, 2.41193, 2.74273, 2.90787, 3.23814, 3.47676, 3.70626, 4.10757, 4.3695, 4.50936, 4.9699, 5.95752, 6.3342, 6.88103, 7.42495, 7.72145, 8.13931, 8.57692, 8.91258, 9.5839, 10.3159");
+            values ( \
+              "5.62482e-05, 0.000647585, 0.0172279, 0.0203957, 0.0188774, 0.0107017, 0.00515902, 0.0046872, 0.00500658, 0.00729034, 0.0194461, 0.0439927, 0.0955275, 0.123052, 0.132595, 0.144211, 0.147567, 0.148042, 0.14383, 0.137833, 0.133449, 0.113906, 0.065388, 0.050139, 0.033025, 0.0212139, 0.0165415, 0.0115421, 0.00790285, 0.00588869, 0.00320061, 0.00186991" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27043");
+            index_3 ("0.142911, 0.265207, 0.397174, 0.525743, 1.83399, 2.35073, 3.19994, 3.74562, 4.08989, 4.77843, 6.08844, 8.42603, 9.68696, 10.4008, 11.7108, 12.0324, 12.6755, 13.5794, 14.8894, 15.1354, 15.6274, 16.7726, 17.7395, 18.6115, 21.6572, 23.9792, 24.8196, 26.2486, 27.4195, 28.3491, 29.5377, 30.8477, 32.414, 34.6608, 37.2808, 39.9008, 40.2084");
+            values ( \
+              "6.19908e-05, 0.000667893, 0.0207372, 0.025663, 0.0133406, 0.0100063, 0.00620822, 0.00506582, 0.00530319, 0.00939926, 0.0322787, 0.0956251, 0.122493, 0.133052, 0.14475, 0.146311, 0.148161, 0.148582, 0.145489, 0.144496, 0.142023, 0.13394, 0.124441, 0.114349, 0.0759078, 0.0509168, 0.043524, 0.0329394, 0.0259999, 0.0214556, 0.0166704, 0.012599, 0.00894834, 0.00544791, 0.00303196, 0.00167905, 0.00159061" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.505809, 0.512356, 0.526943, 0.531851, 0.536184, 0.540279, 0.544362, 0.548444, 0.555326, 0.562254, 0.566807, 0.574221, 0.579881, 0.584957, 0.594938, 0.603662, 0.611554");
+            values ( \
+              "0.00104128, 0.0126711, 0.0419201, 0.0495673, 0.0539413, 0.0556409, 0.0543271, 0.0477259, 0.0297957, 0.0183888, 0.0134339, 0.00789986, 0.00524251, 0.00363083, 0.00176725, 0.000946997, 0.000606523" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498053");
+            index_3 ("0.530863, 0.540299, 0.569139, 0.578621, 0.587335, 0.595815, 0.604296, 0.610638, 0.637203, 0.650105, 0.657667, 0.66801, 0.68461, 0.706076, 0.725099, 0.734669");
+            values ( \
+              "0.015989, 0.0220155, 0.0876756, 0.100314, 0.105791, 0.105692, 0.100459, 0.0913065, 0.0436556, 0.0284566, 0.0218156, 0.0150203, 0.00805293, 0.00354279, 0.00165105, 0.00126464" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199042");
+            index_3 ("0.216201, 0.397416, 0.454411, 0.483795, 0.529932, 0.563156, 0.599097, 0.620985, 0.669801, 0.703804, 0.731977, 0.758474, 0.784974, 0.811458, 0.829481, 0.891953, 0.928236, 0.95979, 0.981416, 1.00804, 1.05299, 1.10576, 1.14834, 1.2008");
+            values ( \
+              "6.80049e-06, 0.000174423, 0.0032771, 0.00401334, 0.00249026, 0.000150424, 0.0065642, 0.0246775, 0.0881002, 0.120741, 0.133628, 0.136904, 0.133738, 0.125105, 0.115084, 0.0715183, 0.0499828, 0.0355184, 0.0277312, 0.0202433, 0.0116471, 0.00599681, 0.00344366, 0.00193684" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079545");
+            index_3 ("0.263799, 0.288175, 0.383052, 0.397295, 0.406467, 0.423138, 0.46965, 0.486711, 0.504926, 0.539263, 0.576872, 0.622782, 0.66647, 0.707996, 0.761395, 0.794666, 0.79951, 0.828577, 0.867334, 0.944847, 1.03004, 1.05314, 1.09934, 1.17886, 1.28319, 1.38226, 1.48118, 1.5138, 1.54694, 1.58597, 1.66404, 1.87296, 1.91921, 2.01172, 2.07459, 2.14349, 2.23536, 2.30641, 2.34623, 2.41814, 2.51816, 2.55912, 2.64103, 2.80485, 3.10463, 3.4565");
+            values ( \
+              "0.000104991, 3.18749e-05, 0.000193777, 0.000317235, 0.000617282, 0.00204165, 0.00814226, 0.00996415, 0.0112403, 0.0119654, 0.0110234, 0.00873947, 0.0062979, 0.00430197, 0.00367443, 0.0059804, 0.0065355, 0.0111757, 0.0208843, 0.0495559, 0.0857907, 0.0946152, 0.110722, 0.130417, 0.143702, 0.145923, 0.142169, 0.139549, 0.136353, 0.13162, 0.119379, 0.078787, 0.0703056, 0.0548486, 0.0458466, 0.037343, 0.0280816, 0.0223554, 0.0196647, 0.015487, 0.0110737, 0.00962089, 0.00728934, 0.00407444, 0.00130173, 0.000298988" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.317894");
+            index_3 ("0.281294, 0.408467, 0.505963, 0.578136, 0.625621, 0.66946, 1.03847, 1.34334, 1.46042, 1.5318, 1.67457, 1.9601, 2.55971, 2.89282, 3.05553, 3.38097, 3.63382, 3.85382, 4.25532, 4.65693, 5.11747, 6.10507, 6.48176, 7.02861, 7.57251, 7.86901, 8.28687, 8.72449, 9.06016, 9.73149, 10.3714");
+            values ( \
+              "6.64194e-05, 0.000702122, 0.0153318, 0.0201313, 0.0203028, 0.0194717, 0.0091886, 0.00480289, 0.00496209, 0.00601895, 0.0107816, 0.0313773, 0.0955447, 0.12326, 0.132589, 0.144132, 0.14766, 0.148016, 0.143825, 0.133449, 0.113906, 0.0653881, 0.0501397, 0.0330253, 0.0212135, 0.0165421, 0.0115416, 0.00790229, 0.00588916, 0.00320001, 0.00203749" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27043");
+            index_3 ("0.292575, 0.412494, 0.544225, 0.673106, 2.00951, 2.5184, 3.3613, 3.89248, 4.22271, 4.88317, 6.19318, 8.57234, 9.81842, 10.5473, 11.8573, 12.1789, 12.8222, 13.726, 15.036, 15.282, 15.7741, 16.9192, 18.7581, 21.8038, 24.1258, 24.9662, 26.3952, 27.5661, 28.4958, 29.6843, 30.9943, 32.5607, 34.8074, 37.4275, 40.0475, 40.6844");
+            values ( \
+              "5.14329e-05, 0.000723268, 0.0207777, 0.0256595, 0.0131254, 0.00989411, 0.00615531, 0.00506005, 0.0052792, 0.00899158, 0.0312809, 0.0956041, 0.122232, 0.13304, 0.144753, 0.146302, 0.148166, 0.148574, 0.145494, 0.144489, 0.142028, 0.133946, 0.114343, 0.0759131, 0.0509219, 0.0435284, 0.0329437, 0.0259955, 0.0214514, 0.0166746, 0.0125948, 0.00895233, 0.00545185, 0.00303588, 0.00168294, 0.00155755" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("1.02125, 1.02681, 1.03529, 1.04499, 1.0508, 1.05492, 1.05901, 1.0631, 1.0695, 1.07727, 1.08109, 1.08767, 1.09619, 1.10574, 1.11321, 1.12555");
+            values ( \
+              "0.00388335, 0.0122652, 0.0298341, 0.0473045, 0.0536955, 0.0555114, 0.0541829, 0.0476892, 0.030788, 0.0179102, 0.0137766, 0.00860384, 0.00466083, 0.00232553, 0.00136964, 0.000567227" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498053");
+            index_3 ("1.0466, 1.05485, 1.07621, 1.08378, 1.09331, 1.102, 1.1105, 1.11898, 1.12532, 1.14125, 1.15189, 1.16479, 1.17234, 1.1827, 1.1993, 1.21125, 1.22075, 1.23976, 1.24548");
+            values ( \
+              "0.0215011, 0.0220404, 0.0725261, 0.0877293, 0.100375, 0.105778, 0.105703, 0.100439, 0.0912952, 0.0604986, 0.0436507, 0.0284564, 0.0218202, 0.0150176, 0.00805095, 0.00511689, 0.0035448, 0.00165196, 0.00142115" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199042");
+            index_3 ("0.547299, 0.909482, 0.927328, 0.970852, 0.992812, 1.026, 1.07009, 1.0852, 1.11483, 1.1292, 1.18478, 1.21875, 1.24133, 1.25789, 1.27331, 1.29963, 1.32371, 1.3502, 1.40413, 1.44238, 1.4759, 1.49931, 1.53443, 1.58083, 1.63073, 1.68776, 1.71062");
+            values ( \
+              "1.83126e-06, 0.000125646, 0.000657967, 0.00333011, 0.00396159, 0.00340376, 0.000494094, 0.000171206, 0.00731564, 0.0181451, 0.0881092, 0.120605, 0.132, 0.135745, 0.136553, 0.133798, 0.126048, 0.111239, 0.0732473, 0.050319, 0.034999, 0.0267416, 0.0176023, 0.00990936, 0.00526286, 0.00245749, 0.00199436" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079545");
+            index_3 ("0.610179, 0.797217, 0.909233, 0.927218, 0.935946, 0.979478, 1.00339, 1.02328, 1.04082, 1.05521, 1.07179, 1.08803, 1.11811, 1.20331, 1.2427, 1.30245, 1.31322, 1.33477, 1.37786, 1.46404, 1.52154, 1.56761, 1.65029, 1.69344, 1.77975, 1.83365, 1.89651, 1.995, 2.02822, 2.06251, 2.10042, 2.17624, 2.41353, 2.47994, 2.56711, 2.63676, 2.69009, 2.77716, 2.85102, 2.9495, 3.03523, 3.07362, 3.15039, 3.30393, 3.60218, 3.95004");
+            values ( \
+              "4.18724e-06, 1.58154e-05, 0.000256143, 0.0010184, 0.0019099, 0.00752533, 0.0100761, 0.0113486, 0.0118024, 0.0117814, 0.011535, 0.0110475, 0.00970128, 0.00516306, 0.00367668, 0.00523246, 0.00640929, 0.00955326, 0.0196288, 0.051374, 0.0761714, 0.0944806, 0.120959, 0.130308, 0.142178, 0.145208, 0.145684, 0.142075, 0.139468, 0.13615, 0.131582, 0.11974, 0.0739424, 0.0622928, 0.0488713, 0.0398373, 0.0338633, 0.0257437, 0.0202881, 0.01463, 0.0109639, 0.00962516, 0.00740839, 0.00431735, 0.00137857, 0.000335218" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.317894");
+            index_3 ("0.726865, 0.883612, 0.918336, 1.02221, 1.11817, 1.20389, 1.48529, 1.80722, 1.88937, 1.97659, 2.09288, 2.32547, 2.59664, 3.0721, 3.40279, 3.56804, 3.89853, 4.13651, 4.36644, 4.76774, 5.02961, 5.16954, 5.63008, 6.6177, 6.99437, 7.54121, 8.08513, 8.38163, 8.79948, 9.23709, 9.57276, 10.2441, 10.9899");
+            values ( \
+              "6.40235e-06, 0.000163824, 0.000643616, 0.0157413, 0.0202925, 0.0188347, 0.010663, 0.00515549, 0.0046809, 0.00499617, 0.00722908, 0.0190164, 0.043846, 0.0955201, 0.123049, 0.132588, 0.144221, 0.147569, 0.148037, 0.14383, 0.137834, 0.133449, 0.113906, 0.0653878, 0.0501392, 0.0330252, 0.0212137, 0.0165417, 0.0115419, 0.00790266, 0.00588888, 0.00320043, 0.00184487" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27043");
+            index_3 ("0.774092, 0.930623, 1.0657, 1.20644, 2.58694, 3.09494, 3.91602, 4.4154, 4.71336, 5.30928, 6.50113, 9.0969, 10.3371, 11.0718, 12.3818, 13.3458, 14.2501, 15.5601, 15.806, 16.2979, 17.4432, 19.2821, 22.3277, 24.6497, 26.0134, 26.9192, 29.0197, 30.2082, 31.5183, 33.0846, 35.3314, 37.9514, 40.3094");
+            values ( \
+              "2.29651e-05, 0.000633408, 0.0207031, 0.0256413, 0.0127235, 0.00959129, 0.00604895, 0.00505932, 0.00522557, 0.0081632, 0.0264266, 0.095664, 0.122179, 0.133071, 0.144777, 0.14818, 0.148579, 0.145502, 0.144489, 0.142035, 0.133951, 0.11434, 0.0759163, 0.0509252, 0.0393827, 0.0329465, 0.0214485, 0.0166777, 0.0125918, 0.00895533, 0.00545483, 0.00303888, 0.00182127" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.84144, 2.8441, 2.84465, 2.84575, 2.84667, 2.8485, 2.85497, 2.85964, 2.86119, 2.86395, 2.86607, 2.87041, 2.8745, 2.87858, 2.88266, 2.883, 2.88506, 2.88781, 2.88868, 2.8888, 2.88904, 2.88953, 2.89051, 2.89246, 2.89637, 2.89821, 2.89863, 2.89946, 2.90113, 2.90382, 2.90844, 2.91052, 2.91406, 2.91916, 2.92097, 2.92152, 2.92263, 2.92484, 2.92926, 2.93811, 2.94468");
+            values ( \
+              "0.00593565, 0.00923251, 0.0100948, 0.0119364, 0.0124575, 0.0168537, 0.0303252, 0.0389831, 0.0421095, 0.0464733, 0.0496697, 0.0539206, 0.0557245, 0.0542961, 0.0477815, 0.0465391, 0.0408533, 0.0335068, 0.0317181, 0.0313638, 0.0309042, 0.0297794, 0.0278969, 0.0242712, 0.0185516, 0.0163343, 0.015932, 0.0149446, 0.0133696, 0.0109617, 0.00786086, 0.00684391, 0.00521733, 0.00367265, 0.00314339, 0.00310702, 0.00278585, 0.00246012, 0.0017155, 0.000968964, 0.000658091" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498053");
+            index_3 ("2.85313, 2.873, 2.87362, 2.87734, 2.89545, 2.90336, 2.90869, 2.91288, 2.92162, 2.93011, 2.9386, 2.94181, 2.94491, 2.95555, 2.96253, 2.97147, 2.97873, 2.98444, 2.99206, 2.99771, 3.00229, 3.0084, 3.01889, 3.02375, 3.03096, 3.04058, 3.05982, 3.06917");
+            values ( \
+              "1e-22, 0.0184727, 0.0194467, 0.0275088, 0.0712999, 0.0872951, 0.0953535, 0.100118, 0.105542, 0.105671, 0.100324, 0.0962414, 0.0913277, 0.0702811, 0.0576045, 0.0437019, 0.0344797, 0.0284228, 0.0217481, 0.0177571, 0.0150295, 0.011989, 0.00805702, 0.00670815, 0.00509764, 0.00351612, 0.00162119, 0.00125151" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199042");
+            index_3 ("1.67336, 2.7264, 2.74147, 2.79577, 2.82374, 2.9065, 2.94284, 2.94738, 3.00407, 3.03814, 3.06637, 3.09288, 3.1194, 3.14589, 3.26165, 3.29529, 3.35473, 3.38089, 3.42138, 3.44859, 3.4917");
+            values ( \
+              "2.63068e-07, 0.000130723, 0.000419159, 0.00355936, 0.00400347, 1e-22, 0.0126389, 0.0166578, 0.0875778, 0.120396, 0.133576, 0.136748, 0.133784, 0.124999, 0.0505225, 0.0350965, 0.0174608, 0.0126471, 0.00761986, 0.00538629, 0.00318861" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079545");
+            index_3 ("1.72937, 2.72719, 2.75505, 2.82408, 2.87334, 2.91025, 3.04082, 3.09383, 3.12967, 3.16818, 3.21219, 3.38909, 3.47422, 3.51484, 3.59608, 3.66493, 3.71781, 3.81973, 3.92175, 4.03869, 4.23491, 4.38601, 4.52744, 4.66384, 4.73989, 4.84683, 5.0462, 5.21942, 5.31319");
+            values ( \
+              "7.00501e-07, 0.000241288, 0.00162967, 0.0101493, 0.0119752, 0.0110978, 0.00438901, 0.00358692, 0.00591007, 0.0119877, 0.0237418, 0.0948166, 0.121528, 0.13053, 0.141587, 0.145348, 0.145802, 0.141749, 0.131563, 0.112134, 0.0739605, 0.049218, 0.0322325, 0.0208723, 0.0162472, 0.0113343, 0.00573675, 0.00310155, 0.0024537" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.317894");
+            index_3 ("1.87302, 2.72565, 2.87534, 2.95873, 3.25355, 3.53417, 3.73368, 3.88312, 4.04254, 4.24523, 4.89676, 5.22479, 5.39249, 5.72789, 5.95119, 6.19088, 6.59199, 6.99395, 7.45505, 8.4312, 8.81396, 9.37477, 9.90256, 10.6212, 11.4079, 12.0917, 12.4942");
+            values ( \
+              "2.1672e-06, 0.000248538, 0.0185685, 0.0202956, 0.0120049, 0.00630738, 0.00466033, 0.00634986, 0.0123548, 0.0266822, 0.0955646, 0.122933, 0.132593, 0.144367, 0.147526, 0.148033, 0.143838, 0.133453, 0.113884, 0.0658719, 0.0503039, 0.0327797, 0.0213408, 0.0115714, 0.00582916, 0.00313507, 0.00241803" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27043");
+            index_3 ("2.01965, 2.7288, 2.87458, 3.00161, 3.798, 4.45237, 5.28151, 5.846, 6.25114, 6.75208, 7.42, 8.73001, 10.5728, 11.5727, 12.8827, 14.1927, 14.5116, 15.1495, 16.0582, 17.3682, 17.6139, 18.1055, 19.251, 20.2259, 21.0899, 24.1355, 26.4574, 27.8213, 28.727, 29.8979, 30.8274, 32.0159, 33.326, 34.8924, 37.1392, 39.7593, 42.3793, 42.7762");
+            values ( \
+              "4.11874e-06, 0.000281146, 0.0207283, 0.0256214, 0.0175762, 0.0123849, 0.00778384, 0.00574379, 0.00507228, 0.00591468, 0.0111726, 0.036281, 0.0870128, 0.111169, 0.133189, 0.144854, 0.146359, 0.148215, 0.148598, 0.14552, 0.144495, 0.142051, 0.133961, 0.124371, 0.114337, 0.0759211, 0.0509301, 0.039378, 0.0329496, 0.0259886, 0.0214452, 0.0166814, 0.0125884, 0.00895878, 0.00545822, 0.00304228, 0.00168935, 0.00157521" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.75958, 9.76489, 9.77721, 9.78372, 9.78858, 9.79267, 9.79469, 9.79674, 9.80083, 9.80585, 9.81108, 9.8173, 9.82609, 9.83286, 9.84389, 9.85219, 9.8595");
+            values ( \
+              "0.00605034, 0.0127435, 0.0380296, 0.0489096, 0.0539831, 0.0556248, 0.0554271, 0.0542556, 0.0477158, 0.0337969, 0.023535, 0.0153009, 0.00817851, 0.00500256, 0.00228632, 0.00121326, 0.000950945" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498053");
+            index_3 ("9.77697, 9.79267, 9.81404, 9.8216, 9.83112, 9.83979, 9.84828, 9.85675, 9.86312, 9.87902, 9.88969, 9.90255, 9.91008, 9.92051, 9.93713, 9.94906, 9.95854, 9.97751, 9.98316");
+            values ( \
+              "0.00102231, 0.021834, 0.0726948, 0.0879024, 0.100541, 0.10589, 0.105791, 0.100481, 0.0912823, 0.0604945, 0.0436088, 0.0284588, 0.0218447, 0.0149934, 0.00803149, 0.00510843, 0.0035424, 0.00165444, 0.00142621" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199042");
+            index_3 ("5.16652, 9.46584, 9.62114, 9.64675, 9.65782, 9.7112, 9.72151, 9.73848, 9.75479, 9.78535, 9.81887, 9.85458, 9.86589, 9.92163, 9.95562, 9.97871, 9.99375, 10.0102, 10.0365, 10.059, 10.0953, 10.1494, 10.1895, 10.2315, 10.2783, 10.3221, 10.3486, 10.3788, 10.4393, 10.4398");
+            values ( \
+              "1.51611e-08, 5.69776e-07, 9.6617e-05, 0.000183924, 0.000388935, 0.00344297, 0.00381021, 0.00402534, 0.00375832, 0.00232602, 1.78818e-05, 0.00853944, 0.0175132, 0.0879826, 0.120648, 0.132149, 0.135592, 0.136626, 0.133773, 0.126724, 0.105579, 0.0678311, 0.0451978, 0.028243, 0.0161685, 0.00936069, 0.00670798, 0.00455264, 0.00201521, 0.00200651" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079545");
+            index_3 ("9.81827, 10.0881, 10.1638, 10.3037, 10.3882, 10.4295, 10.5122, 10.577, 10.6325, 10.7344, 10.8004, 10.8365, 10.9086, 11.2046, 11.3004, 11.4417, 11.5787, 11.6547, 11.7615, 11.8741, 11.9604, 12.1332, 12.3172");
+            values ( \
+              "0.0109997, 0.0129626, 0.0366956, 0.0946849, 0.12134, 0.13048, 0.14173, 0.145301, 0.145787, 0.141756, 0.135952, 0.131567, 0.120379, 0.0642116, 0.049251, 0.0322698, 0.0208496, 0.0162387, 0.0113267, 0.00773424, 0.00574569, 0.00310863, 0.00183646" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.317894");
+            index_3 ("5.58246, 9.62218, 9.66812, 9.78638, 9.82122, 9.8646, 9.95415, 10.1449, 10.3687, 10.5216, 10.6249, 10.7222, 10.8519, 11.1114, 11.3452, 11.8104, 12.1344, 12.3063, 12.6502, 12.8485, 13.1049, 13.5057, 13.7639, 13.908, 14.3691, 15.3633, 15.7324, 16.0448, 16.2783, 16.7441, 17.2245, 17.5902, 18.0029, 18.5533, 19.6539, 19.678");
+            values ( \
+              "1.2248e-07, 0.000160891, 0.00136127, 0.0182178, 0.020003, 0.0204924, 0.0186094, 0.0126932, 0.00762426, 0.0053983, 0.00468445, 0.00507079, 0.00788037, 0.0226636, 0.0449578, 0.0955057, 0.122597, 0.132566, 0.14453, 0.147376, 0.148048, 0.143848, 0.137957, 0.133453, 0.113881, 0.0650764, 0.0501466, 0.0396714, 0.0330576, 0.022664, 0.0151467, 0.0110566, 0.00772637, 0.00472904, 0.00163507, 0.00161289" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27043");
+            index_3 ("6.12414, 9.62976, 9.91568, 11.0543, 12.2065, 12.8266, 13.2813, 13.5384, 14.0527, 15.0813, 17.8237, 18.3928, 19.531, 20.3325, 21.4292, 22.7392, 23.4621, 24.426, 25.1886, 26.0602, 27.7321, 31.221, 33.5072, 35.4388, 36.7379, 37.8927, 39.0284, 40.3384, 41.7665, 43.9165, 46.5365, 49.1565, 49.3591");
+            values ( \
+              "4.5249e-07, 0.000165406, 0.0256955, 0.0147078, 0.00773318, 0.00556328, 0.00504879, 0.00543457, 0.0082507, 0.0231553, 0.0954982, 0.108901, 0.12943, 0.138811, 0.146204, 0.148735, 0.147883, 0.144914, 0.141072, 0.134869, 0.117662, 0.0739283, 0.0497185, 0.0343237, 0.0263981, 0.0207866, 0.0163425, 0.0123313, 0.00904114, 0.00562855, 0.00313749, 0.00174193, 0.00170044" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00532878", \
+            "0.0070963", \
+            "0.00812358", \
+            "0.008775", \
+            "0.00937346", \
+            "0.00978109" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00731704", \
+            "0.00834965", \
+            "0.00954621", \
+            "0.00955281", \
+            "0.00838693", \
+            "0.00780059" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.212855, 0.215576, 0.216502, 0.219004, 0.22311, 0.228925, 0.232475, 0.235809, 0.239036, 0.24226, 0.245762, 0.252657, 0.256277, 0.260292, 0.266454, 0.270617");
+            values ( \
+              "-0.0139248, -0.0275202, -0.027843, -0.0395302, -0.0499038, -0.0609245, -0.0655498, -0.0689389, -0.0702957, -0.0692542, -0.0583366, -0.02555, -0.0144949, -0.00738029, -0.00263289, -0.00143361" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498053");
+            index_3 ("0.226465, 0.257419, 0.261416, 0.269932, 0.277604, 0.284803, 0.291786, 0.298762, 0.305758, 0.320716, 0.328503, 0.335999, 0.343045, 0.348663, 0.357945");
+            values ( \
+              "-0.00141415, -0.0901643, -0.0982967, -0.11228, -0.121627, -0.127275, -0.12987, -0.126801, -0.109184, -0.0496882, -0.028996, -0.0165464, -0.00960729, -0.00618214, -0.00305763" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199042");
+            index_3 ("0.27948, 0.31076, 0.337409, 0.361929, 0.395092, 0.396065, 0.4156, 0.436059, 0.447367, 0.470075, 0.492039, 0.514006, 0.53608, 0.567056, 0.5833, 0.596234, 0.609147, 0.618105, 0.634178, 0.644111, 0.663141, 0.688514, 0.733477, 0.786286");
+            values ( \
+              "-0.0213554, -0.0244818, -0.0566928, -0.0893032, -0.119836, -0.122058, -0.136809, -0.14896, -0.154069, -0.161752, -0.164612, -0.1607, -0.138069, -0.0860869, -0.0628507, -0.0478649, -0.0360068, -0.0293282, -0.0200912, -0.0158291, -0.00995754, -0.00525448, -0.00147457, -0.000334167" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079545");
+            index_3 ("0.277709, 0.558969, 0.604055, 0.652148, 0.795167, 0.924732, 1.01642, 1.11679, 1.20123, 1.23714, 1.2829, 1.36426, 1.38749, 1.41147, 1.45412, 1.60761, 1.69436, 1.73548, 1.79031, 1.83776, 1.90943, 2.00499, 2.12569");
+            values ( \
+              "-0.00949668, -0.0108761, -0.0224308, -0.0383087, -0.0906252, -0.129213, -0.149655, -0.1654, -0.173531, -0.175533, -0.176634, -0.172319, -0.168122, -0.161508, -0.144133, -0.0727767, -0.044621, -0.0349054, -0.0248563, -0.0184248, -0.0116092, -0.00609272, -0.00294827" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.317894");
+            index_3 ("0.481958, 1.75106, 2.02897, 2.52247, 2.87452, 3.03632, 3.35992, 3.59101, 3.7911, 4.12273, 4.44397, 4.76496, 4.9135, 5.06321, 5.57721, 5.82521, 6.06248, 6.39072, 6.61835, 6.73983, 6.9828, 7.46874, 7.82852");
+            values ( \
+              "-0.00387089, -0.0198796, -0.0438034, -0.0906745, -0.119509, -0.131001, -0.150251, -0.161011, -0.168407, -0.176748, -0.179961, -0.175458, -0.167312, -0.152887, -0.0890561, -0.06383, -0.0451761, -0.0271808, -0.0188775, -0.0154962, -0.0103525, -0.00439861, -0.0026913" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27043");
+            index_3 ("1.38776, 5.88533, 7.04775, 9.42575, 10.5127, 12.4012, 13.0601, 14.2225, 14.9701, 15.7888, 17.0661, 17.7555, 18.3413, 19.5037, 21.1986, 22.2923, 23.421, 24.6096, 25.7747, 26.4432, 27.6057, 28.7681, 29.9305, 33.4178");
+            values ( \
+              "-0.011009, -0.0123929, -0.0341634, -0.090924, -0.113968, -0.146115, -0.154809, -0.167112, -0.172965, -0.177573, -0.180754, -0.179712, -0.176176, -0.154526, -0.100642, -0.0704947, -0.0468632, -0.0296392, -0.0185292, -0.0141744, -0.00860122, -0.00532065, -0.00314351, -0.000756551" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.241702, 0.254651, 0.26114, 0.268023, 0.271249, 0.274472, 0.277975, 0.282542, 0.286738, 0.291008, 0.294558, 0.301395, 0.303397");
+            values ( \
+              "-0.000190715, -0.0489039, -0.0613021, -0.0692084, -0.0700789, -0.0694428, -0.058296, -0.0352183, -0.0191325, -0.00963016, -0.0051542, -0.00154921, -0.00127744" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498053");
+            index_3 ("0.263605, 0.283278, 0.290069, 0.293536, 0.30047, 0.302038, 0.309693, 0.323882, 0.33086, 0.337855, 0.353524, 0.361026, 0.369308, 0.378949, 0.389391, 0.39003");
+            values ( \
+              "-0.0122299, -0.0782507, -0.0893276, -0.0998166, -0.108687, -0.113098, -0.122033, -0.130206, -0.126467, -0.109466, -0.0474574, -0.0280922, -0.0150629, -0.00714414, -0.0029601, -0.00290392" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199042");
+            index_3 ("0.294753, 0.343226, 0.365222, 0.393977, 0.428037, 0.44484, 0.475738, 0.4866, 0.502035, 0.523989, 0.545956, 0.568029, 0.599004, 0.615245, 0.628173, 0.641105, 0.650076, 0.666134, 0.682386, 0.695049, 0.720374, 0.764596, 0.817993");
+            values ( \
+              "-0.00448544, -0.0250918, -0.0511744, -0.0884693, -0.122036, -0.134995, -0.152747, -0.157115, -0.161601, -0.164767, -0.160553, -0.138214, -0.0861638, -0.0628467, -0.0478842, -0.0359993, -0.0293134, -0.0200873, -0.0136163, -0.00997126, -0.00526331, -0.00152171, -0.000331404" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079545");
+            index_3 ("0.293416, 0.591499, 0.638912, 0.827888, 0.957579, 1.04633, 1.08574, 1.14968, 1.23406, 1.26612, 1.31575, 1.39715, 1.4419, 1.48698, 1.64058, 1.72726, 1.76808, 1.8225, 1.87059, 1.94317, 2.03995, 2.12653");
+            values ( \
+              "-0.00844779, -0.0107769, -0.0229982, -0.0904897, -0.12913, -0.149113, -0.156172, -0.165423, -0.173474, -0.175366, -0.176588, -0.172323, -0.162284, -0.144139, -0.0727425, -0.0446176, -0.0349661, -0.0249647, -0.018432, -0.0115442, -0.00600408, -0.00378304" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.317894");
+            index_3 ("0.53411, 1.65388, 1.95782, 2.55429, 2.90671, 3.38984, 3.62504, 3.82232, 4.15391, 4.47512, 4.79608, 4.94571, 5.09652, 5.64401, 5.9298, 6.15529, 6.54357, 6.8348, 7.06902, 7.53747, 7.85039");
+            values ( \
+              "-0.011025, -0.0118394, -0.0343712, -0.0907344, -0.119676, -0.150266, -0.161203, -0.168386, -0.176812, -0.179929, -0.175512, -0.167238, -0.152639, -0.0850707, -0.0574552, -0.0411642, -0.0223459, -0.0139435, -0.00944483, -0.00414466, -0.00276154" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27043");
+            index_3 ("1.50946, 6.62536, 10.2307, 11.3932, 12.1312, 13.322, 14.4987, 15.8177, 16.6162, 17.0945, 18.0511, 18.3657, 18.995, 19.7622, 21.5309, 22.1692, 23.5226, 24.1492, 24.9846, 26.1555, 26.9384, 28.1008, 29.2633, 30.4257, 32.7505");
+            values ( \
+              "-0.00130088, -0.0244816, -0.107683, -0.129772, -0.14172, -0.157597, -0.1692, -0.177591, -0.1802, -0.180725, -0.17851, -0.176186, -0.167333, -0.147366, -0.0914492, -0.0741306, -0.0455173, -0.0358439, -0.0257563, -0.0160483, -0.0115983, -0.0070916, -0.00430489, -0.00261338, -0.000955949" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.384623, 0.387189, 0.387843, 0.38915, 0.390343, 0.394251, 0.401007, 0.404556, 0.411118, 0.414343, 0.417556, 0.42528, 0.429233, 0.432749, 0.439011, 0.444337, 0.451827, 0.474053");
+            values ( \
+              "-0.00916978, -0.0258281, -0.0282878, -0.028889, -0.0365315, -0.0475038, -0.0607392, -0.0657028, -0.0704368, -0.0690992, -0.0596656, -0.0234178, -0.01247, -0.00683133, -0.0022529, -0.00115755, -0.000191376, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498053");
+            index_3 ("0.40324, 0.409627, 0.416798, 0.422945, 0.423573, 0.430633, 0.43382, 0.440196, 0.442333, 0.446384, 0.449993, 0.457209, 0.464183, 0.471162, 0.478159, 0.487855, 0.493127, 0.499649, 0.503349, 0.508283, 0.512689, 0.51561, 0.521451, 0.526684");
+            values ( \
+              "-0.0188786, -0.0337288, -0.0546381, -0.0758018, -0.0756297, -0.0919392, -0.0981741, -0.109164, -0.112256, -0.117568, -0.121488, -0.127296, -0.129775, -0.126825, -0.109124, -0.0686996, -0.0496096, -0.0316912, -0.0242137, -0.0167565, -0.0118703, -0.00955244, -0.00592765, -0.00430056" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199042");
+            index_3 ("0.45053, 0.483008, 0.509567, 0.534098, 0.567084, 0.568215, 0.592658, 0.600689, 0.619513, 0.642193, 0.651604, 0.664128, 0.685977, 0.691874, 0.697961, 0.710074, 0.740348, 0.751046, 0.76531, 0.774201, 0.785258, 0.800001, 0.812457, 0.831314, 0.856458, 0.900013, 0.951622");
+            values ( \
+              "-0.0195222, -0.0246205, -0.0567668, -0.0893094, -0.119752, -0.122143, -0.140099, -0.144895, -0.154213, -0.161691, -0.163458, -0.164654, -0.160627, -0.156919, -0.151383, -0.134714, -0.0839306, -0.0683389, -0.0508264, -0.0419055, -0.032703, -0.023193, -0.0172579, -0.0109265, -0.00578466, -0.00173052, -0.00038789" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079545");
+            index_3 ("0.000566509, 0.26447, 0.301031, 0.319104, 0.355262, 0.37747, 0.573622, 0.645099, 0.695166, 0.732509, 0.762815, 0.968714, 1.09835, 1.2005, 1.29079, 1.37517, 1.45704, 1.53882, 1.57732, 1.61612, 1.77949, 1.86003, 1.91628, 2.01267, 2.06173, 2.12714, 2.22793");
+            values ( \
+              "-1e-22, -0.000285288, -0.000950028, -0.00251736, -0.0108296, -0.0125481, -0.00368526, -0.00238639, -0.00499087, -0.0107947, -0.018079, -0.0905603, -0.129206, -0.151558, -0.165518, -0.173578, -0.176777, -0.17229, -0.164122, -0.149647, -0.0735733, -0.046854, -0.0334936, -0.0182832, -0.0133497, -0.00868393, -0.00466726" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.317894");
+            index_3 ("0.00192302, 0.265826, 0.302383, 0.364954, 0.399135, 0.767019, 1.14696, 1.48884, 1.64561, 1.95914, 2.69696, 3.05312, 3.21107, 3.52696, 3.78117, 3.96591, 4.29756, 4.61881, 4.93982, 5.08766, 5.23666, 5.75384, 5.99268, 6.23726, 6.57711, 6.79431, 7.14394, 7.61013, 7.80967");
+            values ( \
+              "-1e-22, -0.000303236, -0.000948364, -0.014682, -0.0171509, -0.0104226, -0.00553927, -0.00372916, -0.00589684, -0.02232, -0.0906175, -0.119765, -0.130973, -0.149825, -0.161623, -0.16839, -0.176736, -0.179952, -0.175453, -0.16736, -0.153045, -0.0888561, -0.0644967, -0.0451777, -0.0266783, -0.0188386, -0.0105935, -0.00468243, -0.00367147" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27043");
+            index_3 ("0, 0.260649, 0.297205, 0.426087, 1.53563, 2.74184, 3.76502, 4.84009, 5.28845, 6.18517, 7.34759, 9.12418, 10.5609, 11.6473, 12.589, 13.2382, 14.4006, 15.1482, 15.9669, 17.2443, 17.9337, 18.5195, 19.6819, 21.3767, 22.4704, 23.5991, 24.7878, 25.9528, 26.6213, 27.7837, 28.9462, 30.1086, 32.4334, 33.5958");
+            values ( \
+              "-3.88931e-06, -0.000311582, -0.000947114, -0.0190039, -0.0128796, -0.00808638, -0.00523156, -0.00411187, -0.00537501, -0.0140797, -0.0369578, -0.0798733, -0.111357, -0.131556, -0.146235, -0.154815, -0.167096, -0.172949, -0.177581, -0.180763, -0.179721, -0.176163, -0.154537, -0.100629, -0.0704834, -0.0468512, -0.0296258, -0.0185168, -0.0141872, -0.00858907, -0.00533325, -0.00313119, -0.00110319, -0.000769001" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.890029, 0.893174, 0.894121, 0.896683, 0.902754, 0.906702, 0.910271, 0.913619, 0.916859, 0.920095, 0.923317, 0.930622, 0.934077, 0.939036, 0.943021");
+            values ( \
+              "-0.0180185, -0.0267871, -0.0270293, -0.0388528, -0.0532165, -0.0604724, -0.0653054, -0.0685806, -0.0701315, -0.0689842, -0.059477, -0.0250247, -0.0146814, -0.00636737, -0.00356604" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498053");
+            index_3 ("0.907005, 0.916097, 0.924509, 0.938996, 0.947525, 0.955197, 0.962399, 0.969386, 0.976365, 0.983363, 0.998353, 1.00613, 1.01369, 1.02601, 1.0364");
+            values ( \
+              "-0.00132117, -0.0381566, -0.0641745, -0.0981061, -0.112266, -0.121477, -0.127277, -0.129756, -0.126822, -0.109105, -0.0496095, -0.0289286, -0.0164099, -0.00634866, -0.00267919" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199042");
+            index_3 ("0.961539, 0.99078, 1.03309, 1.04782, 1.07341, 1.09413, 1.11344, 1.12479, 1.14748, 1.16948, 1.19145, 1.21353, 1.24449, 1.26078, 1.27374, 1.28653, 1.31157, 1.32802, 1.34086, 1.36655, 1.39158");
+            values ( \
+              "-0.0256624, -0.0272084, -0.0813894, -0.097157, -0.121639, -0.137374, -0.148782, -0.154072, -0.161622, -0.16464, -0.160607, -0.138115, -0.0861286, -0.0628175, -0.0477972, -0.0360481, -0.0201051, -0.0135649, -0.0099007, -0.00516343, -0.0030985" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079545");
+            index_3 ("2.78506e-05, 0.691863, 0.782617, 0.808615, 0.816995, 0.860302, 0.869257, 0.884025, 0.905647, 1.0277, 1.11085, 1.14281, 1.20199, 1.23801, 1.26601, 1.32202, 1.4738, 1.5622, 1.60343, 1.6859, 1.74397, 1.79544, 1.87995, 1.96181, 2.04361, 2.08129, 2.13314, 2.25087, 2.31164, 2.37381, 2.40259, 2.46014, 2.51543, 2.54509, 2.60442, 2.72308, 2.81896");
+            values ( \
+              "-1e-22, -4.50344e-05, -0.000447029, -0.00122388, -0.00186569, -0.0105824, -0.0116866, -0.0124583, -0.0120443, -0.0056566, -0.00278204, -0.00237957, -0.00520808, -0.010923, -0.017618, -0.0352613, -0.0904828, -0.118213, -0.129096, -0.147946, -0.158235, -0.165295, -0.173643, -0.176606, -0.172383, -0.164341, -0.144071, -0.0874055, -0.0634614, -0.0444669, -0.0374625, -0.0262723, -0.0185431, -0.0153366, -0.0104187, -0.00459461, -0.00271921" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.317894");
+            index_3 ("0.000603626, 0.783208, 0.817584, 0.906116, 1.29549, 1.63596, 1.94628, 2.0993, 2.16004, 2.28153, 2.52451, 3.20455, 3.71948, 4.1212, 4.47523, 4.80611, 5.12721, 5.44794, 5.6062, 5.76571, 6.446, 6.72057, 7.02842, 7.31433, 7.47541, 7.79758, 8.14611");
+            values ( \
+              "-1e-22, -0.00044951, -0.00197887, -0.0171449, -0.0100424, -0.00569617, -0.00365022, -0.00483655, -0.00618882, -0.0108005, -0.027295, -0.0909847, -0.131207, -0.15445, -0.168595, -0.176974, -0.180025, -0.175597, -0.166623, -0.150667, -0.0695992, -0.0468904, -0.0292536, -0.0185053, -0.0142238, -0.00826593, -0.0050681" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27043");
+            index_3 ("0, 0.779633, 0.935858, 1.94634, 2.9876, 3.78636, 4.94878, 5.79782, 6.45175, 7.32367, 10.0327, 11.2682, 13.0819, 13.746, 14.9084, 15.6554, 16.4744, 17.7516, 18.4405, 19.0268, 20.1892, 21.8837, 22.9779, 24.1065, 25.2946, 26.46, 27.129, 28.2914, 29.4538, 30.6162, 34.1035");
+            values ( \
+              "-1.7268e-06, -0.000452371, -0.0190331, -0.0133354, -0.00897545, -0.006456, -0.00410063, -0.00539669, -0.0108869, -0.0253588, -0.0891891, -0.115381, -0.146076, -0.154831, -0.167139, -0.172984, -0.177581, -0.180759, -0.179717, -0.176186, -0.154524, -0.100653, -0.0704927, -0.0468642, -0.0296461, -0.0185316, -0.01417, -0.00860212, -0.00531757, -0.00314523, -0.000754235" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.71151, 2.72021, 2.72349, 2.73027, 2.73735, 2.74065, 2.74393, 2.7472, 2.75645, 2.75955, 2.76359, 2.76701, 2.76792");
+            values ( \
+              "-0.00426403, -0.0380813, -0.0458425, -0.0588801, -0.0673322, -0.0688537, -0.0681256, -0.0588284, -0.018719, -0.0113573, -0.00573738, -0.00321014, -0.00287703" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498053");
+            index_3 ("2.73163, 2.74428, 2.75321, 2.76365, 2.77226, 2.77999, 2.78724, 2.79426, 2.80127, 2.80829, 2.82339, 2.82972, 2.83707, 2.84758, 2.85374");
+            values ( \
+              "-0.0164851, -0.0456149, -0.0741145, -0.0969445, -0.111312, -0.120682, -0.126609, -0.129207, -0.126403, -0.108833, -0.0492115, -0.0319002, -0.0185481, -0.00823935, -0.00526261" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199042");
+            index_3 ("2.78633, 2.81872, 2.85778, 2.87836, 2.89862, 2.92583, 2.95004, 2.9727, 2.99469, 3.01665, 3.03872, 3.08591, 3.11184, 3.13686, 3.16553, 3.19062, 3.19357");
+            values ( \
+              "-0.0215624, -0.0308068, -0.0805136, -0.103105, -0.121613, -0.141854, -0.154072, -0.161883, -0.164577, -0.160802, -0.138014, -0.0628925, -0.0359581, -0.0200674, -0.0100216, -0.00532639, -0.00507343" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079545");
+            index_3 ("2.7846, 3.0621, 3.0966, 3.14229, 3.29852, 3.38706, 3.42818, 3.51042, 3.56912, 3.62016, 3.70466, 3.78652, 3.86832, 3.8871, 3.90617, 3.95785, 4.07513, 4.13821, 4.16481, 4.21851, 4.25464, 4.31682, 4.3446, 4.38507, 4.43903, 4.54696, 4.62573");
+            values ( \
+              "-0.00986847, -0.0107816, -0.0192162, -0.0337295, -0.0904662, -0.118284, -0.129099, -0.147942, -0.158335, -0.165295, -0.173672, -0.176602, -0.172405, -0.169009, -0.164296, -0.144075, -0.0876017, -0.0628119, -0.0541079, -0.0399915, -0.0321377, -0.0217589, -0.0182526, -0.0140883, -0.00990678, -0.00473837, -0.00315691" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.317894");
+            index_3 ("0, 2.57015, 2.63056, 2.70357, 2.74536, 3.18835, 3.53814, 3.85839, 3.97455, 4.16447, 4.35767, 5.02681, 5.53992, 5.94149, 6.29605, 6.62714, 6.94838, 7.26925, 7.42227, 7.57651, 8.21791, 8.56335, 8.74185, 8.97986, 9.35972, 9.66532, 10.0692");
+            values ( \
+              "-4.8877e-08, -0.000238935, -0.00193597, -0.0149128, -0.0168594, -0.00902127, -0.00495101, -0.00399402, -0.00593367, -0.0139894, -0.0280375, -0.0907564, -0.131023, -0.154209, -0.168521, -0.176834, -0.180012, -0.175504, -0.166997, -0.151873, -0.0744493, -0.0453909, -0.034597, -0.0237257, -0.0128134, -0.00765288, -0.00423027" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27043");
+            index_3 ("0.0015708, 2.57225, 2.76556, 4.49405, 5.55637, 6.55478, 7.46066, 7.96672, 8.64146, 9.80389, 11.8706, 12.9588, 13.9848, 14.9212, 15.5749, 16.7374, 17.4843, 18.3033, 19.5806, 20.2694, 20.8557, 22.0181, 23.7127, 24.8068, 25.9354, 27.1235, 28.289, 28.9579, 30.1203, 31.2827, 32.4451, 34.77, 35.9324");
+            values ( \
+              "-1e-22, -0.000250807, -0.0188452, -0.010185, -0.00662852, -0.0043724, -0.00472265, -0.00764535, -0.0159679, -0.0398055, -0.0893808, -0.112637, -0.131603, -0.146213, -0.15484, -0.167128, -0.172973, -0.177591, -0.180768, -0.179726, -0.176176, -0.154534, -0.100643, -0.0704836, -0.0468549, -0.0296367, -0.0185225, -0.0141792, -0.00859308, -0.00532672, -0.00313616, -0.00110852, -0.000763323" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.65411, 9.65796, 9.65866, 9.66006, 9.66219, 9.66482, 9.66604, 9.66847, 9.67619, 9.68017, 9.68383, 9.68733, 9.69077, 9.69421, 9.6995, 9.70313, 9.70692, 9.71092, 9.71421, 9.71557");
+            values ( \
+              "-0.0103001, -0.0148362, -0.0167694, -0.0174833, -0.0230719, -0.0319085, -0.0338163, -0.039581, -0.0537009, -0.0590394, -0.0632665, -0.0652498, -0.0652479, -0.0569684, -0.0328474, -0.0197931, -0.0108853, -0.00559301, -0.00322645, -0.00272613" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498053");
+            index_3 ("9.67492, 9.68402, 9.69281, 9.70214, 9.71668, 9.72849, 9.73585, 9.74296, 9.75007, 9.75715, 9.77273, 9.77811, 9.78529, 9.79014, 9.79808, 9.80539");
+            values ( \
+              "-0.0114817, -0.024582, -0.0452402, -0.0732461, -0.102565, -0.118521, -0.124706, -0.127755, -0.125216, -0.108175, -0.0476006, -0.0329214, -0.0194196, -0.0134142, -0.00719379, -0.00436027" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199042");
+            index_3 ("9.73024, 9.76404, 9.80721, 9.82843, 9.84828, 9.87552, 9.89975, 9.92243, 9.94444, 9.96641, 9.99068, 10.0358, 10.0615, 10.0866, 10.1159, 10.1401");
+            values ( \
+              "-0.0162167, -0.0261972, -0.0805022, -0.103578, -0.121394, -0.141799, -0.153837, -0.161828, -0.164379, -0.160783, -0.134797, -0.0628244, -0.0360365, -0.0200965, -0.00989853, -0.00543164" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079545");
+            index_3 ("9.72825, 10.0187, 10.0842, 10.2476, 10.3775, 10.4795, 10.5698, 10.6542, 10.7361, 10.8188, 10.856, 10.8944, 11.0241, 11.0886, 11.1481, 11.2296, 11.321, 11.3844, 11.5113, 11.5881");
+            values ( \
+              "-0.00828682, -0.0122784, -0.0311573, -0.0905097, -0.129238, -0.151439, -0.165554, -0.173482, -0.176827, -0.172142, -0.164223, -0.149983, -0.0878904, -0.0625363, -0.0444606, -0.0270907, -0.0151887, -0.0100294, -0.00414828, -0.00278972" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.317894");
+            index_3 ("0.000175123, 9.17124, 9.45373, 9.51665, 9.56534, 9.66293, 9.70101, 9.72829, 9.75206, 9.91768, 10.1566, 10.3675, 10.5244, 10.6949, 10.9043, 10.9918, 11.1086, 11.3421, 11.9794, 12.3332, 12.4919, 12.8092, 13.0577, 13.246, 13.5775, 13.8986, 14.2195, 14.2949, 14.3714, 14.5245, 15.0179, 15.1664, 15.3643, 15.5134, 15.6982, 15.9446, 16.0816, 16.2904, 16.5689, 16.6668, 16.9638, 17.1174, 17.4247, 18.0287");
+            values ( \
+              "-1e-22, -1.39804e-05, -0.000142067, -0.00053115, -0.00247288, -0.0141339, -0.0162448, -0.0162344, -0.0158625, -0.0126103, -0.0087599, -0.00615033, -0.00464698, -0.00369233, -0.00544811, -0.00804793, -0.0136315, -0.0310091, -0.0909574, -0.120006, -0.131113, -0.150137, -0.161656, -0.168422, -0.176871, -0.179938, -0.175551, -0.172075, -0.167079, -0.152123, -0.0906203, -0.0746293, -0.0565357, -0.0454126, -0.0342789, -0.0231722, -0.0185964, -0.0132277, -0.00829535, -0.00704144, -0.00437076, -0.00337201, -0.00198538, -0.000643351" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27043");
+            index_3 ("0, 9.44262, 9.53933, 9.74083, 11.0229, 11.9731, 12.6899, 13.6522, 14.4762, 14.7321, 15.0733, 15.7557, 16.6557, 18.481, 19.654, 20.8165, 21.5242, 22.8643, 23.9191, 25.2375, 25.8643, 26.5125, 27.6749, 28.0236, 28.4886, 29.1842, 30.9532, 31.5875, 32.4332, 32.9434, 33.5794, 34.4274, 35.5687, 36.3326, 37.495, 38.6574, 39.8198, 42.1447");
+            values ( \
+              "-1.91305e-07, -0.000165005, -0.00167456, -0.0186555, -0.0118889, -0.00822155, -0.00608425, -0.00416818, -0.00500287, -0.0063486, -0.00925512, -0.0189632, -0.0378311, -0.081803, -0.107668, -0.129727, -0.14125, -0.158909, -0.169134, -0.177523, -0.179769, -0.180692, -0.17717, -0.173661, -0.165826, -0.147344, -0.0914276, -0.074217, -0.0549624, -0.0455035, -0.0356983, -0.0255502, -0.0160799, -0.0117485, -0.00715434, -0.00437354, -0.0026251, -0.000948441" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.00503282, 0.005033, 0.00503322, 0.00503333, 0.00503336, 0.00503337", \
+            "0.00627113, 0.00627111, 0.00627111, 0.00627114, 0.00627115, 0.00627115", \
+            "0.00684989, 0.00684989, 0.00684988, 0.00684988, 0.00684988, 0.00684988", \
+            "0.0071, 0.0071, 0.0071, 0.0071, 0.0071, 0.0071", \
+            "0.00721067, 0.00721067, 0.00721067, 0.00721067, 0.00721067, 0.00721067", \
+            "0.00725488, 0.00725488, 0.00725488, 0.00725488, 0.00725488, 0.00725488" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.00680902, 0.00680891, 0.00680892, 0.00680896, 0.00680898, 0.00680899", \
+            "0.00778455, 0.00778451, 0.00778443, 0.0077844, 0.00778439, 0.00778438", \
+            "0.00893918, 0.00893917, 0.00893915, 0.00893911, 0.00893909, 0.00893908", \
+            "0.01078, 0.0107784, 0.0107778, 0.010778, 0.0107782, 0.0107783", \
+            "0.0113069, 0.0113061, 0.0113066, 0.011298, 0.011293, 0.0112924", \
+            "0.0113205, 0.0113158, 0.0113094, 0.0113129, 0.0113063, 0.0112983" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "QN";
+        timing_sense : negative_unate;
+        timing_type : combinational;
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0274915, 0.0509226, 0.140646, 0.496819, 1.91937, 7.60427", \
+            "0.0396973, 0.0630016, 0.153189, 0.509706, 1.93238, 7.61747", \
+            "0.0794881, 0.114611, 0.204805, 0.561767, 1.98417, 7.66919", \
+            "0.166629, 0.242257, 0.407531, 0.776934, 2.19652, 7.87226", \
+            "0.398275, 0.538943, 0.883268, 1.57651, 3.06308, 8.73812", \
+            "1.16087, 1.39018, 2.01331, 3.44202, 6.2579, 12.2159" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0239522, 0.0577346, 0.192718, 0.732374, 2.88902, 11.5072", \
+            "0.0250812, 0.0577095, 0.192715, 0.732367, 2.88902, 11.5073", \
+            "0.049989, 0.0746563, 0.193197, 0.732367, 2.88902, 11.5072", \
+            "0.113638, 0.16553, 0.276798, 0.736215, 2.88899, 11.5071", \
+            "0.274498, 0.376569, 0.618368, 1.08563, 2.90679, 11.5072", \
+            "0.822622, 0.965547, 1.4146, 2.42883, 4.30824, 11.5794" \
+          );
+        }
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0217195, 0.0380642, 0.100663, 0.348359, 1.33715, 5.2886", \
+            "0.0320455, 0.0486912, 0.111636, 0.359526, 1.34844, 5.3", \
+            "0.0553155, 0.0857991, 0.157047, 0.404125, 1.39352, 5.345", \
+            "0.0886876, 0.152024, 0.294265, 0.589531, 1.57675, 5.52771", \
+            "0.11112, 0.236122, 0.529406, 1.13296, 2.32394, 6.26178", \
+            "0.0200647, 0.243516, 0.810354, 2.03361, 4.48417, 9.28489" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0145892, 0.0356015, 0.11915, 0.452448, 1.78563, 7.11503", \
+            "0.0168582, 0.0356473, 0.119093, 0.452434, 1.78552, 7.1152", \
+            "0.0377942, 0.0582538, 0.123833, 0.452423, 1.78561, 7.115", \
+            "0.0900278, 0.130716, 0.224051, 0.477906, 1.78564, 7.11324", \
+            "0.238697, 0.315863, 0.497973, 0.879811, 1.90474, 7.11501", \
+            "0.761311, 0.871759, 1.21079, 1.96714, 3.50417, 7.57846" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.0214054, 0.0214064, 0.026259, 0.0288619, 0.0318154, 0.0349412, 0.0365905, 0.0379856, 0.0397699, 0.0411973, 0.0433923, 0.0450095, 0.0466214, 0.0484886, 0.0512127, 0.052692, 0.0540036, 0.0556404, 0.0572284, 0.0587673, 0.0615622, 0.0634228, 0.0664635, 0.0688238, 0.071184, 0.0735443, 0.0744009, 0.0769705, 0.0788947, 0.0808189, 0.0821017, 0.0833846, 0.0859502, 0.0885158, 0.0910814, 0.093647, 0.0962126, 0.0997441, 0.103275, 0.106807, 0.110338, 0.114674, 0.119011, 0.123347, 0.127683, 0.133192, 0.1387, 0.144208, 0.149716, 0.235073, 48.0403");
+            values ( \
+              "1e-22, 0.106898, 0.0778009, 0.0748158, 0.0710914, 0.0665066, 0.0635941, 0.0605304, 0.0558969, 0.0516429, 0.0441403, 0.0389922, 0.0344637, 0.029979, 0.0244017, 0.0219976, 0.0200742, 0.0178666, 0.0158908, 0.0141407, 0.0116061, 0.0101785, 0.00803201, 0.00669617, 0.00564518, 0.00473057, 0.00444819, 0.00364556, 0.00309172, 0.00259623, 0.00232737, 0.00207826, 0.00163928, 0.00134953, 0.00109194, 0.000866508, 0.000673241, 0.00052101, 0.000388921, 0.000276974, 0.000185169, 0.000134171, 9.07556e-05, 5.49225e-05, 2.66718e-05, 1.90572e-05, 1.21181e-05, 5.85451e-06, 2.66341e-07, 4.97045e-09, 5.16941e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498053");
+            index_3 ("0.0235852, 0.0235862, 0.0355657, 0.0390822, 0.0437496, 0.048417, 0.0507404, 0.0542256, 0.057372, 0.0598709, 0.0622954, 0.0649445, 0.0675937, 0.0711259, 0.0742019, 0.0807147, 0.0881317, 0.0921364, 0.0958682, 0.100662, 0.104095, 0.110319, 0.114476, 0.118633, 0.12279, 0.128834, 0.131121, 0.13295, 0.136215, 0.13948, 0.14403, 0.146305, 0.149512, 0.152309, 0.156038, 0.157903, 0.159768, 0.163497, 0.167226, 0.170956, 0.174685, 0.181295, 0.187904, 0.194514, 0.201123, 0.208932, 0.21674, 0.224548, 0.232356, 0.552513, 5.87977");
+            values ( \
+              "1e-22, 0.172029, 0.124461, 0.121907, 0.118345, 0.114651, 0.1127, 0.109623, 0.10672, 0.104109, 0.101324, 0.0977935, 0.0939139, 0.0881982, 0.0826347, 0.0700286, 0.0564782, 0.0501655, 0.044902, 0.0388069, 0.0348755, 0.0282818, 0.0245997, 0.0214075, 0.0185596, 0.0149428, 0.0136952, 0.0127696, 0.011388, 0.0101253, 0.00862222, 0.00791365, 0.00696334, 0.00618105, 0.0052566, 0.00483751, 0.00444716, 0.00384785, 0.00330012, 0.00280396, 0.00235938, 0.00184139, 0.00138992, 0.00100496, 0.000686513, 0.0005253, 0.000370721, 0.000222776, 8.14647e-05, 1e-22, 1.21516e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199042");
+            index_3 ("0.0255331, 0.0255341, 0.0690434, 0.0836015, 0.102104, 0.116615, 0.12843, 0.140246, 0.145925, 0.154265, 0.159768, 0.162764, 0.171754, 0.177747, 0.18374, 0.195727, 0.204265, 0.237861, 0.243474, 0.256571, 0.265316, 0.274322, 0.277877, 0.288545, 0.297212, 0.314547, 0.320325, 0.326104, 0.33766, 0.349217, 0.356931, 0.372142, 0.37589, 0.379639, 0.390883, 0.405875, 0.413372, 0.420868, 0.434156, 0.444637, 0.453713, 0.462789, 0.46884, 0.480942, 0.505146, 0.52935, 0.55081, 0.572271, 0.593731, 0.615192, 0.717244");
+            values ( \
+              "1e-22, 0.179457, 0.146738, 0.142884, 0.137819, 0.133736, 0.130197, 0.126535, 0.124646, 0.121622, 0.119482, 0.118204, 0.114143, 0.111234, 0.108181, 0.101644, 0.0966374, 0.0755758, 0.0722169, 0.0645761, 0.059643, 0.0547407, 0.0529708, 0.0478688, 0.0439934, 0.0365977, 0.0343866, 0.0323025, 0.0286095, 0.0252371, 0.0231986, 0.0193917, 0.0184886, 0.0176986, 0.0155078, 0.0130881, 0.0119956, 0.0109812, 0.0094002, 0.00822039, 0.00726479, 0.00639754, 0.00586918, 0.00491781, 0.00359457, 0.00251692, 0.00194241, 0.0014439, 0.00102139, 0.000674876, 6.71114e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079545");
+            index_3 ("0.0322964, 0.0322974, 0.200193, 0.256456, 0.325671, 0.38202, 0.415615, 0.466469, 0.505147, 0.536596, 0.558482, 0.59269, 0.615495, 0.638301, 0.683912, 0.716403, 0.781385, 0.850529, 0.926155, 0.959821, 0.98507, 1.03592, 1.06699, 1.11287, 1.13755, 1.16716, 1.19796, 1.22876, 1.28917, 1.33099, 1.35269, 1.37438, 1.41403, 1.45368, 1.4816, 1.50952, 1.53744, 1.56536, 1.6094, 1.64859, 1.68218, 1.70457, 1.72696, 1.77175, 1.86133, 1.9509, 2.03236, 2.11383, 2.19529, 2.27675, 2.66582");
+            values ( \
+              "1e-22, 0.184387, 0.153476, 0.149333, 0.144127, 0.139796, 0.137065, 0.132778, 0.129316, 0.126159, 0.123727, 0.119584, 0.116632, 0.113539, 0.106929, 0.101876, 0.0910165, 0.0792673, 0.0669576, 0.0616586, 0.0578546, 0.0509042, 0.0469351, 0.0413151, 0.038445, 0.0352434, 0.0323319, 0.0295948, 0.0247785, 0.0216748, 0.0201834, 0.0187865, 0.0166742, 0.014754, 0.0135386, 0.0124041, 0.0113508, 0.0103785, 0.00900161, 0.00784062, 0.00691355, 0.00635298, 0.00582727, 0.00488043, 0.00356182, 0.00248742, 0.00190855, 0.00140751, 0.000984302, 0.000638922, 6.28992e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.317894");
+            index_3 ("0.0570623, 0.0570633, 0.722862, 1.44144, 1.8412, 2.09299, 2.36395, 2.76182, 3.80776, 4.38027, 4.78159, 5.19721, 5.5252, 5.883, 6.49724, 6.99898, 7.57239, 8.43252, 9.73387, 11.0352, 18.8433");
+            values ( \
+              "1e-22, 0.185196, 0.155231, 0.141399, 0.132897, 0.126523, 0.118057, 0.103286, 0.0592934, 0.0401919, 0.0299915, 0.0217342, 0.0166525, 0.0125498, 0.00736671, 0.00457511, 0.00273935, 0.00117707, 0.000316794, 6.69231e-05, 1.31042e-08" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27043");
+            index_3 ("0.132679, 0.132699, 2.73078, 5.68029, 7.09686, 7.61475, 8.99636, 10.9399, 13.3648, 15.2107, 17.2746, 18.4911, 19.7924, 20.7889, 22.3228, 24.6631, 25.5223, 27.9693, 30.572, 33.1747, 38.3801, 39.6815, 40.9828, 42.2842, 43.5855, 44.8869, 46.1882, 47.4896, 48.7909, 65.0694");
+            values ( \
+              "1e-22, 0.182135, 0.156191, 0.141923, 0.134408, 0.131395, 0.121896, 0.103849, 0.0773773, 0.0586355, 0.0412444, 0.033009, 0.0259549, 0.0211741, 0.0155543, 0.00957635, 0.00799408, 0.00473047, 0.00267137, 0.00148316, 0.000411012, 0.000499372, 0.00018815, 0.000331007, 6.09886e-05, 0.000234969, 1e-22, 0.000180211, 1e-22, 0.000109244" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.0511284, 0.0563586, 0.0608349, 0.064073, 0.0650128, 0.0655305, 0.0660108, 0.0663875, 0.066742, 0.0670964, 0.0674217, 0.067747, 0.0683974, 0.0691715, 0.0699456, 0.0707467, 0.0715476, 0.0731922, 0.0745853, 0.0763666, 0.0777915, 0.0799832, 0.0815976, 0.0832072, 0.0852128, 0.0876379, 0.0895865, 0.0923691, 0.0951739, 0.097924, 0.100808, 0.103012, 0.105497, 0.107982, 0.109225, 0.110467, 0.114806, 0.117188, 0.119571, 0.121953, 0.124336, 0.126719, 0.129101, 0.131484, 0.134945, 0.138406, 0.141867, 0.145328, 0.162316, 0.976705");
+            values ( \
+              "0.0388589, 0.0388928, 0.0503308, 0.0580289, 0.0610959, 0.0646811, 0.0670763, 0.0684299, 0.0692395, 0.0697807, 0.0697482, 0.069685, 0.0694659, 0.0690445, 0.0684484, 0.0675601, 0.0664795, 0.0636607, 0.0606127, 0.0559923, 0.0517335, 0.0442087, 0.0390624, 0.0344459, 0.0296982, 0.0247297, 0.021537, 0.0177267, 0.0143327, 0.0117908, 0.00959315, 0.0080402, 0.00665298, 0.00554915, 0.00505616, 0.00460246, 0.00327605, 0.00264966, 0.00215052, 0.00172455, 0.0014367, 0.0011787, 0.000950565, 0.000752282, 0.000576673, 0.000424575, 0.000295987, 0.00019091, 1.60558e-05, 2.30298e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498053");
+            index_3 ("0.0556993, 0.0639675, 0.0644848, 0.0650266, 0.0668131, 0.0678731, 0.0685797, 0.0689688, 0.0693578, 0.0697467, 0.0708923, 0.0716489, 0.072216, 0.0756188, 0.0802296, 0.0860709, 0.0898662, 0.0942897, 0.0979737, 0.102313, 0.10761, 0.124612, 0.12995, 0.137139, 0.146794, 0.15095, 0.157184, 0.165759, 0.169415, 0.172679, 0.175943, 0.182763, 0.188761, 0.194349, 0.196211, 0.199936, 0.207385, 0.21111, 0.217752, 0.224393, 0.231035, 0.237677, 0.245476, 0.253276, 0.261076, 0.268876, 0.28852, 0.308165, 0.589245, 5.91736");
+            values ( \
+              "0.0966003, 0.0992728, 0.10164, 0.109957, 0.120983, 0.124147, 0.125121, 0.125134, 0.125127, 0.1251, 0.124907, 0.124685, 0.12438, 0.122014, 0.118482, 0.113777, 0.110434, 0.106304, 0.102331, 0.0965707, 0.0882223, 0.0564893, 0.0482049, 0.0388128, 0.0282895, 0.0246066, 0.0199484, 0.0146911, 0.0127773, 0.0113948, 0.0101316, 0.00792092, 0.00618749, 0.00484314, 0.00445255, 0.00385062, 0.0028061, 0.00236353, 0.00186207, 0.00142558, 0.00105404, 0.000747457, 0.000567871, 0.000412857, 0.000282415, 0.000176545, 9.18899e-05, 1.68808e-05, 7.5923e-10, 7.37308e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199042");
+            index_3 ("0.0640351, 0.0640361, 0.105966, 0.120531, 0.139005, 0.153531, 0.16147, 0.180008, 0.188485, 0.196716, 0.205681, 0.214647, 0.220623, 0.232577, 0.241179, 0.27485, 0.285964, 0.297078, 0.307773, 0.312051, 0.322476, 0.334443, 0.34709, 0.354015, 0.362324, 0.373403, 0.384482, 0.396999, 0.409287, 0.413345, 0.421462, 0.429578, 0.437694, 0.445811, 0.453927, 0.462043, 0.469392, 0.4818, 0.491918, 0.502035, 0.512152, 0.532387, 0.552622, 0.572857, 0.593092, 0.613326, 0.633561, 0.658035, 0.682508, 0.731455, 0.855474");
+            values ( \
+              "1e-22, 0.191724, 0.146768, 0.142895, 0.137828, 0.133738, 0.131372, 0.125615, 0.122629, 0.119471, 0.115534, 0.111244, 0.108199, 0.101681, 0.0966375, 0.075533, 0.0689272, 0.0625312, 0.0566005, 0.0543303, 0.0492659, 0.0438506, 0.0384362, 0.0356117, 0.0325383, 0.0289723, 0.0257009, 0.0223905, 0.0193223, 0.0183443, 0.0167146, 0.0152098, 0.013879, 0.0126403, 0.0114936, 0.0104388, 0.00958805, 0.00820989, 0.00715867, 0.00620446, 0.00534725, 0.00407959, 0.00302731, 0.00239344, 0.00183959, 0.00136577, 0.000971979, 0.000726474, 0.000515468, 0.000196955, 7.711e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079545");
+            index_3 ("0.0648429, 0.0648629, 0.231907, 0.404099, 0.509967, 0.584268, 0.629884, 0.721115, 0.963368, 1.07305, 1.20445, 1.26601, 1.34652, 1.41153, 1.49084, 1.60254, 1.71938, 1.80899, 1.98821, 2.31611, 2.70523, 3.20284, 3.86876, 65.0673");
+            values ( \
+              "1e-22, 0.175621, 0.153865, 0.141003, 0.132298, 0.12521, 0.119964, 0.107191, 0.0669327, 0.0509312, 0.0354841, 0.0296981, 0.0232839, 0.0190695, 0.0148976, 0.0103725, 0.00710071, 0.00531474, 0.00286841, 0.000864889, 0.000165298, 3.15655e-05, 1e-22, 2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.317894");
+            index_3 ("0.0861219, 0.0861419, 0.73869, 1.4196, 1.83432, 2.31104, 2.67231, 3.84517, 4.33742, 4.81681, 5.12944, 5.37845, 5.6908, 6.12973, 6.59161, 6.9176, 7.5574, 8.79027, 10.0916, 11.393, 15.297, 65.0668");
+            values ( \
+              "1e-22, 0.181434, 0.155626, 0.142601, 0.133904, 0.121415, 0.108536, 0.0594971, 0.0427413, 0.0301375, 0.0237094, 0.0195119, 0.0152036, 0.0106003, 0.00723818, 0.00551185, 0.00317382, 0.000999204, 0.000283856, 8.39476e-05, 4.33238e-08, 1.16256e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27043");
+            index_3 ("0.180358, 0.180378, 2.76364, 5.71388, 7.31031, 8.3124, 9.03009, 10.9736, 14.6741, 16.0123, 17.098, 18.891, 20.1923, 21.3393, 22.5448, 23.8461, 24.9947, 26.4212, 29.0239, 31.6266, 32.9279, 36.832, 42.0373, 65.0656");
+            values ( \
+              "1e-22, 0.184076, 0.156101, 0.141829, 0.133291, 0.127061, 0.121772, 0.103725, 0.0642481, 0.0517666, 0.0429165, 0.0309327, 0.024063, 0.0191975, 0.0150799, 0.0115289, 0.00908965, 0.00674575, 0.00388257, 0.00222192, 0.00170037, 0.000718262, 0.000226374, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.151251, 0.165463, 0.175795, 0.186687, 0.192107, 0.197569, 0.202815, 0.207641, 0.211039, 0.213979, 0.215144, 0.215727, 0.216893, 0.217476, 0.218605, 0.219734, 0.220863, 0.221992, 0.223719, 0.225447, 0.227174, 0.228902, 0.233146, 0.235482, 0.237498, 0.239973, 0.244057, 0.245928, 0.246833, 0.250763, 0.254407, 0.257883, 0.25962, 0.260109, 0.261086, 0.261913, 0.26274, 0.26345, 0.264159, 0.271784, 0.274388, 0.275691, 0.279598, 0.282202, 0.287412, 0.290016, 0.293704, 0.297392, 0.304767, 0.322906");
+            values ( \
+              "0.0113149, 0.0119726, 0.0167593, 0.0216436, 0.0239543, 0.0261398, 0.0280855, 0.0296165, 0.0305416, 0.0311493, 0.0314731, 0.0314838, 0.0314276, 0.0313608, 0.0311577, 0.0308577, 0.0304606, 0.0299666, 0.0290022, 0.0277992, 0.0263577, 0.0246776, 0.0193192, 0.017019, 0.0152473, 0.0133304, 0.0106333, 0.00948252, 0.00900462, 0.00712471, 0.00566506, 0.00454044, 0.00404338, 0.00469821, 0.00496312, 0.00507248, 0.00510907, 0.00507975, 0.00500227, 0.00291363, 0.00230618, 0.00206389, 0.00144482, 0.00118773, 0.000757691, 0.000584742, 0.000442938, 0.000320626, 0.000134477, 8.33684e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498053");
+            index_3 ("0.15893, 0.180488, 0.214427, 0.219628, 0.231744, 0.237035, 0.244749, 0.246812, 0.247844, 0.248876, 0.249872, 0.250867, 0.252858, 0.254684, 0.256511, 0.260163, 0.263356, 0.267919, 0.272542, 0.280723, 0.28486, 0.289514, 0.296207, 0.299263, 0.302319, 0.306394, 0.309769, 0.315942, 0.319543, 0.323865, 0.326635, 0.329406, 0.334947, 0.34197, 0.347798, 0.352978, 0.358158, 0.363338, 0.368517, 0.373697, 0.378877, 0.386368, 0.393858, 0.401349, 0.408839, 0.42983, 0.450822, 0.471813, 0.492804, 39.4169");
+            values ( \
+              "0.0284368, 0.0321448, 0.0621193, 0.0664781, 0.0759436, 0.0793887, 0.0836737, 0.0841922, 0.0843456, 0.0844285, 0.0844264, 0.0843688, 0.0840869, 0.0836329, 0.0829919, 0.0811489, 0.0784918, 0.0712389, 0.0625577, 0.0493807, 0.0434956, 0.0377146, 0.03022, 0.0272444, 0.024633, 0.0215035, 0.0191763, 0.0153426, 0.0133906, 0.0114862, 0.0104091, 0.00941586, 0.00768087, 0.00586499, 0.00454992, 0.00365309, 0.00288473, 0.00235045, 0.00187425, 0.00145615, 0.00109613, 0.000816676, 0.000566413, 0.00034534, 0.000153458, 0.000106184, 6.48707e-05, 2.95174e-05, 1.24202e-07, 2.02099e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199042");
+            index_3 ("0.141842, 0.173713, 0.188471, 0.199826, 0.260286, 0.263012, 0.265986, 0.282937, 0.302895, 0.329429, 0.346085, 0.358037, 0.381941, 0.424221, 0.446436, 0.461466, 0.475346, 0.495071, 0.511646, 0.533746, 0.554585, 0.562738, 0.579042, 0.611652, 0.631163, 0.641157, 0.661145, 0.701121, 0.781073, 0.878667, 1.00208, 1.16146, 65.0677");
+            values ( \
+              "0.00946254, 0.0311224, 0.047543, 0.0613139, 0.137859, 0.141955, 0.143773, 0.13932, 0.133731, 0.125606, 0.119634, 0.114544, 0.101975, 0.0755544, 0.0625159, 0.054512, 0.0476628, 0.0390618, 0.0328128, 0.0257783, 0.0203941, 0.0185754, 0.0153841, 0.0104296, 0.00825794, 0.0073261, 0.00574302, 0.00349702, 0.00119237, 0.000297019, 3.70617e-05, 1e-22, 7.0699e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079545");
+            index_3 ("0.180412, 0.223673, 0.259632, 0.270209, 0.275853, 0.4322, 0.598708, 0.669696, 0.733546, 0.779161, 0.87039, 1.11264, 1.22234, 1.3537, 1.41527, 1.49578, 1.56081, 1.64012, 1.75181, 1.80144, 1.86864, 1.95823, 2.13742, 2.46591, 2.85501, 3.35278, 4.01871, 65.067");
+            values ( \
+              "0.0721589, 0.10005, 0.154423, 0.162403, 0.161235, 0.150133, 0.137395, 0.131383, 0.125197, 0.119977, 0.107179, 0.0669468, 0.0509433, 0.0355004, 0.0296856, 0.0232984, 0.0190833, 0.0148838, 0.0103866, 0.00885058, 0.00711512, 0.00530167, 0.00288296, 0.00084889, 0.000178647, 1.7702e-05, 1e-22, 3.79832e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.317894");
+            index_3 ("0.180078, 0.2781, 1.0893, 1.62807, 1.98355, 2.27963, 2.46026, 2.82153, 3.7754, 4.19814, 4.5669, 4.72743, 5.04849, 5.29439, 5.47328, 5.71181, 6.18885, 6.46881, 6.8989, 7.47235, 8.61924, 9.92059, 11.2219, 13.8246, 65.0667");
+            values ( \
+              "0.0699096, 0.166873, 0.151851, 0.141408, 0.133911, 0.126684, 0.121421, 0.108531, 0.0680659, 0.0520959, 0.0403917, 0.0359631, 0.0283043, 0.0234199, 0.0203657, 0.0168603, 0.0114075, 0.00906155, 0.00633461, 0.00388983, 0.00134315, 0.000392187, 0.00010588, 3.64508e-06, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27043");
+            index_3 ("0.335919, 0.335939, 2.91338, 5.86289, 7.27947, 9.17895, 11.1225, 14.8229, 17.1024, 19.0927, 20.3718, 21.4279, 22.6547, 24.8933, 26.6256, 29.2283, 33.1323, 35.735, 40.9404, 65.0652");
+            values ( \
+              "1e-22, 0.184936, 0.1561, 0.141829, 0.134314, 0.121801, 0.103753, 0.0642725, 0.0440262, 0.0306023, 0.0239417, 0.019451, 0.0151775, 0.00957538, 0.00668713, 0.00385681, 0.00166009, 0.000944756, 0.00030159, 1.01045e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.54268, 0.583082, 0.597918, 0.617581, 0.635321, 0.650121, 0.668541, 0.687164, 0.693987, 0.700458, 0.703693, 0.710163, 0.713398, 0.715057, 0.716716, 0.718375, 0.721693, 0.723352, 0.727024, 0.730696, 0.735045, 0.741247, 0.75546, 0.764426, 0.778544, 0.786549, 0.792628, 0.798705, 0.806806, 0.814908, 0.82301, 0.829496, 0.835981, 0.842467, 0.848953, 0.856638, 0.864324, 0.872009, 0.879694, 0.922107, 0.964519, 1.00694, 1.04935, 1.06622, 1.08057, 1.09492, 1.10927, 1.12361, 1.58601, 56.28");
+            values ( \
+              "0.00392342, 0.0045738, 0.00610386, 0.00796195, 0.00946219, 0.0105302, 0.0117057, 0.0126405, 0.012926, 0.0131402, 0.0132277, 0.0133635, 0.0134118, 0.0134145, 0.0134109, 0.0134009, 0.0133617, 0.0133325, 0.013214, 0.013042, 0.0125563, 0.0112514, 0.00723648, 0.00532705, 0.00316544, 0.00232771, 0.00181666, 0.00135357, 0.000882514, 0.000597075, 0.000371883, 0.000266828, 0.000179182, 0.000108943, 5.61137e-05, 5.12896e-05, 4.74151e-05, 4.44902e-05, 4.25149e-05, 4.86947e-05, 8.37956e-05, 0.000147817, 0.00024076, 3.02791e-05, 2.09664e-05, 1.28412e-05, 5.90335e-06, 1.52945e-07, 2.26387e-09, 2.30647e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498053");
+            index_3 ("0.547728, 0.600552, 0.622946, 0.654103, 0.690113, 0.71521, 0.739677, 0.76278, 0.781795, 0.787668, 0.789625, 0.793395, 0.797165, 0.800934, 0.804704, 0.81042, 0.816136, 0.821851, 0.8284, 0.854084, 0.865126, 0.873489, 0.884373, 0.893415, 0.906139, 0.916171, 0.926539, 0.933683, 0.942255, 0.947969, 0.953684, 0.959399, 0.965113, 0.974905, 0.984696, 0.994488, 1.00428, 1.0171, 1.02992, 1.04274, 1.05557, 1.06688, 1.0782, 1.08879, 1.09937, 1.12053, 1.13112, 1.1417, 1.31178, 62.5673");
+            values ( \
+              "0.0102262, 0.0112205, 0.0152142, 0.0203424, 0.0259033, 0.029435, 0.0326202, 0.0352201, 0.036989, 0.0372827, 0.0373421, 0.0374024, 0.0373913, 0.0373089, 0.0371552, 0.0367461, 0.0361511, 0.0353701, 0.0342052, 0.0229701, 0.0184271, 0.0154619, 0.0120335, 0.00951741, 0.00685707, 0.00519936, 0.00379849, 0.00296043, 0.00214182, 0.00172215, 0.00135647, 0.0010448, 0.000787129, 0.000680906, 0.000593382, 0.000524558, 0.000474433, 0.000437069, 0.000431773, 0.000458544, 0.000517383, 0.000437757, 0.000338648, 0.000228363, 0.000101047, 4.74919e-05, 2.43238e-05, 3.56208e-06, 3.95422e-09, 1.63604e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199042");
+            index_3 ("0.572533, 0.654049, 0.716691, 0.82678, 0.8786, 0.891914, 0.906054, 0.926235, 0.943915, 0.947621, 0.951327, 0.95874, 0.966152, 0.973564, 0.980977, 0.988389, 0.997125, 1.01459, 1.04088, 1.04133, 1.04299, 1.0451, 1.0767, 1.09265, 1.1132, 1.12795, 1.14564, 1.1712, 1.18556, 1.2071, 1.21427, 1.22869, 1.2531, 1.26617, 1.27924, 1.29231, 1.30537, 1.31844, 1.33151, 1.35328, 1.37506, 1.39683, 1.4186, 1.44459, 1.47058, 1.49656, 1.52255, 1.58855, 1.65456, 10.3746");
+            values ( \
+              "0.022426, 0.0260853, 0.0405617, 0.0672179, 0.0789542, 0.0816575, 0.0842699, 0.0877927, 0.0906627, 0.0906447, 0.0905979, 0.0904183, 0.0901239, 0.0897149, 0.089191, 0.0885524, 0.0867056, 0.0805219, 0.0691799, 0.0693073, 0.069125, 0.0683392, 0.0514007, 0.0440549, 0.0354125, 0.0302682, 0.0250081, 0.0185678, 0.0156692, 0.0122641, 0.0112719, 0.00952198, 0.00687149, 0.00572278, 0.00472897, 0.00402098, 0.00338061, 0.00280787, 0.00230276, 0.00179216, 0.00134878, 0.000972616, 0.000663659, 0.000488503, 0.000338684, 0.000214203, 0.00011506, 5.28005e-05, 2.69517e-06, 1.69111e-16" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079545");
+            index_3 ("0.52072, 0.695049, 0.801029, 1.00797, 1.04088, 1.05068, 1.17452, 1.27598, 1.38521, 1.47643, 1.71867, 1.82845, 1.95966, 2.10181, 2.16689, 2.24619, 2.35786, 2.47464, 2.56419, 2.74328, 3.07175, 3.46079, 3.95849, 4.62422, 65.0675");
+            values ( \
+              "0.00463801, 0.0386561, 0.069842, 0.137805, 0.147979, 0.148919, 0.139852, 0.131389, 0.119963, 0.107192, 0.0669468, 0.0509348, 0.0355095, 0.0232999, 0.0190804, 0.0148835, 0.0103857, 0.007115, 0.00530446, 0.00288363, 0.000850724, 0.000177652, 1.89367e-05, 1e-22, 8.68581e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.317894");
+            index_3 ("0.717418, 0.933651, 1.04093, 1.06246, 1.0886, 1.11656, 1.69365, 2.35313, 2.63165, 2.92889, 3.28669, 3.55086, 4.2465, 4.7067, 5.02723, 5.22761, 5.49478, 5.85269, 6.18566, 6.62963, 7.05642, 7.28736, 7.74923, 8.67297, 9.97432, 11.2757, 12.577, 15.1797, 65.0676");
+            values ( \
+              "0.0903282, 0.120582, 0.161922, 0.163827, 0.162956, 0.162758, 0.151897, 0.138975, 0.13294, 0.125413, 0.11379, 0.103418, 0.0735304, 0.0554619, 0.0446667, 0.0387611, 0.0318499, 0.0242468, 0.0186784, 0.0130288, 0.00917609, 0.00758507, 0.00513007, 0.00226527, 0.000644562, 0.000193043, 4.76424e-05, 1e-22, 4.79271e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27043");
+            index_3 ("0.515017, 0.824912, 1.05877, 1.09041, 1.12116, 1.17917, 1.27009, 1.40939, 1.45434, 1.54425, 1.72406, 1.92239, 2.124, 2.52722, 3.26614, 6.20704, 8.0471, 9.00963, 9.76767, 11.069, 12.74, 14.9851, 15.9827, 16.7507, 17.6921, 18.7039, 19.6847, 20.9621, 21.3137, 22.0168, 23.2439, 24.5453, 25.7306, 26.2259, 27.2164, 28.5178, 29.8191, 31.1205, 32.4218, 35.0245, 37.6272, 42.8326, 50.6407, 65.0616");
+            values ( \
+              "0.0056554, 0.0835018, 0.167736, 0.167209, 0.167387, 0.166841, 0.166666, 0.165776, 0.165783, 0.165167, 0.16451, 0.163403, 0.162632, 0.160577, 0.157278, 0.14312, 0.133348, 0.127377, 0.121746, 0.110296, 0.0927669, 0.0685342, 0.058781, 0.0517484, 0.0440697, 0.0366991, 0.0306274, 0.0239022, 0.0223688, 0.0194189, 0.0152148, 0.0116089, 0.00908163, 0.00823916, 0.00665302, 0.00509516, 0.00382376, 0.00293735, 0.00218326, 0.00123922, 0.000698363, 0.000213026, 2.30925e-05, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.0581, 2.18571, 2.20025, 2.21478, 2.22932, 2.28985, 2.32677, 2.35686, 2.38201, 2.42961, 2.45144, 2.47305, 2.49409, 2.51013, 2.52994, 2.55391, 2.55796, 2.56608, 2.57912, 2.58809, 2.59317, 2.59825, 2.60484, 2.6124, 2.62048, 2.6264, 2.64086, 2.65518, 2.67831, 2.6944, 2.71431, 2.72596, 2.73993, 2.75856, 2.77719, 2.80209, 2.81455, 2.827, 2.8423, 2.85761, 2.87291, 2.88822, 2.96755, 3.27677, 3.58599, 3.89521, 4.20442, 4.222, 4.32748, 62.0719");
+            values ( \
+              "0.000859608, 0.00105096, 0.00123412, 0.00144152, 0.00167317, 0.0028488, 0.00349593, 0.00395666, 0.00427943, 0.00478794, 0.00497258, 0.00513256, 0.00526822, 0.00535077, 0.00542297, 0.00549095, 0.00548954, 0.00548375, 0.00546616, 0.00544811, 0.00542346, 0.00538726, 0.0053209, 0.00517372, 0.00491255, 0.00464394, 0.00386678, 0.00299978, 0.00189272, 0.00136996, 0.000882243, 0.000660387, 0.000462817, 0.000312603, 0.000199047, 0.00012817, 9.95488e-05, 7.54719e-05, 5.83263e-05, 4.38983e-05, 3.21879e-05, 2.3195e-05, 2.01377e-05, 1.2238e-05, 1.07308e-05, 1.56162e-05, 2.6894e-05, 1.34642e-06, 8.45803e-09, 7.49883e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498053");
+            index_3 ("2.07866, 2.22431, 2.24453, 2.27061, 2.29668, 2.33012, 2.33967, 2.36833, 2.38785, 2.41435, 2.44225, 2.45619, 2.48453, 2.51287, 2.55061, 2.57968, 2.61337, 2.63915, 2.6502, 2.66095, 2.66633, 2.68782, 2.72026, 2.73119, 2.74213, 2.75422, 2.76632, 2.77314, 2.77996, 2.78678, 2.791, 2.79943, 2.80678, 2.81414, 2.85911, 2.88583, 2.90131, 2.92402, 2.93363, 2.94516, 2.96053, 2.9759, 2.99064, 3.00539, 3.02013, 3.03487, 3.06801, 3.10115, 3.65855, 4.39361");
+            values ( \
+              "0.00329012, 0.00351715, 0.00414083, 0.00502524, 0.00598637, 0.00730403, 0.00765692, 0.00868087, 0.00934301, 0.0102212, 0.0110888, 0.0115001, 0.0122584, 0.0129579, 0.0138427, 0.0144268, 0.0150322, 0.0154451, 0.0155997, 0.0157334, 0.0157956, 0.0159951, 0.0162729, 0.0162678, 0.0162479, 0.0162084, 0.0161508, 0.0160521, 0.0159006, 0.0156964, 0.0155261, 0.015013, 0.0144374, 0.0137875, 0.00892759, 0.00630946, 0.00508663, 0.0035994, 0.00302015, 0.00240245, 0.00180365, 0.00130752, 0.00101086, 0.000753492, 0.000535414, 0.000356626, 0.000192979, 3.82285e-05, 3.32784e-05, 6.68955e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199042");
+            index_3 ("2.12714, 2.33054, 2.43855, 2.55614, 2.66936, 2.7632, 2.84923, 2.87259, 2.93055, 2.98471, 3.02045, 3.05499, 3.08953, 3.10681, 3.11118, 3.11555, 3.11992, 3.12429, 3.13304, 3.14178, 3.15052, 3.15927, 3.17871, 3.19816, 3.21004, 3.22192, 3.24094, 3.25522, 3.34244, 3.3879, 3.41396, 3.43319, 3.46204, 3.48449, 3.52068, 3.54403, 3.56737, 3.5985, 3.61407, 3.64319, 3.70144, 3.73056, 3.856, 3.98144, 4.10688, 4.3228, 4.40654, 11.4995, 18.5924, 43.9688");
+            values ( \
+              "0.00954128, 0.0104075, 0.015914, 0.0214885, 0.0265147, 0.0302974, 0.0335486, 0.0343611, 0.0362539, 0.0378876, 0.0388402, 0.0395937, 0.0401989, 0.0404459, 0.0404598, 0.0404661, 0.0404651, 0.0404565, 0.0404152, 0.0403429, 0.0402395, 0.0401051, 0.0395851, 0.038833, 0.0380665, 0.0370666, 0.0351717, 0.0334537, 0.0218504, 0.0164175, 0.0135636, 0.0117138, 0.00940059, 0.00785691, 0.00562638, 0.00439674, 0.00345991, 0.00246411, 0.00203832, 0.00156689, 0.000736636, 0.00037782, 0.000300674, 0.000227086, 0.000157056, 4.4845e-05, 9.21139e-06, 2.92082e-06, 1e-22, 8.07669e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079545");
+            index_3 ("2.08381, 2.3687, 2.48038, 2.75598, 3.27815, 3.46361, 3.57392, 3.69156, 3.78002, 3.82995, 3.92934, 4.07984, 4.32406, 4.40317, 4.49358, 4.63219, 4.73181, 4.81151, 4.89217, 4.99972, 5.21482, 5.54281, 5.93922, 6.44122, 7.14104, 65.0678");
+            values ( \
+              "0.0127638, 0.0147016, 0.0206116, 0.0366265, 0.0688478, 0.0796352, 0.0853246, 0.0903101, 0.0927116, 0.0930405, 0.0898433, 0.0755075, 0.0433318, 0.0346831, 0.0265698, 0.0173776, 0.0126665, 0.00979864, 0.00754401, 0.00529354, 0.00252136, 0.000741716, 0.000150726, 1.31757e-05, 1e-22, 2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.317894");
+            index_3 ("2.32078, 2.7456, 3.00292, 3.2902, 3.89619, 4.16432, 4.19773, 4.5081, 5.06021, 5.31162, 5.49174, 5.85198, 6.80453, 7.0388, 7.30653, 7.52008, 7.70195, 7.94445, 8.29206, 8.39246, 8.59328, 8.99492, 9.34946, 9.50797, 9.82498, 10.459, 11.6516, 12.9529, 14.2543, 16.857, 65.0668");
+            values ( \
+              "0.0339072, 0.0382221, 0.05631, 0.0788338, 0.129422, 0.150526, 0.15037, 0.144402, 0.1329, 0.126682, 0.12141, 0.108581, 0.0681552, 0.059012, 0.0494632, 0.0426805, 0.0374836, 0.031361, 0.024035, 0.0222434, 0.018983, 0.0137393, 0.0102632, 0.00901687, 0.00691606, 0.00402608, 0.00132079, 0.000386171, 0.000103751, 3.21236e-06, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27043");
+            index_3 ("2.79069, 3.66574, 4.18526, 6.73381, 9.35574, 10.8182, 11.4782, 12.196, 13.4973, 14.1395, 17.4122, 18.4103, 19.5509, 20.8797, 21.6907, 22.992, 23.7329, 24.4998, 25.5224, 26.8237, 27.8627, 28.7219, 29.8676, 31.1689, 32.4703, 33.7716, 35.073, 36.3743, 37.6757, 40.2784, 45.4838, 53.2919, 65.0608");
+            values ( \
+              "0.0814125, 0.116399, 0.164227, 0.152299, 0.139386, 0.13125, 0.127059, 0.121775, 0.110251, 0.103728, 0.0685633, 0.058753, 0.0485875, 0.0384368, 0.0331245, 0.0258394, 0.0223705, 0.0192269, 0.0156688, 0.0119963, 0.00969065, 0.00810801, 0.00636461, 0.00484426, 0.00366274, 0.00278507, 0.00209728, 0.00159678, 0.00119674, 0.000680826, 0.000217682, 3.5913e-05, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("7.14302, 8.20325, 8.33625, 8.50908, 8.69268, 9.04271, 9.19829, 9.33701, 9.46731, 9.5437, 9.69062, 9.71584, 9.76628, 9.83832, 9.87938, 9.93106, 10.0903, 10.1663, 10.2532, 10.3527, 10.5403, 10.7905, 11.1735, 11.6477, 12.2395, 16.6654, 16.9908, 17.5387, 18.0318, 19.0181, 20.3194, 21.6208, 22.9221, 24.2234, 25.5248, 26.8261, 28.1275, 29.4288, 65.0662");
+            values ( \
+              "1.17893e-05, 9.00717e-05, 0.0001235, 0.000185176, 0.000366116, 0.00126193, 0.00149152, 0.00161706, 0.00169391, 0.00172583, 0.00176212, 0.00176011, 0.00176327, 0.00174297, 0.0017098, 0.00159651, 0.000513147, 0.000284417, 0.000175756, 0.000129271, 8.01195e-05, 4.18908e-05, 1.5243e-05, 2.80989e-06, 1e-22, 2e-22, 1.59446e-06, 1e-22, 1.2565e-06, 1e-22, 1.12187e-06, 1e-22, 1.01513e-06, 1e-22, 9.16302e-07, 1e-22, 8.24812e-07, 1e-22, 2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498053");
+            index_3 ("7.1438, 8.21616, 8.33702, 8.55479, 8.73153, 8.90749, 9.11722, 9.23228, 9.37286, 9.53996, 9.69304, 9.83812, 9.95025, 10.0361, 10.1171, 10.1631, 10.2213, 10.4336, 10.4894, 10.5694, 10.6352, 10.7668, 10.9429, 11.1782, 11.5108, 12.065, 16.6662, 16.8539, 16.9286, 65.067");
+            values ( \
+              "4.85509e-05, 0.000344016, 0.000447792, 0.000710399, 0.0012335, 0.00225647, 0.00365209, 0.0042692, 0.00488079, 0.00543601, 0.00581135, 0.00605534, 0.00618883, 0.00623025, 0.00619892, 0.00611235, 0.00576812, 0.00181465, 0.00118847, 0.000672888, 0.000446297, 0.000229657, 0.000133813, 7.33956e-05, 2.35142e-05, 1e-22, 2e-22, 9.5056e-06, 1e-22, 7.23282e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199042");
+            index_3 ("7.14408, 8.23563, 8.33731, 8.54066, 8.72758, 8.80527, 8.90887, 9.44175, 9.61731, 9.82906, 10.078, 10.3029, 10.5132, 10.6747, 10.7975, 10.888, 10.9132, 10.9636, 11.0106, 11.0642, 11.3528, 11.4502, 11.5006, 11.6014, 11.7965, 12.0152, 12.2815, 16.6665, 16.9918, 17.5593, 18.0739, 19.103, 65.0673");
+            values ( \
+              "0.000220995, 0.00114898, 0.00135798, 0.00192708, 0.00276269, 0.00325729, 0.00408439, 0.00965372, 0.0112762, 0.0129909, 0.0146829, 0.0159138, 0.01678, 0.0172371, 0.0174045, 0.0173529, 0.0172941, 0.0170318, 0.0165202, 0.015499, 0.00644938, 0.00416836, 0.00327255, 0.0019355, 0.000590758, 0.000123173, 1.14836e-06, 1e-22, 1.61153e-05, 1e-22, 4.08382e-06, 1e-22, 7.64515e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079545");
+            index_3 ("7.14359, 8.59424, 8.93748, 9.1398, 10.002, 10.3773, 10.8842, 11.2538, 11.438, 11.7756, 12.0568, 12.3285, 12.3959, 12.5307, 12.685, 12.8278, 12.9991, 13.3723, 13.5016, 13.6653, 13.7985, 13.9066, 14.0507, 14.339, 14.7831, 15.3041, 65.0668");
+            values ( \
+              "0.000424064, 0.00427507, 0.00676727, 0.00879486, 0.019863, 0.024312, 0.0298298, 0.033483, 0.0351557, 0.037912, 0.0398026, 0.0410664, 0.0412455, 0.0412238, 0.0400206, 0.0371648, 0.0318896, 0.0187403, 0.0148063, 0.0106755, 0.00801232, 0.00627513, 0.00444224, 0.00207723, 0.000454873, 1e-22, 5.60653e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.317894");
+            index_3 ("8.34003, 9.76921, 11.0007, 13.0764, 13.7101, 14.0235, 14.469, 14.8413, 15.0728, 15.5359, 15.666, 15.9262, 16.328, 17.1769, 17.4762, 17.8753, 18.1404, 18.477, 18.9258, 19.1681, 19.4167, 19.7482, 20.4111, 21.6578, 22.9591, 24.2605, 25.5618, 65.07");
+            values ( \
+              "0.00990183, 0.0190226, 0.0369021, 0.0691237, 0.0784913, 0.0827612, 0.088159, 0.0917218, 0.093178, 0.092237, 0.0905945, 0.0856138, 0.0744543, 0.0457011, 0.0369535, 0.0274036, 0.0223351, 0.0171207, 0.0118808, 0.00973184, 0.00792485, 0.0060102, 0.00339226, 0.0010513, 0.000299041, 8.81423e-05, 2.27871e-05, 1.6377e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27043");
+            index_3 ("9.63645, 12.0126, 13.1331, 15.5706, 16.6643, 18.6127, 20.2092, 21.2111, 21.9288, 23.2301, 23.8723, 26.2972, 27.5728, 29.2838, 30.207, 31.4235, 32.7248, 33.7214, 34.2327, 35.2552, 36.5566, 37.5956, 38.4547, 39.6003, 40.9016, 42.203, 43.5043, 46.107, 50.0111, 55.2165, 65.0651");
+            values ( \
+              "0.035719, 0.0567589, 0.0787268, 0.12968, 0.151216, 0.141812, 0.133274, 0.127045, 0.121787, 0.110239, 0.10374, 0.0774862, 0.064261, 0.048596, 0.0413533, 0.0331179, 0.0258463, 0.0212829, 0.0192325, 0.015663, 0.0120017, 0.00968513, 0.0081029, 0.00636991, 0.0048393, 0.00366788, 0.00278014, 0.0015919, 0.000685649, 0.00022234, 2.56332e-05" \
+            );
+          }
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.0189122, 0.0199742, 0.0207843, 0.0210178, 0.0212513, 0.0214848, 0.0216868, 0.022293, 0.0231012, 0.0239043, 0.0246191, 0.0273029, 0.0287494, 0.0300194, 0.0308463, 0.0317323, 0.0328626, 0.0341236, 0.0347769, 0.0392359, 0.042367, 0.0440942, 0.0458245, 0.0471232, 0.0487453, 0.0505192, 0.0521624, 0.0546273, 0.0554489, 0.0568886, 0.0597682, 0.061208, 0.0629188, 0.0646296, 0.0663404, 0.0680512, 0.0702404, 0.0724297, 0.074619, 0.0768082, 0.082743, 0.0886777, 0.108639, 0.118619, 0.138581, 0.148561, 0.164065, 0.210577, 0.382917, 35.4064, 40.4519");
+            values ( \
+              "-0.10172, -0.103784, -0.10428, -0.104362, -0.104366, -0.104364, -0.104357, -0.104304, -0.104127, -0.103804, -0.103406, -0.10152, -0.0999474, -0.0982441, -0.0966786, -0.0944209, -0.0906916, -0.0858797, -0.0821332, -0.0494764, -0.03057, -0.0230253, -0.0169045, -0.0133609, -0.0100141, -0.00699227, -0.00485534, -0.00292565, -0.0024154, -0.00187932, -0.0010242, -0.000705164, -0.000537704, -0.000394004, -0.000274063, -0.000177881, -0.000139181, -0.000106238, -7.90517e-05, -5.76227e-05, -4.8839e-05, -4.1663e-05, -2.93253e-05, -2.46809e-05, -1.67165e-05, -1.33964e-05, -1.0226e-05, -2.65923e-06, -4.47433e-08, -9.2895e-12, -2.88694e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498053");
+            index_3 ("0.0187143, 0.0221439, 0.0271253, 0.0347711, 0.0453226, 0.0508458, 0.0564237, 0.0607555, 0.0728925, 0.0777936, 0.0853048, 0.0934301, 0.10223, 0.112287, 0.126582, 0.144155, 0.166712, 0.199677, 0.257401, 0.35609, 47.2287");
+            values ( \
+              "-0.078555, -0.175106, -0.172984, -0.168652, -0.160469, -0.153925, -0.142316, -0.125603, -0.0658865, -0.0474135, -0.0274279, -0.01462, -0.00725879, -0.00311465, -0.000889771, -0.000199247, -5.78123e-05, -3.04395e-05, -1.3655e-05, -1.54379e-07, -1.18501e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199042");
+            index_3 ("0.0199481, 0.0224837, 0.0693425, 0.105802, 0.124386, 0.143151, 0.214719, 0.237279, 0.263558, 0.30162, 0.344036, 0.393359, 0.45602, 0.536895, 47.2286");
+            values ( \
+              "-0.210508, -0.211459, -0.201254, -0.190557, -0.182936, -0.170161, -0.0565532, -0.0344671, -0.0186821, -0.00739242, -0.0023581, -0.000551607, -9.21791e-05, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079545");
+            index_3 ("0.0200662, 0.0239541, 0.0512101, 0.0825668, 0.158237, 0.264373, 0.345458, 0.416168, 0.487571, 0.51155, 0.538954, 0.684342, 0.739168, 0.781146, 0.817317, 0.85758, 0.911265, 0.962862, 0.988888, 1.04094, 1.14504, 1.31691, 1.52283, 1.78522, 2.14596, 47.2286");
+            values ( \
+              "-0.221918, -0.223172, -0.222285, -0.220676, -0.215758, -0.207564, -0.200216, -0.192129, -0.178984, -0.171781, -0.160911, -0.0885611, -0.0664685, -0.0525915, -0.0426789, -0.0336119, -0.0241915, -0.0175474, -0.014901, -0.0106884, -0.00535175, -0.00152499, -0.000305467, -2.07658e-05, -1.09223e-06, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.317894");
+            index_3 ("0.0201976, 0.0256979, 0.0300459, 0.038742, 0.0526071, 0.069143, 0.0976174, 0.136843, 0.142506, 0.153832, 0.176484, 0.220826, 0.265529, 0.354935, 0.482938, 0.515097, 0.531384, 0.563958, 0.629106, 0.77075, 1.03272, 1.30224, 1.43209, 1.58145, 1.86341, 1.95746, 2.06494, 2.17557, 2.44845, 2.6232, 2.78756, 2.88991, 3.09461, 3.19223, 3.34808, 3.55588, 3.6957, 3.83057, 4.0104, 4.37007, 5.03672, 5.8233, 6.76787, 7.71245, 47.2287");
+            values ( \
+              "-0.225012, -0.226595, -0.226485, -0.226905, -0.226839, -0.227139, -0.226824, -0.226677, -0.226376, -0.226448, -0.225888, -0.225382, -0.22436, -0.222914, -0.220219, -0.219814, -0.219272, -0.218853, -0.217339, -0.214699, -0.209022, -0.202639, -0.199127, -0.194441, -0.181251, -0.174043, -0.163142, -0.149495, -0.113112, -0.0915972, -0.0739051, -0.0642284, -0.0478652, -0.0414389, -0.0327514, -0.0237055, -0.0190147, -0.0153481, -0.0114888, -0.0063098, -0.0018572, -0.000397055, -4.94483e-05, -6.00045e-06, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27043");
+            index_3 ("0.016522, 0.0372354, 0.150959, 3.12666, 5.29352, 6.23413, 7.17871, 7.87585, 8.75337, 10.2223, 10.8932, 11.7229, 12.5521, 13.5463, 14.767, 15.4019, 16.1275, 17.0721, 18.0167, 18.9613, 20.8504, 22.7396, 28.407, 47.225");
+            values ( \
+              "-0.225899, -0.227989, -0.229092, -0.214718, -0.202221, -0.195129, -0.184474, -0.171124, -0.14506, -0.0967131, -0.0778897, -0.0584627, -0.043175, -0.0295662, -0.0182536, -0.0141642, -0.0105508, -0.00715619, -0.00483867, -0.00326808, -0.00148526, -0.000673724, -6.32198e-05, -8.10738e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.0471323, 0.0494045, 0.0505868, 0.0518598, 0.0583416, 0.0601663, 0.0615748, 0.0635131, 0.064563, 0.0650101, 0.0652855, 0.0655609, 0.0660671, 0.066441, 0.0668149, 0.0675628, 0.0682641, 0.0689655, 0.0698845, 0.0705459, 0.0736695, 0.0750826, 0.0760411, 0.077149, 0.0779631, 0.0792888, 0.0806101, 0.0819032, 0.0830204, 0.0835791, 0.0841377, 0.0851575, 0.0866435, 0.0869265, 0.0880587, 0.0891908, 0.090323, 0.0914552, 0.0925873, 0.0937195, 0.0953107, 0.096902, 0.0984932, 0.100084, 0.104045, 0.108006, 0.115741, 0.155308, 0.219605, 0.497266, 0.533856");
+            values ( \
+              "-0.0425885, -0.0441544, -0.0484396, -0.0526184, -0.0723625, -0.0774314, -0.0810101, -0.0855031, -0.0876211, -0.0914633, -0.0917254, -0.0921872, -0.0935568, -0.0932102, -0.09267, -0.0910084, -0.0885207, -0.0853489, -0.080088, -0.0757762, -0.0524307, -0.0429022, -0.0370817, -0.0308837, -0.0271184, -0.021734, -0.016958, -0.0135161, -0.0110776, -0.0100008, -0.00901899, -0.00744875, -0.00542193, -0.00508392, -0.0039985, -0.00308711, -0.00251094, -0.00200134, -0.00155829, -0.00118181, -0.000904522, -0.000665827, -0.00046572, -0.000304201, -0.000167233, -7.43328e-05, -4.58119e-05, -2.05184e-05, -5.93093e-06, -2.3899e-09, -0.00389323" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498053");
+            index_3 ("0.0480269, 0.0522915, 0.0649223, 0.0660224, 0.0667382, 0.0679923, 0.0719983, 0.07493, 0.0801586, 0.0830939, 0.0857815, 0.0913588, 0.0956493, 0.107784, 0.112754, 0.12013, 0.124868, 0.128214, 0.132016, 0.137086, 0.147226, 0.161318, 0.178649, 0.200806, 0.233203, 0.28942, 0.391468, 47.2286");
+            values ( \
+              "-0.0219193, -0.0904796, -0.155557, -0.166648, -0.168538, -0.169517, -0.167373, -0.165138, -0.160816, -0.157625, -0.154118, -0.14244, -0.125912, -0.0660846, -0.0473258, -0.0276351, -0.0191906, -0.0147711, -0.0109445, -0.00728231, -0.0030887, -0.000892997, -0.00019581, -5.24392e-05, -2.58688e-05, -1.28468e-05, -1e-22, -1.50801e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199042");
+            index_3 ("0.0439633, 0.0659266, 0.0700661, 0.107706, 0.144037, 0.159739, 0.178497, 0.250025, 0.272608, 0.298873, 0.315131, 0.336807, 0.378797, 0.427595, 0.489446, 0.569133, 47.2286");
+            values ( \
+              "-0.00224618, -0.20156, -0.209411, -0.200638, -0.189615, -0.18301, -0.170202, -0.0565966, -0.0344718, -0.0186871, -0.0126714, -0.0074161, -0.00239721, -0.000573473, -9.73213e-05, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079545");
+            index_3 ("0.050825, 0.0682974, 0.0698482, 0.118513, 0.210402, 0.381365, 0.452075, 0.523478, 0.574864, 0.720289, 0.774989, 0.853214, 0.947628, 0.998803, 1.07627, 1.17956, 1.34963, 1.55314, 1.81186, 2.16634, 47.229");
+            values ( \
+              "-0.101709, -0.223812, -0.223246, -0.220793, -0.21462, -0.200236, -0.192112, -0.179002, -0.16091, -0.0885434, -0.0664997, -0.0426815, -0.0241226, -0.0175434, -0.0107284, -0.00540372, -0.00156629, -0.000322405, -2.44628e-05, -9.1834e-07, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.317894");
+            index_3 ("0.0507734, 0.0698831, 0.0725977, 0.0767057, 0.0801202, 0.0863442, 0.0955994, 0.104889, 0.150814, 0.232966, 0.55081, 0.888332, 1.33139, 1.52279, 1.61719, 1.80598, 1.9123, 2.06356, 2.24968, 2.51755, 2.70503, 2.83059, 2.96788, 3.14888, 3.30895, 3.52238, 3.7023, 3.78221, 3.94205, 4.26171, 4.89, 5.61954, 6.5427, 7.48727, 47.2288");
+            values ( \
+              "-0.103982, -0.229075, -0.226559, -0.227346, -0.226998, -0.227266, -0.227116, -0.227261, -0.226936, -0.225748, -0.219752, -0.212934, -0.20285, -0.197501, -0.19444, -0.186598, -0.180301, -0.16718, -0.14441, -0.108811, -0.0863396, -0.0731519, -0.0605018, -0.0465731, -0.036685, -0.0263903, -0.0198858, -0.0175225, -0.0135677, -0.00801228, -0.00258299, -0.000645775, -8.74708e-05, -1.09747e-05, -1.70382e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27043");
+            index_3 ("0.0487567, 0.0678087, 0.0705233, 0.168775, 0.386784, 3.0406, 5.15717, 6.27161, 7.21618, 7.91331, 8.79845, 10.2587, 10.931, 11.761, 12.5896, 13.5835, 14.8044, 15.4397, 16.1658, 17.1103, 18.0549, 18.9995, 20.8886, 22.7778, 28.4452, 47.2267");
+            values ( \
+              "-0.10486, -0.230062, -0.227564, -0.229091, -0.228392, -0.215301, -0.203304, -0.194987, -0.184616, -0.171266, -0.144701, -0.0967475, -0.0778805, -0.0584484, -0.0431739, -0.0295685, -0.0182529, -0.0141637, -0.0105466, -0.00715521, -0.00483612, -0.00326824, -0.00148594, -0.000674643, -6.43148e-05, -1.90427e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.140367, 0.150782, 0.153979, 0.15869, 0.167659, 0.173374, 0.179949, 0.187243, 0.190097, 0.191429, 0.192761, 0.194094, 0.195426, 0.196759, 0.198091, 0.200757, 0.202213, 0.209515, 0.212266, 0.214376, 0.216599, 0.219371, 0.222146, 0.225179, 0.228212, 0.229729, 0.231245, 0.233332, 0.235419, 0.237506, 0.239592, 0.244727, 0.249862, 0.254997, 0.260132, 0.262671, 0.26521, 0.268365, 0.271521, 0.274504, 0.277486, 0.283451, 0.286433, 0.289416, 0.306732, 0.324048, 0.372472, 0.420896, 0.641283, 44.6839");
+            values ( \
+              "-0.0150237, -0.0161386, -0.0186394, -0.0218963, -0.0277871, -0.0313554, -0.0351083, -0.038948, -0.0408655, -0.0410019, -0.0410287, -0.0409459, -0.0407534, -0.0404512, -0.0400392, -0.0388862, -0.036888, -0.0203147, -0.0148843, -0.0113411, -0.0084553, -0.00577751, -0.00363618, -0.00211857, -0.00125639, -0.0009247, -0.000659277, -0.000563933, -0.000486359, -0.000426555, -0.000384521, -0.000356753, -0.000436581, -0.000624006, -0.000919029, -0.000853915, -0.000759938, -0.000509955, -0.000307519, -0.00016153, -5.47535e-05, -3.52784e-05, -2.70331e-05, -1.97828e-05, -1.35425e-05, -8.44241e-06, -4.15118e-06, -9.41795e-07, -1.33157e-09, -5.52946e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498053");
+            index_3 ("0.129648, 0.194493, 0.218191, 0.230687, 0.238841, 0.259635, 0.272482, 0.286305, 0.299748, 0.315696, 0.33606, 0.363678, 0.409812, 0.500634, 47.2282");
+            values ( \
+              "-0.000266974, -0.0790747, -0.102052, -0.108035, -0.0965963, -0.0321142, -0.0133958, -0.00434547, -0.00132137, -0.000312571, -6.86446e-05, -2.01141e-05, -2.04142e-05, -1e-22, -6.51613e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199042");
+            index_3 ("0.164824, 0.195858, 0.213162, 0.231038, 0.242459, 0.254052, 0.257094, 0.260136, 0.263348, 0.266338, 0.269468, 0.272206, 0.286433, 0.291476, 0.295398, 0.302841, 0.307529, 0.322508, 0.324338, 0.327998, 0.331659, 0.338958, 0.345129, 0.355368, 0.362268, 0.375363, 0.379676, 0.383988, 0.388541, 0.393094, 0.402115, 0.40864, 0.415677, 0.422713, 0.42975, 0.438884, 0.445987, 0.45275, 0.458161, 0.468982, 0.474393, 0.479804, 0.490185, 0.500567, 0.510948, 0.52133, 0.533389, 0.545448, 0.569566, 0.630545");
+            values ( \
+              "-0.0987053, -0.101921, -0.1278, -0.153876, -0.169938, -0.185453, -0.19046, -0.196137, -0.196357, -0.196222, -0.195482, -0.194669, -0.189916, -0.187963, -0.186202, -0.182291, -0.179236, -0.168993, -0.16731, -0.162761, -0.15756, -0.146049, -0.135128, -0.115497, -0.102975, -0.0809893, -0.0741833, -0.0678262, -0.0619444, -0.0564196, -0.0466438, -0.0400116, -0.0339307, -0.0290557, -0.0247362, -0.0200457, -0.0166801, -0.0138135, -0.011812, -0.00890853, -0.0076472, -0.00651279, -0.00512362, -0.0039137, -0.00288302, -0.0020316, -0.00152408, -0.00108744, -0.000426821, -2.12598e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079545");
+            index_3 ("0.130057, 0.267737, 0.336033, 0.411298, 0.481452, 0.534159, 0.593933, 0.665336, 0.689315, 0.716719, 0.862114, 0.916912, 0.958869, 0.995076, 1.03538, 1.08912, 1.14062, 1.1666, 1.21856, 1.32248, 1.4909, 1.69251, 1.94809, 2.29828, 47.2287");
+            values ( \
+              "-0.00473021, -0.220456, -0.215822, -0.21009, -0.204166, -0.199116, -0.192121, -0.178995, -0.171781, -0.160912, -0.0885583, -0.0664764, -0.0526043, -0.0426806, -0.0336049, -0.0241782, -0.0175479, -0.0149056, -0.0106982, -0.00536371, -0.00157854, -0.00033207, -2.72553e-05, -9.1882e-07, -1.95155e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.317894");
+            index_3 ("0.184509, 0.269879, 0.276411, 0.287681, 0.300532, 0.319672, 0.352418, 0.397345, 0.442687, 0.533371, 0.947975, 1.2864, 1.52271, 1.60937, 1.75858, 2.04055, 2.13458, 2.24204, 2.35269, 2.62537, 2.79983, 2.96514, 3.06808, 3.27397, 3.36856, 3.51949, 3.72073, 3.86912, 4.01213, 4.20282, 4.58419, 5.24241, 6.02368, 6.96825, 7.91283, 47.2275");
+            values ( \
+              "-0.152589, -0.227651, -0.226865, -0.227179, -0.226713, -0.226688, -0.225981, -0.225394, -0.224406, -0.222855, -0.214585, -0.2073, -0.201508, -0.199123, -0.19444, -0.181251, -0.174045, -0.163145, -0.149498, -0.11314, -0.0916554, -0.0738619, -0.0641362, -0.0477082, -0.0414871, -0.0330384, -0.0241702, -0.0191284, -0.015241, -0.0112061, -0.0059188, -0.00177434, -0.000385579, -4.74684e-05, -6.29534e-06, -2.86114e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27043");
+            index_3 ("0.1422, 0.267461, 0.275219, 0.283539, 0.29421, 0.310489, 0.373835, 0.591048, 3.18484, 5.47514, 6.41536, 7.35994, 8.05706, 8.9346, 10.4028, 11.0746, 11.9046, 12.7333, 13.7273, 14.9481, 15.5834, 16.3093, 17.2539, 18.1985, 19.143, 21.0322, 22.9213, 28.5888, 47.2279");
+            values ( \
+              "-0.0281641, -0.229602, -0.228594, -0.229283, -0.228871, -0.229222, -0.229096, -0.228135, -0.215325, -0.202182, -0.195092, -0.184514, -0.171169, -0.145009, -0.0967348, -0.0778863, -0.0584554, -0.0431773, -0.0295709, -0.0182561, -0.0141611, -0.0105505, -0.00715273, -0.00483958, -0.00326545, -0.00148302, -0.000671673, -6.13464e-05, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.464749, 0.495584, 0.501899, 0.508214, 0.514055, 0.519363, 0.535784, 0.55016, 0.569115, 0.580475, 0.588594, 0.596271, 0.607125, 0.620462, 0.623622, 0.626783, 0.629943, 0.633103, 0.636189, 0.639274, 0.64236, 0.645446, 0.647014, 0.648582, 0.650151, 0.653287, 0.655801, 0.658635, 0.669844, 0.672248, 0.675361, 0.677672, 0.683368, 0.686135, 0.689824, 0.693514, 0.696725, 0.699936, 0.703147, 0.706358, 0.713876, 0.721393, 0.740719, 0.798279, 0.873349, 0.929233, 0.985117, 1.041, 1.05703, 1.12908");
+            values ( \
+              "-0.00219446, -0.00244936, -0.00288809, -0.00337229, -0.00403831, -0.00473601, -0.00719543, -0.00918138, -0.0116449, -0.0130064, -0.0138968, -0.0146875, -0.0157405, -0.016978, -0.0172138, -0.0174125, -0.0175739, -0.0176982, -0.0177448, -0.0177407, -0.0176859, -0.0175804, -0.0174484, -0.01729, -0.0170186, -0.0162235, -0.0153152, -0.0140146, -0.00750522, -0.00633964, -0.00501755, -0.00417222, -0.00246104, -0.00182912, -0.0012876, -0.00085598, -0.000650041, -0.00047385, -0.000327408, -0.000210715, -0.000122701, -6.00581e-05, -1.54683e-05, -6.50764e-06, -1.33459e-05, -3.20523e-05, -6.23796e-05, -0.000104328, -1.38652e-05, -1.55794e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498053");
+            index_3 ("0.495981, 0.538018, 0.546605, 0.559263, 0.57192, 0.584576, 0.610578, 0.624798, 0.636625, 0.64845, 0.664228, 0.678989, 0.688815, 0.693377, 0.69794, 0.702502, 0.707066, 0.711532, 0.715999, 0.720468, 0.724935, 0.727202, 0.729469, 0.731735, 0.73627, 0.741301, 0.744815, 0.753826, 0.761808, 0.765091, 0.770018, 0.774078, 0.778799, 0.782634, 0.785702, 0.787238, 0.790305, 0.796441, 0.79951, 0.809747, 0.819983, 0.879578, 0.939173, 0.998766, 1.05836, 1.07327, 1.08818, 1.16825, 2.10373, 41.2173");
+            values ( \
+              "-0.0125789, -0.0129883, -0.0151405, -0.0184977, -0.0216988, -0.0248263, -0.0310903, -0.0343691, -0.0368955, -0.0393104, -0.0423516, -0.0450757, -0.0470532, -0.0474375, -0.0477508, -0.0479931, -0.0481643, -0.0482632, -0.048294, -0.0482567, -0.0481513, -0.047578, -0.046876, -0.0457133, -0.0429214, -0.0390963, -0.0359503, -0.0268639, -0.0195303, -0.016861, -0.0135337, -0.0111858, -0.00871416, -0.00692517, -0.00571006, -0.00516725, -0.00435568, -0.00296124, -0.00237836, -0.0013715, -0.000440306, -0.000508051, -0.000482159, -0.000362628, -0.000149459, -8.14832e-05, -7.64628e-06, -1.61905e-06, -1.38119e-10, -4.86155e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199042");
+            index_3 ("0.541019, 0.619389, 0.666237, 0.709244, 0.733571, 0.753699, 0.773826, 0.825649, 0.83397, 0.842291, 0.850049, 0.857807, 0.865565, 0.873323, 0.881082, 0.888841, 0.8966, 0.904359, 0.904769, 0.911749, 0.919449, 0.947942, 0.953755, 0.963445, 0.971196, 0.985441, 0.995384, 0.999701, 1.00833, 1.01404, 1.02334, 1.03287, 1.04097, 1.04367, 1.04637, 1.05176, 1.06332, 1.07549, 1.08157, 1.08765, 1.09374, 1.09982, 1.1109, 1.12199, 1.13307, 1.14416, 1.21175, 6.34417, 11.4766, 43.1198");
+            values ( \
+              "-0.0420783, -0.0432141, -0.0587911, -0.0728307, -0.0805762, -0.0866071, -0.0924037, -0.106479, -0.109327, -0.112671, -0.112615, -0.112355, -0.111891, -0.111223, -0.110352, -0.109277, -0.107998, -0.106516, -0.106356, -0.100856, -0.0935051, -0.0633749, -0.0575907, -0.0486931, -0.0422358, -0.0315515, -0.0257858, -0.0235707, -0.0195748, -0.0172645, -0.0138913, -0.0110597, -0.00852071, -0.00835485, -0.0081513, -0.00763108, -0.00600928, -0.00422795, -0.00361879, -0.0030641, -0.00256389, -0.00211817, -0.00162237, -0.00118992, -0.000820826, -0.000515082, -2.16486e-05, -9.45227e-06, -1e-22, -1.47923e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079545");
+            index_3 ("0.580468, 0.693179, 0.813403, 0.91515, 0.937383, 0.959616, 0.969895, 0.980173, 0.990451, 1.00469, 1.01658, 1.04184, 1.04995, 1.0574, 1.07232, 1.11108, 1.14262, 1.17078, 1.2422, 1.25244, 1.27975, 1.3074, 1.40248, 1.43637, 1.47507, 1.49913, 1.53522, 1.5626, 1.59984, 1.63654, 1.68029, 1.69962, 1.73588, 1.76341, 1.78543, 1.79644, 1.81847, 1.84049, 1.86251, 1.88454, 1.92659, 1.96864, 2.01068, 2.05273, 2.10221, 2.15168, 2.20116, 2.25063, 2.50379, 11.4229");
+            values ( \
+              "-0.065668, -0.0750819, -0.121977, -0.160363, -0.172575, -0.188741, -0.189033, -0.189773, -0.190962, -0.193351, -0.196004, -0.204613, -0.204375, -0.204006, -0.202787, -0.199034, -0.19538, -0.191348, -0.178382, -0.176055, -0.166126, -0.154118, -0.105479, -0.0896988, -0.0730796, -0.064205, -0.0526745, -0.0450614, -0.0356807, -0.0287653, -0.0220799, -0.019599, -0.0154461, -0.0126408, -0.0106974, -0.00982243, -0.00847605, -0.00724758, -0.00613705, -0.00514443, -0.00398848, -0.00298791, -0.00214272, -0.00145291, -0.00108494, -0.000768938, -0.0005049, -0.000292827, -2.09128e-05, -7.76448e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.317894");
+            index_3 ("0.520227, 0.728536, 1.04038, 1.05245, 1.0724, 1.26678, 1.5713, 1.7842, 2.09719, 2.33292, 2.61492, 2.70873, 2.81593, 3.36751, 3.54533, 3.65623, 3.8591, 4.03575, 4.27129, 4.48684, 4.60341, 4.83655, 5.30283, 5.97726, 6.79746, 7.74203, 47.2283");
+            values ( \
+              "-0.0185962, -0.0923545, -0.22263, -0.223597, -0.223425, -0.219742, -0.2136, -0.209043, -0.201489, -0.194426, -0.181262, -0.174062, -0.163195, -0.0924306, -0.0732822, -0.0629109, -0.046962, -0.0360814, -0.0250424, -0.0178293, -0.0148081, -0.010141, -0.00457702, -0.00131006, -0.000260152, -2.60217e-05, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27043");
+            index_3 ("0.72557, 1.08453, 3.76151, 5.64603, 6.62386, 7.55606, 8.1673, 8.76635, 11.3629, 13.0627, 13.7902, 14.7348, 15.5738, 16.9321, 18.8213, 20.7104, 22.5996, 28.267, 47.2314");
+            values ( \
+              "-0.172208, -0.228417, -0.215293, -0.204762, -0.198062, -0.189467, -0.180863, -0.167848, -0.0855862, -0.0472499, -0.0359778, -0.0249057, -0.0178702, -0.0103129, -0.00472878, -0.00215277, -0.000976991, -9.11408e-05, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("1.67362, 1.80382, 1.83691, 1.87229, 1.89296, 1.91418, 1.94452, 1.97506, 2.08028, 2.10892, 2.12999, 2.15106, 2.17946, 2.20332, 2.22434, 2.24142, 2.24997, 2.25851, 2.26697, 2.27544, 2.28391, 2.29237, 2.29668, 2.3053, 2.31822, 2.32796, 2.33552, 2.35836, 2.37138, 2.37838, 2.38925, 2.39716, 2.40901, 2.41296, 2.42085, 2.43663, 2.44453, 2.49781, 2.53974, 2.58225, 2.62476, 2.70977, 2.79478, 3.13758, 3.48038, 3.82317, 4.16597, 4.22123, 4.41266, 42.8673");
+            values ( \
+              "-0.00030153, -0.000388241, -0.000519705, -0.000699863, -0.000824051, -0.0010028, -0.00137146, -0.00186524, -0.00428856, -0.00485995, -0.00520325, -0.00551186, -0.00587142, -0.00613307, -0.00633456, -0.00637472, -0.00638749, -0.00639539, -0.00639842, -0.00639667, -0.00639014, -0.00637882, -0.00634335, -0.0062319, -0.00590963, -0.00541257, -0.00483032, -0.00256199, -0.00156386, -0.00117924, -0.000748849, -0.000528194, -0.000356694, -0.000311714, -0.000267526, -0.000197256, -0.000171175, -0.000118135, -8.27745e-05, -5.70089e-05, -3.62365e-05, -1.87197e-05, -3.07823e-06, -4.8005e-05, -6.41109e-05, -5.1396e-05, -9.86025e-06, -4.66003e-07, -9.95616e-08, -2.67944e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498053");
+            index_3 ("1.60197, 1.83222, 1.9043, 1.9449, 2.01404, 2.14942, 2.26016, 2.31075, 2.35736, 2.40157, 2.44463, 2.45558, 2.47748, 2.48925, 2.5469, 2.57618, 2.60215, 2.64116, 2.6885, 2.74936, 2.84058, 3.01635, 4.16463, 4.20946, 4.29913, 4.425, 4.67437, 5.04713, 5.79265, 6.73722, 7.6818, 8.62637, 9.57095, 10.5155, 11.4601, 12.4047, 13.3492, 14.2938, 15.2384, 47.2284");
+            values ( \
+              "-0.000129952, -0.00144321, -0.00243121, -0.00326461, -0.00543752, -0.0118784, -0.0163253, -0.0180247, -0.0192163, -0.0200956, -0.0203133, -0.0201891, -0.0191227, -0.0176052, -0.00563635, -0.00241067, -0.00107301, -0.000329987, -0.000154738, -8.29821e-05, -4.36504e-05, -1e-22, -2e-22, -4.35058e-05, -1e-22, -1.20708e-05, -1e-22, -7.69159e-06, -1e-22, -6.43264e-06, -1e-22, -5.43551e-06, -1e-22, -4.53743e-06, -1e-22, -3.72896e-06, -1e-22, -3.00149e-06, -1e-22, -2.36225e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199042");
+            index_3 ("1.5838, 1.61, 1.84655, 1.90806, 1.97706, 2.03008, 2.08311, 2.14363, 2.3745, 2.51949, 2.59962, 2.67311, 2.74238, 2.80986, 2.82699, 2.86124, 2.96262, 2.98777, 3.01709, 3.05619, 3.12431, 3.2022, 3.2978, 4.16457, 4.23683, 4.34689, 4.4361, 47.2283");
+            values ( \
+              "-0.000448035, -0.00053024, -0.00320841, -0.0047746, -0.00707199, -0.00936106, -0.0122066, -0.0161539, -0.0322121, -0.0411793, -0.0454982, -0.0489069, -0.0513338, -0.0517705, -0.0508443, -0.045948, -0.01782, -0.012698, -0.00833056, -0.00453109, -0.00126992, -0.000245657, -1e-22, -2e-22, -7.76088e-05, -5.4518e-07, -1e-22, -2.68062e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079545");
+            index_3 ("1.76755, 2.0834, 2.12802, 2.26841, 2.72108, 2.89561, 3.054, 3.21565, 3.32332, 3.44522, 3.56714, 3.61483, 3.75948, 3.83836, 3.90029, 3.97106, 4.00367, 4.05239, 4.11736, 4.16486, 4.26272, 4.31401, 4.4166, 4.62179, 4.94512, 47.2286");
+            values ( \
+              "-0.00377921, -0.0150338, -0.0178832, -0.0284908, -0.0673285, -0.0815738, -0.0938177, -0.105121, -0.111537, -0.116245, -0.109952, -0.0996986, -0.0597881, -0.0412527, -0.029904, -0.0200964, -0.0166396, -0.0124494, -0.00831304, -0.00613642, -0.00354919, -0.00253664, -0.00128054, -0.000251922, -1e-22, -2.06933e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.317894");
+            index_3 ("2.08048, 2.53881, 3.61644, 4.16194, 4.40406, 4.63957, 4.78123, 4.93459, 5.23525, 5.79779, 6.16646, 6.34619, 6.58583, 6.73886, 6.90966, 7.1374, 7.59287, 8.26399, 9.07782, 10.0224, 47.2257");
+            values ( \
+              "-0.0348831, -0.0550165, -0.159502, -0.207049, -0.201503, -0.194442, -0.188852, -0.180311, -0.149589, -0.078927, -0.0470825, -0.0360172, -0.0247521, -0.0195385, -0.0149034, -0.010222, -0.00477727, -0.00131875, -0.00032256, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27043");
+            index_3 ("2.07958, 2.95182, 4.16104, 4.2241, 6.87619, 8.34099, 9.28144, 10.226, 10.4584, 10.9232, 11.8007, 13.2696, 13.9405, 14.7702, 15.5994, 16.5936, 17.5022, 18.4492, 19.1749, 20.1194, 21.064, 22.0086, 22.9532, 24.8423, 26.7314, 30.5097, 47.2248");
+            values ( \
+              "-0.0261736, -0.0979647, -0.224317, -0.224333, -0.210873, -0.202123, -0.195032, -0.184571, -0.180878, -0.171221, -0.144962, -0.0967145, -0.0778895, -0.0584622, -0.0431751, -0.0295663, -0.0206591, -0.0141644, -0.0105507, -0.00715627, -0.0048386, -0.00326816, -0.00220231, -0.000998758, -0.000451987, -9.19076e-05, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("6.69068, 7.26839, 7.43819, 7.56726, 7.69311, 7.81114, 7.91658, 8.02234, 8.09402, 8.21588, 8.2184, 8.29391, 8.354, 8.391, 8.45118, 8.56706, 8.62016, 8.72358, 8.78954, 8.82784, 8.94692, 8.98731, 9.04117, 9.12014, 9.22948, 9.32195, 9.3481, 9.4004, 9.505, 9.71419, 10.1326, 10.7156, 11.4608, 12.4054, 13.35, 14.2945, 15.2391, 16.1837, 16.6669, 16.903, 17.2663, 17.5811, 18.2107, 47.229");
+            values ( \
+              "-9.05124e-05, -0.000156946, -0.00022984, -0.000340624, -0.000519877, -0.000868762, -0.00126176, -0.00154548, -0.00166793, -0.00182958, -0.00182434, -0.00186604, -0.00188016, -0.0018814, -0.00187414, -0.00182825, -0.00179108, -0.00167831, -0.0015372, -0.00137397, -0.000447231, -0.000283264, -0.000198161, -0.000134246, -0.000112462, -7.98599e-05, -9.14815e-05, -6.66021e-05, -6.9256e-05, -3.37219e-05, -2.78592e-05, -1e-22, -8.52974e-06, -1e-22, -6.85372e-06, -1e-22, -6.78025e-06, -1e-22, -6.7374e-06, -7.39805e-07, -1e-22, -5.60158e-07, -1e-22, -1.96521e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498053");
+            index_3 ("6.69027, 7.3306, 7.48822, 7.55673, 7.64807, 7.77266, 7.81617, 8.10183, 8.17885, 8.32832, 8.41875, 8.55592, 8.61921, 8.6861, 8.81331, 8.94052, 8.97339, 9.03912, 9.07617, 9.13349, 9.22572, 9.28663, 9.33022, 9.36817, 9.4135, 9.47393, 9.59479, 9.83652, 10.2398, 10.8322, 11.6067, 12.5513, 16.6665, 16.8349, 17.1434, 17.3978, 17.9067, 18.8512, 19.7958, 47.2285");
+            values ( \
+              "-0.000313611, -0.00061299, -0.000875032, -0.00103223, -0.00130247, -0.00185618, -0.00213466, -0.0043531, -0.00487497, -0.00571284, -0.00610623, -0.00654661, -0.00668709, -0.00678958, -0.00687702, -0.00674954, -0.006659, -0.00629948, -0.00584296, -0.00442769, -0.00168855, -0.000788919, -0.000535406, -0.000411859, -0.000338365, -0.000287585, -0.000216809, -0.000145222, -6.56762e-05, -2.54658e-05, -1e-22, -1.91715e-06, -1e-22, -3.66447e-06, -1e-22, -2.09478e-06, -1e-22, -1.72418e-06, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199042");
+            index_3 ("6.68965, 7.25489, 7.3371, 7.50153, 7.63957, 7.78114, 7.96991, 8.05563, 8.57108, 8.70228, 8.92051, 9.11416, 9.29275, 9.46231, 9.6275, 9.66951, 9.75355, 9.7975, 10.0099, 10.0754, 10.1286, 10.235, 10.3813, 10.5623, 10.7989, 11.1793, 11.9401, 16.6659, 16.6717, 16.6835, 16.7028, 16.8188, 47.2279");
+            values ( \
+              "-0.00116208, -0.00150693, -0.00174265, -0.00233432, -0.00298036, -0.00386092, -0.00552747, -0.00652205, -0.0132393, -0.014778, -0.0170758, -0.0187883, -0.020054, -0.020907, -0.0211832, -0.021052, -0.0201187, -0.0187487, -0.00606653, -0.00362049, -0.00234736, -0.000938445, -0.000342237, -0.000187859, -0.000120859, -4.78886e-05, -1e-22, -2e-22, -3.58183e-05, -4.17132e-05, -1.88235e-05, -1e-22, -3.52764e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079545");
+            index_3 ("6.6887, 7.56653, 7.78376, 8.02397, 8.33575, 8.55182, 9.4294, 10.0019, 10.3184, 10.6084, 10.8817, 11.1475, 11.215, 11.3499, 11.7382, 11.8357, 11.9472, 12.0958, 12.3563, 12.6547, 13.02, 47.227");
+            values ( \
+              "-0.00202875, -0.0046727, -0.0064297, -0.00888657, -0.0131037, -0.0167464, -0.032483, -0.041647, -0.0460619, -0.0495275, -0.0520254, -0.0525735, -0.0517821, -0.0470609, -0.0187306, -0.01336, -0.00883707, -0.00486443, -0.00139028, -0.000272839, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.317894");
+            index_3 ("7.51284, 8.33637, 8.51377, 9.03832, 10.9518, 11.5424, 12.1736, 12.986, 13.2467, 13.7321, 14.2176, 14.4505, 14.9867, 15.2099, 15.4538, 15.6223, 15.8514, 16.1209, 16.4803, 16.6655, 17.063, 17.267, 17.675, 18.4909, 19.4355, 47.2276");
+            values ( \
+              "-0.0145038, -0.0156812, -0.0185584, -0.0284821, -0.0696731, -0.0818106, -0.0940648, -0.108109, -0.111879, -0.116703, -0.110657, -0.097675, -0.0599285, -0.0461632, -0.0338083, -0.0268757, -0.0193726, -0.0129588, -0.0072909, -0.00539426, -0.00294946, -0.00210209, -0.00104655, -0.000204306, -2.58562e-05, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27043");
+            index_3 ("8.33223, 9.772, 14.486, 15.1907, 16.6614, 17.615, 18.5541, 19.4986, 19.731, 20.1958, 21.0733, 21.8373, 22.9683, 23.5395, 24.0428, 24.6986, 25.2188, 25.8663, 26.7749, 27.1776, 27.7218, 28.4474, 29.392, 30.3366, 31.2812, 32.2257, 34.1149, 36.9486, 47.2234");
+            values ( \
+              "-0.0348171, -0.0463025, -0.160462, -0.176594, -0.2079, -0.20211, -0.195029, -0.184574, -0.180875, -0.171225, -0.14496, -0.119139, -0.0844395, -0.0697365, -0.0584626, -0.0460424, -0.0379057, -0.0295661, -0.0206592, -0.0176091, -0.0141646, -0.0105507, -0.00715651, -0.00483854, -0.00326834, -0.00220221, -0.000998644, -0.000305743, -3.08795e-06" \
+            );
+          }
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "(CK & D)";
+        timing_sense : positive_unate;
+        timing_type : clear;
+        when : "(CK * D)";
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.214892, 0.264223, 0.450512, 1.1826, 4.10405, 15.7737", \
+            "0.226152, 0.27548, 0.461758, 1.19388, 4.11596, 15.7882", \
+            "0.274702, 0.324021, 0.510305, 1.24231, 4.16435, 15.8388", \
+            "0.40579, 0.455166, 0.641207, 1.37372, 4.29816, 15.9665", \
+            "0.690336, 0.740415, 0.926586, 1.65893, 4.58241, 16.2521", \
+            "1.4147, 1.46864, 1.65551, 2.38707, 5.30804, 16.9733" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0207885, 0.0452264, 0.142146, 0.529325, 2.07991, 8.2749", \
+            "0.0207845, 0.0452265, 0.142146, 0.529309, 2.07973, 8.26382", \
+            "0.020788, 0.0452235, 0.142155, 0.529279, 2.07808, 8.27144", \
+            "0.0208676, 0.0452536, 0.14226, 0.529552, 2.07878, 8.2732", \
+            "0.0213807, 0.0454355, 0.142044, 0.529525, 2.07873, 8.27321", \
+            "0.0235673, 0.0465759, 0.141776, 0.529474, 2.07816, 8.26902" \
+          );
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195954", \
+            "0.00235268", \
+            "0.00254795", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253645", \
+            "0.00256028", \
+            "0.00289392", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.196831, 0.20953, 0.216019, 0.222916, 0.226149, 0.229379, 0.232889, 0.240339, 0.244283, 0.247813, 0.249174, 0.25413, 0.259546, 0.267138, 0.289773");
+            values ( \
+              "-1.78783e-05, -0.0487728, -0.0610995, -0.0689815, -0.0699862, -0.069228, -0.0581585, -0.0234047, -0.0125061, -0.00685297, -0.00541214, -0.00225844, -0.00109816, -0.000210786, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498053");
+            index_3 ("0.217895, 0.2228, 0.228613, 0.231906, 0.236474, 0.23875, 0.243301, 0.24911, 0.257699, 0.265406, 0.272644, 0.279658, 0.286665, 0.294356, 0.303359, 0.308765, 0.315131, 0.318393, 0.322743, 0.328696, 0.332635, 0.340514, 0.341355");
+            values ( \
+              "-0.0180342, -0.0281449, -0.0442535, -0.0539087, -0.0703978, -0.0742078, -0.0862413, -0.0969442, -0.112086, -0.120451, -0.12708, -0.128933, -0.126728, -0.106638, -0.0686845, -0.0492495, -0.0318194, -0.0251278, -0.0181923, -0.0114832, -0.00850065, -0.0044569, -0.00426746" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199042");
+            index_3 ("0.268159, 0.300689, 0.344025, 0.364722, 0.384849, 0.412035, 0.436228, 0.458873, 0.480844, 0.502791, 0.524851, 0.571998, 0.598063, 0.623062, 0.65124, 0.675848, 0.676621");
+            values ( \
+              "-0.0180009, -0.0260743, -0.0808239, -0.10345, -0.121658, -0.142052, -0.154113, -0.162059, -0.164606, -0.16094, -0.137999, -0.0629683, -0.0358739, -0.0200237, -0.0101338, -0.00544366, -0.00537654" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079545");
+            index_3 ("0.0788729, 0.112374, 0.130006, 0.168607, 0.187898, 0.219605, 0.292324, 0.352423, 0.440277, 0.481207, 0.532458, 0.56298, 0.609859, 0.78487, 0.882417, 0.971826, 1.01611, 1.10664, 1.19095, 1.27281, 1.35458, 1.39332, 1.43236, 1.55786, 1.59563, 1.67605, 1.73079, 1.79335, 1.8283, 1.88162, 1.9527, 2.09487, 2.27809");
+            values ( \
+              "-0.000370892, -0.000939452, -0.00210601, -0.0109112, -0.0125987, -0.0117732, -0.0077693, -0.00508333, -0.00246486, -0.0028974, -0.00786218, -0.0140283, -0.0274794, -0.0907916, -0.120635, -0.142631, -0.151677, -0.165399, -0.173738, -0.176655, -0.172441, -0.164066, -0.149445, -0.0891439, -0.0734249, -0.0467785, -0.0337502, -0.0228274, -0.0183033, -0.0129999, -0.00813245, -0.00294948, -0.000721081" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.317894");
+            index_3 ("0.0773273, 0.12738, 0.172529, 0.203507, 0.693679, 1.04145, 1.37449, 1.45634, 1.62004, 1.94745, 2.50965, 3.02296, 3.42447, 3.77901, 4.11008, 4.43131, 4.75217, 4.90537, 5.05978, 5.70112, 6.0463, 6.2238, 6.46048, 6.61331, 6.8457, 7.15555, 7.68647");
+            values ( \
+              "-0.000320824, -0.00216682, -0.0144123, -0.0169658, -0.00872097, -0.00478247, -0.00432746, -0.0058655, -0.0123737, -0.0374226, -0.0907365, -0.131033, -0.154207, -0.168533, -0.176833, -0.180023, -0.175501, -0.166986, -0.151835, -0.0744209, -0.0453879, -0.0346481, -0.0238144, -0.0186335, -0.0127493, -0.00755636, -0.00313417" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27043");
+            index_3 ("0.0691766, 0.240139, 1.90015, 3.32886, 4.49274, 5.09737, 6.26126, 10.5705, 12.3802, 13.0447, 14.2086, 14.953, 15.7741, 17.0515, 17.733, 18.3268, 19.4907, 21.1761, 22.2863, 23.3885, 24.6572, 25.7633, 27.5365, 28.7004, 29.8643, 33.356");
+            values ( \
+              "-0.000305882, -0.0187728, -0.0104511, -0.0058223, -0.00402058, -0.00537315, -0.018375, -0.115316, -0.145965, -0.154771, -0.167072, -0.172906, -0.177562, -0.180751, -0.17974, -0.176151, -0.154497, -0.100874, -0.0702768, -0.0471869, -0.0289215, -0.0185065, -0.00879622, -0.00546174, -0.00320294, -0.000786959" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.232781, 0.24402, 0.25162, 0.255181, 0.258522, 0.261757, 0.264989, 0.268209, 0.275779, 0.280429, 0.284494, 0.287853, 0.292099, 0.299076, 0.307713");
+            values ( \
+              "-0.000922112, -0.0454853, -0.0606023, -0.0655578, -0.0686249, -0.0702685, -0.0689638, -0.0595497, -0.0240062, -0.0114418, -0.00569706, -0.00317239, -0.00171572, -0.000411532, -0.000353139" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498053");
+            index_3 ("0.25349, 0.257985, 0.264853, 0.271748, 0.284629, 0.293212, 0.300925, 0.308163, 0.315178, 0.322186, 0.329207, 0.344284, 0.350651, 0.358276, 0.364211, 0.375859");
+            values ( \
+              "-0.0181362, -0.0272352, -0.0453797, -0.0689409, -0.0972862, -0.111756, -0.120738, -0.126815, -0.12917, -0.126509, -0.10878, -0.0492546, -0.0318276, -0.0181613, -0.0115017, -0.0045659" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199042");
+            index_3 ("0.303317, 0.336199, 0.376673, 0.405951, 0.420335, 0.447524, 0.47173, 0.494374, 0.516346, 0.538294, 0.560353, 0.607498, 0.633569, 0.658567, 0.686724, 0.711311, 0.715105");
+            values ( \
+              "-0.0175308, -0.026073, -0.0770223, -0.108853, -0.121897, -0.141792, -0.154349, -0.161826, -0.164826, -0.160723, -0.138208, -0.0629596, -0.0358693, -0.0200202, -0.0101439, -0.00544353, -0.00511496" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079545");
+            index_3 ("0.303126, 0.585769, 0.643341, 0.82053, 0.918047, 1.00746, 1.05175, 1.14227, 1.22658, 1.30844, 1.39021, 1.429, 1.46809, 1.63134, 1.71951, 1.76613, 1.82829, 1.91818, 1.99056, 2.09662");
+            values ( \
+              "-0.0090942, -0.0111787, -0.0268061, -0.0908137, -0.120643, -0.142638, -0.151687, -0.165402, -0.173744, -0.176655, -0.172446, -0.164052, -0.149398, -0.0733905, -0.0446914, -0.0338097, -0.0229273, -0.0129211, -0.0080116, -0.00422328" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.317894");
+            index_3 ("0.112943, 0.16241, 0.210014, 0.241918, 0.653597, 0.936484, 1.212, 1.4147, 1.50516, 1.68606, 2.03391, 2.54562, 3.05894, 3.46008, 3.81504, 4.14609, 4.46731, 4.78815, 4.94201, 5.09709, 5.73817, 6.0824, 6.25617, 6.48786, 6.64877, 6.89305, 7.21876, 7.7337");
+            values ( \
+              "-0.000323289, -0.0021946, -0.0148035, -0.0170334, -0.00981641, -0.00614467, -0.00386977, -0.00440523, -0.00626568, -0.0141183, -0.04221, -0.0907187, -0.131, -0.154258, -0.168494, -0.176896, -0.179978, -0.175558, -0.166941, -0.151681, -0.0743097, -0.0453802, -0.0348436, -0.0241452, -0.0186495, -0.0125103, -0.00721012, -0.00315405" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27043");
+            index_3 ("0.111702, 0.270832, 1.21038, 2.11169, 2.85124, 3.95506, 4.88036, 5.1424, 5.66648, 6.71464, 9.03856, 10.2958, 12.0752, 13.0916, 13.8456, 14.5007, 15.6646, 16.13, 17.0965, 18.056, 18.3678, 18.9914, 19.7642, 21.5329, 22.1715, 23.023, 23.5247, 24.1506, 24.9851, 25.5698, 26.158, 26.9423, 28.1062, 29.2701, 30.434, 32.7617");
+            values ( \
+              "-0.000309465, -0.0187828, -0.0137575, -0.00980915, -0.00725829, -0.00456831, -0.00443134, -0.00540896, -0.00938338, -0.0263047, -0.0813631, -0.109017, -0.140802, -0.154781, -0.163258, -0.169153, -0.176895, -0.178868, -0.180769, -0.17845, -0.17623, -0.167399, -0.147411, -0.0914952, -0.0741686, -0.0547643, -0.0454747, -0.0358108, -0.0258141, -0.0203353, -0.0160033, -0.0116307, -0.0070399, -0.00433727, -0.00256471, -0.000910655" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.381789, 0.387941, 0.391971, 0.397838, 0.401392, 0.404733, 0.407967, 0.411198, 0.414707, 0.421643, 0.42522, 0.42924, 0.435531, 0.440076");
+            values ( \
+              "-0.0143167, -0.0397992, -0.0498154, -0.0608487, -0.0653855, -0.0688091, -0.0701211, -0.0691183, -0.0582282, -0.0253879, -0.0145264, -0.00740175, -0.00256596, -0.00130315" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498053");
+            index_3 ("0.397438, 0.417539, 0.42554, 0.439144, 0.446857, 0.454093, 0.461108, 0.468116, 0.475136, 0.490212, 0.504225, 0.510133, 0.521184");
+            values ( \
+              "-0.00273714, -0.0683629, -0.0874688, -0.111684, -0.120818, -0.126757, -0.129232, -0.126462, -0.108828, -0.049268, -0.0181299, -0.0115125, -0.00491861" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199042");
+            index_3 ("0.44135, 0.48216, 0.496652, 0.525979, 0.545513, 0.566434, 0.593607, 0.617816, 0.640463, 0.662434, 0.684381, 0.708636, 0.736745, 0.755036, 0.772819, 0.780736, 0.796569, 0.81064, 0.833442, 0.863845, 0.887029");
+            values ( \
+              "-0.0092095, -0.0259159, -0.0428113, -0.081522, -0.102814, -0.121453, -0.142208, -0.153933, -0.162216, -0.164443, -0.161089, -0.134978, -0.0870245, -0.0611493, -0.0417813, -0.0349744, -0.0242361, -0.017359, -0.00996483, -0.00454232, -0.00286529" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079545");
+            index_3 ("0.440733, 0.731718, 0.789173, 0.966284, 1.09587, 1.19761, 1.28777, 1.37224, 1.45408, 1.53677, 1.574, 1.61243, 1.75175, 1.82435, 1.88178, 1.98049, 2.05447, 2.11397, 2.23296, 2.29459");
+            values ( \
+              "-0.00847703, -0.011218, -0.0268216, -0.0908158, -0.129417, -0.151721, -0.165559, -0.173486, -0.176846, -0.172149, -0.164227, -0.149974, -0.0836904, -0.056566, -0.0405128, -0.021992, -0.013726, -0.00929553, -0.00408421, -0.00302663" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.317894");
+            index_3 ("0.262871, 0.310906, 0.356073, 0.398653, 0.954153, 1.15057, 1.46184, 1.60049, 1.76385, 1.98166, 2.69832, 3.05426, 3.21115, 3.52492, 3.78401, 3.96525, 4.29673, 4.61787, 4.93876, 5.09061, 5.24365, 5.88551, 6.20178, 6.41778, 6.66463, 6.80083, 7.00858, 7.28558, 7.69569");
+            values ( \
+              "-0.000287351, -0.00190197, -0.0139412, -0.0170451, -0.00772203, -0.00550863, -0.00366525, -0.00498352, -0.0102682, -0.0243067, -0.0909833, -0.120063, -0.13118, -0.149883, -0.161876, -0.16849, -0.176807, -0.180005, -0.175487, -0.16708, -0.152144, -0.0746445, -0.0475433, -0.0342566, -0.0231468, -0.0185994, -0.0132556, -0.00832764, -0.00442804" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27043");
+            index_3 ("0.263459, 0.414142, 1.46154, 2.45504, 3.25143, 4.41531, 5.29708, 5.54116, 6.02934, 6.80856, 9.61354, 10.7774, 11.6562, 12.5812, 13.2459, 14.6571, 15.1518, 15.9739, 17.2511, 17.9305, 18.5262, 19.6901, 21.3744, 22.4862, 23.5842, 24.8668, 25.9627, 26.5621, 27.726, 28.8899, 30.0538, 32.3816, 33.5454");
+            values ( \
+              "-0.000273593, -0.0187507, -0.0132486, -0.00908029, -0.00655808, -0.00412238, -0.00539817, -0.00690629, -0.011886, -0.0251156, -0.0910892, -0.115569, -0.131673, -0.146067, -0.154896, -0.169289, -0.172946, -0.177632, -0.180805, -0.179793, -0.17616, -0.154522, -0.100887, -0.0702436, -0.0472329, -0.0287884, -0.0184906, -0.0146106, -0.00881809, -0.00549922, -0.00320182, -0.00111641, -0.000804866" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.903098, 0.907728, 0.910704, 0.91368, 0.91537, 0.922892, 0.92949, 0.932734, 0.935962, 0.943663, 0.947736, 0.951658, 0.956922, 0.958505");
+            values ( \
+              "-0.0184891, -0.0307632, -0.0446582, -0.048072, -0.0543486, -0.0653708, -0.070117, -0.068724, -0.0594748, -0.0236822, -0.0125043, -0.00635368, -0.00271769, -0.00220427" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498053");
+            index_3 ("0.919057, 0.938787, 0.952052, 0.960644, 0.968366, 0.975607, 0.982625, 0.989637, 0.996659, 1.0085, 1.01608, 1.0213, 1.02867, 1.04085, 1.04983");
+            values ( \
+              "-0.00395859, -0.0673689, -0.0973293, -0.111475, -0.120803, -0.126616, -0.129243, -0.126369, -0.108858, -0.0604232, -0.0367432, -0.0252581, -0.0144822, -0.00558776, -0.00342536" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199042");
+            index_3 ("0.961762, 1.00342, 1.05323, 1.07717, 1.10258, 1.11443, 1.13873, 1.16138, 1.18337, 1.20534, 1.22741, 1.27464, 1.30043, 1.32547, 1.34185, 1.35463, 1.38019, 1.39759");
+            values ( \
+              "-0.0083262, -0.0260774, -0.0877991, -0.112556, -0.133567, -0.141391, -0.154164, -0.161615, -0.164737, -0.160606, -0.138186, -0.0628365, -0.03603, -0.0200962, -0.013579, -0.00992968, -0.00519327, -0.00374802" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079545");
+            index_3 ("0.96153, 1.25267, 1.34282, 1.48791, 1.61738, 1.7194, 1.80966, 1.89401, 1.97586, 2.05761, 2.09681, 2.13631, 2.29941, 2.38698, 2.43131, 2.49041, 2.59264, 2.67483, 2.77429");
+            values ( \
+              "-0.00862085, -0.0110605, -0.0376309, -0.0907172, -0.129305, -0.151646, -0.165574, -0.173643, -0.176809, -0.17233, -0.16394, -0.149045, -0.0731346, -0.0446645, -0.0342642, -0.0237131, -0.0123459, -0.00714266, -0.00407903" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.317894");
+            index_3 ("0.759633, 0.830437, 0.918889, 1.40845, 1.7641, 2.09471, 2.29347, 2.55848, 3.2175, 3.73319, 4.13439, 4.48846, 4.81937, 5.14048, 5.46123, 5.61885, 5.77772, 6.29904, 6.56561, 6.82048, 7.20924, 7.4992, 7.73212, 8.19794, 8.35753");
+            values ( \
+              "-0.000168328, -0.00201301, -0.0169697, -0.00861, -0.00465144, -0.00450967, -0.0106792, -0.0289801, -0.0909214, -0.131185, -0.154442, -0.168563, -0.176983, -0.180002, -0.175611, -0.166672, -0.150814, -0.0861781, -0.0599536, -0.0411836, -0.0223421, -0.0139715, -0.00947556, -0.00418792, -0.00347096" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27043");
+            index_3 ("0.75398, 0.942629, 2.65471, 4.35218, 5.40969, 5.80698, 6.60157, 7.76546, 10.1206, 11.1593, 13.0997, 13.755, 14.9189, 15.6619, 16.4836, 17.7609, 18.4413, 19.0361, 20.2, 21.8849, 22.9958, 24.1202, 25.289, 26.4688, 27.1525, 28.3164, 29.4803, 30.6441, 32.9719, 34.1358");
+            values ( \
+              "-0.000164153, -0.0187529, -0.0102707, -0.00508211, -0.00417923, -0.00538875, -0.0126598, -0.0346993, -0.090944, -0.112995, -0.146152, -0.154832, -0.16711, -0.172929, -0.177595, -0.180776, -0.179764, -0.176159, -0.154506, -0.100883, -0.0702633, -0.0467628, -0.029797, -0.0185109, -0.0141076, -0.00852101, -0.00530086, -0.0030965, -0.00108387, -0.000769636" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.748, 2.75028, 2.75361, 2.75574, 2.75681, 2.75894, 2.76584, 2.77295, 2.77627, 2.77956, 2.78284, 2.78841, 2.79211, 2.79519, 2.79927, 2.80273, 2.80654");
+            values ( \
+              "-0.0154116, -0.0199008, -0.0282653, -0.0381238, -0.0393146, -0.0454307, -0.0586808, -0.0671692, -0.0685405, -0.0680007, -0.0586319, -0.0319523, -0.018663, -0.011352, -0.00570966, -0.00317041, -0.00179435" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498053");
+            index_3 ("2.76781, 2.78209, 2.78913, 2.79618, 2.79965, 2.8083, 2.81605, 2.83037, 2.83741, 2.84444, 2.85796, 2.86435, 2.87076, 2.8805, 2.88692");
+            values ( \
+              "-0.0171207, -0.0514912, -0.0758678, -0.0875293, -0.0978172, -0.11145, -0.12064, -0.129251, -0.125743, -0.109006, -0.0544373, -0.0354826, -0.0223761, -0.0105851, -0.0069754" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199042");
+            index_3 ("2.82125, 2.85149, 2.90139, 2.93525, 2.96237, 2.98653, 3.0092, 3.03116, 3.05311, 3.07517, 3.12232, 3.14839, 3.17338, 3.20155, 3.22615, 3.2295");
+            values ( \
+              "-0.0207913, -0.0266273, -0.0889973, -0.122358, -0.142089, -0.154545, -0.161607, -0.165018, -0.160527, -0.13839, -0.062955, -0.0358637, -0.0200131, -0.0101527, -0.00542964, -0.00513796" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079545");
+            index_3 ("2.82023, 3.10034, 3.15888, 3.38384, 3.46425, 3.56632, 3.65656, 3.7409, 3.82275, 3.9045, 3.94389, 3.9836, 4.16437, 4.23686, 4.32261, 4.37838, 4.46805, 4.58722");
+            values ( \
+              "-0.00920727, -0.0112423, -0.0272189, -0.106729, -0.129151, -0.151821, -0.165426, -0.173805, -0.176661, -0.172485, -0.163887, -0.148868, -0.0663698, -0.0444627, -0.0263628, -0.0185493, -0.0103736, -0.00456461" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.317894");
+            index_3 ("2.54038, 2.65576, 2.77696, 3.31495, 3.51178, 3.81732, 3.96437, 4.14015, 4.36192, 5.06688, 5.57865, 5.9794, 6.33407, 6.66498, 6.9861, 7.30685, 7.46425, 7.62289, 8.14504, 8.40811, 8.66762, 9.05657, 9.34076, 9.56801, 10.0225, 10.2436");
+            values ( \
+              "-9.27364e-05, -0.00125591, -0.0166251, -0.00781196, -0.00555758, -0.00366304, -0.00492036, -0.0107067, -0.0254075, -0.0911385, -0.131232, -0.154345, -0.168622, -0.176917, -0.180062, -0.175548, -0.166684, -0.150871, -0.0861322, -0.0602234, -0.041084, -0.0222804, -0.0140636, -0.00963721, -0.00435106, -0.00331793" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27043");
+            index_3 ("2.54062, 2.65284, 2.81748, 4.16173, 5.32827, 6.0835, 7.24738, 7.66426, 8.49803, 9.66191, 11.4808, 12.952, 14.0151, 14.9547, 15.6057, 16.7696, 17.5126, 18.3343, 19.6116, 20.2921, 20.8868, 22.0507, 23.7356, 24.8465, 25.9709, 27.1397, 28.3196, 29.0032, 30.1671, 31.331, 32.4949, 34.8226, 35.9865");
+            values ( \
+              "-9.31224e-05, -0.00127979, -0.0187147, -0.0117018, -0.00740155, -0.00535988, -0.00416164, -0.00542087, -0.0132936, -0.0357454, -0.0796223, -0.111836, -0.131579, -0.146214, -0.154832, -0.167111, -0.172929, -0.177594, -0.180775, -0.179763, -0.176159, -0.154506, -0.100884, -0.070264, -0.0467636, -0.0297978, -0.0185117, -0.0141068, -0.0085218, -0.00530007, -0.00309729, -0.00108466, -0.000768842" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.71417, 9.7237, 9.72927, 9.73993, 9.74787, 9.75146, 9.755, 9.75852, 9.768, 9.77203, 9.7749, 9.78079, 9.787, 9.7899");
+            values ( \
+              "-0.00034892, -0.0169813, -0.03233, -0.0512832, -0.0611618, -0.0635643, -0.0636156, -0.0560634, -0.0183149, -0.0096199, -0.0059768, -0.00219365, -0.000892403, -0.000657776" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498053");
+            index_3 ("9.73641, 9.74747, 9.75534, 9.77216, 9.78666, 9.79467, 9.80211, 9.80929, 9.81642, 9.82355, 9.83911, 9.84695, 9.85527, 9.86447, 9.87074");
+            values ( \
+              "-0.0084865, -0.0199758, -0.0357786, -0.0802982, -0.106722, -0.116942, -0.12364, -0.126848, -0.124752, -0.107786, -0.0477212, -0.0277072, -0.0148455, -0.00730762, -0.00475894" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199042");
+            index_3 ("9.80868, 9.83886, 9.87429, 9.89521, 9.91507, 9.9422, 9.96637, 9.989, 10.011, 10.0329, 10.0429, 10.053, 10.1021, 10.1227, 10.1458, 10.1591, 10.1785, 10.2044, 10.2133");
+            values ( \
+              "-0.0259502, -0.0359265, -0.0812591, -0.104051, -0.121927, -0.142245, -0.154245, -0.162169, -0.16468, -0.161002, -0.153406, -0.14072, -0.0626806, -0.0402772, -0.023717, -0.0172853, -0.0107982, -0.00560345, -0.00480219" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079545");
+            index_3 ("9.7934, 10.0812, 10.1454, 10.314, 10.4434, 10.5453, 10.6356, 10.7199, 10.8018, 10.8835, 10.9231, 10.963, 11.1271, 11.2021, 11.2771, 11.3336, 11.3907, 11.4343, 11.5213, 11.6235");
+            values ( \
+              "-0.00824893, -0.0116615, -0.0297311, -0.0906118, -0.129156, -0.151872, -0.165403, -0.173856, -0.176631, -0.172529, -0.163831, -0.148683, -0.0724506, -0.0475892, -0.0303381, -0.02129, -0.0148003, -0.0111561, -0.00622783, -0.00349939" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.317894");
+            index_3 ("9.3185, 9.5236, 9.59624, 9.65427, 9.74585, 9.79308, 10.1758, 10.5228, 10.707, 10.9122, 11.0053, 11.1915, 11.5322, 12.0423, 12.3756, 12.5555, 12.9153, 13.0382, 13.3102, 13.5693, 13.7859, 13.9619, 14.2811, 14.3738, 14.4696, 14.6338, 15.2371, 15.4486, 15.5781, 15.7395, 15.9546, 16.1421, 16.4251, 16.666, 16.87, 17.1579");
+            values ( \
+              "-3.4867e-05, -0.000204732, -0.00117349, -0.00491019, -0.0148256, -0.0162135, -0.00943152, -0.00525913, -0.00386516, -0.00445563, -0.00635946, -0.014703, -0.0423867, -0.0907878, -0.118265, -0.131049, -0.152204, -0.158021, -0.168459, -0.175356, -0.178822, -0.179922, -0.175491, -0.171158, -0.164197, -0.146677, -0.0739512, -0.054867, -0.0453399, -0.0354864, -0.0252882, -0.0187233, -0.0117778, -0.00786373, -0.00587181, -0.00387023" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27043");
+            index_3 ("9.32127, 9.51231, 9.58494, 9.79565, 11.4772, 12.8649, 13.9836, 14.6281, 15.792, 16.6547, 18.5344, 19.7099, 20.8737, 21.5859, 22.9086, 23.979, 25.2978, 25.8964, 26.5731, 27.737, 28.082, 28.5419, 29.2452, 31.0142, 31.6488, 32.495, 33.0045, 33.6397, 34.4865, 35.6306, 36.3961, 37.56, 38.7239, 39.8878, 42.2155");
+            values ( \
+              "-3.87848e-05, -0.000211177, -0.00122311, -0.0186165, -0.0102543, -0.00580015, -0.0040239, -0.00538012, -0.0184164, -0.0362544, -0.0815071, -0.107455, -0.129571, -0.141177, -0.158657, -0.169071, -0.17748, -0.179656, -0.180662, -0.177139, -0.173675, -0.165971, -0.147338, -0.0914284, -0.0742091, -0.0549469, -0.0455025, -0.0357094, -0.0255699, -0.0160754, -0.0117364, -0.00714248, -0.00436317, -0.00261732, -0.000944422" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.00180707, 0.00180707, 0.00180708, 0.00180708, 0.00180708, 0.00180708", \
+            "0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651", \
+            "0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111", \
+            "0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00226943, 0.00226943, 0.00226943, 0.00226943, 0.00226943, 0.00226943" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0023061, 0.00230609, 0.00230609, 0.00230609, 0.00230609, 0.00230609", \
+            "0.00242665, 0.00242664, 0.00242663, 0.00242663, 0.00242662, 0.00242662", \
+            "0.00263724, 0.00263723, 0.00263723, 0.00263722, 0.00263722, 0.00263722", \
+            "0.00325461, 0.00325462, 0.0032547, 0.0032548, 0.00325484, 0.00325486", \
+            "0.00368824, 0.00368811, 0.00368832, 0.00368851, 0.00368743, 0.00368717", \
+            "0.00367539, 0.00367415, 0.00367471, 0.00367473, 0.00367474, 0.00367587" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "(CK & ~D)";
+        timing_sense : positive_unate;
+        timing_type : clear;
+        when : "(CK * !D)";
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.207711, 0.256934, 0.443119, 1.17516, 4.09636, 15.7682", \
+            "0.218962, 0.268187, 0.454389, 1.18654, 4.11094, 15.7794", \
+            "0.266905, 0.316156, 0.502397, 1.23461, 4.1566, 15.8294", \
+            "0.389613, 0.438899, 0.624965, 1.35802, 4.27813, 15.9532", \
+            "0.655118, 0.704893, 0.891075, 1.62337, 4.54653, 16.2193", \
+            "1.34205, 1.39473, 1.58126, 2.31288, 5.23494, 16.9058" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0207493, 0.0451842, 0.142091, 0.529301, 2.07863, 8.2719", \
+            "0.0207495, 0.0451638, 0.142085, 0.529558, 2.07875, 8.27304", \
+            "0.0207474, 0.0452048, 0.142146, 0.529514, 2.07974, 8.27244", \
+            "0.0208183, 0.0452252, 0.142195, 0.529316, 2.07893, 8.27178", \
+            "0.0211901, 0.0453623, 0.141839, 0.529566, 2.07893, 8.27193", \
+            "0.0228337, 0.0461668, 0.141785, 0.529191, 2.07839, 8.27239" \
+          );
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195954", \
+            "0.00235268", \
+            "0.00254795", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253645", \
+            "0.00256028", \
+            "0.00289392", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.189232, 0.203049, 0.208952, 0.212504, 0.215838, 0.219067, 0.222293, 0.225509, 0.232685, 0.236329, 0.241222, 0.246467, 0.250363");
+            values ( \
+              "-0.000182684, -0.0498883, -0.0609909, -0.0655289, -0.0689169, -0.0702417, -0.0691823, -0.0594956, -0.0254945, -0.0145635, -0.00633897, -0.00254553, -0.00153322" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498053");
+            index_3 ("0.206108, 0.241716, 0.248675, 0.250275, 0.257974, 0.272228, 0.279236, 0.286253, 0.302081, 0.309385, 0.31768, 0.322939, 0.329952, 0.332972");
+            values ( \
+              "-0.00267387, -0.0990765, -0.107985, -0.112396, -0.121378, -0.129689, -0.126082, -0.109197, -0.0469505, -0.0281904, -0.015116, -0.010059, -0.00580128, -0.00488571" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199042");
+            index_3 ("0.26486, 0.293183, 0.34343, 0.377355, 0.404496, 0.428659, 0.451336, 0.473308, 0.495262, 0.517323, 0.56449, 0.590491, 0.615499, 0.643905, 0.668734, 0.672226");
+            values ( \
+              "-0.0246264, -0.0260008, -0.0887543, -0.122217, -0.141986, -0.154466, -0.161591, -0.164964, -0.160529, -0.138354, -0.0629348, -0.0358947, -0.0200242, -0.0101069, -0.00536389, -0.00506391" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079545");
+            index_3 ("0.248661, 0.542652, 0.634661, 0.77709, 0.906533, 1.00832, 1.09847, 1.18292, 1.26477, 1.34654, 1.38502, 1.4238, 1.58718, 1.66772, 1.72412, 1.8204, 1.86904, 1.93389, 2.0636, 2.08423");
+            values ( \
+              "-0.00820738, -0.0112831, -0.0386103, -0.0907598, -0.129316, -0.15164, -0.165479, -0.173611, -0.176756, -0.172323, -0.164134, -0.149672, -0.0735888, -0.0468617, -0.0334691, -0.0182814, -0.0133847, -0.00874018, -0.00352478, -0.00322038" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.317894");
+            index_3 ("0.0793834, 0.122696, 0.162365, 0.202714, 0.617131, 0.899785, 1.18633, 1.37997, 1.46312, 1.62943, 1.96204, 2.50321, 2.85411, 3.34103, 3.56852, 3.77089, 4.10245, 4.42364, 4.74458, 4.89487, 5.04634, 5.59248, 5.8815, 6.23187, 6.49018, 6.78687, 7.02612, 7.50461, 7.82066");
+            values ( \
+              "-0.000320262, -0.00232053, -0.013984, -0.0171191, -0.00971606, -0.00607309, -0.00380926, -0.00450881, -0.00629694, -0.0133043, -0.0394975, -0.0908486, -0.119538, -0.150354, -0.160945, -0.168472, -0.17676, -0.180007, -0.175455, -0.167189, -0.152496, -0.0850683, -0.0571837, -0.0338218, -0.0224145, -0.0138636, -0.00930331, -0.00400646, -0.00265312" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27043");
+            index_3 ("0.0697611, 0.223124, 2.08646, 2.81568, 3.94985, 4.84798, 5.58075, 6.55777, 9.40534, 11.7853, 13.0387, 14.4496, 15.7667, 17.044, 17.7351, 18.3192, 19.4813, 21.1782, 22.2683, 23.3791, 24.654, 25.7555, 27.5223, 28.6844, 29.8466, 32.1708, 33.3329");
+            values ( \
+              "-0.000302377, -0.0188085, -0.0097208, -0.00720157, -0.00450035, -0.00447064, -0.00901799, -0.0241712, -0.091005, -0.137181, -0.154864, -0.169265, -0.177619, -0.1808, -0.179751, -0.176131, -0.15458, -0.100542, -0.0704944, -0.0471832, -0.0288382, -0.0184774, -0.00879151, -0.00550931, -0.00318854, -0.00110481, -0.000820398" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.228447, 0.231556, 0.232375, 0.234557, 0.240792, 0.244706, 0.248256, 0.25159, 0.254819, 0.258046, 0.261552, 0.266426, 0.270532, 0.276926, 0.279491, 0.28284");
+            values ( \
+              "-0.0191852, -0.0283186, -0.0277782, -0.0386692, -0.0537455, -0.0608997, -0.0655905, -0.0688747, -0.0702586, -0.0691802, -0.0583468, -0.0337375, -0.0184402, -0.00628731, -0.00400715, -0.00277769" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498053");
+            index_3 ("0.246668, 0.24952, 0.260107, 0.266325, 0.266958, 0.277294, 0.284706, 0.293562, 0.300796, 0.307812, 0.310791, 0.314793, 0.321825, 0.337602, 0.344966, 0.353261, 0.358465, 0.365404, 0.370228");
+            values ( \
+              "-0.0189541, -0.0242923, -0.0528965, -0.0760057, -0.0748506, -0.0974636, -0.110039, -0.120887, -0.126678, -0.129208, -0.128953, -0.126165, -0.108865, -0.0470725, -0.028137, -0.0150813, -0.0100758, -0.00586355, -0.00438083" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199042");
+            index_3 ("0.293231, 0.328858, 0.379045, 0.412962, 0.440102, 0.464263, 0.486939, 0.50891, 0.530863, 0.552924, 0.600087, 0.6261, 0.651107, 0.679471, 0.704261, 0.709454");
+            values ( \
+              "-0.014108, -0.0261186, -0.0887024, -0.122164, -0.141946, -0.154431, -0.161641, -0.164928, -0.160573, -0.138317, -0.0629362, -0.0358948, -0.0200279, -0.010108, -0.0053819, -0.00493375" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079545");
+            index_3 ("0.292933, 0.577471, 0.655931, 0.812814, 0.942354, 1.04434, 1.1346, 1.21894, 1.30079, 1.38286, 1.4217, 1.46117, 1.62428, 1.71191, 1.75646, 1.81585, 1.91691, 1.99817, 2.09784");
+            values ( \
+              "-0.00911467, -0.0110235, -0.0335709, -0.090762, -0.129374, -0.151586, -0.165633, -0.173583, -0.176868, -0.172253, -0.16395, -0.149081, -0.0731606, -0.0446658, -0.0342191, -0.023638, -0.0124004, -0.00722026, -0.00412101" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.317894");
+            index_3 ("0.118076, 0.157981, 0.236233, 0.735895, 1.08984, 1.4206, 1.61731, 1.87958, 2.54253, 3.05797, 3.45926, 3.81333, 4.14423, 4.46534, 4.78609, 4.94378, 5.10272, 5.62377, 5.89147, 6.14485, 6.53355, 6.82537, 7.06011, 7.52959, 7.68291");
+            values ( \
+              "-0.000298523, -0.00192165, -0.0170627, -0.00857514, -0.0046438, -0.00452847, -0.0106342, -0.0286587, -0.0909331, -0.131188, -0.154438, -0.16857, -0.176978, -0.180009, -0.175605, -0.166666, -0.150798, -0.0861912, -0.0598685, -0.0412142, -0.0223604, -0.0139405, -0.00942533, -0.00413502, -0.00345649" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27043");
+            index_3 ("0.111448, 0.258692, 1.98874, 3.70054, 4.74627, 5.13212, 5.90383, 7.06594, 9.44537, 10.5372, 12.4203, 13.0795, 14.2416, 14.99, 15.8082, 17.0855, 17.7766, 18.3606, 19.5227, 21.2196, 22.3098, 23.4394, 24.632, 25.7941, 26.4595, 27.6217, 28.7838, 29.9459, 33.4322");
+            values ( \
+              "-0.000282527, -0.0187866, -0.0102318, -0.00502896, -0.00420029, -0.00539031, -0.012364, -0.0341523, -0.0909487, -0.114055, -0.146099, -0.154831, -0.167098, -0.172956, -0.177593, -0.180773, -0.179724, -0.176161, -0.154551, -0.100572, -0.0705225, -0.0468638, -0.0295866, -0.0185129, -0.0142083, -0.00859763, -0.00534496, -0.00313309, -0.000774036" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.372869, 0.376296, 0.378619, 0.38046, 0.390036, 0.396916, 0.400145, 0.40337, 0.406587, 0.414106, 0.418824, 0.422854, 0.426263, 0.432442");
+            values ( \
+              "-1.11224e-06, -0.026223, -0.0322101, -0.0414159, -0.0610879, -0.0689744, -0.0702032, -0.0692171, -0.0594726, -0.0242059, -0.0114262, -0.00573694, -0.00317696, -0.00169341" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498053");
+            index_3 ("0.387666, 0.418671, 0.422698, 0.43127, 0.438985, 0.446218, 0.453231, 0.460237, 0.467255, 0.48232, 0.488705, 0.496441, 0.506031, 0.513669, 0.519209");
+            values ( \
+              "-0.00193941, -0.0894881, -0.0975864, -0.111617, -0.12098, -0.12671, -0.129359, -0.126418, -0.108918, -0.0493177, -0.0318395, -0.017973, -0.00857476, -0.00465295, -0.00334155" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199042");
+            index_3 ("0.446445, 0.47429, 0.489552, 0.517864, 0.537957, 0.558492, 0.585669, 0.609871, 0.632516, 0.654486, 0.676433, 0.700687, 0.729494, 0.745642, 0.758497, 0.771703, 0.780867, 0.796702, 0.812584, 0.824894, 0.849516, 0.893888, 0.946139");
+            values ( \
+              "-0.0254823, -0.0259872, -0.0437779, -0.0812132, -0.103145, -0.12155, -0.14215, -0.154014, -0.162154, -0.164514, -0.161029, -0.134938, -0.0859425, -0.0629739, -0.0479939, -0.0358729, -0.0291043, -0.0200216, -0.0136931, -0.0101332, -0.00543749, -0.00157679, -0.000347112" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079545");
+            index_3 ("0.430129, 0.724065, 0.814597, 0.9585, 1.08793, 1.18979, 1.2802, 1.36455, 1.44639, 1.52814, 1.56747, 1.60711, 1.77016, 1.8459, 1.92278, 2.0027, 2.05057, 2.14632, 2.24846");
+            values ( \
+              "-0.00823088, -0.0112633, -0.0380957, -0.0907651, -0.129326, -0.151635, -0.165586, -0.173652, -0.176815, -0.172334, -0.163903, -0.148927, -0.0730596, -0.0478205, -0.0301437, -0.0182238, -0.0133893, -0.00704048, -0.00391211" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.317894");
+            index_3 ("0.261686, 0.300815, 0.345691, 0.38601, 0.797295, 1.0736, 1.35439, 1.55601, 1.64611, 1.8263, 2.16949, 2.68642, 2.99593, 3.1993, 3.60018, 3.95536, 4.28641, 4.60762, 4.92846, 5.0841, 5.24097, 5.7224, 5.8877, 6.18164, 6.47383, 6.69649, 6.92358, 7.09683, 7.44333, 8.09286, 8.85904");
+            values ( \
+              "-0.000267454, -0.00167021, -0.0140385, -0.0171029, -0.00977519, -0.00618816, -0.00385772, -0.00442459, -0.0062797, -0.0141193, -0.0417601, -0.090762, -0.116487, -0.131006, -0.15425, -0.168496, -0.176897, -0.179979, -0.175544, -0.166805, -0.15127, -0.0911293, -0.0733995, -0.0482666, -0.0308973, -0.0216613, -0.0150049, -0.0112734, -0.00624946, -0.00183554, -0.000395663" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27043");
+            index_3 ("0.262506, 0.416483, 2.2453, 2.95728, 4.06619, 5.00401, 5.28504, 5.8471, 6.97121, 9.5983, 10.7604, 11.6433, 12.569, 13.2334, 14.6449, 15.9619, 17.2391, 17.9299, 18.5143, 19.6764, 21.3731, 22.4635, 23.5715, 24.8577, 25.9508, 26.5475, 27.7096, 28.8717, 30.0338, 32.358, 33.5201");
+            values ( \
+              "-0.000249465, -0.0187934, -0.00983711, -0.00737364, -0.00461834, -0.00439295, -0.00540872, -0.00980764, -0.0287182, -0.0909774, -0.115424, -0.131628, -0.146026, -0.154868, -0.169271, -0.177621, -0.180799, -0.17975, -0.176146, -0.154572, -0.100558, -0.0705005, -0.0472425, -0.0287513, -0.0184865, -0.0146308, -0.00883163, -0.0055178, -0.00320987, -0.00111899, -0.000812879" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.885422, 0.893426, 0.896598, 0.903061, 0.909968, 0.913205, 0.91644, 0.919664, 0.927179, 0.931917, 0.935945, 0.939348, 0.943885, 0.948557");
+            values ( \
+              "-0.00272261, -0.0410075, -0.0484582, -0.0607999, -0.0687584, -0.0700229, -0.0690651, -0.0593808, -0.0242245, -0.0114095, -0.00573797, -0.0031864, -0.00158913, -0.000808487" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498053");
+            index_3 ("0.904854, 0.916647, 0.925582, 0.935942, 0.944522, 0.952242, 0.959478, 0.966494, 0.973502, 0.980521, 0.995596, 1.00305, 1.0096, 1.01944, 1.02728, 1.02745");
+            values ( \
+              "-0.0181865, -0.0460085, -0.0750667, -0.0975157, -0.111507, -0.120939, -0.126644, -0.129338, -0.126384, -0.10891, -0.0493087, -0.0294338, -0.0181025, -0.0084559, -0.00454799, -0.00450679" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199042");
+            index_3 ("0.955125, 0.987436, 1.03751, 1.06281, 1.08639, 1.09834, 1.12262, 1.14527, 1.16726, 1.18922, 1.21128, 1.25849, 1.28437, 1.30939, 1.33824, 1.36349, 1.37372");
+            values ( \
+              "-0.0180667, -0.0263073, -0.0887088, -0.114202, -0.133531, -0.141565, -0.154168, -0.161734, -0.164714, -0.160692, -0.138144, -0.0628751, -0.0359826, -0.0200755, -0.00999266, -0.00527457, -0.00440986" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079545");
+            index_3 ("0.95545, 1.23742, 1.30879, 1.4723, 1.6023, 1.70438, 1.79455, 1.87886, 1.96068, 2.04241, 2.0826, 2.12309, 2.2557, 2.32371, 2.38822, 2.48704, 2.56115, 2.62074, 2.73994, 2.76875");
+            values ( \
+              "-0.00914982, -0.0111667, -0.0313957, -0.0909324, -0.129226, -0.151983, -0.16544, -0.173909, -0.176643, -0.172562, -0.163671, -0.14817, -0.0847913, -0.0589367, -0.0405574, -0.0220026, -0.0137219, -0.00928261, -0.004075, -0.00357922" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.317894");
+            index_3 ("0.753408, 0.810781, 0.862701, 0.8955, 1.36956, 1.71585, 2.04125, 2.14778, 2.36084, 2.71276, 3.19689, 3.55277, 4.02668, 4.28041, 4.46541, 4.79701, 5.11823, 5.43921, 5.58809, 5.73814, 6.25059, 6.5005, 6.73674, 7.06236, 7.29232, 7.66008, 8.15041, 8.81409, 9.62729");
+            values ( \
+              "-0.000158607, -0.00168436, -0.0147124, -0.0169996, -0.00889731, -0.0049063, -0.00409633, -0.00598018, -0.0154932, -0.0447215, -0.090671, -0.119824, -0.149883, -0.161655, -0.168402, -0.176771, -0.179953, -0.175478, -0.16729, -0.152811, -0.0891548, -0.0637366, -0.0451762, -0.0272954, -0.0188867, -0.0102999, -0.00433735, -0.00121829, -0.000232583" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27043");
+            index_3 ("0.74788, 0.917732, 2.53453, 3.94343, 5.10554, 5.61251, 6.18645, 6.95171, 11.2678, 13.0743, 13.7388, 14.9009, 15.6485, 16.467, 17.7442, 18.4346, 19.0192, 20.1814, 21.8778, 22.9686, 24.098, 25.29, 26.4526, 27.1185, 28.2807, 29.4428, 30.6049, 34.0912");
+            values ( \
+              "-0.000155398, -0.0187642, -0.0106765, -0.00601803, -0.00401549, -0.00469844, -0.00816252, -0.0184569, -0.115525, -0.146078, -0.154857, -0.167139, -0.172987, -0.177601, -0.180776, -0.179727, -0.17618, -0.154545, -0.100592, -0.0705246, -0.0468701, -0.0296013, -0.0185214, -0.014197, -0.00860407, -0.00533548, -0.0031407, -0.000765588" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.71316, 2.71599, 2.72384, 2.73058, 2.73762, 2.74091, 2.74418, 2.74744, 2.75501, 2.75976, 2.76382, 2.76727, 2.77188, 2.77249");
+            values ( \
+              "-0.0086751, -0.0231587, -0.0464013, -0.0593617, -0.0676896, -0.0690526, -0.0683547, -0.0589035, -0.0240784, -0.0113687, -0.00570464, -0.00315543, -0.00154012, -0.00144402" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498053");
+            index_3 ("2.73193, 2.73791, 2.74215, 2.74433, 2.75352, 2.76397, 2.7726, 2.78035, 2.78761, 2.79464, 2.80166, 2.80869, 2.82383, 2.83108, 2.8403, 2.85148, 2.85344");
+            values ( \
+              "-0.0168885, -0.0288436, -0.0416625, -0.0447276, -0.0741739, -0.0968246, -0.111002, -0.120509, -0.126342, -0.129078, -0.126229, -0.108784, -0.0490925, -0.0297268, -0.0149944, -0.00618942, -0.00555613" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199042");
+            index_3 ("2.78171, 2.8161, 2.85861, 2.88054, 2.89978, 2.92695, 2.95113, 2.97377, 2.99573, 3.01768, 3.02747, 3.03734, 3.0844, 3.10573, 3.13029, 3.14388, 3.16438, 3.19172, 3.19935");
+            values ( \
+              "-0.014947, -0.0267361, -0.0804232, -0.104387, -0.12187, -0.142056, -0.154259, -0.162028, -0.164716, -0.160891, -0.15362, -0.141437, -0.065871, -0.0419122, -0.0238918, -0.0173077, -0.0105199, -0.00523947, -0.00459604" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079545");
+            index_3 ("2.78109, 3.0645, 3.11339, 3.29957, 3.42907, 3.53108, 3.62134, 3.70569, 3.78754, 3.86929, 3.90855, 3.94812, 4.11119, 4.19938, 4.24865, 4.30496, 4.39859, 4.47258, 4.58838");
+            values ( \
+              "-0.00912157, -0.0110997, -0.0239344, -0.0905611, -0.129168, -0.151772, -0.165442, -0.173767, -0.176683, -0.172452, -0.163923, -0.148985, -0.0730921, -0.0444934, -0.0331202, -0.0233157, -0.0128322, -0.00786352, -0.00383396" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.317894");
+            index_3 ("2.52634, 2.60573, 2.63288, 2.73791, 3.234, 3.67878, 3.94933, 4.02364, 4.16403, 4.30872, 5.02973, 5.54186, 5.94328, 6.29755, 6.62849, 6.94963, 7.2704, 7.42717, 7.58517, 8.06129, 8.36597, 8.63399, 9.02326, 9.2969, 9.51383, 9.94769, 10.2538");
+            values ( \
+              "-8.49565e-05, -0.000551491, -0.00189528, -0.0167428, -0.0084134, -0.00393567, -0.00530623, -0.00736335, -0.0139222, -0.0240161, -0.0909893, -0.131143, -0.154385, -0.168563, -0.176948, -0.180012, -0.175584, -0.166732, -0.151013, -0.0914717, -0.0607105, -0.0409093, -0.022175, -0.0142408, -0.00993031, -0.0046708, -0.00312805" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27043");
+            index_3 ("2.5299, 2.78044, 4.60592, 6.60408, 7.45279, 7.99298, 8.71322, 9.87533, 11.9438, 13.1059, 14.9111, 15.5757, 16.7378, 17.4855, 18.304, 19.5811, 20.2716, 20.8562, 22.0184, 23.7149, 24.8056, 25.935, 27.1271, 28.2896, 28.9555, 30.1176, 31.2797, 32.4418, 33.1898");
+            values ( \
+              "-8.51092e-05, -0.0187434, -0.00975783, -0.00430028, -0.00470331, -0.00788423, -0.0171649, -0.0415285, -0.0910406, -0.115544, -0.146075, -0.154849, -0.167139, -0.172989, -0.177595, -0.18077, -0.179721, -0.176183, -0.15454, -0.100595, -0.0705291, -0.046874, -0.0296043, -0.018525, -0.0141937, -0.00860792, -0.00533198, -0.00314441, -0.00263329" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.64672, 9.64956, 9.65046, 9.65227, 9.65656, 9.66002, 9.66784, 9.67183, 9.67549, 9.679, 9.68245, 9.6859, 9.6912, 9.69484, 9.69862, 9.70265, 9.70598, 9.71042, 9.71146");
+            values ( \
+              "-0.0113989, -0.0151719, -0.0173795, -0.0184541, -0.0320281, -0.0393726, -0.0535687, -0.0590189, -0.0630692, -0.0651473, -0.0650317, -0.0568888, -0.0327755, -0.0197575, -0.0108607, -0.00554662, -0.00316875, -0.00159867, -0.00143315" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498053");
+            index_3 ("9.66851, 9.6714, 9.68219, 9.68547, 9.69065, 9.69302, 9.69775, 9.70403, 9.71294, 9.72087, 9.72825, 9.73537, 9.74249, 9.74957, 9.75914, 9.76501, 9.77052, 9.77298, 9.77791, 9.78258, 9.78514, 9.79025, 9.80048, 9.80265");
+            values ( \
+              "-0.0122799, -0.015042, -0.0385621, -0.047951, -0.0643292, -0.0688119, -0.0806242, -0.0927079, -0.108451, -0.117836, -0.124789, -0.127364, -0.125426, -0.107927, -0.0689108, -0.0480495, -0.0329572, -0.0277009, -0.0191577, -0.0134243, -0.0110849, -0.00736582, -0.00322244, -0.00287052" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199042");
+            index_3 ("9.72835, 9.7577, 9.79918, 9.82265, 9.84081, 9.86795, 9.89212, 9.91475, 9.93671, 9.95865, 9.96856, 9.97855, 10.0264, 10.0455, 10.0651, 10.0854, 10.0972, 10.121, 10.1419");
+            values ( \
+              "-0.0212336, -0.0276218, -0.0799517, -0.10555, -0.12207, -0.142067, -0.15439, -0.162008, -0.164818, -0.160856, -0.153521, -0.141084, -0.0645762, -0.0430646, -0.0276079, -0.017073, -0.0128135, -0.00706423, -0.00464126" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079545");
+            index_3 ("9.72726, 10.0071, 10.0805, 10.2404, 10.3699, 10.4716, 10.5618, 10.6463, 10.7281, 10.8108, 10.8483, 10.8871, 11.0505, 11.131, 11.1875, 11.2837, 11.3322, 11.3969, 11.5263, 11.527");
+            values ( \
+              "-0.00893383, -0.0115427, -0.0326773, -0.0909943, -0.129554, -0.151828, -0.165651, -0.173447, -0.176922, -0.172161, -0.164133, -0.149681, -0.0735954, -0.0468662, -0.0334596, -0.0182827, -0.0133963, -0.00875393, -0.00354201, -0.00353229" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.317894");
+            index_3 ("9.30126, 9.49167, 9.54077, 9.70139, 10.1627, 10.6024, 10.8774, 11.012, 11.1915, 11.9714, 12.4842, 12.8197, 13.0145, 13.2386, 13.5701, 13.8912, 14.2121, 14.364, 14.517, 15.06, 15.3566, 15.6912, 15.9381, 16.2818, 16.6679, 17.0375");
+            values ( \
+              "-3.16819e-05, -0.000305279, -0.00140724, -0.0162431, -0.00860735, -0.00404275, -0.00503042, -0.00912486, -0.019476, -0.0909068, -0.131116, -0.151018, -0.160126, -0.168457, -0.176836, -0.179975, -0.175517, -0.167083, -0.152142, -0.0850743, -0.0565615, -0.0342574, -0.023141, -0.0132557, -0.00694465, -0.00402022" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27043");
+            index_3 ("9.31044, 9.48655, 9.53564, 9.73612, 11.2959, 12.5722, 13.5311, 14.4277, 14.8398, 15.3894, 16.2295, 18.5218, 19.584, 20.7461, 21.9432, 22.5102, 23.6442, 24.475, 25.2383, 26.5156, 27.2071, 27.7909, 28.953, 30.6501, 31.7399, 32.8696, 34.0627, 35.2244, 35.8895, 37.0516, 38.2137, 39.3758, 41.7, 42.8621");
+            values ( \
+              "-3.49979e-05, -0.000312026, -0.00146079, -0.01866, -0.0107248, -0.00640323, -0.00432154, -0.00482199, -0.00712632, -0.0131417, -0.0282901, -0.0828061, -0.106268, -0.128563, -0.147391, -0.154808, -0.16684, -0.173317, -0.177569, -0.180754, -0.179706, -0.176162, -0.154542, -0.100571, -0.0705337, -0.0468719, -0.029588, -0.0185188, -0.0142045, -0.00860504, -0.00533981, -0.00313965, -0.0011082, -0.000768153" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.00180533, 0.00180533, 0.00180534, 0.00180534, 0.00180534, 0.00180534", \
+            "0.00207553, 0.00207553, 0.00207553, 0.00207553, 0.00207553, 0.00207553", \
+            "0.00218142, 0.00218142, 0.00218142, 0.00218142, 0.00218142, 0.00218142", \
+            "0.002233, 0.002233, 0.002233, 0.002233, 0.002233, 0.002233", \
+            "0.00225805, 0.00225805, 0.00225805, 0.00225805, 0.00225805, 0.00225805", \
+            "0.00227007, 0.00227007, 0.00227007, 0.00227007, 0.00227007, 0.00227007" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.00230298, 0.00230298, 0.00230298, 0.00230298, 0.00230298, 0.00230298", \
+            "0.00242892, 0.00242893, 0.00242892, 0.00242892, 0.00242891, 0.00242891", \
+            "0.00265926, 0.00265926, 0.00265925, 0.00265924, 0.00265924, 0.00265924", \
+            "0.00341178, 0.00341136, 0.00341112, 0.00341109, 0.0034111, 0.00341111", \
+            "0.00370122, 0.00370158, 0.00370119, 0.00370173, 0.00370034, 0.00370009", \
+            "0.00368884, 0.0036882, 0.00368832, 0.00368834, 0.00368879, 0.0036891" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "~CK";
+        timing_sense : positive_unate;
+        timing_type : clear;
+        when : "!CK";
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.215088, 0.264435, 0.450064, 1.18183, 4.10311, 15.7758", \
+            "0.226344, 0.275679, 0.461437, 1.19344, 4.11388, 15.7862", \
+            "0.274883, 0.324222, 0.50997, 1.2417, 4.16217, 15.8353", \
+            "0.406039, 0.455402, 0.640955, 1.37292, 4.29566, 15.9682", \
+            "0.690742, 0.740714, 0.926177, 1.65815, 4.58104, 16.2524", \
+            "1.41375, 1.46626, 1.65152, 2.38359, 5.30445, 16.9705" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0208497, 0.0451932, 0.14194, 0.529407, 2.07926, 8.27185", \
+            "0.0208467, 0.0451728, 0.141839, 0.529567, 2.07912, 8.27323", \
+            "0.0208541, 0.0451639, 0.141833, 0.529346, 2.0785, 8.27305", \
+            "0.0209167, 0.0452228, 0.142059, 0.529199, 2.07824, 8.27138", \
+            "0.0214247, 0.0453574, 0.141965, 0.529319, 2.0795, 8.26408", \
+            "0.023284, 0.0459008, 0.141734, 0.52934, 2.07875, 8.27778" \
+          );
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195954", \
+            "0.00235268", \
+            "0.00254795", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253645", \
+            "0.00256028", \
+            "0.00289392", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.196744, 0.209774, 0.216318, 0.223231, 0.226472, 0.22971, 0.232937, 0.240689, 0.244619, 0.248166, 0.249528, 0.254541, 0.258056");
+            values ( \
+              "-0.000431824, -0.0483553, -0.0608268, -0.0687789, -0.0698632, -0.0690902, -0.0592831, -0.0233437, -0.0125034, -0.00682898, -0.00539272, -0.00222465, -0.00149532" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498053");
+            index_3 ("0.217741, 0.229362, 0.235837, 0.249295, 0.257885, 0.265585, 0.272815, 0.279824, 0.286826, 0.29384, 0.308899, 0.316391, 0.323034, 0.332581, 0.338631");
+            values ( \
+              "-0.0169897, -0.0445748, -0.0671368, -0.0972649, -0.111849, -0.120818, -0.126956, -0.129266, -0.12665, -0.10882, -0.0493746, -0.0293774, -0.0179185, -0.00855681, -0.00549767" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199042");
+            index_3 ("0.259992, 0.300169, 0.35034, 0.372461, 0.384241, 0.407802, 0.43282, 0.449788, 0.458174, 0.474947, 0.480148, 0.490551, 0.502052, 0.524143, 0.554703, 0.572207, 0.589677, 0.610661, 0.629036, 0.639046, 0.659065, 0.699103, 0.747651");
+            values ( \
+              "-0.00971157, -0.0259654, -0.0883526, -0.111499, -0.121898, -0.139635, -0.153109, -0.15952, -0.161748, -0.164525, -0.16469, -0.164089, -0.160457, -0.138069, -0.0866584, -0.0616613, -0.0424468, -0.026397, -0.0170817, -0.0133821, -0.00817346, -0.00274223, -0.000709469" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079545");
+            index_3 ("0.0786902, 0.128617, 0.164218, 0.188333, 0.212646, 0.346397, 0.435439, 0.474966, 0.524788, 0.558918, 0.609793, 0.817308, 0.913406, 0.971114, 1.01502, 1.10559, 1.18988, 1.27175, 1.35353, 1.39198, 1.43074, 1.59413, 1.67468, 1.73124, 1.8274, 1.87562, 1.93991, 2.06849, 2.07492");
+            values ( \
+              "-0.000379573, -0.00207245, -0.0100303, -0.0124552, -0.0121031, -0.00530835, -0.0025143, -0.00270121, -0.00681215, -0.0132697, -0.0277305, -0.101734, -0.129357, -0.142664, -0.151644, -0.16537, -0.173724, -0.176636, -0.172437, -0.164143, -0.149688, -0.0736004, -0.0468663, -0.0334465, -0.0182774, -0.0134179, -0.00879878, -0.0035781, -0.00348294" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.317894");
+            index_3 ("0.0778467, 0.126625, 0.210638, 0.675542, 1.01128, 1.34865, 1.4585, 1.67821, 2.04474, 2.50911, 2.87393, 3.25059, 3.42284, 3.77863, 4.10949, 4.4308, 4.75175, 4.90186, 5.05317, 5.59965, 5.88783, 6.23994, 6.49833, 6.79246, 7.02955, 7.50371, 7.79732");
+            values ( \
+              "-0.000332364, -0.00212225, -0.0170457, -0.00897004, -0.00504384, -0.00405093, -0.00592529, -0.0158208, -0.0465795, -0.0906807, -0.12043, -0.145019, -0.154108, -0.168425, -0.176823, -0.179942, -0.175518, -0.167208, -0.152529, -0.0850736, -0.0572583, -0.03378, -0.0223739, -0.0138944, -0.00936738, -0.00406202, -0.00280233" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27043");
+            index_3 ("0.0779488, 0.243973, 1.8555, 3.29343, 4.45737, 4.94088, 5.48159, 6.20253, 7.20334, 9.2965, 10.2092, 11.466, 12.3668, 13.0563, 14.2202, 14.9628, 15.7847, 17.0619, 17.7413, 18.337, 19.5009, 21.1851, 22.2972, 23.7427, 24.9843, 26.1025, 26.8157, 27.8673, 29.0313, 30.1952, 31.3592, 33.687");
+            values ( \
+              "-0.000317924, -0.0188785, -0.0106606, -0.00592953, -0.00401967, -0.00471578, -0.00793037, -0.0172839, -0.0378841, -0.0881296, -0.107967, -0.131619, -0.145711, -0.154858, -0.167147, -0.172958, -0.177604, -0.18078, -0.179769, -0.176175, -0.1545, -0.10091, -0.0702566, -0.0414494, -0.0254732, -0.0163118, -0.0120402, -0.00783022, -0.00465919, -0.00292273, -0.00166543, -0.00056584" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.233061, 0.243323, 0.245434, 0.251927, 0.258845, 0.262086, 0.265324, 0.268837, 0.276038, 0.280824, 0.284837, 0.288211, 0.292711, 0.293382");
+            values ( \
+              "-0.00163125, -0.0421217, -0.048309, -0.0607274, -0.068724, -0.069913, -0.0690629, -0.058132, -0.0243461, -0.0114027, -0.00576491, -0.00323163, -0.0015779, -0.00147082" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498053");
+            index_3 ("0.251163, 0.267497, 0.272177, 0.274367, 0.278747, 0.291803, 0.296272, 0.308239, 0.315236, 0.322238, 0.329923, 0.345006, 0.352398, 0.36069, 0.372823, 0.377349");
+            values ( \
+              "-0.00253379, -0.0523638, -0.0715138, -0.0735378, -0.0862986, -0.110309, -0.115953, -0.12705, -0.129168, -0.126711, -0.106639, -0.0470732, -0.0282732, -0.015215, -0.00573681, -0.0043979" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199042");
+            index_3 ("0.29798, 0.335985, 0.350535, 0.379642, 0.399244, 0.42007, 0.447221, 0.471414, 0.49405, 0.516014, 0.537954, 0.547909, 0.557942, 0.586008, 0.606144, 0.628321, 0.6416, 0.657788, 0.674257, 0.687127, 0.712868, 0.738592");
+            values ( \
+              "-0.0117505, -0.0259684, -0.0431208, -0.0816783, -0.103067, -0.121555, -0.142341, -0.153982, -0.162306, -0.164459, -0.161149, -0.153467, -0.140952, -0.0937485, -0.0639613, -0.0398072, -0.0294646, -0.0201058, -0.0135543, -0.00989545, -0.00514477, -0.00305794" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079545");
+            index_3 ("0.297146, 0.583472, 0.634131, 0.877196, 0.948748, 1.05074, 1.141, 1.22535, 1.30719, 1.38895, 1.42824, 1.46784, 1.63087, 1.70666, 1.78366, 1.86351, 1.91131, 2.00691, 2.13093");
+            values ( \
+              "-0.00909072, -0.0109214, -0.0242477, -0.109442, -0.129138, -0.15181, -0.165406, -0.173803, -0.176647, -0.172487, -0.163915, -0.148959, -0.0730999, -0.0478367, -0.0301297, -0.0182225, -0.0133966, -0.00705009, -0.00328838" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.317894");
+            index_3 ("0.113403, 0.158185, 0.209877, 0.248725, 0.724544, 1.06212, 1.39678, 1.49289, 1.68513, 2.04947, 2.54423, 2.89612, 3.38231, 3.61191, 3.81299, 4.14462, 4.46586, 4.78684, 4.93536, 5.08504, 5.59916, 5.84701, 6.08436, 6.4128, 6.64024, 7.00444, 7.49003, 8.17713, 9.01889");
+            values ( \
+              "-0.00032952, -0.00170578, -0.0144748, -0.0170846, -0.00875395, -0.0048814, -0.00420204, -0.00587129, -0.0140867, -0.0436252, -0.0906476, -0.119466, -0.150265, -0.16096, -0.168416, -0.176741, -0.179972, -0.175452, -0.167315, -0.152895, -0.0890488, -0.0638375, -0.0451762, -0.0271718, -0.0188767, -0.0103566, -0.00440355, -0.00116652, -0.000203419" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27043");
+            index_3 ("0.10808, 0.276434, 1.94655, 3.64402, 4.72154, 5.13636, 5.96598, 7.12992, 9.45108, 10.615, 12.4205, 13.0852, 14.4969, 14.9922, 15.8139, 16.9779, 17.318, 17.7714, 18.3665, 19.5304, 21.2149, 22.3265, 23.7724, 25.0728, 26.1159, 26.7894, 27.8497, 29.0136, 30.1776, 31.3415, 33.6694");
+            values ( \
+              "-0.000315808, -0.0188992, -0.0103888, -0.00513194, -0.0041866, -0.00534994, -0.0131635, -0.0354473, -0.0909146, -0.115498, -0.146048, -0.154796, -0.169206, -0.17296, -0.177561, -0.180742, -0.180717, -0.179731, -0.176191, -0.154472, -0.100926, -0.0702887, -0.0414733, -0.0248944, -0.0163892, -0.0123486, -0.00795811, -0.00477947, -0.00295432, -0.00172621, -0.00060449" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.380587, 0.384003, 0.3864, 0.388279, 0.397964, 0.404884, 0.408126, 0.411365, 0.414592, 0.421904, 0.425363, 0.430329, 0.435987, 0.441902, 0.442397");
+            values ( \
+              "-0.00100082, -0.025313, -0.0317072, -0.0405067, -0.060622, -0.0686492, -0.0699433, -0.0690092, -0.0593304, -0.024986, -0.0146796, -0.00633351, -0.00236997, -0.000936744, -0.00092212" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498053");
+            index_3 ("0.399587, 0.420306, 0.427191, 0.430665, 0.437614, 0.439225, 0.446921, 0.461166, 0.46817, 0.475181, 0.490592, 0.496079, 0.503425, 0.510642, 0.515748, 0.52596, 0.539864, 0.557778");
+            values ( \
+              "-0.00802587, -0.0770075, -0.0888944, -0.0988198, -0.108169, -0.112319, -0.121378, -0.129735, -0.126211, -0.109215, -0.0482497, -0.0331311, -0.0193041, -0.0110594, -0.00745855, -0.00316395, -0.00101085, -0.000206553" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199042");
+            index_3 ("0.445949, 0.482266, 0.525524, 0.546162, 0.566284, 0.593448, 0.617626, 0.640262, 0.662226, 0.684166, 0.694049, 0.70401, 0.751494, 0.77078, 0.7913, 0.810934, 0.822127, 0.844513, 0.864428");
+            values ( \
+              "-0.013401, -0.0260682, -0.0810256, -0.103608, -0.121747, -0.142185, -0.154156, -0.162149, -0.164618, -0.161001, -0.153537, -0.141166, -0.0650815, -0.0432581, -0.0271834, -0.0170711, -0.0130235, -0.0074446, -0.00504178" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079545");
+            index_3 ("0.445353, 0.729616, 0.786908, 0.965485, 1.09512, 1.19691, 1.28707, 1.37155, 1.4534, 1.53548, 1.57323, 1.61158, 1.7415, 1.80565, 1.8654, 1.94734, 2.03808, 2.10112, 2.22721, 2.31036");
+            values ( \
+              "-0.00925947, -0.0109027, -0.0262854, -0.090692, -0.129326, -0.151655, -0.1655, -0.173526, -0.176795, -0.172226, -0.164249, -0.150044, -0.0878204, -0.0626064, -0.0444624, -0.0270086, -0.0152029, -0.0100675, -0.0041903, -0.00272513" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.317894");
+            index_3 ("0.260291, 0.306941, 0.35818, 0.398763, 0.883959, 1.22396, 1.55607, 1.63912, 1.80524, 2.13745, 2.69252, 3.02517, 3.20578, 3.56701, 3.82989, 3.96071, 4.29208, 4.43155, 4.61255, 4.93179, 5.02291, 5.11697, 5.28446, 5.88788, 6.22882, 6.38977, 6.60437, 6.79268, 7.07691, 7.45588, 7.76064");
+            values ( \
+              "-0.000303613, -0.00184447, -0.0145945, -0.0170821, -0.00860365, -0.00477268, -0.00432269, -0.00585404, -0.0125137, -0.0380749, -0.0907287, -0.118133, -0.131011, -0.152216, -0.163808, -0.16842, -0.17675, -0.178767, -0.179898, -0.175495, -0.171244, -0.164471, -0.146679, -0.07394, -0.0453377, -0.0355067, -0.0253271, -0.0187265, -0.0117556, -0.00613618, -0.00412052" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27043");
+            index_3 ("0.256693, 0.427253, 2.15662, 3.91163, 4.93413, 5.28541, 5.98799, 7.15192, 9.59954, 10.7635, 12.5703, 13.2348, 14.3988, 15.1417, 15.9635, 17.2408, 17.9208, 18.516, 19.6799, 21.3644, 22.476, 23.9219, 25.2124, 26.2682, 26.9486, 28.0075, 29.1715, 30.3354, 30.7946");
+            values ( \
+              "-0.000289815, -0.0188884, -0.0101633, -0.00489476, -0.00426926, -0.00536689, -0.0114603, -0.0325839, -0.090917, -0.115464, -0.146036, -0.154821, -0.167126, -0.172944, -0.177583, -0.180763, -0.179752, -0.176173, -0.154491, -0.100908, -0.0702686, -0.0414546, -0.0249769, -0.01639, -0.0122802, -0.00794978, -0.00474377, -0.00296306, -0.00265011" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.9025, 0.90541, 0.907833, 0.910572, 0.910827, 0.915512, 0.921771, 0.925649, 0.929675, 0.932903, 0.936444, 0.945406, 0.951904, 0.956632");
+            values ( \
+              "-0.00593956, -0.0249451, -0.0301947, -0.0436967, -0.0426123, -0.0530193, -0.0634736, -0.0676974, -0.0697398, -0.0688437, -0.0581163, -0.0185306, -0.00623358, -0.00344622" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498053");
+            index_3 ("0.92017, 0.927259, 0.934801, 0.941807, 0.95257, 0.961164, 0.96888, 0.976115, 0.983126, 0.990131, 0.997146, 1.00898, 1.01653, 1.02183, 1.02918, 1.03609, 1.04112, 1.04348");
+            values ( \
+              "-0.0158975, -0.0303404, -0.051431, -0.0736581, -0.0972817, -0.11152, -0.120898, -0.12674, -0.129374, -0.126492, -0.108935, -0.0604736, -0.0368007, -0.0251792, -0.0144601, -0.0084626, -0.00569022, -0.00510755" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199042");
+            index_3 ("0.973675, 1.00334, 1.02431, 1.04055, 1.06196, 1.08717, 1.10903, 1.13846, 1.16113, 1.1831, 1.20505, 1.22711, 1.2581, 1.27427, 1.28714, 1.30029, 1.32529, 1.34125, 1.35362, 1.37838, 1.42408, 1.47846");
+            values ( \
+              "-0.0221442, -0.0261906, -0.0507134, -0.0735164, -0.097913, -0.12196, -0.138405, -0.154305, -0.161795, -0.164808, -0.160709, -0.138201, -0.086111, -0.0629351, -0.0479898, -0.0359013, -0.0200376, -0.013679, -0.0101023, -0.00540435, -0.001491, -0.000303054" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079545");
+            index_3 ("0.958787, 1.25241, 1.31216, 1.48768, 1.57167, 1.61719, 1.70824, 1.74067, 1.80918, 1.8936, 1.93179, 1.97525, 2.05734, 2.08051, 2.10523, 2.14645, 2.29987, 2.3535, 2.38667, 2.42796, 2.48302, 2.5301, 2.60123, 2.69606, 2.77947");
+            values ( \
+              "-0.00846584, -0.0110426, -0.0273143, -0.0905089, -0.117098, -0.12911, -0.149736, -0.155551, -0.16556, -0.173676, -0.175556, -0.176766, -0.17218, -0.167976, -0.161056, -0.144129, -0.0727915, -0.0540759, -0.0446298, -0.0348758, -0.0247877, -0.018416, -0.0116431, -0.00615003, -0.00396623" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.317894");
+            index_3 ("0.760162, 0.829063, 0.882866, 0.929297, 1.4581, 1.65335, 1.96826, 2.11667, 2.29272, 2.52745, 3.2187, 3.60459, 3.9577, 4.1313, 4.48675, 4.81757, 5.13879, 5.45963, 5.61342, 5.76841, 6.40953, 6.75386, 6.92806, 7.16033, 7.32031, 7.56323, 7.88713, 8.22744");
+            values ( \
+              "-0.000176441, -0.00185524, -0.0144506, -0.0170374, -0.00794279, -0.00567725, -0.00365687, -0.00489685, -0.0106591, -0.0263679, -0.0909973, -0.122233, -0.145081, -0.154239, -0.168505, -0.17689, -0.179982, -0.175553, -0.166947, -0.151698, -0.0743216, -0.0453818, -0.0348219, -0.0241063, -0.0186469, -0.0125367, -0.00724961, -0.00456802" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27043");
+            index_3 ("0.749829, 0.948353, 1.95691, 3.00333, 3.79879, 4.96273, 5.80567, 6.44158, 7.28946, 10.8862, 12.0501, 12.8393, 13.755, 15.1644, 16.4813, 17.7584, 18.4378, 19.0336, 20.1975, 21.8816, 22.9938, 24.1179, 25.2853, 26.4661, 27.1509, 28.3148, 29.4788, 30.6427, 32.9706, 34.1345");
+            values ( \
+              "-0.000172708, -0.0189049, -0.0133204, -0.00894543, -0.00644321, -0.00409513, -0.00540442, -0.0106895, -0.0245416, -0.107555, -0.129702, -0.142421, -0.154896, -0.169269, -0.177615, -0.18079, -0.17978, -0.176167, -0.154509, -0.100903, -0.0702483, -0.0467569, -0.0298094, -0.0185106, -0.0141019, -0.00851644, -0.0052987, -0.00309432, -0.00108271, -0.000769681" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.74775, 2.75106, 2.752, 2.75306, 2.75543, 2.76215, 2.76627, 2.76996, 2.7734, 2.77672, 2.78001, 2.7833, 2.79058, 2.79426, 2.79665, 2.79902, 2.80319, 2.80508");
+            values ( \
+              "-0.0145059, -0.020332, -0.0239186, -0.0235772, -0.0346249, -0.0507525, -0.0582204, -0.0633815, -0.0668507, -0.0685858, -0.0677825, -0.0586676, -0.02502, -0.014409, -0.00947933, -0.00632484, -0.00304788, -0.00245922" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498053");
+            index_3 ("2.76446, 2.79357, 2.80802, 2.81577, 2.82302, 2.83005, 2.83706, 2.84475, 2.85922, 2.86646, 2.87567, 2.88047, 2.88687, 2.89966, 2.91485, 2.93433");
+            values ( \
+              "-0.000608995, -0.0850469, -0.111357, -0.12016, -0.126762, -0.128893, -0.126608, -0.106609, -0.0490506, -0.0298214, -0.0149333, -0.0103027, -0.00626006, -0.00204667, -0.000622686, -0.000103579" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199042");
+            index_3 ("2.82109, 2.85142, 2.90105, 2.93486, 2.96196, 2.98609, 3.00875, 3.03071, 3.05265, 3.0747, 3.12181, 3.148, 3.17298, 3.20075, 3.22495, 3.23048");
+            values ( \
+              "-0.0203449, -0.0269242, -0.0891594, -0.122483, -0.14218, -0.15461, -0.161701, -0.165044, -0.160587, -0.138386, -0.063013, -0.0357922, -0.0199759, -0.0102453, -0.00552721, -0.00504506" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079545");
+            index_3 ("2.82017, 3.09979, 3.16153, 3.33415, 3.46361, 3.54997, 3.65554, 3.74002, 3.82186, 3.90364, 3.94213, 3.98093, 4.16423, 4.2377, 4.27767, 4.34605, 4.43769, 4.51318, 4.57799");
+            values ( \
+              "-0.00921372, -0.0112781, -0.0283276, -0.0905637, -0.129138, -0.148858, -0.165328, -0.173747, -0.176613, -0.172458, -0.164131, -0.149654, -0.0661088, -0.0445165, -0.0350411, -0.0228493, -0.0127295, -0.00772243, -0.00552027" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.317894");
+            index_3 ("2.54075, 2.62615, 2.66094, 2.73484, 2.77997, 3.29908, 3.48814, 3.80862, 4.01265, 4.16558, 4.45713, 4.96738, 5.25913, 5.57746, 5.97914, 6.33354, 6.66455, 6.98575, 7.30657, 7.46129, 7.61724, 8.10256, 8.25845, 8.55575, 8.85675, 9.17184, 9.36094, 9.73914, 10.2351");
+            values ( \
+              "-9.6544e-05, -0.000450664, -0.00159955, -0.0139745, -0.0167588, -0.0080231, -0.00579867, -0.00365698, -0.00594782, -0.0119642, -0.0334058, -0.0820427, -0.107431, -0.131062, -0.15429, -0.168539, -0.176888, -0.180012, -0.175542, -0.166878, -0.151484, -0.0908963, -0.0741339, -0.0485453, -0.0306625, -0.0185178, -0.0135903, -0.00712632, -0.00326498" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27043");
+            index_3 ("2.54014, 2.65722, 2.80394, 4.4517, 6.16153, 7.32013, 7.65622, 8.3284, 9.49234, 11.5515, 12.8141, 14.5441, 15.6068, 16.3615, 17.016, 18.1799, 18.6452, 19.6118, 20.5714, 20.8831, 21.5066, 22.2796, 24.0482, 24.6868, 26.04, 26.6659, 27.5004, 28.6734, 29.4577, 30.6216, 31.7856, 32.9495, 35.2774");
+            values ( \
+              "-9.72878e-05, -0.00163536, -0.018868, -0.0104896, -0.0051827, -0.0042777, -0.00538217, -0.0110617, -0.0319169, -0.0812837, -0.109054, -0.140099, -0.15479, -0.163244, -0.169164, -0.176876, -0.178849, -0.180751, -0.178464, -0.176213, -0.167416, -0.147395, -0.0914792, -0.0741527, -0.0454903, -0.0358265, -0.0257986, -0.0160188, -0.011615, -0.00705522, -0.00432147, -0.00258004, -0.000926072" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.71692, 9.72102, 9.7238, 9.72878, 9.73927, 9.74336, 9.7471, 9.75066, 9.75416, 9.75765, 9.76522, 9.76881, 9.77396, 9.77977, 9.78338");
+            values ( \
+              "-0.0100625, -0.0159656, -0.018277, -0.03302, -0.0519186, -0.0579113, -0.0617928, -0.0643287, -0.0641832, -0.0565427, -0.0240673, -0.0141057, -0.00601396, -0.00224353, -0.00145704" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498053");
+            index_3 ("9.74015, 9.75479, 9.76125, 9.77542, 9.78426, 9.79214, 9.79947, 9.80656, 9.81364, 9.82069, 9.83608, 9.84161, 9.84903, 9.86126, 9.8714, 9.87766");
+            values ( \
+              "-0.00668539, -0.0408576, -0.0615911, -0.0936412, -0.109031, -0.11883, -0.125344, -0.128171, -0.125784, -0.108363, -0.0482157, -0.0330111, -0.0191291, -0.00741557, -0.0032624, -0.00223792" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199042");
+            index_3 ("9.79775, 9.82812, 9.87034, 9.89151, 9.91117, 9.9383, 9.96246, 9.98508, 10.007, 10.029, 10.0392, 10.053, 10.0867, 10.106, 10.1214, 10.1477, 10.1674, 10.1832, 10.2149, 10.2189");
+            values ( \
+              "-0.019735, -0.0274877, -0.0812451, -0.104344, -0.121982, -0.142339, -0.154276, -0.162231, -0.16469, -0.161042, -0.153238, -0.134766, -0.0785351, -0.0532214, -0.0380232, -0.0206173, -0.0128588, -0.00870599, -0.00382317, -0.00358333" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079545");
+            index_3 ("9.7978, 10.0762, 10.1301, 10.3107, 10.4408, 10.5428, 10.633, 10.7173, 10.7992, 10.8809, 10.9209, 10.9612, 11.0944, 11.1602, 11.2277, 11.3266, 11.397, 11.453, 11.5649, 11.6088");
+            values ( \
+              "-0.00934692, -0.0112359, -0.0256859, -0.0907827, -0.129302, -0.151879, -0.165522, -0.173815, -0.176726, -0.172473, -0.163715, -0.148315, -0.0846858, -0.0596, -0.0403169, -0.0218561, -0.0139582, -0.00967953, -0.00449376, -0.00366726" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.317894");
+            index_3 ("9.31829, 9.52497, 9.59446, 9.7694, 10.1444, 10.5201, 10.7016, 10.9079, 11.0014, 11.1884, 11.5393, 12.0387, 12.3874, 12.5521, 12.8816, 13.0947, 13.3067, 13.6382, 13.9594, 14.2804, 14.4305, 14.5819, 15.1283, 15.4167, 15.7684, 16.0269, 16.3213, 16.5586, 16.8094, 17.1524, 17.201");
+            values ( \
+              "-3.60195e-05, -0.000216827, -0.0011599, -0.0165593, -0.00984878, -0.00525537, -0.00386838, -0.00444418, -0.00633385, -0.0147127, -0.0433623, -0.0907757, -0.119374, -0.131055, -0.150628, -0.160575, -0.168433, -0.176791, -0.179971, -0.175486, -0.167201, -0.152523, -0.0850701, -0.0572393, -0.0337872, -0.0223795, -0.0138939, -0.00935804, -0.00631907, -0.00354367, -0.00331727" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27043");
+            index_3 ("9.32146, 9.51416, 9.58365, 9.78655, 10.7152, 11.5468, 12.9276, 14.0916, 14.6303, 15.7078, 16.6558, 18.5408, 19.6872, 20.8512, 21.7, 22.5647, 23.9782, 24.4772, 25.296, 26.5738, 26.8015, 27.257, 27.8493, 29.0133, 30.6993, 31.8085, 32.9334, 34.1044, 35.2826, 35.9646, 37.1286, 38.2925, 39.4565, 41.7843, 42.9483");
+            values ( \
+              "-4.02017e-05, -0.000223949, -0.0012087, -0.0188314, -0.0136209, -0.00996581, -0.00563223, -0.00405733, -0.00539811, -0.0170392, -0.0363401, -0.0817093, -0.107035, -0.129218, -0.142925, -0.154638, -0.169106, -0.172868, -0.177493, -0.180698, -0.180647, -0.179689, -0.176139, -0.154473, -0.100868, -0.0703006, -0.0467874, -0.029794, -0.0185273, -0.0141, -0.00854352, -0.00528739, -0.00311534, -0.00110137, -0.000753235" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.00180696, 0.00180697, 0.00180697, 0.00180697, 0.00180697, 0.00180697", \
+            "0.00207649, 0.00207648, 0.00207648, 0.00207648, 0.00207648, 0.00207648", \
+            "0.00218112, 0.00218112, 0.00218112, 0.00218112, 0.00218112, 0.00218112", \
+            "0.00223187, 0.00223187, 0.00223188, 0.00223188, 0.00223188, 0.00223188", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00226943, 0.00226943, 0.00226943, 0.00226943, 0.00226943, 0.00226943" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.00230604, 0.00230604, 0.00230604, 0.00230604, 0.00230604, 0.00230604", \
+            "0.00242653, 0.00242651, 0.0024265, 0.0024265, 0.0024265, 0.0024265", \
+            "0.00263743, 0.00263743, 0.00263743, 0.00263742, 0.00263742, 0.00263742", \
+            "0.00325301, 0.00325301, 0.00325309, 0.00325318, 0.00325323, 0.00325324", \
+            "0.00368661, 0.00368805, 0.00368824, 0.00368852, 0.00368775, 0.00368745", \
+            "0.00367342, 0.00367575, 0.00367498, 0.00367465, 0.00367417, 0.00367964" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        timing_sense : positive_unate;
+        timing_type : clear;
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.214892, 0.264223, 0.450512, 1.1826, 4.10405, 15.7737", \
+            "0.226152, 0.27548, 0.461758, 1.19388, 4.11596, 15.7882", \
+            "0.274702, 0.324021, 0.510305, 1.24231, 4.16435, 15.8388", \
+            "0.40579, 0.455166, 0.641207, 1.37372, 4.29816, 15.9665", \
+            "0.690336, 0.740415, 0.926586, 1.65893, 4.58241, 16.2521", \
+            "1.4147, 1.46864, 1.65551, 2.38707, 5.30804, 16.9733" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0207885, 0.0452264, 0.142146, 0.529325, 2.07991, 8.2749", \
+            "0.0207845, 0.0452265, 0.142146, 0.529309, 2.07973, 8.26382", \
+            "0.020788, 0.0452235, 0.142155, 0.529279, 2.07808, 8.27144", \
+            "0.0208676, 0.0452536, 0.14226, 0.529552, 2.07878, 8.2732", \
+            "0.0213807, 0.0454355, 0.142044, 0.529525, 2.07873, 8.27321", \
+            "0.0235673, 0.0465759, 0.141776, 0.529474, 2.07816, 8.26902" \
+          );
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195954", \
+            "0.00235268", \
+            "0.00254795", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253645", \
+            "0.00256028", \
+            "0.00289392", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.196831, 0.20953, 0.216019, 0.222916, 0.226149, 0.229379, 0.232889, 0.240339, 0.244283, 0.247813, 0.249174, 0.25413, 0.259546, 0.267138, 0.289773");
+            values ( \
+              "-1.78783e-05, -0.0487728, -0.0610995, -0.0689815, -0.0699862, -0.069228, -0.0581585, -0.0234047, -0.0125061, -0.00685297, -0.00541214, -0.00225844, -0.00109816, -0.000210786, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498053");
+            index_3 ("0.217895, 0.2228, 0.228613, 0.231906, 0.236474, 0.23875, 0.243301, 0.24911, 0.257699, 0.265406, 0.272644, 0.279658, 0.286665, 0.294356, 0.303359, 0.308765, 0.315131, 0.318393, 0.322743, 0.328696, 0.332635, 0.340514, 0.341355");
+            values ( \
+              "-0.0180342, -0.0281449, -0.0442535, -0.0539087, -0.0703978, -0.0742078, -0.0862413, -0.0969442, -0.112086, -0.120451, -0.12708, -0.128933, -0.126728, -0.106638, -0.0686845, -0.0492495, -0.0318194, -0.0251278, -0.0181923, -0.0114832, -0.00850065, -0.0044569, -0.00426746" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199042");
+            index_3 ("0.268159, 0.300689, 0.344025, 0.364722, 0.384849, 0.412035, 0.436228, 0.458873, 0.480844, 0.502791, 0.524851, 0.571998, 0.598063, 0.623062, 0.65124, 0.675848, 0.676621");
+            values ( \
+              "-0.0180009, -0.0260743, -0.0808239, -0.10345, -0.121658, -0.142052, -0.154113, -0.162059, -0.164606, -0.16094, -0.137999, -0.0629683, -0.0358739, -0.0200237, -0.0101338, -0.00544366, -0.00537654" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079545");
+            index_3 ("0.0788729, 0.112374, 0.130006, 0.168607, 0.187898, 0.219605, 0.292324, 0.352423, 0.440277, 0.481207, 0.532458, 0.56298, 0.609859, 0.78487, 0.882417, 0.971826, 1.01611, 1.10664, 1.19095, 1.27281, 1.35458, 1.39332, 1.43236, 1.55786, 1.59563, 1.67605, 1.73079, 1.79335, 1.8283, 1.88162, 1.9527, 2.09487, 2.27809");
+            values ( \
+              "-0.000370892, -0.000939452, -0.00210601, -0.0109112, -0.0125987, -0.0117732, -0.0077693, -0.00508333, -0.00246486, -0.0028974, -0.00786218, -0.0140283, -0.0274794, -0.0907916, -0.120635, -0.142631, -0.151677, -0.165399, -0.173738, -0.176655, -0.172441, -0.164066, -0.149445, -0.0891439, -0.0734249, -0.0467785, -0.0337502, -0.0228274, -0.0183033, -0.0129999, -0.00813245, -0.00294948, -0.000721081" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.317894");
+            index_3 ("0.0773273, 0.12738, 0.172529, 0.203507, 0.693679, 1.04145, 1.37449, 1.45634, 1.62004, 1.94745, 2.50965, 3.02296, 3.42447, 3.77901, 4.11008, 4.43131, 4.75217, 4.90537, 5.05978, 5.70112, 6.0463, 6.2238, 6.46048, 6.61331, 6.8457, 7.15555, 7.68647");
+            values ( \
+              "-0.000320824, -0.00216682, -0.0144123, -0.0169658, -0.00872097, -0.00478247, -0.00432746, -0.0058655, -0.0123737, -0.0374226, -0.0907365, -0.131033, -0.154207, -0.168533, -0.176833, -0.180023, -0.175501, -0.166986, -0.151835, -0.0744209, -0.0453879, -0.0346481, -0.0238144, -0.0186335, -0.0127493, -0.00755636, -0.00313417" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27043");
+            index_3 ("0.0691766, 0.240139, 1.90015, 3.32886, 4.49274, 5.09737, 6.26126, 10.5705, 12.3802, 13.0447, 14.2086, 14.953, 15.7741, 17.0515, 17.733, 18.3268, 19.4907, 21.1761, 22.2863, 23.3885, 24.6572, 25.7633, 27.5365, 28.7004, 29.8643, 33.356");
+            values ( \
+              "-0.000305882, -0.0187728, -0.0104511, -0.0058223, -0.00402058, -0.00537315, -0.018375, -0.115316, -0.145965, -0.154771, -0.167072, -0.172906, -0.177562, -0.180751, -0.17974, -0.176151, -0.154497, -0.100874, -0.0702768, -0.0471869, -0.0289215, -0.0185065, -0.00879622, -0.00546174, -0.00320294, -0.000786959" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.232781, 0.24402, 0.25162, 0.255181, 0.258522, 0.261757, 0.264989, 0.268209, 0.275779, 0.280429, 0.284494, 0.287853, 0.292099, 0.299076, 0.307713");
+            values ( \
+              "-0.000922112, -0.0454853, -0.0606023, -0.0655578, -0.0686249, -0.0702685, -0.0689638, -0.0595497, -0.0240062, -0.0114418, -0.00569706, -0.00317239, -0.00171572, -0.000411532, -0.000353139" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498053");
+            index_3 ("0.25349, 0.257985, 0.264853, 0.271748, 0.284629, 0.293212, 0.300925, 0.308163, 0.315178, 0.322186, 0.329207, 0.344284, 0.350651, 0.358276, 0.364211, 0.375859");
+            values ( \
+              "-0.0181362, -0.0272352, -0.0453797, -0.0689409, -0.0972862, -0.111756, -0.120738, -0.126815, -0.12917, -0.126509, -0.10878, -0.0492546, -0.0318276, -0.0181613, -0.0115017, -0.0045659" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199042");
+            index_3 ("0.303317, 0.336199, 0.376673, 0.405951, 0.420335, 0.447524, 0.47173, 0.494374, 0.516346, 0.538294, 0.560353, 0.607498, 0.633569, 0.658567, 0.686724, 0.711311, 0.715105");
+            values ( \
+              "-0.0175308, -0.026073, -0.0770223, -0.108853, -0.121897, -0.141792, -0.154349, -0.161826, -0.164826, -0.160723, -0.138208, -0.0629596, -0.0358693, -0.0200202, -0.0101439, -0.00544353, -0.00511496" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079545");
+            index_3 ("0.303126, 0.585769, 0.643341, 0.82053, 0.918047, 1.00746, 1.05175, 1.14227, 1.22658, 1.30844, 1.39021, 1.429, 1.46809, 1.63134, 1.71951, 1.76613, 1.82829, 1.91818, 1.99056, 2.09662");
+            values ( \
+              "-0.0090942, -0.0111787, -0.0268061, -0.0908137, -0.120643, -0.142638, -0.151687, -0.165402, -0.173744, -0.176655, -0.172446, -0.164052, -0.149398, -0.0733905, -0.0446914, -0.0338097, -0.0229273, -0.0129211, -0.0080116, -0.00422328" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.317894");
+            index_3 ("0.112943, 0.16241, 0.210014, 0.241918, 0.653597, 0.936484, 1.212, 1.4147, 1.50516, 1.68606, 2.03391, 2.54562, 3.05894, 3.46008, 3.81504, 4.14609, 4.46731, 4.78815, 4.94201, 5.09709, 5.73817, 6.0824, 6.25617, 6.48786, 6.64877, 6.89305, 7.21876, 7.7337");
+            values ( \
+              "-0.000323289, -0.0021946, -0.0148035, -0.0170334, -0.00981641, -0.00614467, -0.00386977, -0.00440523, -0.00626568, -0.0141183, -0.04221, -0.0907187, -0.131, -0.154258, -0.168494, -0.176896, -0.179978, -0.175558, -0.166941, -0.151681, -0.0743097, -0.0453802, -0.0348436, -0.0241452, -0.0186495, -0.0125103, -0.00721012, -0.00315405" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27043");
+            index_3 ("0.111702, 0.270832, 1.21038, 2.11169, 2.85124, 3.95506, 4.88036, 5.1424, 5.66648, 6.71464, 9.03856, 10.2958, 12.0752, 13.0916, 13.8456, 14.5007, 15.6646, 16.13, 17.0965, 18.056, 18.3678, 18.9914, 19.7642, 21.5329, 22.1715, 23.023, 23.5247, 24.1506, 24.9851, 25.5698, 26.158, 26.9423, 28.1062, 29.2701, 30.434, 32.7617");
+            values ( \
+              "-0.000309465, -0.0187828, -0.0137575, -0.00980915, -0.00725829, -0.00456831, -0.00443134, -0.00540896, -0.00938338, -0.0263047, -0.0813631, -0.109017, -0.140802, -0.154781, -0.163258, -0.169153, -0.176895, -0.178868, -0.180769, -0.17845, -0.17623, -0.167399, -0.147411, -0.0914952, -0.0741686, -0.0547643, -0.0454747, -0.0358108, -0.0258141, -0.0203353, -0.0160033, -0.0116307, -0.0070399, -0.00433727, -0.00256471, -0.000910655" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.381789, 0.387941, 0.391971, 0.397838, 0.401392, 0.404733, 0.407967, 0.411198, 0.414707, 0.421643, 0.42522, 0.42924, 0.435531, 0.440076");
+            values ( \
+              "-0.0143167, -0.0397992, -0.0498154, -0.0608487, -0.0653855, -0.0688091, -0.0701211, -0.0691183, -0.0582282, -0.0253879, -0.0145264, -0.00740175, -0.00256596, -0.00130315" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498053");
+            index_3 ("0.397438, 0.417539, 0.42554, 0.439144, 0.446857, 0.454093, 0.461108, 0.468116, 0.475136, 0.490212, 0.504225, 0.510133, 0.521184");
+            values ( \
+              "-0.00273714, -0.0683629, -0.0874688, -0.111684, -0.120818, -0.126757, -0.129232, -0.126462, -0.108828, -0.049268, -0.0181299, -0.0115125, -0.00491861" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199042");
+            index_3 ("0.44135, 0.48216, 0.496652, 0.525979, 0.545513, 0.566434, 0.593607, 0.617816, 0.640463, 0.662434, 0.684381, 0.708636, 0.736745, 0.755036, 0.772819, 0.780736, 0.796569, 0.81064, 0.833442, 0.863845, 0.887029");
+            values ( \
+              "-0.0092095, -0.0259159, -0.0428113, -0.081522, -0.102814, -0.121453, -0.142208, -0.153933, -0.162216, -0.164443, -0.161089, -0.134978, -0.0870245, -0.0611493, -0.0417813, -0.0349744, -0.0242361, -0.017359, -0.00996483, -0.00454232, -0.00286529" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079545");
+            index_3 ("0.440733, 0.731718, 0.789173, 0.966284, 1.09587, 1.19761, 1.28777, 1.37224, 1.45408, 1.53677, 1.574, 1.61243, 1.75175, 1.82435, 1.88178, 1.98049, 2.05447, 2.11397, 2.23296, 2.29459");
+            values ( \
+              "-0.00847703, -0.011218, -0.0268216, -0.0908158, -0.129417, -0.151721, -0.165559, -0.173486, -0.176846, -0.172149, -0.164227, -0.149974, -0.0836904, -0.056566, -0.0405128, -0.021992, -0.013726, -0.00929553, -0.00408421, -0.00302663" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.317894");
+            index_3 ("0.262871, 0.310906, 0.356073, 0.398653, 0.954153, 1.15057, 1.46184, 1.60049, 1.76385, 1.98166, 2.69832, 3.05426, 3.21115, 3.52492, 3.78401, 3.96525, 4.29673, 4.61787, 4.93876, 5.09061, 5.24365, 5.88551, 6.20178, 6.41778, 6.66463, 6.80083, 7.00858, 7.28558, 7.69569");
+            values ( \
+              "-0.000287351, -0.00190197, -0.0139412, -0.0170451, -0.00772203, -0.00550863, -0.00366525, -0.00498352, -0.0102682, -0.0243067, -0.0909833, -0.120063, -0.13118, -0.149883, -0.161876, -0.16849, -0.176807, -0.180005, -0.175487, -0.16708, -0.152144, -0.0746445, -0.0475433, -0.0342566, -0.0231468, -0.0185994, -0.0132556, -0.00832764, -0.00442804" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27043");
+            index_3 ("0.263459, 0.414142, 1.46154, 2.45504, 3.25143, 4.41531, 5.29708, 5.54116, 6.02934, 6.80856, 9.61354, 10.7774, 11.6562, 12.5812, 13.2459, 14.6571, 15.1518, 15.9739, 17.2511, 17.9305, 18.5262, 19.6901, 21.3744, 22.4862, 23.5842, 24.8668, 25.9627, 26.5621, 27.726, 28.8899, 30.0538, 32.3816, 33.5454");
+            values ( \
+              "-0.000273593, -0.0187507, -0.0132486, -0.00908029, -0.00655808, -0.00412238, -0.00539817, -0.00690629, -0.011886, -0.0251156, -0.0910892, -0.115569, -0.131673, -0.146067, -0.154896, -0.169289, -0.172946, -0.177632, -0.180805, -0.179793, -0.17616, -0.154522, -0.100887, -0.0702436, -0.0472329, -0.0287884, -0.0184906, -0.0146106, -0.00881809, -0.00549922, -0.00320182, -0.00111641, -0.000804866" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.903098, 0.907728, 0.910704, 0.91368, 0.91537, 0.922892, 0.92949, 0.932734, 0.935962, 0.943663, 0.947736, 0.951658, 0.956922, 0.958505");
+            values ( \
+              "-0.0184891, -0.0307632, -0.0446582, -0.048072, -0.0543486, -0.0653708, -0.070117, -0.068724, -0.0594748, -0.0236822, -0.0125043, -0.00635368, -0.00271769, -0.00220427" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498053");
+            index_3 ("0.919057, 0.938787, 0.952052, 0.960644, 0.968366, 0.975607, 0.982625, 0.989637, 0.996659, 1.0085, 1.01608, 1.0213, 1.02867, 1.04085, 1.04983");
+            values ( \
+              "-0.00395859, -0.0673689, -0.0973293, -0.111475, -0.120803, -0.126616, -0.129243, -0.126369, -0.108858, -0.0604232, -0.0367432, -0.0252581, -0.0144822, -0.00558776, -0.00342536" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199042");
+            index_3 ("0.961762, 1.00342, 1.05323, 1.07717, 1.10258, 1.11443, 1.13873, 1.16138, 1.18337, 1.20534, 1.22741, 1.27464, 1.30043, 1.32547, 1.34185, 1.35463, 1.38019, 1.39759");
+            values ( \
+              "-0.0083262, -0.0260774, -0.0877991, -0.112556, -0.133567, -0.141391, -0.154164, -0.161615, -0.164737, -0.160606, -0.138186, -0.0628365, -0.03603, -0.0200962, -0.013579, -0.00992968, -0.00519327, -0.00374802" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079545");
+            index_3 ("0.96153, 1.25267, 1.34282, 1.48791, 1.61738, 1.7194, 1.80966, 1.89401, 1.97586, 2.05761, 2.09681, 2.13631, 2.29941, 2.38698, 2.43131, 2.49041, 2.59264, 2.67483, 2.77429");
+            values ( \
+              "-0.00862085, -0.0110605, -0.0376309, -0.0907172, -0.129305, -0.151646, -0.165574, -0.173643, -0.176809, -0.17233, -0.16394, -0.149045, -0.0731346, -0.0446645, -0.0342642, -0.0237131, -0.0123459, -0.00714266, -0.00407903" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.317894");
+            index_3 ("0.759633, 0.830437, 0.918889, 1.40845, 1.7641, 2.09471, 2.29347, 2.55848, 3.2175, 3.73319, 4.13439, 4.48846, 4.81937, 5.14048, 5.46123, 5.61885, 5.77772, 6.29904, 6.56561, 6.82048, 7.20924, 7.4992, 7.73212, 8.19794, 8.35753");
+            values ( \
+              "-0.000168328, -0.00201301, -0.0169697, -0.00861, -0.00465144, -0.00450967, -0.0106792, -0.0289801, -0.0909214, -0.131185, -0.154442, -0.168563, -0.176983, -0.180002, -0.175611, -0.166672, -0.150814, -0.0861781, -0.0599536, -0.0411836, -0.0223421, -0.0139715, -0.00947556, -0.00418792, -0.00347096" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27043");
+            index_3 ("0.75398, 0.942629, 2.65471, 4.35218, 5.40969, 5.80698, 6.60157, 7.76546, 10.1206, 11.1593, 13.0997, 13.755, 14.9189, 15.6619, 16.4836, 17.7609, 18.4413, 19.0361, 20.2, 21.8849, 22.9958, 24.1202, 25.289, 26.4688, 27.1525, 28.3164, 29.4803, 30.6441, 32.9719, 34.1358");
+            values ( \
+              "-0.000164153, -0.0187529, -0.0102707, -0.00508211, -0.00417923, -0.00538875, -0.0126598, -0.0346993, -0.090944, -0.112995, -0.146152, -0.154832, -0.16711, -0.172929, -0.177595, -0.180776, -0.179764, -0.176159, -0.154506, -0.100883, -0.0702633, -0.0467628, -0.029797, -0.0185109, -0.0141076, -0.00852101, -0.00530086, -0.0030965, -0.00108387, -0.000769636" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.748, 2.75028, 2.75361, 2.75574, 2.75681, 2.75894, 2.76584, 2.77295, 2.77627, 2.77956, 2.78284, 2.78841, 2.79211, 2.79519, 2.79927, 2.80273, 2.80654");
+            values ( \
+              "-0.0154116, -0.0199008, -0.0282653, -0.0381238, -0.0393146, -0.0454307, -0.0586808, -0.0671692, -0.0685405, -0.0680007, -0.0586319, -0.0319523, -0.018663, -0.011352, -0.00570966, -0.00317041, -0.00179435" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498053");
+            index_3 ("2.76781, 2.78209, 2.78913, 2.79618, 2.79965, 2.8083, 2.81605, 2.83037, 2.83741, 2.84444, 2.85796, 2.86435, 2.87076, 2.8805, 2.88692");
+            values ( \
+              "-0.0171207, -0.0514912, -0.0758678, -0.0875293, -0.0978172, -0.11145, -0.12064, -0.129251, -0.125743, -0.109006, -0.0544373, -0.0354826, -0.0223761, -0.0105851, -0.0069754" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199042");
+            index_3 ("2.82125, 2.85149, 2.90139, 2.93525, 2.96237, 2.98653, 3.0092, 3.03116, 3.05311, 3.07517, 3.12232, 3.14839, 3.17338, 3.20155, 3.22615, 3.2295");
+            values ( \
+              "-0.0207913, -0.0266273, -0.0889973, -0.122358, -0.142089, -0.154545, -0.161607, -0.165018, -0.160527, -0.13839, -0.062955, -0.0358637, -0.0200131, -0.0101527, -0.00542964, -0.00513796" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079545");
+            index_3 ("2.82023, 3.10034, 3.15888, 3.38384, 3.46425, 3.56632, 3.65656, 3.7409, 3.82275, 3.9045, 3.94389, 3.9836, 4.16437, 4.23686, 4.32261, 4.37838, 4.46805, 4.58722");
+            values ( \
+              "-0.00920727, -0.0112423, -0.0272189, -0.106729, -0.129151, -0.151821, -0.165426, -0.173805, -0.176661, -0.172485, -0.163887, -0.148868, -0.0663698, -0.0444627, -0.0263628, -0.0185493, -0.0103736, -0.00456461" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.317894");
+            index_3 ("2.54038, 2.65576, 2.77696, 3.31495, 3.51178, 3.81732, 3.96437, 4.14015, 4.36192, 5.06688, 5.57865, 5.9794, 6.33407, 6.66498, 6.9861, 7.30685, 7.46425, 7.62289, 8.14504, 8.40811, 8.66762, 9.05657, 9.34076, 9.56801, 10.0225, 10.2436");
+            values ( \
+              "-9.27364e-05, -0.00125591, -0.0166251, -0.00781196, -0.00555758, -0.00366304, -0.00492036, -0.0107067, -0.0254075, -0.0911385, -0.131232, -0.154345, -0.168622, -0.176917, -0.180062, -0.175548, -0.166684, -0.150871, -0.0861322, -0.0602234, -0.041084, -0.0222804, -0.0140636, -0.00963721, -0.00435106, -0.00331793" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27043");
+            index_3 ("2.54062, 2.65284, 2.81748, 4.16173, 5.32827, 6.0835, 7.24738, 7.66426, 8.49803, 9.66191, 11.4808, 12.952, 14.0151, 14.9547, 15.6057, 16.7696, 17.5126, 18.3343, 19.6116, 20.2921, 20.8868, 22.0507, 23.7356, 24.8465, 25.9709, 27.1397, 28.3196, 29.0032, 30.1671, 31.331, 32.4949, 34.8226, 35.9865");
+            values ( \
+              "-9.31224e-05, -0.00127979, -0.0187147, -0.0117018, -0.00740155, -0.00535988, -0.00416164, -0.00542087, -0.0132936, -0.0357454, -0.0796223, -0.111836, -0.131579, -0.146214, -0.154832, -0.167111, -0.172929, -0.177594, -0.180775, -0.179763, -0.176159, -0.154506, -0.100884, -0.070264, -0.0467636, -0.0297978, -0.0185117, -0.0141068, -0.0085218, -0.00530007, -0.00309729, -0.00108466, -0.000768842" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.71417, 9.7237, 9.72927, 9.73993, 9.74787, 9.75146, 9.755, 9.75852, 9.768, 9.77203, 9.7749, 9.78079, 9.787, 9.7899");
+            values ( \
+              "-0.00034892, -0.0169813, -0.03233, -0.0512832, -0.0611618, -0.0635643, -0.0636156, -0.0560634, -0.0183149, -0.0096199, -0.0059768, -0.00219365, -0.000892403, -0.000657776" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498053");
+            index_3 ("9.73641, 9.74747, 9.75534, 9.77216, 9.78666, 9.79467, 9.80211, 9.80929, 9.81642, 9.82355, 9.83911, 9.84695, 9.85527, 9.86447, 9.87074");
+            values ( \
+              "-0.0084865, -0.0199758, -0.0357786, -0.0802982, -0.106722, -0.116942, -0.12364, -0.126848, -0.124752, -0.107786, -0.0477212, -0.0277072, -0.0148455, -0.00730762, -0.00475894" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199042");
+            index_3 ("9.80868, 9.83886, 9.87429, 9.89521, 9.91507, 9.9422, 9.96637, 9.989, 10.011, 10.0329, 10.0429, 10.053, 10.1021, 10.1227, 10.1458, 10.1591, 10.1785, 10.2044, 10.2133");
+            values ( \
+              "-0.0259502, -0.0359265, -0.0812591, -0.104051, -0.121927, -0.142245, -0.154245, -0.162169, -0.16468, -0.161002, -0.153406, -0.14072, -0.0626806, -0.0402772, -0.023717, -0.0172853, -0.0107982, -0.00560345, -0.00480219" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079545");
+            index_3 ("9.7934, 10.0812, 10.1454, 10.314, 10.4434, 10.5453, 10.6356, 10.7199, 10.8018, 10.8835, 10.9231, 10.963, 11.1271, 11.2021, 11.2771, 11.3336, 11.3907, 11.4343, 11.5213, 11.6235");
+            values ( \
+              "-0.00824893, -0.0116615, -0.0297311, -0.0906118, -0.129156, -0.151872, -0.165403, -0.173856, -0.176631, -0.172529, -0.163831, -0.148683, -0.0724506, -0.0475892, -0.0303381, -0.02129, -0.0148003, -0.0111561, -0.00622783, -0.00349939" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.317894");
+            index_3 ("9.3185, 9.5236, 9.59624, 9.65427, 9.74585, 9.79308, 10.1758, 10.5228, 10.707, 10.9122, 11.0053, 11.1915, 11.5322, 12.0423, 12.3756, 12.5555, 12.9153, 13.0382, 13.3102, 13.5693, 13.7859, 13.9619, 14.2811, 14.3738, 14.4696, 14.6338, 15.2371, 15.4486, 15.5781, 15.7395, 15.9546, 16.1421, 16.4251, 16.666, 16.87, 17.1579");
+            values ( \
+              "-3.4867e-05, -0.000204732, -0.00117349, -0.00491019, -0.0148256, -0.0162135, -0.00943152, -0.00525913, -0.00386516, -0.00445563, -0.00635946, -0.014703, -0.0423867, -0.0907878, -0.118265, -0.131049, -0.152204, -0.158021, -0.168459, -0.175356, -0.178822, -0.179922, -0.175491, -0.171158, -0.164197, -0.146677, -0.0739512, -0.054867, -0.0453399, -0.0354864, -0.0252882, -0.0187233, -0.0117778, -0.00786373, -0.00587181, -0.00387023" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27043");
+            index_3 ("9.32127, 9.51231, 9.58494, 9.79565, 11.4772, 12.8649, 13.9836, 14.6281, 15.792, 16.6547, 18.5344, 19.7099, 20.8737, 21.5859, 22.9086, 23.979, 25.2978, 25.8964, 26.5731, 27.737, 28.082, 28.5419, 29.2452, 31.0142, 31.6488, 32.495, 33.0045, 33.6397, 34.4865, 35.6306, 36.3961, 37.56, 38.7239, 39.8878, 42.2155");
+            values ( \
+              "-3.87848e-05, -0.000211177, -0.00122311, -0.0186165, -0.0102543, -0.00580015, -0.0040239, -0.00538012, -0.0184164, -0.0362544, -0.0815071, -0.107455, -0.129571, -0.141177, -0.158657, -0.169071, -0.17748, -0.179656, -0.180662, -0.177139, -0.173675, -0.165971, -0.147338, -0.0914284, -0.0742091, -0.0549469, -0.0455025, -0.0357094, -0.0255699, -0.0160754, -0.0117364, -0.00714248, -0.00436317, -0.00261732, -0.000944422" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.00180707, 0.00180707, 0.00180708, 0.00180708, 0.00180708, 0.00180708", \
+            "0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651", \
+            "0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111", \
+            "0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00226943, 0.00226943, 0.00226943, 0.00226943, 0.00226943, 0.00226943" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0023061, 0.00230609, 0.00230609, 0.00230609, 0.00230609, 0.00230609", \
+            "0.00242665, 0.00242664, 0.00242663, 0.00242663, 0.00242662, 0.00242662", \
+            "0.00263724, 0.00263723, 0.00263723, 0.00263722, 0.00263722, 0.00263722", \
+            "0.00325461, 0.00325462, 0.0032547, 0.0032548, 0.00325484, 0.00325486", \
+            "0.00368824, 0.00368811, 0.00368832, 0.00368851, 0.00368743, 0.00368717", \
+            "0.00367539, 0.00367415, 0.00367471, 0.00367473, 0.00367474, 0.00367587" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "CK";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "CK";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.014917, 0.0149626, 0.0148665, 0.0138933, 0.00977521, 0", \
+            "0.0147785, 0.014823, 0.0147263, 0.013755, 0.00963669, 0", \
+            "0.0146677, 0.0147129, 0.0146168, 0.0136439, 0.00951699, 0", \
+            "0.0149584, 0.0149731, 0.0149255, 0.013963, 0.00984593, 0", \
+            "0.0171917, 0.0172447, 0.0171403, 0.0161486, 0.0119854, 0", \
+            "0.028387, 0.0284251, 0.0282959, 0.0273091, 0.0231822, 0.00636856" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0147964, 0.0148277, 0.0146881, 0.0137668, 0.00990691, 0", \
+            "0.0145171, 0.0145494, 0.0144087, 0.0134865, 0.00962505, 0", \
+            "0.0143894, 0.0144195, 0.0142812, 0.0133609, 0.00950276, 0", \
+            "0.015082, 0.0150966, 0.0149438, 0.0140539, 0.010199, 0", \
+            "0.0193796, 0.0193837, 0.0192225, 0.018328, 0.014577, 0", \
+            "0.0373437, 0.0373389, 0.0371633, 0.0362134, 0.032391, 0.0171842" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * D)";
+        related_pg_pin : GND;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * D)";
+        related_pg_pin : VDD;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0172899, 0.017318, 0.0171686, 0.0162402, 0.0123732, -0.0030944", \
+            "0.0171946, 0.0172227, 0.0170729, 0.0161444, 0.0122698, -0.00320569", \
+            "0.0170884, 0.017116, 0.0169673, 0.0160413, 0.0121801, -0.00330539", \
+            "0.0173074, 0.0173317, 0.0171804, 0.016269, 0.0124156, -0.00306744", \
+            "0.0194228, 0.0194406, 0.0192684, 0.0183759, 0.0145408, -0.00090271", \
+            "0.0308504, 0.0308462, 0.0306442, 0.0297201, 0.025915, 0.0106903" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * !D)";
+        related_pg_pin : GND;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * !D)";
+        related_pg_pin : VDD;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0136826, 0.0137078, 0.0135576, 0.012629, 0.00875616, -0.00672467", \
+            "0.0135865, 0.0136159, 0.0134645, 0.012535, 0.00867768, -0.00680634", \
+            "0.0135427, 0.0135704, 0.0134213, 0.0124954, 0.00862102, -0.00683718", \
+            "0.0138572, 0.013869, 0.0137296, 0.0128224, 0.00895179, -0.00652169", \
+            "0.0160196, 0.0160293, 0.0158702, 0.0149657, 0.0111488, -0.00433062", \
+            "0.027038, 0.0270359, 0.0268498, 0.0259192, 0.0221013, 0.00681702" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "!CK";
+        related_pg_pin : GND;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "!CK";
+        related_pg_pin : VDD;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0195822, 0.0196101, 0.0194595, 0.0185318, 0.0146568, -0.000797356", \
+            "0.0194859, 0.0195154, 0.0193645, 0.0184367, 0.014562, -0.00090272", \
+            "0.0193798, 0.0194082, 0.019257, 0.0183311, 0.014464, -0.00101018", \
+            "0.0195739, 0.0195949, 0.0194313, 0.018535, 0.0146772, -0.000811401", \
+            "0.0216576, 0.0216665, 0.0215082, 0.0206153, 0.0168225, 0.00133444", \
+            "0.0330922, 0.0330742, 0.0328911, 0.0319391, 0.0281288, 0.0129491" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        related_pg_pin : GND;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905", \
+            "-0.00100947, -0.00403423, -0.0161224, -0.0644315, -0.257494, -1.02905" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        related_pg_pin : VDD;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498053, 0.0199042, 0.079545, 0.317894, 1.27043");
+          values ( \
+            "0.0195822, 0.0196101, 0.0194595, 0.0185318, 0.0146568, -0.000797356", \
+            "0.0194859, 0.0195154, 0.0193645, 0.0184367, 0.014562, -0.00090272", \
+            "0.0193798, 0.0194082, 0.019257, 0.0183311, 0.014464, -0.00101018", \
+            "0.0195739, 0.0195949, 0.0194313, 0.018535, 0.0146772, -0.000811401", \
+            "0.0216576, 0.0216665, 0.0215082, 0.0206153, 0.0168225, 0.00133444", \
+            "0.0330922, 0.0330742, 0.0328911, 0.0319391, 0.0281288, 0.0129491" \
+          );
+        }
+      }
+    }
+    pin (QN) {
+      direction : output;
+      function : "IQN";
+      power_down_function : "(!VDD) + (GND)";
+      related_ground_pin : GND;
+      related_power_pin : VDD;
+      max_capacitance : 1.27112;
+      timing () {
+        related_pin : "CK";
+        timing_sense : non_unate;
+        timing_type : falling_edge;
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.196542, 0.219242, 0.300299, 0.615648, 1.87248, 6.89476", \
+            "0.20431, 0.227063, 0.308077, 0.623417, 1.88016, 6.90252", \
+            "0.246756, 0.269462, 0.350523, 0.665893, 1.92286, 6.945", \
+            "0.361813, 0.384611, 0.465561, 0.780979, 2.03796, 7.06008", \
+            "0.623114, 0.646771, 0.728311, 1.04382, 2.30067, 7.32274", \
+            "1.31685, 1.34346, 1.42648, 1.74248, 2.99949, 8.02135" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0499283, 0.0753659, 0.187919, 0.652665, 2.51374, 9.9505", \
+            "0.0499224, 0.0753904, 0.187916, 0.652665, 2.51356, 9.9505", \
+            "0.0499756, 0.0754116, 0.187927, 0.652665, 2.5135, 9.95051", \
+            "0.0509427, 0.0760192, 0.187899, 0.65264, 2.51352, 9.95072", \
+            "0.0562731, 0.0796479, 0.189234, 0.652529, 2.51386, 9.9507", \
+            "0.0712108, 0.0906501, 0.192659, 0.653525, 2.51382, 9.95053" \
+          );
+        }
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.309682, 0.335223, 0.409569, 0.644051, 1.54531, 5.14558", \
+            "0.320738, 0.346265, 0.420613, 0.655128, 1.55639, 5.15663", \
+            "0.368824, 0.394356, 0.468702, 0.703191, 1.60445, 5.20472", \
+            "0.493049, 0.518691, 0.592987, 0.827173, 1.72888, 5.32862", \
+            "0.750453, 0.77591, 0.850215, 1.08509, 1.98744, 5.58797", \
+            "1.41797, 1.44338, 1.51737, 1.75115, 2.65244, 6.25375" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0561134, 0.0748524, 0.144342, 0.429397, 1.62528, 6.42629", \
+            "0.0561246, 0.0748522, 0.144342, 0.429422, 1.62528, 6.42629", \
+            "0.0561149, 0.0748523, 0.144342, 0.429397, 1.62528, 6.42629", \
+            "0.0564745, 0.0750689, 0.144597, 0.429906, 1.62528, 6.4263", \
+            "0.0560626, 0.0747532, 0.144382, 0.429916, 1.62765, 6.42629", \
+            "0.0562094, 0.0748409, 0.143847, 0.428454, 1.62586, 6.42638" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.158232, 0.163305, 0.176762, 0.186515, 0.190036, 0.197277, 0.200676, 0.204493, 0.220862, 0.23237, 0.248175, 0.251245, 0.260421, 0.272758, 0.283204, 0.301052, 0.313676, 0.325102");
+            values ( \
+              "0.00502063, 0.0126876, 0.0247737, 0.0295732, 0.0305631, 0.0314587, 0.0312277, 0.0303413, 0.0244963, 0.0150544, 0.0074131, 0.00823978, 0.00757689, 0.00469977, 0.0029139, 0.00126662, 0.000668882, 0.000515039" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498107");
+            index_3 ("0.158373, 0.171768, 0.176763, 0.180829, 0.186717, 0.192845, 0.196929, 0.2013, 0.205385, 0.213555, 0.216262, 0.221677, 0.227372, 0.237694, 0.239253, 0.247069, 0.267891, 0.280133, 0.29307, 0.301831, 0.307153, 0.313827, 0.320986, 0.348455, 0.363108, 0.384225, 0.408638, 0.445308, 0.489966");
+            values ( \
+              "0.00405643, 0.0465636, 0.0569785, 0.0634695, 0.0707151, 0.0763715, 0.0790845, 0.0811602, 0.0822495, 0.0827004, 0.0823205, 0.0809961, 0.0786611, 0.0731461, 0.072728, 0.0676915, 0.0444567, 0.0329943, 0.0236416, 0.0180963, 0.0155742, 0.0140654, 0.0130512, 0.00763396, 0.00516633, 0.00280113, 0.00136706, 0.000364568, 0.000109857" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199085");
+            index_3 ("0.169509, 0.181461, 0.193236, 0.20007, 0.207191, 0.216687, 0.228481, 0.241252, 0.253994, 0.275183, 0.308375, 0.338621, 0.358291, 0.416451, 0.446447, 0.489346, 0.508242, 0.533435, 0.56453, 0.613301, 0.643357, 0.684371, 0.727739, 0.779281, 0.844574, 0.933608");
+            values ( \
+              "0.0936364, 0.0976366, 0.119673, 0.128358, 0.134535, 0.139296, 0.141459, 0.140904, 0.138837, 0.133757, 0.123951, 0.113294, 0.103862, 0.0689258, 0.0530951, 0.0350982, 0.0288282, 0.0217624, 0.0163035, 0.0104727, 0.00777823, 0.00503909, 0.00311963, 0.00168645, 0.000781166, 0.00022943" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079571");
+            index_3 ("0.178449, 0.221616, 0.235265, 0.249386, 0.286778, 0.47873, 0.623531, 0.683257, 0.728922, 0.820251, 1.02635, 1.1838, 1.291, 1.43587, 1.50707, 1.58732, 1.70091, 1.84708, 1.96016, 2.10292, 2.26707, 2.33786");
+            values ( \
+              "0.162892, 0.16911, 0.172935, 0.174065, 0.172582, 0.156121, 0.14224, 0.135503, 0.129509, 0.114529, 0.0755486, 0.0503392, 0.0371212, 0.0237817, 0.0191625, 0.0150923, 0.0105727, 0.00665012, 0.00465111, 0.00284247, 0.00166818, 0.00147362" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.318032");
+            index_3 ("0.204495, 0.204515, 0.788899, 1.49242, 1.68984, 1.88119, 2.28653, 2.42992, 2.74893, 3.51849, 3.77158, 4.13244, 4.43306, 4.66643, 5.07555, 5.25513, 5.55435, 5.97904, 6.41148, 6.74117, 7.25954, 7.84558, 8.5532, 9.43485, 10.5244");
+            values ( \
+              "1e-22, 0.202108, 0.174488, 0.157794, 0.152769, 0.147578, 0.134234, 0.128302, 0.113286, 0.0747369, 0.0634197, 0.0491986, 0.0393845, 0.0328733, 0.0236992, 0.0205008, 0.016038, 0.01125, 0.00781419, 0.00588821, 0.00374559, 0.0022256, 0.0011778, 0.000519424, 0.000185069" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27112");
+            index_3 ("0.276625, 0.276645, 1.91645, 5.41817, 6.50773, 7.66587, 8.51061, 9.33323, 10.3367, 13.1674, 14.2193, 15.3089, 16.1823, 16.976, 17.9321, 19.0217, 20.5356, 21.6042, 22.6937, 24.2275, 26.1687, 28.3479, 31.6166, 33.7957, 38.154");
+            values ( \
+              "1e-22, 0.212206, 0.180136, 0.158929, 0.151793, 0.143098, 0.135371, 0.126539, 0.114364, 0.0781798, 0.0659519, 0.054562, 0.0465252, 0.0400537, 0.0332701, 0.0267176, 0.0195764, 0.0156737, 0.0124168, 0.00896112, 0.00588262, 0.00365098, 0.00175207, 0.00107446, 0.000398539" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.191056, 0.203027, 0.211847, 0.218314, 0.222174, 0.228757, 0.230729, 0.236627, 0.24283, 0.252999, 0.264505, 0.280149, 0.283274, 0.29094, 0.309153, 0.316953, 0.331413, 0.359487, 0.35965");
+            values ( \
+              "0.00774195, 0.0198651, 0.0266119, 0.0294804, 0.0305511, 0.0314698, 0.0314803, 0.0303803, 0.0278275, 0.024674, 0.0150259, 0.00740399, 0.00823485, 0.00783589, 0.00389189, 0.0026969, 0.00135069, 0.000325921, 0.000324958" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498107");
+            index_3 ("0.191116, 0.200111, 0.207757, 0.213771, 0.222146, 0.226302, 0.232229, 0.237491, 0.248382, 0.26095, 0.271363, 0.280757, 0.300033, 0.312156, 0.331444, 0.336828, 0.344409, 0.352006, 0.388201, 0.405473, 0.418203, 0.443665, 0.463285");
+            values ( \
+              "0.00171341, 0.0382006, 0.0548836, 0.0645747, 0.0740168, 0.0773859, 0.0806911, 0.0822975, 0.0823467, 0.0780963, 0.0727732, 0.0662624, 0.0443685, 0.0331205, 0.0196691, 0.0166086, 0.0142357, 0.0132851, 0.00629195, 0.00389765, 0.00268725, 0.00122666, 0.000773353" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199085");
+            index_3 ("0.198934, 0.212906, 0.225481, 0.232362, 0.238993, 0.247834, 0.260612, 0.273398, 0.286124, 0.307115, 0.340504, 0.370749, 0.378084, 0.390456, 0.448578, 0.478704, 0.509395, 0.518326, 0.540229, 0.56526, 0.587575, 0.61517, 0.645964, 0.668815, 0.715808, 0.759073, 0.810397, 0.875518, 0.963885");
+            values ( \
+              "0.057574, 0.0962073, 0.119828, 0.128562, 0.134313, 0.138947, 0.14148, 0.140914, 0.138838, 0.133815, 0.123945, 0.113283, 0.110263, 0.103827, 0.068909, 0.0530517, 0.0395613, 0.036219, 0.0288956, 0.021812, 0.017684, 0.0138428, 0.0104424, 0.00832825, 0.00510228, 0.00312313, 0.00173205, 0.000767439, 0.000261681" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079571");
+            index_3 ("0.21152, 0.281445, 0.318949, 0.510823, 0.655625, 0.715352, 0.761015, 0.852342, 1.05844, 1.21589, 1.32311, 1.46797, 1.53916, 1.61941, 1.733, 1.87918, 1.9923, 2.13511, 2.29933, 2.37503");
+            values ( \
+              "0.167339, 0.174072, 0.172584, 0.156112, 0.142241, 0.135502, 0.129509, 0.114529, 0.0755495, 0.0503412, 0.0371205, 0.0237819, 0.0191632, 0.015092, 0.0105732, 0.00665044, 0.00465001, 0.00284192, 0.00166682, 0.00145896" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.318032");
+            index_3 ("0.237802, 0.237822, 0.683133, 1.58551, 1.91208, 2.13075, 2.31838, 2.69363, 3.47974, 3.71473, 4.12306, 4.59108, 4.97041, 5.45416, 5.85328, 6.18619, 6.67267, 7.14829, 7.71224, 8.37386, 8.56038");
+            values ( \
+              "1e-22, 0.207799, 0.177724, 0.156255, 0.147605, 0.140925, 0.13416, 0.117571, 0.0780527, 0.0672649, 0.0506462, 0.0357416, 0.0264129, 0.0178766, 0.0128181, 0.00969669, 0.00640657, 0.00425293, 0.00256931, 0.00143451, 0.00131863" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27112");
+            index_3 ("0.312874, 0.312894, 1.97952, 5.44987, 6.93635, 7.69756, 8.5423, 9.36492, 10.3684, 13.1991, 14.251, 15.3406, 16.0817, 17.0077, 17.9638, 19.0534, 20.5673, 21.6359, 22.7254, 24.2592, 26.2004, 28.3796, 30.5587, 33.8274, 38.1857");
+            values ( \
+              "1e-22, 0.21288, 0.179926, 0.15895, 0.14898, 0.143077, 0.135393, 0.126518, 0.114385, 0.0782017, 0.0659298, 0.0545841, 0.0476788, 0.0400762, 0.0332476, 0.0267401, 0.0195989, 0.0156512, 0.0124394, 0.00893834, 0.00585979, 0.00362812, 0.00223784, 0.00109739, 0.000421542" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.330544, 0.33547, 0.342865, 0.349107, 0.354654, 0.358758, 0.362317, 0.368935, 0.369569, 0.370837, 0.373375, 0.376791, 0.382932, 0.384591, 0.387909, 0.393172, 0.400267, 0.403721, 0.404682, 0.410452, 0.420289, 0.423428, 0.426849, 0.431087, 0.449323, 0.46139, 0.465772, 0.471615, 0.481028, 0.499773, 0.523121, 0.554713");
+            values ( \
+              "0.0056727, 0.0125652, 0.0195289, 0.0247536, 0.0278568, 0.0295007, 0.0305096, 0.0314046, 0.0314186, 0.031405, 0.0311275, 0.0303115, 0.0278753, 0.0273061, 0.0266024, 0.0245666, 0.0180586, 0.0159243, 0.0150519, 0.0117636, 0.00743683, 0.00820537, 0.00810655, 0.00781383, 0.00386795, 0.00218832, 0.00178659, 0.0013726, 0.000861926, 0.000347144, 9.58692e-05, 2.43145e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498107");
+            index_3 ("0.330331, 0.343646, 0.353586, 0.3608, 0.372127, 0.377351, 0.387196, 0.394552, 0.411231, 0.423834, 0.435181, 0.451215, 0.471319, 0.483198, 0.530109, 0.547384, 0.595114, 0.633752");
+            values ( \
+              "0.00470206, 0.0462656, 0.0643929, 0.0727673, 0.0806285, 0.0821917, 0.0824764, 0.0806419, 0.0728123, 0.0636062, 0.0494971, 0.0337684, 0.0196842, 0.0144386, 0.00594187, 0.00364913, 0.000821005, 0.00024167" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199085");
+            index_3 ("0.341444, 0.353614, 0.365292, 0.374563, 0.379533, 0.389472, 0.400616, 0.413382, 0.426135, 0.447434, 0.480518, 0.510764, 0.518456, 0.530661, 0.588586, 0.619389, 0.65835, 0.679529, 0.703734, 0.72259, 0.75707, 0.787964, 0.809497, 0.852977, 0.895806, 0.946235, 1.01024, 1.09558");
+            values ( \
+              "0.0914134, 0.0975332, 0.119411, 0.130545, 0.134571, 0.139445, 0.141401, 0.14088, 0.138816, 0.133727, 0.123943, 0.11329, 0.110092, 0.103721, 0.0689273, 0.0527109, 0.0362373, 0.0291003, 0.0222085, 0.0184731, 0.0136382, 0.0102239, 0.00829591, 0.00524304, 0.00326324, 0.00179994, 0.000840205, 0.000271756" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079571");
+            index_3 ("0.350643, 0.407564, 0.421736, 0.459097, 0.651125, 0.795925, 0.855651, 0.901316, 0.992645, 1.19875, 1.35617, 1.46342, 1.60827, 1.67945, 1.7597, 1.8733, 2.01948, 2.27427, 2.4376, 2.46404");
+            values ( \
+              "0.161481, 0.172884, 0.174048, 0.172585, 0.156118, 0.14224, 0.135503, 0.129509, 0.114529, 0.0755486, 0.0503429, 0.0371184, 0.0237812, 0.0191632, 0.0150927, 0.0105729, 0.00664994, 0.00285285, 0.00167902, 0.00160559" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.318032");
+            index_3 ("0.34007, 0.361708, 0.384658, 0.410909, 0.42283, 0.438726, 0.465272, 1.32292, 1.67691, 2.05194, 2.2987, 2.45811, 2.77693, 3.59442, 3.77979, 4.15051, 4.396, 4.66634, 5.0268, 5.2001, 5.49746, 5.78147, 6.17826, 6.33693, 6.65426, 7.08445, 7.61603, 8.2182, 8.96129, 9.94659");
+            values ( \
+              "0.0705484, 0.140132, 0.172826, 0.183967, 0.185358, 0.186055, 0.18608, 0.166012, 0.157467, 0.147574, 0.139965, 0.134175, 0.120246, 0.0792637, 0.0705844, 0.0548971, 0.0459936, 0.0375384, 0.0282793, 0.0245809, 0.0193517, 0.0153026, 0.0110029, 0.00960625, 0.00735671, 0.00505304, 0.00318852, 0.00184689, 0.00095957, 0.000364014" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27112");
+            index_3 ("0.447951, 0.447971, 2.01524, 5.58997, 7.07647, 7.83768, 8.68241, 9.50504, 10.5085, 13.3392, 14.3911, 15.4807, 16.2219, 17.1478, 18.1039, 19.1935, 20.7074, 21.776, 22.8656, 24.3994, 26.3405, 28.5197, 30.6988, 35.0571, 38.3258");
+            values ( \
+              "1e-22, 0.21315, 0.180546, 0.15896, 0.14899, 0.143067, 0.135403, 0.126508, 0.114395, 0.0782117, 0.0659199, 0.054594, 0.0476689, 0.040086, 0.0332378, 0.0267499, 0.0196087, 0.0156414, 0.0124491, 0.00892863, 0.0058501, 0.00361844, 0.00222817, 0.000834161, 0.000431181" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.828949, 0.843509, 0.84988, 0.856105, 0.862985, 0.867377, 0.873671, 0.874839, 0.877174, 0.882231, 0.890152, 0.894015, 0.898797, 0.903224, 0.910143, 0.913278, 0.922178, 0.926261, 0.929329, 0.932564, 0.937917, 0.942162, 0.947109, 0.948901, 0.952484, 0.965466, 0.968819, 0.975524, 1.00758, 1.03239");
+            values ( \
+              "0.000337843, 0.0150822, 0.0202863, 0.0247568, 0.028037, 0.029431, 0.0305757, 0.0306334, 0.030625, 0.0297494, 0.0270166, 0.0262136, 0.0245495, 0.0201069, 0.0151455, 0.0132686, 0.00898326, 0.00755477, 0.00821026, 0.00821577, 0.00756395, 0.00673655, 0.00541665, 0.00520349, 0.00424994, 0.00228006, 0.00213997, 0.00138234, 0.000207185, 0.000183364" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498107");
+            index_3 ("0.834624, 0.842989, 0.854876, 0.862791, 0.871198, 0.877323, 0.88267, 0.893727, 0.906003, 0.916835, 0.923526, 0.929018, 0.935985, 0.946518, 0.957292, 0.968784, 0.976985, 0.980821, 0.988122, 0.995369, 1.00788, 1.02093, 1.0393, 1.06069, 1.07387, 1.09554, 1.13581");
+            values ( \
+              "0.0100451, 0.0328388, 0.0558868, 0.066725, 0.0749015, 0.0787904, 0.0807561, 0.0814671, 0.077817, 0.0726596, 0.0682193, 0.0636066, 0.0550109, 0.0433791, 0.0334212, 0.0248719, 0.019657, 0.0173757, 0.0145928, 0.0136179, 0.0113929, 0.00867771, 0.00539622, 0.00293726, 0.00198166, 0.00101275, 0.000261281" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199085");
+            index_3 ("0.842977, 0.852772, 0.864351, 0.87477, 0.879572, 0.889033, 0.89792, 0.905927, 0.918742, 0.931521, 0.953511, 0.985866, 1.01609, 1.02504, 1.04068, 1.09206, 1.11445, 1.13345, 1.15756, 1.17613, 1.19626, 1.22376, 1.25253, 1.31237, 1.33628, 1.36817, 1.40974, 1.46226, 1.52817, 1.62175");
+            values ( \
+              "0.0681211, 0.0783432, 0.105542, 0.122333, 0.127902, 0.135441, 0.139175, 0.140596, 0.140524, 0.138673, 0.133621, 0.124072, 0.11341, 0.10965, 0.101125, 0.0698331, 0.0577609, 0.0486181, 0.0384906, 0.0319071, 0.0256881, 0.0190049, 0.0147335, 0.00838832, 0.00658729, 0.00474392, 0.00290908, 0.00162292, 0.000680028, 0.000238891" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079571");
+            index_3 ("0.8567, 0.89963, 0.913197, 0.927462, 0.941174, 0.964199, 1.02486, 1.20421, 1.30154, 1.36896, 1.40697, 1.48299, 1.66895, 1.79716, 1.93528, 2.02328, 2.11161, 2.18954, 2.26822, 2.38056, 2.52217, 2.63423, 2.77668, 2.93909, 3.01872");
+            values ( \
+              "0.166027, 0.167926, 0.172329, 0.173876, 0.173923, 0.172801, 0.16793, 0.151764, 0.142282, 0.134555, 0.129522, 0.117236, 0.08201, 0.0599625, 0.0409789, 0.0315768, 0.0239737, 0.0189237, 0.0149447, 0.0105369, 0.00672971, 0.00469917, 0.00289834, 0.00169003, 0.00134792" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.318032");
+            index_3 ("0.883475, 0.883495, 1.34951, 2.18327, 2.54079, 2.80338, 2.96446, 3.28664, 4.10075, 4.65656, 5.17306, 5.53399, 5.83362, 6.28721, 6.6843, 7.16122, 7.58979, 8.71886, 8.95111");
+            values ( \
+              "1e-22, 0.206798, 0.177238, 0.157456, 0.148073, 0.140021, 0.134175, 0.120087, 0.0792656, 0.0549095, 0.0375283, 0.028261, 0.0221877, 0.0153102, 0.011006, 0.00735309, 0.00505744, 0.0018565, 0.00165561" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27112");
+            index_3 ("0.956178, 0.956198, 3.09279, 6.71945, 7.57963, 8.6692, 9.18701, 10.0177, 11.0124, 13.8422, 14.8924, 15.9819, 16.7251, 17.6546, 18.6097, 19.6992, 21.2077, 22.2775, 23.3671, 24.9042, 26.8477, 29.0268, 31.206, 34.4747, 38.8329");
+            values ( \
+              "1e-22, 0.207757, 0.177106, 0.154899, 0.148984, 0.140255, 0.135387, 0.126415, 0.114386, 0.0782132, 0.0659583, 0.0546105, 0.0476832, 0.0400539, 0.0332352, 0.02673, 0.0196139, 0.015659, 0.0124456, 0.00893676, 0.00585601, 0.00362597, 0.00223673, 0.00109601, 0.000420541" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.63925, 2.65271, 2.67382, 2.68377, 2.68871, 2.69653, 2.69909, 2.70238, 2.70564, 2.71214, 2.7184, 2.72325, 2.72785, 2.73298, 2.73494, 2.74171, 2.74746, 2.75159, 2.75461, 2.76232, 2.77863, 2.79191, 2.79385, 2.79774, 2.81802, 2.84114, 2.86723");
+            values ( \
+              "0.00227803, 0.00785176, 0.0187064, 0.0229407, 0.0247464, 0.0268293, 0.0271857, 0.0272819, 0.0270091, 0.0256703, 0.0251373, 0.0237362, 0.0192781, 0.0162258, 0.0147057, 0.0112497, 0.00871135, 0.00777671, 0.00853324, 0.00772043, 0.00406286, 0.00217987, 0.00208782, 0.00165079, 0.000603308, 0.000250028, 6.6585e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498107");
+            index_3 ("2.64757, 2.6568, 2.68572, 2.69985, 2.7054, 2.71732, 2.72911, 2.74138, 2.74724, 2.78119, 2.80161, 2.80935, 2.85843, 2.87706, 2.891, 2.91888, 2.9373");
+            values ( \
+              "0.0221995, 0.0226889, 0.0576866, 0.0705901, 0.0737345, 0.0769116, 0.0752693, 0.0709478, 0.068197, 0.0341353, 0.0197977, 0.0157966, 0.0063329, 0.00376484, 0.00254385, 0.00103468, 0.000687009" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199085");
+            index_3 ("2.65803, 2.67279, 2.69041, 2.69697, 2.7017, 2.71115, 2.7213, 2.72977, 2.74313, 2.75598, 2.77708, 2.78271, 2.81082, 2.84115, 2.84879, 2.86105, 2.91904, 2.94969, 2.98001, 2.98882, 3.01016, 3.03455, 3.05315, 3.06771, 3.08712, 3.11768, 3.13976, 3.1589, 3.18442, 3.22698, 3.27715, 3.34072, 3.42581");
+            values ( \
+              "0.0629082, 0.0645891, 0.097907, 0.10872, 0.115394, 0.125702, 0.13261, 0.135731, 0.137432, 0.136606, 0.132735, 0.131391, 0.123448, 0.113046, 0.109955, 0.103599, 0.0688905, 0.0527956, 0.0395108, 0.0362216, 0.0290614, 0.022108, 0.0184741, 0.0162344, 0.013674, 0.010311, 0.00829802, 0.0068145, 0.00519973, 0.00322399, 0.00180345, 0.000827731, 0.000285813" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079571");
+            index_3 ("2.67468, 2.71148, 2.72292, 2.73923, 2.75282, 2.76545, 2.78696, 2.81442, 2.89209, 3.00035, 3.12638, 3.23183, 3.28391, 3.35148, 3.52215, 3.62874, 3.71544, 3.78297, 3.87302, 3.9406, 3.99702, 4.07225, 4.16484, 4.25864, 4.31258, 4.40604, 4.53938, 4.69208, 4.8531");
+            values ( \
+              "0.142588, 0.150128, 0.160775, 0.168707, 0.171261, 0.172028, 0.171695, 0.170071, 0.163925, 0.154451, 0.142285, 0.129629, 0.121406, 0.109192, 0.0768412, 0.0589034, 0.0465096, 0.038329, 0.0292242, 0.0236233, 0.0199072, 0.0159192, 0.0119329, 0.00911177, 0.00768931, 0.00569628, 0.0036704, 0.00219398, 0.00129195" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.318032");
+            index_3 ("2.70302, 2.70304, 3.16655, 4.16394, 4.56419, 4.78858, 5.20332, 5.8329, 6.30692, 6.90669, 7.50223, 7.9644, 8.44403, 8.98927, 9.42501, 10.577, 10.6421");
+            values ( \
+              "1e-22, 0.202923, 0.177492, 0.153547, 0.142112, 0.134175, 0.11565, 0.0837749, 0.0620014, 0.0402187, 0.0251508, 0.0173192, 0.0115628, 0.00729474, 0.00503661, 0.00182785, 0.00177333" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27112");
+            index_3 ("2.78039, 2.78041, 4.7733, 8.54392, 9.40424, 10.4938, 11.0116, 11.8423, 12.837, 15.6668, 16.717, 17.8065, 18.5497, 19.4792, 20.4343, 21.5238, 23.0323, 24.1021, 25.1917, 26.7288, 28.6723, 30.8514, 33.0306, 36.2993, 40.6575");
+            values ( \
+              "1e-22, 0.208965, 0.177973, 0.154904, 0.14898, 0.14026, 0.135383, 0.126419, 0.114382, 0.0782095, 0.065962, 0.0546068, 0.047687, 0.0400503, 0.0332387, 0.0267266, 0.0196104, 0.0156625, 0.0124422, 0.00894006, 0.00585928, 0.00362921, 0.00223995, 0.00109283, 0.000417416" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.55759, 9.57393, 9.60884, 9.62733, 9.63701, 9.63932, 9.64393, 9.65027, 9.6583, 9.66615, 9.67642, 9.68293, 9.69465, 9.69842, 9.70236, 9.70721, 9.71208, 9.72382, 9.72734, 9.73303, 9.74116, 9.74987, 9.75645, 9.76952, 9.79079, 9.81875");
+            values ( \
+              "0.00454444, 0.00472181, 0.013298, 0.0174604, 0.0194835, 0.0199127, 0.0205179, 0.0209061, 0.0210886, 0.0225914, 0.0178552, 0.014072, 0.00902151, 0.00846516, 0.00901684, 0.00849212, 0.00763735, 0.00487138, 0.00417413, 0.00327276, 0.00225079, 0.00152485, 0.00115169, 0.000619839, 0.000238663, 4.93933e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498107");
+            index_3 ("9.55745, 9.57443, 9.58042, 9.59901, 9.62137, 9.64872, 9.66329, 9.67682, 9.69024, 9.70196, 9.70434, 9.7091, 9.71863, 9.72829, 9.73494, 9.75255, 9.755, 9.75992, 9.76702, 9.77415, 9.80149, 9.81292, 9.82341, 9.83739, 9.86537, 9.90206, 9.94788");
+            values ( \
+              "0.0095504, 0.0115844, 0.0145315, 0.0255328, 0.0395521, 0.0579151, 0.0651755, 0.0674733, 0.0662024, 0.0621906, 0.0588478, 0.0544489, 0.0445965, 0.0360561, 0.0307774, 0.0191677, 0.0177648, 0.0158183, 0.0144179, 0.0132655, 0.00764541, 0.00567026, 0.00423344, 0.00281167, 0.0011931, 0.000348351, 7.86772e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199085");
+            index_3 ("9.57109, 9.59408, 9.62406, 9.6674, 9.67566, 9.69069, 9.70371, 9.72778, 9.73791, 9.75961, 9.79012, 9.80588, 9.87948, 9.91801, 9.96001, 9.98927, 10.0158, 10.0633, 10.0955, 10.1409, 10.1827, 10.2348, 10.2772");
+            values ( \
+              "0.028037, 0.0343264, 0.0655473, 0.116203, 0.122359, 0.129174, 0.131347, 0.129946, 0.127988, 0.122438, 0.112306, 0.105659, 0.0625699, 0.0440758, 0.0288986, 0.0209021, 0.0164208, 0.0106847, 0.00777295, 0.00479614, 0.00303773, 0.00161249, 0.0012301" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079571");
+            index_3 ("9.60884, 9.65354, 9.66782, 9.68609, 9.71189, 9.72206, 9.73368, 9.75693, 9.78995, 9.88626, 9.97842, 10.0759, 10.1814, 10.2754, 10.4801, 10.5354, 10.6392, 10.7393, 10.8019, 10.8869, 10.9622, 11.0415, 11.1543, 11.2978, 11.409, 11.5476, 11.7083, 11.9113, 12.1896");
+            values ( \
+              "0.114592, 0.121964, 0.14111, 0.157402, 0.167717, 0.168973, 0.169552, 0.169202, 0.167137, 0.159453, 0.151522, 0.142132, 0.129578, 0.113997, 0.0753005, 0.0658515, 0.0499217, 0.0375809, 0.0311766, 0.0238909, 0.0190114, 0.0150008, 0.0105472, 0.00669639, 0.00469893, 0.00292836, 0.0017255, 0.000823048, 0.000321754" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.318032");
+            index_3 ("9.65022, 9.65024, 10.182, 10.8193, 11.2351, 11.5255, 11.7388, 12.154, 12.8536, 13.2586, 13.5941, 13.8557, 14.2045, 14.4515, 14.9182, 15.3954, 15.9365, 16.3682, 17.1659, 17.9358, 18.9569");
+            values ( \
+              "1e-22, 0.199179, 0.175836, 0.160854, 0.15029, 0.141719, 0.134233, 0.115586, 0.0803227, 0.0619068, 0.0489045, 0.040283, 0.0306909, 0.0251964, 0.0172404, 0.0115757, 0.00733401, 0.00504614, 0.00257139, 0.00130289, 0.000498484" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27112");
+            index_3 ("9.729, 9.72902, 11.6631, 13.4689, 15.496, 16.6652, 17.4736, 17.9643, 18.7956, 19.7895, 22.6192, 23.6692, 24.7587, 25.5021, 26.4319, 27.3869, 28.4765, 29.9843, 31.0543, 32.1439, 33.6814, 35.6251, 37.8042, 39.9833, 43.252, 47.6103");
+            values ( \
+              "1e-22, 0.208761, 0.178361, 0.167581, 0.154915, 0.146687, 0.140023, 0.135373, 0.126417, 0.114374, 0.0782037, 0.0659716, 0.0546027, 0.047694, 0.0400422, 0.0332433, 0.0267197, 0.0196063, 0.0156689, 0.0124372, 0.00894522, 0.00586412, 0.00363416, 0.00224494, 0.00108766, 0.000412398" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00532878", \
+            "0.0070963", \
+            "0.00812358", \
+            "0.008775", \
+            "0.00937346", \
+            "0.00978109" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00731704", \
+            "0.00834965", \
+            "0.00954621", \
+            "0.00955281", \
+            "0.00838693", \
+            "0.00780059" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.245216, 0.270208, 0.288209, 0.298102, 0.308693, 0.317874, 0.32671, 0.335377, 0.342722, 0.360693, 0.371165, 0.376521, 0.423307, 0.42382");
+            values ( \
+              "-0.000130151, -0.0127736, -0.0193478, -0.0220675, -0.0239256, -0.0250036, -0.0257601, -0.0260424, -0.0245378, -0.00992977, -0.00416216, -0.00285568, -0.000493116, -0.000486203" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498107");
+            index_3 ("0.258022, 0.269482, 0.292455, 0.302294, 0.312966, 0.328407, 0.336248, 0.354926, 0.366497, 0.378063, 0.39553, 0.409804, 0.420849, 0.434193, 0.440979, 0.450028, 0.557573");
+            values ( \
+              "-0.02218, -0.027276, -0.0496081, -0.0574567, -0.0642609, -0.0711301, -0.0736104, -0.0774079, -0.0777004, -0.0692267, -0.0389753, -0.0205236, -0.0118477, -0.00527195, -0.00330353, -0.00215373, -0.000221455" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199085");
+            index_3 ("0.269295, 0.290406, 0.315025, 0.333074, 0.345159, 0.370844, 0.394622, 0.417646, 0.440423, 0.463195, 0.466629, 0.478404, 0.535663, 0.552603, 0.565986, 0.590244, 0.603015, 0.616965, 0.635565, 0.672766, 0.712857, 0.744659");
+            values ( \
+              "-0.0602544, -0.072229, -0.104196, -0.122571, -0.132081, -0.147053, -0.154136, -0.157679, -0.157202, -0.151026, -0.148755, -0.137739, -0.0596486, -0.0431982, -0.0331109, -0.0200091, -0.0152477, -0.0112861, -0.0074419, -0.00280784, -0.000947562, -0.000855686" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079571");
+            index_3 ("0.288329, 0.338236, 0.380432, 0.414367, 0.451587, 0.498166, 0.51819, 0.551212, 0.584486, 0.652085, 0.685503, 0.721866, 0.792322, 0.816268, 0.843635, 0.949922, 0.993424, 1.03767, 1.11926, 1.16221, 1.20117, 1.27806, 1.32231, 1.41082, 1.56146, 1.7195, 1.92348");
+            values ( \
+              "-0.136343, -0.15086, -0.186266, -0.202671, -0.212256, -0.216853, -0.2171, -0.216427, -0.214648, -0.20923, -0.205733, -0.201067, -0.186921, -0.178618, -0.166358, -0.109281, -0.088426, -0.0702058, -0.044418, -0.0345466, -0.0273564, -0.0170639, -0.0129488, -0.00731772, -0.0024798, -0.000784371, -0.000372917" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.318032");
+            index_3 ("0.32188, 0.490268, 0.564996, 0.628532, 0.708149, 0.923065, 1.55321, 1.81972, 1.90254, 2.06816, 2.16988, 2.28624, 2.69322, 3.03037, 3.34337, 3.50643, 3.6557, 3.94805, 4.11455, 4.44755, 5.04986, 5.17087");
+            values ( \
+              "-0.232166, -0.236064, -0.240906, -0.241283, -0.24031, -0.235538, -0.219322, -0.21028, -0.206619, -0.196604, -0.187349, -0.173152, -0.113712, -0.0730888, -0.0463467, -0.0361929, -0.0287148, -0.0180486, -0.0137969, -0.00793805, -0.00266397, -0.00230943" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27112");
+            index_3 ("0.267888, 0.321308, 0.393366, 0.440717, 0.513576, 0.565309, 0.627619, 0.707959, 0.789883, 3.15296, 4.13946, 5.15507, 6.20791, 7.00013, 7.32445, 7.89945, 9.66696, 10.3766, 10.902, 11.4312, 12.134, 12.7512, 13.367, 14.1592, 14.6233, 15.2195, 15.9466, 16.7389, 17.5311, 18.3233, 19.1155, 19.9078, 21.4922, 22.2844");
+            values ( \
+              "-0.0497267, -0.139476, -0.209854, -0.23104, -0.244363, -0.247683, -0.249291, -0.249474, -0.249276, -0.235159, -0.228965, -0.221862, -0.212691, -0.20219, -0.196008, -0.180146, -0.114866, -0.0913694, -0.076358, -0.0633195, -0.048715, -0.038501, -0.0303569, -0.0220537, -0.018298, -0.0143654, -0.0107384, -0.00765931, -0.00558442, -0.00393087, -0.00289484, -0.00199746, -0.00100685, -0.000801512" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.28039, 0.305639, 0.323615, 0.334382, 0.344127, 0.353284, 0.362133, 0.370799, 0.378146, 0.396117, 0.405247, 0.411935, 0.458725, 0.461966");
+            values ( \
+              "-1.49508e-05, -0.0127808, -0.0193387, -0.0222205, -0.0239023, -0.0250398, -0.0257292, -0.0260786, -0.0245048, -0.00994626, -0.00474657, -0.00283986, -0.000476512, -0.000432806" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498107");
+            index_3 ("0.284887, 0.304942, 0.327961, 0.342913, 0.353607, 0.363527, 0.372486, 0.378675, 0.390419, 0.40199, 0.413556, 0.431024, 0.445298, 0.456342, 0.469686, 0.476473, 0.485522, 0.59307");
+            values ( \
+              "-0.000464431, -0.0276817, -0.0496531, -0.0609097, -0.0668878, -0.0709807, -0.0737937, -0.0753788, -0.0773772, -0.077726, -0.0691996, -0.0389745, -0.0205234, -0.0118477, -0.00527184, -0.00330332, -0.00215378, -0.000221561" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199085");
+            index_3 ("0.304074, 0.319988, 0.331073, 0.350494, 0.368633, 0.380628, 0.406312, 0.430091, 0.453115, 0.475893, 0.498664, 0.502097, 0.513868, 0.524998, 0.545666, 0.558428, 0.571133, 0.588073, 0.601455, 0.60954, 0.625712, 0.638484, 0.652435, 0.671037, 0.70824, 0.748332, 0.922949");
+            values ( \
+              "-0.0626231, -0.0630944, -0.0796672, -0.104255, -0.122593, -0.132137, -0.146997, -0.15419, -0.157626, -0.157255, -0.150975, -0.148754, -0.137743, -0.122791, -0.0921138, -0.0747246, -0.0596489, -0.0431972, -0.0331111, -0.0280855, -0.0200099, -0.0152478, -0.0112859, -0.00744158, -0.00280737, -0.000947499, -0.000443036" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079571");
+            index_3 ("0.321252, 0.373892, 0.409458, 0.429651, 0.456575, 0.487267, 0.534379, 0.553866, 0.58689, 0.620166, 0.689991, 0.721134, 0.757546, 0.828002, 0.851959, 0.879337, 0.985606, 1.02911, 1.07335, 1.15494, 1.1979, 1.23685, 1.31374, 1.35799, 1.4465, 1.59714, 1.75517, 1.95915");
+            values ( \
+              "-0.121306, -0.150912, -0.182176, -0.193939, -0.204812, -0.212184, -0.216828, -0.217096, -0.216401, -0.214655, -0.209024, -0.205734, -0.20107, -0.186918, -0.178614, -0.166348, -0.10928, -0.0884256, -0.0702061, -0.044418, -0.0345459, -0.0273559, -0.0170638, -0.0129491, -0.00731801, -0.00248006, -0.000784315, -0.000373034" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.318032");
+            index_3 ("0.348242, 0.4792, 0.52491, 0.600469, 0.663823, 0.743933, 0.958527, 1.58867, 1.85519, 1.93802, 2.1037, 2.2052, 2.32162, 2.72867, 3.06584, 3.37884, 3.54188, 3.69115, 3.98351, 4.15002, 4.48305, 5.08563, 5.20673");
+            values ( \
+              "-0.210123, -0.227151, -0.236106, -0.240953, -0.241296, -0.24031, -0.235539, -0.21932, -0.210278, -0.20662, -0.196597, -0.187363, -0.173164, -0.113715, -0.0730868, -0.0463449, -0.0361952, -0.0287139, -0.0180472, -0.013798, -0.00793622, -0.00266359, -0.00230846" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27112");
+            index_3 ("0.301446, 0.355056, 0.426739, 0.473873, 0.547145, 0.598901, 0.661071, 0.741395, 3.18653, 4.17303, 5.18864, 5.63542, 6.24148, 7.0337, 7.35802, 7.93301, 9.70052, 10.4102, 10.9356, 11.4648, 12.1676, 12.7848, 13.4006, 14.1928, 14.8556, 15.2531, 16.0453, 16.8375, 17.6298, 18.422, 19.2142, 20.7987, 22.3831");
+            values ( \
+              "-0.0497116, -0.139763, -0.209771, -0.230872, -0.244327, -0.24772, -0.249253, -0.24951, -0.235124, -0.22893, -0.221826, -0.21824, -0.212655, -0.202225, -0.195973, -0.18018, -0.114832, -0.091403, -0.0763913, -0.0632861, -0.0487482, -0.0385343, -0.0303238, -0.0220869, -0.0169424, -0.0143985, -0.0104177, -0.00748371, -0.00540054, -0.00385508, -0.00278368, -0.00143656, -0.000748124" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.426155, 0.451189, 0.472546, 0.479264, 0.489668, 0.498842, 0.50769, 0.516357, 0.523703, 0.541676, 0.550806, 0.557494, 0.604284, 0.61114");
+            values ( \
+              "-0.000106603, -0.0127683, -0.0203265, -0.0220939, -0.0239086, -0.0250196, -0.0257437, -0.0260589, -0.0245209, -0.00993898, -0.00475291, -0.00284789, -0.000485016, -0.000392589" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498107");
+            index_3 ("0.439153, 0.450505, 0.473485, 0.488024, 0.499155, 0.512063, 0.524224, 0.535968, 0.547539, 0.559105, 0.576572, 0.590846, 0.601891, 0.615235, 0.622021, 0.63107, 0.738614");
+            values ( \
+              "-0.0225812, -0.0272488, -0.0495784, -0.0606423, -0.0668972, -0.0719949, -0.075369, -0.0773886, -0.07772, -0.0692072, -0.0389757, -0.0205232, -0.011848, -0.00527233, -0.0033039, -0.00215335, -0.000221086" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199085");
+            index_3 ("0.450372, 0.471477, 0.496089, 0.514162, 0.526223, 0.551907, 0.575685, 0.598709, 0.621487, 0.644258, 0.647693, 0.659467, 0.716727, 0.733666, 0.747049, 0.771307, 0.784078, 0.798028, 0.816628, 0.853829, 0.89392, 0.925725");
+            values ( \
+              "-0.0603374, -0.0722202, -0.104222, -0.122571, -0.132106, -0.14703, -0.15416, -0.157656, -0.157226, -0.151003, -0.148754, -0.137737, -0.0596493, -0.0431976, -0.0331115, -0.0200097, -0.015247, -0.0112855, -0.00744258, -0.00280723, -0.000948213, -0.000856091" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079571");
+            index_3 ("0.469435, 0.519305, 0.561499, 0.59546, 0.632654, 0.679241, 0.699256, 0.732278, 0.765552, 0.832037, 0.86657, 0.902932, 0.973389, 0.997334, 1.0247, 1.13099, 1.17449, 1.21874, 1.30033, 1.34328, 1.38223, 1.45913, 1.50338, 1.59189, 1.74253, 1.90057, 2.10455");
+            values ( \
+              "-0.136569, -0.150843, -0.186245, -0.202708, -0.212232, -0.216879, -0.217075, -0.216452, -0.214623, -0.209351, -0.205733, -0.201067, -0.186922, -0.178618, -0.166358, -0.109281, -0.088426, -0.0702058, -0.044418, -0.0345466, -0.0273564, -0.0170639, -0.0129487, -0.00731771, -0.00247978, -0.00078437, -0.000372916" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.318032");
+            index_3 ("0.503894, 0.67224, 0.710714, 0.746787, 0.810164, 0.889967, 1.10486, 1.47319, 1.735, 2.00151, 2.08433, 2.24995, 2.35168, 2.46803, 2.87501, 3.04211, 3.21217, 3.43891, 3.52516, 3.68822, 3.83749, 4.12984, 4.29634, 4.62934, 5.2317, 5.85042, 6.6355");
+            values ( \
+              "-0.232784, -0.236068, -0.239424, -0.240883, -0.241309, -0.240286, -0.235514, -0.226493, -0.219322, -0.21028, -0.206619, -0.196604, -0.187348, -0.173152, -0.113712, -0.092027, -0.0730889, -0.0526697, -0.0463468, -0.0361927, -0.0287149, -0.0180487, -0.0137968, -0.00793816, -0.00266362, -0.000851123, -0.000235441" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27112");
+            index_3 ("0.448496, 0.502117, 0.57415, 0.621558, 0.694156, 0.745889, 0.808317, 0.888691, 0.970463, 3.33354, 4.32004, 5.33565, 5.78243, 6.38849, 7.18071, 7.50503, 8.08003, 9.84754, 10.5572, 11.0826, 11.6118, 12.3146, 12.9318, 13.5476, 14.3398, 15.0026, 15.4001, 16.1923, 16.9846, 17.7768, 18.569, 19.3612, 20.9457, 22.5301");
+            values ( \
+              "-0.0497974, -0.139834, -0.210007, -0.231097, -0.244337, -0.247708, -0.249267, -0.249499, -0.249251, -0.235134, -0.22894, -0.221836, -0.21823, -0.212665, -0.202215, -0.195983, -0.180171, -0.11484, -0.0913946, -0.0763833, -0.0632943, -0.0487402, -0.0385263, -0.0303317, -0.0220789, -0.0169502, -0.0143906, -0.0104255, -0.00747587, -0.00540838, -0.00384725, -0.0027915, -0.00144436, -0.000755906" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.93887, 0.974637, 0.989631, 0.994385, 1.0042, 1.0134, 1.02231, 1.03103, 1.0384, 1.05434, 1.06525, 1.07041, 1.07507, 1.08775, 1.10487, 1.116, 1.13572");
+            values ( \
+              "-0.000243873, -0.0160594, -0.0209559, -0.0220619, -0.0237727, -0.0248691, -0.0255846, -0.0259062, -0.0243871, -0.0113404, -0.00491902, -0.00315683, -0.00266103, -0.00216897, -0.00110057, -0.000588051, -0.000258511" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498107");
+            index_3 ("0.943607, 0.968142, 0.98206, 0.997702, 1.00891, 1.01386, 1.02377, 1.033, 1.04077, 1.05353, 1.05799, 1.06243, 1.0713, 1.0924, 1.1051, 1.11373, 1.1192, 1.13105, 1.13622, 1.14312, 1.15625, 1.17193, 1.22483, 1.27523");
+            values ( \
+              "-0.000159867, -0.0305488, -0.0440781, -0.0569414, -0.0639818, -0.0665052, -0.0705385, -0.0734082, -0.075329, -0.0775164, -0.077634, -0.0774584, -0.0722358, -0.0374397, -0.0211394, -0.0138481, -0.0104465, -0.00497177, -0.00348403, -0.00240104, -0.00195526, -0.00192512, -0.000567417, -0.000107374" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199085");
+            index_3 ("0.966212, 0.990821, 1.01036, 1.02619, 1.04048, 1.04958, 1.05813, 1.06612, 1.07858, 1.09002, 1.11311, 1.13595, 1.15878, 1.16582, 1.17332, 1.18512, 1.20574, 1.21856, 1.2313, 1.2483, 1.26158, 1.26961, 1.28567, 1.29858, 1.31266, 1.33145, 1.36901, 1.40916, 1.58387");
+            values ( \
+              "-0.0665772, -0.0791465, -0.103639, -0.119802, -0.131084, -0.136931, -0.141885, -0.145775, -0.150503, -0.153566, -0.157038, -0.156969, -0.150704, -0.145806, -0.138371, -0.122716, -0.0921556, -0.0747129, -0.0596059, -0.043125, -0.0331216, -0.0281274, -0.0200921, -0.0152663, -0.0112673, -0.00739917, -0.00275476, -0.000940216, -0.000437791" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079571");
+            index_3 ("0.984516, 1.03345, 1.06102, 1.07558, 1.09858, 1.12418, 1.14686, 1.18111, 1.21351, 1.27168, 1.29618, 1.34746, 1.39937, 1.45268, 1.49102, 1.56626, 1.63891, 1.69008, 1.73293, 1.76604, 1.82191, 1.84609, 1.88786, 1.94712, 2.01013, 2.05868, 2.15577, 2.30435, 2.47221, 2.72314");
+            values ( \
+              "-0.142916, -0.150019, -0.175231, -0.185425, -0.197571, -0.206667, -0.211754, -0.215815, -0.216875, -0.215154, -0.213535, -0.209176, -0.203476, -0.195214, -0.185784, -0.15236, -0.112762, -0.0880858, -0.0704017, -0.0587782, -0.0427596, -0.0371037, -0.0289382, -0.0201124, -0.0136273, -0.0100495, -0.00530056, -0.00177385, -0.000614013, -0.000246625" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.318032");
+            index_3 ("1.00239, 1.09354, 1.13951, 1.18146, 1.22253, 1.26095, 1.32504, 1.49935, 1.74262, 2.24918, 2.5157, 2.59847, 2.764, 2.86612, 2.98236, 3.38921, 3.72634, 4.03934, 4.20244, 4.35169, 4.64402, 4.8105, 5.14347, 5.74583, 5.85667");
+            values ( \
+              "-0.200543, -0.207908, -0.226468, -0.235109, -0.239168, -0.24078, -0.241304, -0.238266, -0.232551, -0.219323, -0.21028, -0.20662, -0.196615, -0.187322, -0.173132, -0.113708, -0.0730905, -0.046348, -0.0361904, -0.0287148, -0.0180495, -0.0137965, -0.0079397, -0.0026631, -0.00233863" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27112");
+            index_3 ("0.959239, 1.03624, 1.1077, 1.20542, 1.29441, 1.37278, 1.55535, 4.24662, 4.83138, 5.847, 6.29379, 6.89984, 7.69206, 8.01638, 8.59137, 10.3589, 11.0685, 11.5939, 12.1231, 12.8259, 13.4432, 14.0589, 14.8512, 15.514, 15.9115, 16.7037, 17.4959, 18.2881, 19.0803, 19.8726, 21.457, 23.0415");
+            values ( \
+              "-0.0491418, -0.167636, -0.220636, -0.244009, -0.248736, -0.249534, -0.248821, -0.232628, -0.228922, -0.221818, -0.218247, -0.212648, -0.202232, -0.195966, -0.180188, -0.114825, -0.0914105, -0.0763987, -0.0632787, -0.0487557, -0.0385416, -0.0303164, -0.0220942, -0.0169352, -0.0144057, -0.0104105, -0.00749087, -0.00539341, -0.00386222, -0.00277656, -0.00142946, -0.000741042" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.7624, 2.77371, 2.78578, 2.80003, 2.80933, 2.8141, 2.82384, 2.83298, 2.84182, 2.85047, 2.85781, 2.87578, 2.88622, 2.89154, 2.93837, 2.94145");
+            values ( \
+              "-0.000669968, -0.00727728, -0.0128536, -0.0181872, -0.0210901, -0.0222163, -0.0239563, -0.0250578, -0.0257867, -0.0260945, -0.0245472, -0.00993893, -0.00415841, -0.00284704, -0.000481877, -0.000440249" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498107");
+            index_3 ("2.77446, 2.78748, 2.80811, 2.82184, 2.83325, 2.84475, 2.85373, 2.87005, 2.87532, 2.88154, 2.89307, 2.91108, 2.92449, 2.93871, 2.95001, 2.95525, 2.96225, 3.04512, 3.09618");
+            values ( \
+              "-0.0261829, -0.0302571, -0.050015, -0.0604805, -0.066963, -0.0716124, -0.074324, -0.0775796, -0.0780498, -0.0775883, -0.0693341, -0.0381741, -0.0208762, -0.0102074, -0.00501064, -0.00348406, -0.00239088, -0.000537094, -9.31663e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199085");
+            index_3 ("2.78318, 2.79915, 2.8107, 2.83012, 2.84827, 2.86023, 2.8859, 2.90968, 2.93271, 2.9555, 2.97828, 2.98175, 2.99363, 3.00464, 3.02534, 3.0381, 3.0508, 3.06773, 3.08115, 3.08926, 3.10548, 3.11821, 3.13213, 3.15069, 3.18781, 3.22793, 3.4027");
+            values ( \
+              "-0.0597388, -0.0621138, -0.0795681, -0.104306, -0.122735, -0.132217, -0.147061, -0.154159, -0.157571, -0.15713, -0.150868, -0.148616, -0.137493, -0.122705, -0.092036, -0.0746755, -0.0596231, -0.0431914, -0.0330886, -0.0280552, -0.0199742, -0.0152325, -0.0112842, -0.00745049, -0.00281961, -0.000951418, -0.000442495" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079571");
+            index_3 ("2.79995, 2.85366, 2.88974, 2.90827, 2.93298, 2.96701, 3.01325, 3.03367, 3.06673, 3.10005, 3.16997, 3.20125, 3.23762, 3.30817, 3.3321, 3.35945, 3.4659, 3.50944, 3.5537, 3.63535, 3.67834, 3.71732, 3.79424, 3.8385, 3.92702, 4.07775, 4.15889");
+            values ( \
+              "-0.115848, -0.15105, -0.182698, -0.193442, -0.203617, -0.212095, -0.216533, -0.216885, -0.216102, -0.214412, -0.208749, -0.205447, -0.200806, -0.186693, -0.178437, -0.166237, -0.109203, -0.0883657, -0.0701647, -0.0443935, -0.0345233, -0.0273391, -0.0170548, -0.0129434, -0.007316, -0.00247885, -0.00143312" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.318032");
+            index_3 ("2.83808, 3.08019, 3.12633, 3.31882, 3.95713, 4.30814, 4.50168, 4.61875, 4.85289, 5.22762, 5.51358, 5.83256, 5.99678, 6.21573, 6.58037, 6.83546, 7.34565, 7.63716");
+            values ( \
+              "-0.232493, -0.240563, -0.241051, -0.237983, -0.222221, -0.211385, -0.202222, -0.193843, -0.166373, -0.111531, -0.0767202, -0.0484315, -0.037796, -0.0268816, -0.015015, -0.0099086, -0.00405459, -0.00273725" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27112");
+            index_3 ("2.78162, 2.83651, 2.9061, 2.9526, 3.02731, 3.0792, 3.14145, 3.22196, 4.16243, 4.37598, 6.01484, 6.65483, 7.67046, 8.11728, 8.7233, 9.51552, 9.83984, 10.4148, 12.1823, 12.892, 13.4174, 13.9466, 14.6494, 15.2666, 15.8824, 16.6746, 17.3375, 17.7349, 18.5271, 19.3194, 20.1116, 20.9038, 21.696, 23.2805, 24.8649");
+            values ( \
+              "-0.0495978, -0.141779, -0.209306, -0.230275, -0.244059, -0.247421, -0.24894, -0.249188, -0.243765, -0.242837, -0.232984, -0.228911, -0.221807, -0.218258, -0.212637, -0.202243, -0.195955, -0.180198, -0.114814, -0.0914211, -0.0764094, -0.063268, -0.0487664, -0.0385524, -0.0303056, -0.022105, -0.0169243, -0.0144165, -0.0103996, -0.00750173, -0.00538253, -0.0038731, -0.00276567, -0.00141857, -0.00073015" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.67996, 9.70228, 9.71715, 9.72734, 9.74187, 9.75104, 9.75988, 9.76854, 9.77587, 9.7938, 9.80424, 9.80956, 9.8563, 9.88667, 9.90958");
+            values ( \
+              "-0.00117127, -0.0119823, -0.0177504, -0.020968, -0.0238733, -0.0250074, -0.0257711, -0.0261064, -0.024578, -0.0099602, -0.00416954, -0.00284867, -0.000487316, -7.44432e-05, -5.31135e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498107");
+            index_3 ("9.68009, 9.71221, 9.72582, 9.74191, 9.75149, 9.76441, 9.77656, 9.78829, 9.79983, 9.81136, 9.82878, 9.84302, 9.85405, 9.86736, 9.8741, 9.88309, 9.99051");
+            values ( \
+              "-0.000152284, -0.0366301, -0.0492226, -0.0614018, -0.0668149, -0.0719983, -0.0754456, -0.077653, -0.0779425, -0.0694268, -0.0390645, -0.020567, -0.0118714, -0.00529508, -0.00332085, -0.00215349, -0.000223902" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199085");
+            index_3 ("9.70129, 9.71752, 9.73753, 9.74829, 9.7667, 9.77844, 9.80407, 9.82777, 9.85071, 9.87339, 9.89607, 9.89951, 9.91132, 9.92232, 9.94294, 9.95567, 9.96836, 9.98529, 9.99861, 10.0067, 10.0228, 10.0356, 10.0495, 10.0682, 10.1054, 10.1456, 10.3207");
+            values ( \
+              "-0.0617486, -0.061893, -0.0907872, -0.103864, -0.122802, -0.13228, -0.147442, -0.15475, -0.158285, -0.157908, -0.151558, -0.149295, -0.138068, -0.123124, -0.092316, -0.0748797, -0.0597478, -0.0432464, -0.0331686, -0.0281431, -0.0200671, -0.0152772, -0.0112981, -0.00744226, -0.00280034, -0.000936792, -0.000441902" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079571");
+            index_3 ("9.71808, 9.81444, 9.8469, 9.88531, 9.91976, 9.95161, 10.0061, 10.0851, 10.1588, 10.2142, 10.2573, 10.457, 10.5421, 10.6387, 10.7112, 10.8315, 10.9059");
+            values ( \
+              "-0.112963, -0.186945, -0.20302, -0.213152, -0.217053, -0.217857, -0.216078, -0.209565, -0.200734, -0.189958, -0.175222, -0.0754543, -0.0470831, -0.0266136, -0.0170529, -0.00794819, -0.00537118" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.318032");
+            index_3 ("9.74327, 9.92087, 9.96051, 9.99775, 10.0613, 10.1402, 10.479, 10.9857, 11.2444, 11.2992, 11.3619, 11.4873, 11.6293, 11.8185, 12.1036, 12.2982, 12.3964, 12.5806, 12.7546, 12.8988, 13.0574, 13.2825, 13.5134, 13.6898, 14.0426, 14.6314, 15.2796");
+            values ( \
+              "-0.200186, -0.236801, -0.240272, -0.241647, -0.241827, -0.240564, -0.2325, -0.219248, -0.210541, -0.208223, -0.205235, -0.197547, -0.184357, -0.158927, -0.116899, -0.0914546, -0.0801873, -0.0619268, -0.0479529, -0.0385763, -0.0301939, -0.021132, -0.014613, -0.010973, -0.00607298, -0.00208053, -0.000641299" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27112");
+            index_3 ("9.69915, 9.76333, 9.83332, 9.87898, 9.94484, 9.99686, 10.0593, 10.1399, 13.3765, 14.587, 15.3792, 15.6405, 16.1631, 16.6641, 16.9348, 17.2094, 17.7588, 18.6398, 19.432, 20.1683, 20.5575, 21.3358, 21.9302, 22.2619, 22.8693, 23.6615, 24.0577, 24.8499, 25.6421, 26.4343, 27.2266, 28.811, 30.3954, 31.9799");
+            values ( \
+              "-0.0477459, -0.153179, -0.215406, -0.233814, -0.245136, -0.24847, -0.249742, -0.249804, -0.230097, -0.221732, -0.215141, -0.212564, -0.206305, -0.19786, -0.191745, -0.184028, -0.165089, -0.131509, -0.103359, -0.0808922, -0.0705951, -0.0531169, -0.0424583, -0.0373799, -0.0294764, -0.0214784, -0.018317, -0.0132578, -0.00956657, -0.00688765, -0.00494892, -0.00254416, -0.00130811, -0.000676588" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.00489572, 0.00489611, 0.00489657, 0.00489677, 0.00489683, 0.00489685", \
+            "0.0060786, 0.00607856, 0.00607856, 0.00607858, 0.00607859, 0.00607859", \
+            "0.00656502, 0.00656502, 0.00656502, 0.00656502, 0.00656502, 0.00656502", \
+            "0.0067698, 0.0067698, 0.0067698, 0.00677025, 0.00676981, 0.00677025", \
+            "0.00689133, 0.00689134, 0.00689134, 0.00689229, 0.00689134, 0.00689134", \
+            "0.00693819, 0.00693819, 0.00693819, 0.00693819, 0.00693819, 0.00693819" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.00656252, 0.00656243, 0.0065625, 0.00656261, 0.00656264, 0.00656265", \
+            "0.00721463, 0.00721461, 0.00721454, 0.00721451, 0.00721451, 0.0072145", \
+            "0.00818261, 0.00818261, 0.0081826, 0.00818259, 0.00818259, 0.00818258", \
+            "0.00770964, 0.00770931, 0.00770894, 0.00770252, 0.00770873, 0.00770247", \
+            "0.00823845, 0.00823812, 0.00823646, 0.00822494, 0.00823659, 0.00823775", \
+            "0.00804851, 0.00804839, 0.00804908, 0.00804746, 0.0080386, 0.00804609" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "(CK & D)";
+        timing_sense : negative_unate;
+        timing_type : preset;
+        when : "(CK * D)";
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.183667, 0.20656, 0.288682, 0.604105, 1.86084, 6.88301", \
+            "0.19494, 0.217766, 0.299935, 0.615361, 1.87208, 6.8942", \
+            "0.243478, 0.266349, 0.348476, 0.663952, 1.92066, 6.94286", \
+            "0.374494, 0.397436, 0.479565, 0.795168, 2.05195, 7.07418", \
+            "0.658602, 0.682357, 0.764731, 1.08032, 2.33757, 7.35957", \
+            "1.38045, 1.40886, 1.49316, 1.8081, 3.0651, 8.08743" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0499593, 0.0761732, 0.189248, 0.652353, 2.5131, 9.94981", \
+            "0.049986, 0.0761691, 0.189249, 0.652273, 2.5131, 9.94977", \
+            "0.0499861, 0.0761837, 0.189246, 0.652354, 2.5131, 9.94984", \
+            "0.051027, 0.0768486, 0.1896, 0.652274, 2.51309, 9.94985", \
+            "0.0567311, 0.0805236, 0.190062, 0.652325, 2.51302, 9.95006", \
+            "0.0769382, 0.0960081, 0.193791, 0.652485, 2.51304, 9.94924" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.142382, 0.15197, 0.165054, 0.16971, 0.177297, 0.182131, 0.184471, 0.188013, 0.191666, 0.197891, 0.208117, 0.219716, 0.235515, 0.238702, 0.247989, 0.26083, 0.272377, 0.288245, 0.300443, 0.315882");
+            values ( \
+              "0.000241708, 0.0137249, 0.0251168, 0.0280843, 0.0309178, 0.0316055, 0.0316588, 0.031286, 0.0303358, 0.0277286, 0.0244656, 0.0149224, 0.00735834, 0.00817792, 0.00747035, 0.00453784, 0.00268936, 0.00129598, 0.0007387, 0.000370543" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498107");
+            index_3 ("0.147039, 0.154892, 0.161046, 0.168821, 0.175923, 0.181287, 0.187214, 0.192447, 0.203396, 0.215283, 0.226692, 0.232869, 0.240059, 0.251706, 0.267676, 0.275098, 0.287542, 0.293457, 0.299389, 0.307443, 0.318574, 0.335113, 0.352164, 0.363241, 0.382723, 0.411374, 0.448752");
+            values ( \
+              "0.00872898, 0.0369728, 0.0503299, 0.0644681, 0.0735348, 0.0779294, 0.0809709, 0.0821573, 0.081607, 0.0773263, 0.0717198, 0.0675407, 0.0618778, 0.0474145, 0.0330284, 0.0274923, 0.0194408, 0.0163202, 0.0145996, 0.0134145, 0.0114, 0.0079363, 0.00505433, 0.00372528, 0.0020583, 0.000861111, 0.000223249" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199085");
+            index_3 ("0.155829, 0.16941, 0.180753, 0.189376, 0.197246, 0.20678, 0.215647, 0.228557, 0.254709, 0.272403, 0.296754, 0.327097, 0.342736, 0.41727, 0.456401, 0.493671, 0.519388, 0.538348, 0.574941, 0.605618, 0.666613, 0.705452, 0.755719, 0.794648");
+            values ( \
+              "0.0828839, 0.0994105, 0.121166, 0.130615, 0.135598, 0.138519, 0.139199, 0.138273, 0.133548, 0.129658, 0.12311, 0.112857, 0.106272, 0.0622027, 0.0434086, 0.0298108, 0.0223983, 0.0185274, 0.0134434, 0.0100644, 0.005381, 0.00351839, 0.00194268, 0.00131091" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079571");
+            index_3 ("0.168268, 0.168288, 0.327403, 0.37678, 0.526199, 0.612274, 0.648303, 0.717406, 0.824413, 0.987862, 1.03695, 1.1024, 1.19764, 1.27951, 1.34257, 1.42429, 1.44787, 1.49504, 1.57538, 1.68905, 1.83553, 1.94892, 2.09417, 2.25897, 2.47272, 2.76244");
+            values ( \
+              "1e-22, 0.175506, 0.167544, 0.163961, 0.150871, 0.142396, 0.138316, 0.129654, 0.111751, 0.080515, 0.0717109, 0.0608401, 0.0469526, 0.0371394, 0.0307228, 0.0237971, 0.0221963, 0.019197, 0.0150874, 0.0105906, 0.00665775, 0.00463194, 0.00282375, 0.00163646, 0.000749232, 0.000279003" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.318032");
+            index_3 ("0.194371, 0.194391, 0.706275, 1.14033, 1.67568, 1.86957, 2.25081, 2.41868, 2.56658, 2.7371, 3.3167, 3.50689, 3.76048, 4.12057, 4.42018, 4.65264, 4.97317, 5.06337, 5.24379, 5.5427, 5.96715, 6.15204, 6.39875, 6.72771, 7.24872, 7.83762, 8.54963, 9.43686, 10.5278");
+            values ( \
+              "1e-22, 0.204846, 0.176218, 0.166059, 0.152879, 0.147617, 0.135128, 0.128308, 0.121546, 0.113311, 0.0838953, 0.0747314, 0.0633898, 0.0491967, 0.0394113, 0.032919, 0.025492, 0.0237005, 0.0204878, 0.0160314, 0.0112476, 0.00963105, 0.00781791, 0.00589467, 0.0037408, 0.00221674, 0.00116819, 0.000512113, 0.000182266" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27112");
+            index_3 ("0.277051, 0.277071, 1.92436, 5.40624, 6.89249, 7.65367, 8.49833, 9.3209, 10.3243, 13.1521, 14.1983, 15.2892, 16.0358, 16.9717, 17.8954, 18.9864, 20.5675, 21.6203, 23.585, 25.0256, 26.1166, 28.2984, 30.4802, 34.8439, 38.1167");
+            values ( \
+              "1e-22, 0.214853, 0.180006, 0.158967, 0.148995, 0.143081, 0.135406, 0.12652, 0.114397, 0.0782442, 0.0660212, 0.0546594, 0.0476899, 0.0400173, 0.0334028, 0.0268689, 0.0194224, 0.0155517, 0.0102297, 0.00752336, 0.00590639, 0.00365345, 0.0022506, 0.000845073, 0.000427945" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.179444, 0.185936, 0.196488, 0.200543, 0.205438, 0.212329, 0.214467, 0.217318, 0.220193, 0.227417, 0.235212, 0.24171, 0.243875, 0.248205, 0.256136, 0.259709, 0.269188, 0.272934, 0.276934, 0.277904, 0.283728, 0.29433, 0.300152, 0.312798, 0.314522, 0.31797, 0.338537, 0.383251");
+            values ( \
+              "0.00177953, 0.0120484, 0.021793, 0.0249807, 0.0279849, 0.0307747, 0.0311979, 0.0315166, 0.0316703, 0.0303742, 0.0270813, 0.0254825, 0.0242665, 0.020354, 0.0145302, 0.0124719, 0.00801106, 0.00771925, 0.00821298, 0.00818228, 0.0074367, 0.00496824, 0.00384077, 0.00213115, 0.00208534, 0.00166709, 0.00059088, 1.08137e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498107");
+            index_3 ("0.179409, 0.196543, 0.20433, 0.21659, 0.223691, 0.227958, 0.236491, 0.243687, 0.262205, 0.272851, 0.290397, 0.301921, 0.33022, 0.383615, 0.406928, 0.433572, 0.435088");
+            values ( \
+              "0.00203925, 0.0503402, 0.0644771, 0.0778217, 0.0812116, 0.082124, 0.0819925, 0.080231, 0.0717062, 0.0647406, 0.0445478, 0.0341002, 0.0158087, 0.00567203, 0.00292793, 0.00127643, 0.00125288" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199085");
+            index_3 ("0.190047, 0.199261, 0.210243, 0.22116, 0.232499, 0.242199, 0.251215, 0.264127, 0.290277, 0.305752, 0.332323, 0.362666, 0.378304, 0.452845, 0.491983, 0.529224, 0.55492, 0.573907, 0.610553, 0.641234, 0.667462, 0.702114, 0.740927, 0.791163, 0.852985, 0.936337");
+            values ( \
+              "0.0723132, 0.0834497, 0.111007, 0.127065, 0.135462, 0.138451, 0.139222, 0.138247, 0.133528, 0.130181, 0.12311, 0.112858, 0.106272, 0.0621992, 0.0434034, 0.0298162, 0.0224068, 0.0185292, 0.0134377, 0.0100603, 0.007756, 0.00538573, 0.00352132, 0.00194636, 0.000939845, 0.000309413" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079571");
+            index_3 ("0.205295, 0.205315, 0.390482, 0.482446, 0.550657, 0.647973, 0.753072, 0.8286, 1.02359, 1.13716, 1.23328, 1.2833, 1.37844, 1.46002, 1.53049, 1.6109, 1.72461, 1.87128, 1.98389, 2.12917, 2.29281, 2.50652, 2.79249");
+            values ( \
+              "1e-22, 0.178364, 0.165644, 0.158082, 0.151925, 0.142377, 0.129724, 0.117363, 0.0805196, 0.0609626, 0.0469683, 0.0407559, 0.0307127, 0.0237778, 0.0191958, 0.0151059, 0.0105806, 0.00664272, 0.00465458, 0.00281728, 0.00165924, 0.000741743, 0.000297653" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.318032");
+            index_3 ("0.232249, 0.232269, 0.741585, 1.17595, 1.7113, 1.90519, 2.28644, 2.45431, 2.60221, 2.77273, 3.35233, 3.54252, 3.7961, 4.1562, 4.33957, 4.4558, 4.68826, 5.00879, 5.27942, 5.57832, 6.00277, 6.18766, 6.43438, 6.76333, 7.28422, 7.87295, 8.58474, 9.47166, 10.5626");
+            values ( \
+              "1e-22, 0.206542, 0.176225, 0.16606, 0.152879, 0.147617, 0.135128, 0.128308, 0.121546, 0.113311, 0.0838953, 0.0747314, 0.0633898, 0.0491967, 0.0429954, 0.0394114, 0.032919, 0.025492, 0.0204878, 0.0160314, 0.0112476, 0.00963109, 0.00781795, 0.00589464, 0.00374128, 0.00221731, 0.0011688, 0.0005125, 0.000182446" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27112");
+            index_3 ("0.315248, 0.315268, 2.61925, 5.44251, 6.53343, 7.68982, 8.53448, 9.35705, 10.3604, 13.1882, 14.2345, 15.3254, 16.2056, 17.0079, 17.961, 19.0519, 20.5459, 21.6191, 22.71, 24.2542, 26.2042, 28.386, 30.5678, 33.8406, 38.2043");
+            values ( \
+              "1e-22, 0.208059, 0.176055, 0.158952, 0.151782, 0.143096, 0.135391, 0.126535, 0.114381, 0.0782286, 0.0660367, 0.0546438, 0.0465397, 0.0400017, 0.0332242, 0.0266929, 0.0196419, 0.0156907, 0.0124473, 0.00894343, 0.00585573, 0.00362768, 0.00224054, 0.00108076, 0.000407596" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.325852, 0.332019, 0.339278, 0.347576, 0.351524, 0.359131, 0.366301, 0.369145, 0.373509, 0.379558, 0.384861, 0.389956, 0.396886, 0.401558, 0.407669, 0.417506, 0.42064, 0.429567, 0.440356, 0.448933, 0.462598, 0.472867, 0.486178, 0.507623, 0.530985");
+            values ( \
+              "0.00250309, 0.0120564, 0.0189058, 0.0256319, 0.0279766, 0.0309566, 0.0316874, 0.0313833, 0.0303496, 0.0277997, 0.0264134, 0.0243688, 0.0181135, 0.014901, 0.0114753, 0.00732595, 0.00822814, 0.00754497, 0.00504964, 0.00346, 0.00182472, 0.00111957, 0.000632667, 0.000178861, 9.2357e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498107");
+            index_3 ("0.32987, 0.336563, 0.342743, 0.350536, 0.357328, 0.363025, 0.368965, 0.37418, 0.385131, 0.396401, 0.40843, 0.414607, 0.421797, 0.43337, 0.449483, 0.456884, 0.469279, 0.475116, 0.480987, 0.500306, 0.516962, 0.533902, 0.544786, 0.564136, 0.592483, 0.629607");
+            values ( \
+              "0.0167111, 0.0369171, 0.0502512, 0.0644091, 0.0730799, 0.0779279, 0.08091, 0.0821552, 0.0815728, 0.0775945, 0.0717144, 0.0675393, 0.0618757, 0.0474996, 0.0329747, 0.0274596, 0.0194425, 0.0163516, 0.0146282, 0.011402, 0.00791557, 0.00505528, 0.00374468, 0.00208012, 0.000877836, 0.000232175" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199085");
+            index_3 ("0.337927, 0.350561, 0.362322, 0.371099, 0.379106, 0.388572, 0.397375, 0.410285, 0.436438, 0.454126, 0.478481, 0.508823, 0.524459, 0.599147, 0.638021, 0.675447, 0.701234, 0.720107, 0.756523, 0.786967, 0.84877, 0.887392, 0.937438, 0.975588");
+            values ( \
+              "0.0894185, 0.0979111, 0.120918, 0.1306, 0.135607, 0.138537, 0.139165, 0.13829, 0.133575, 0.129666, 0.123112, 0.112853, 0.106279, 0.0621222, 0.0434548, 0.0297956, 0.0223679, 0.0185241, 0.0134599, 0.0101021, 0.00535645, 0.00350987, 0.0019438, 0.00132294" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079571");
+            index_3 ("0.34811, 0.420355, 0.432652, 0.445254, 0.470942, 0.502388, 0.540908, 0.598591, 0.764872, 0.843808, 0.899152, 1.00677, 1.18905, 1.29506, 1.38242, 1.45115, 1.54279, 1.60853, 1.66353, 1.73685, 1.86253, 2.00029, 2.10899, 2.25153, 2.4196, 2.62309, 2.89614");
+            values ( \
+              "0.168198, 0.170545, 0.170606, 0.170532, 0.169714, 0.167983, 0.165334, 0.160684, 0.14532, 0.136664, 0.12973, 0.111552, 0.0769878, 0.0590981, 0.0465829, 0.0382568, 0.0290047, 0.0235915, 0.0199702, 0.0160418, 0.0108474, 0.00704276, 0.00496375, 0.00307721, 0.00174671, 0.000849374, 0.000322409" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.318032");
+            index_3 ("0.374383, 0.374403, 0.877847, 1.32205, 1.85744, 2.05129, 2.45652, 2.6004, 2.91883, 3.68861, 3.94219, 4.3023, 4.60191, 4.83438, 5.2451, 5.42551, 5.72442, 6.14887, 6.58049, 6.90945, 7.42711, 8.01214, 8.71846, 9.59794, 10.6889");
+            values ( \
+              "1e-22, 0.203867, 0.176472, 0.166043, 0.152878, 0.147617, 0.134266, 0.128309, 0.113311, 0.0747315, 0.0633903, 0.0491968, 0.039411, 0.0329184, 0.0237005, 0.020488, 0.0160315, 0.0112476, 0.00781788, 0.00589457, 0.00375216, 0.00223154, 0.00118252, 0.000522604, 0.000185985" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27112");
+            index_3 ("0.46981, 0.46983, 2.76525, 5.58863, 6.67955, 7.83618, 8.68084, 10.5068, 13.3346, 14.3808, 15.4717, 17.1542, 18.0297, 19.1207, 20.7861, 21.8262, 23.7744, 26.2672, 28.4491, 30.6309, 33.9037, 38.2673");
+            values ( \
+              "1e-22, 0.209442, 0.176062, 0.15896, 0.151775, 0.143087, 0.1354, 0.11439, 0.0782376, 0.0660277, 0.0546528, 0.0400108, 0.0337299, 0.0271274, 0.0192705, 0.0154814, 0.0102208, 0.00595395, 0.00368545, 0.00227277, 0.0011106, 0.000424849" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.843285, 0.850262, 0.868151, 0.872077, 0.879929, 0.884482, 0.887449, 0.893384, 0.89775, 0.902758, 0.909184, 0.923751, 0.936383, 0.940269, 0.944202, 0.948494, 0.952509, 0.967018, 0.979545, 0.988413, 1.00024, 1.02082, 1.05369");
+            values ( \
+              "0.00297425, 0.00989439, 0.0239506, 0.026382, 0.029728, 0.030599, 0.0308138, 0.0301309, 0.0287668, 0.0267352, 0.0254548, 0.0145256, 0.00823791, 0.00763747, 0.00829906, 0.00784596, 0.00720425, 0.00400332, 0.00224653, 0.0014778, 0.000911019, 0.000264475, 7.82129e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498107");
+            index_3 ("0.847742, 0.866877, 0.878338, 0.889954, 0.895237, 0.906351, 0.919217, 0.92981, 0.939039, 0.964212, 0.978277, 0.996716, 1.04068, 1.05873, 1.07737, 1.10221, 1.11768");
+            values ( \
+              "0.0174742, 0.0536268, 0.0703597, 0.0790214, 0.0806653, 0.0806856, 0.0764463, 0.0714369, 0.065329, 0.0387289, 0.0274564, 0.0162536, 0.00747561, 0.00466921, 0.00273274, 0.00127456, 0.00103751" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199085");
+            index_3 ("0.857002, 0.871127, 0.883791, 0.892033, 0.904009, 0.918624, 0.939504, 0.955133, 0.978727, 1.01386, 1.04062, 1.10835, 1.16222, 1.19584, 1.21445, 1.23966, 1.26307, 1.31743, 1.38614, 1.47638");
+            values ( \
+              "0.0811037, 0.0926134, 0.118114, 0.127902, 0.135522, 0.13837, 0.136671, 0.134041, 0.128875, 0.118936, 0.108959, 0.0690057, 0.0423699, 0.0301703, 0.0245215, 0.0188052, 0.015292, 0.00923875, 0.00450603, 0.00169367" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079571");
+            index_3 ("0.867878, 0.911914, 0.926023, 0.940374, 0.967085, 0.99277, 1.04063, 1.15513, 1.25477, 1.31559, 1.42097, 1.48525, 1.72598, 1.83015, 1.90875, 1.96356, 2.03169, 2.12191, 2.15101, 2.20921, 2.28568, 2.39623, 2.53157, 2.64343, 2.7824, 2.94427, 3.14703, 3.42482");
+            values ( \
+              "0.159744, 0.165612, 0.169185, 0.170234, 0.170532, 0.169745, 0.166929, 0.157644, 0.148459, 0.142369, 0.12971, 0.119385, 0.074238, 0.0570298, 0.0459716, 0.0393027, 0.032086, 0.0242216, 0.0221913, 0.0185769, 0.0147609, 0.0104701, 0.00682497, 0.00476213, 0.00298158, 0.00173453, 0.000841596, 0.000317001" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.318032");
+            index_3 ("0.896055, 0.896075, 1.39937, 1.84372, 2.3791, 2.57296, 2.97818, 3.12207, 3.44049, 4.21028, 4.46386, 4.82396, 5.12358, 5.35605, 5.76677, 5.94717, 6.24608, 6.67053, 7.10215, 7.43111, 7.94557, 8.52691, 9.22781, 10.0999, 11.1908");
+            values ( \
+              "1e-22, 0.203883, 0.176469, 0.16605, 0.152878, 0.147617, 0.134266, 0.128309, 0.113311, 0.0747316, 0.0633903, 0.0491968, 0.0394111, 0.0329185, 0.0237005, 0.020488, 0.0160315, 0.0112476, 0.00781788, 0.0058946, 0.00376308, 0.00224578, 0.00119632, 0.000532803, 0.000189571" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27112");
+            index_3 ("0.990247, 0.990267, 2.65109, 6.1095, 7.5959, 8.35707, 9.20173, 10.0243, 11.0277, 13.8555, 14.9017, 15.9926, 16.7392, 17.6751, 18.6282, 19.7191, 21.2132, 22.2864, 23.3773, 24.9215, 26.8714, 29.0532, 31.2351, 34.5078, 38.8715");
+            values ( \
+              "1e-22, 0.216832, 0.179874, 0.158957, 0.148985, 0.14309, 0.135397, 0.126529, 0.114387, 0.0782347, 0.0660307, 0.0546499, 0.0476994, 0.0400078, 0.033218, 0.026699, 0.0196481, 0.0156846, 0.0124535, 0.00893724, 0.00584954, 0.00362147, 0.00223432, 0.00108698, 0.000413827" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.66852, 2.68416, 2.69832, 2.71413, 2.7235, 2.72764, 2.73279, 2.74114, 2.74348, 2.74974, 2.75573, 2.75884, 2.76352, 2.76882, 2.77146, 2.77454, 2.78238, 2.78655, 2.79001, 2.79359, 2.79861, 2.80821, 2.81368, 2.82098, 2.82744, 2.83037, 2.83623, 2.84793, 2.86691, 2.91487");
+            values ( \
+              "0.000503252, 0.00622012, 0.012763, 0.020419, 0.0244022, 0.02572, 0.0268656, 0.0268532, 0.0264901, 0.0252212, 0.0248548, 0.0230972, 0.0194284, 0.0157682, 0.0141781, 0.0125081, 0.00877782, 0.00780497, 0.00847404, 0.00824918, 0.0075872, 0.00536255, 0.00422355, 0.00311714, 0.00225338, 0.0020453, 0.00149029, 0.000916129, 0.000304352, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498107");
+            index_3 ("2.67755, 2.68932, 2.72794, 2.73802, 2.74094, 2.74678, 2.75292, 2.76535, 2.7749, 2.77731, 2.78212, 2.78953, 2.79094, 2.80298, 2.8181, 2.83101, 2.84157, 2.84805, 2.86446, 2.88756, 2.90223, 2.92104, 2.94122, 2.97635, 3.01694");
+            values ( \
+              "0.0110842, 0.0193835, 0.0641683, 0.0717555, 0.0732475, 0.0751618, 0.0759993, 0.0740674, 0.0706865, 0.0701425, 0.0668827, 0.0628346, 0.060403, 0.0474405, 0.0334261, 0.024235, 0.0176114, 0.0151586, 0.0123822, 0.00761753, 0.00512586, 0.00296666, 0.00170608, 0.000459903, 0.000188027" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199085");
+            index_3 ("2.68847, 2.70333, 2.72679, 2.73684, 2.74869, 2.76189, 2.77203, 2.78201, 2.79191, 2.80358, 2.82181, 2.84721, 2.86739, 2.87755, 2.89317, 2.95329, 2.96795, 3.00467, 3.02474, 3.04615, 3.07469, 3.1026, 3.11935, 3.15226, 3.18195, 3.22377, 3.26352, 3.31463, 3.37717, 3.46469");
+            values ( \
+              "0.0432698, 0.0532844, 0.099145, 0.114459, 0.126217, 0.132859, 0.134641, 0.134825, 0.134324, 0.13309, 0.12975, 0.12311, 0.116684, 0.112841, 0.106342, 0.0701347, 0.0620787, 0.0443322, 0.0364044, 0.0291672, 0.0212205, 0.0163958, 0.0141641, 0.0104401, 0.00778045, 0.00499422, 0.0032294, 0.00175193, 0.000845657, 0.000251803" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079571");
+            index_3 ("2.70991, 2.75545, 2.77444, 2.78828, 2.80141, 2.81679, 2.82972, 2.84282, 2.88173, 2.96962, 3.06547, 3.13677, 3.21268, 3.26797, 3.3756, 3.59545, 3.66389, 3.75124, 3.81996, 3.91159, 3.97735, 4.03235, 4.1057, 4.16476, 4.26939, 4.33214, 4.4158, 4.54641, 4.69581, 4.87384, 4.9013");
+            values ( \
+              "0.139326, 0.156609, 0.165425, 0.168055, 0.169537, 0.170133, 0.17002, 0.169521, 0.167349, 0.160497, 0.151925, 0.145019, 0.136665, 0.12972, 0.111561, 0.0703392, 0.0591092, 0.0465698, 0.038245, 0.0290198, 0.0235793, 0.0199572, 0.0160532, 0.0133544, 0.00978251, 0.00803566, 0.00615107, 0.00400516, 0.00242594, 0.00131531, 0.00122719" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.318032");
+            index_3 ("2.73725, 2.73727, 3.31556, 4.23629, 4.67855, 5.11931, 6.31989, 6.85041, 7.18484, 7.51847, 8.09832, 8.52032, 9.06577, 10.0285, 10.6062");
+            values ( \
+              "1e-22, 0.198441, 0.174843, 0.152607, 0.139568, 0.121441, 0.062968, 0.0431186, 0.0333908, 0.025578, 0.0159627, 0.0112102, 0.00705685, 0.00304478, 0.00183696" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27112");
+            index_3 ("2.83008, 2.8301, 4.92944, 8.57825, 9.44129, 10.5322, 11.0486, 11.8793, 12.8739, 15.7007, 16.7452, 17.8361, 18.5847, 19.5242, 20.4762, 21.5671, 23.0556, 24.1301, 25.221, 26.7686, 28.7208, 30.9027, 33.0845, 36.3573, 40.7209");
+            values ( \
+              "1e-22, 0.210135, 0.177277, 0.154934, 0.14899, 0.140256, 0.135391, 0.126426, 0.114389, 0.0782477, 0.0660577, 0.0546781, 0.0477022, 0.0399876, 0.0332033, 0.0266912, 0.0196656, 0.0156901, 0.0124622, 0.00893294, 0.00584295, 0.00361649, 0.00223035, 0.0010885, 0.000415826" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.60716, 9.62468, 9.63464, 9.65313, 9.68872, 9.70173, 9.71373, 9.72397, 9.72811, 9.7322, 9.7364, 9.75343, 9.76196, 9.76563, 9.76972, 9.77372, 9.79046, 9.79818, 9.81033, 9.81869, 9.82825, 9.84737, 9.87163, 9.89793");
+            values ( \
+              "0.00302254, 0.00322942, 0.00497687, 0.00897058, 0.0161668, 0.0183264, 0.0190685, 0.0194386, 0.0209256, 0.0204082, 0.0192391, 0.011471, 0.00840488, 0.00910265, 0.00889526, 0.00849409, 0.00480939, 0.003494, 0.00206107, 0.00144762, 0.000922479, 0.000378861, 9.86445e-05, 3.90113e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498107");
+            index_3 ("9.60724, 9.63043, 9.63538, 9.64507, 9.66806, 9.71061, 9.71916, 9.72763, 9.74224, 9.75454, 9.75649, 9.76038, 9.76773, 9.77774, 9.78749, 9.79962, 9.81935, 9.82234, 9.82831, 9.8358, 9.8498, 9.86308, 9.87466, 9.88299, 9.8938, 9.90822, 9.93707, 9.97438");
+            values ( \
+              "0.00542408, 0.0100524, 0.0120673, 0.0167088, 0.0288404, 0.0524893, 0.0566545, 0.0600202, 0.0628306, 0.0631156, 0.0627983, 0.0633081, 0.0604042, 0.0517528, 0.0425843, 0.0326487, 0.0193172, 0.0177279, 0.0156096, 0.014351, 0.0116449, 0.00871288, 0.00645523, 0.00514017, 0.00378044, 0.00249396, 0.000988281, 0.000292896" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199085");
+            index_3 ("9.62927, 9.65511, 9.67769, 9.71866, 9.73697, 9.74923, 9.76053, 9.77053, 9.78477, 9.79827, 9.81461, 9.82665, 9.85704, 9.8725, 9.93305, 9.95948, 9.98516, 10.0043, 10.0251, 10.0528, 10.0825, 10.1222, 10.1607, 10.2097, 10.2516, 10.304, 10.3345");
+            values ( \
+              "0.0301501, 0.033314, 0.0532254, 0.095099, 0.111282, 0.118882, 0.124128, 0.12775, 0.130048, 0.12923, 0.12599, 0.122842, 0.112821, 0.106312, 0.0700461, 0.0558884, 0.0439264, 0.0364174, 0.0293636, 0.0215443, 0.0163366, 0.0114331, 0.0078503, 0.00465771, 0.00292125, 0.00155969, 0.00116543" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079571");
+            index_3 ("9.664, 9.76889, 9.80897, 9.86619, 10.0063, 10.143, 10.2482, 10.6426, 10.8013, 10.9584, 11.0822, 11.2103, 11.4647, 11.6554");
+            values ( \
+              "0.0823553, 0.159523, 0.169265, 0.166945, 0.15545, 0.142405, 0.129691, 0.0593431, 0.0381264, 0.0235219, 0.0162281, 0.0108798, 0.00486001, 0.00292368" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.318032");
+            index_3 ("9.70008, 9.7001, 10.6209, 11.1507, 11.3984, 11.7594, 12.0664, 13.1949, 13.593, 13.7972, 14.1135, 14.5911, 15.0957, 15.5093, 16.0243, 16.4473, 17.1009, 17.7661, 17.8412");
+            values ( \
+              "1e-22, 0.188324, 0.167187, 0.154273, 0.147622, 0.135887, 0.122961, 0.0674647, 0.051271, 0.0441405, 0.034704, 0.0236978, 0.0156969, 0.0111257, 0.00719407, 0.00497514, 0.00286704, 0.00159818, 0.00154693" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27112");
+            index_3 ("9.8145, 9.81452, 11.7294, 14.9358, 16.4221, 17.349, 18.0244, 19.0968, 19.8555, 22.6557, 23.651, 24.742, 25.6961, 26.5871, 27.5091, 28.6, 29.0438, 29.9314, 31.0223, 32.1132, 33.0756, 34.7323, 35.8232, 36.9141, 39.096, 42.3687, 46.7324");
+            values ( \
+              "1e-22, 0.21287, 0.178365, 0.15895, 0.148979, 0.141698, 0.135422, 0.12366, 0.114354, 0.0785395, 0.0668861, 0.0553961, 0.0465629, 0.0393469, 0.0328622, 0.0263985, 0.0241176, 0.0200906, 0.0159899, 0.0126916, 0.0103285, 0.00722578, 0.00569391, 0.00448474, 0.00277281, 0.00134052, 0.000506786" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195954", \
+            "0.00235268", \
+            "0.00254795", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253645", \
+            "0.00256028", \
+            "0.00289392", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.00180707, 0.00180707, 0.00180708, 0.00180708, 0.00180708, 0.00180708", \
+            "0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651", \
+            "0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111", \
+            "0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00227094, 0.00227094, 0.00227094, 0.00227094, 0.00227094, 0.00227094" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0023061, 0.00230609, 0.00230609, 0.00230609, 0.00230609, 0.00230609", \
+            "0.00242665, 0.00242664, 0.00242663, 0.00242663, 0.00242662, 0.00242662", \
+            "0.00263724, 0.00263723, 0.00263723, 0.00263722, 0.00263722, 0.00263722", \
+            "0.00325461, 0.00325462, 0.0032547, 0.0032548, 0.00325484, 0.00325486", \
+            "0.00368813, 0.00368846, 0.00368807, 0.00368858, 0.00368761, 0.00368738", \
+            "0.00367232, 0.00367265, 0.00367196, 0.00367217, 0.00367193, 0.00367251" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "(CK & ~D)";
+        timing_sense : negative_unate;
+        timing_type : preset;
+        when : "(CK * !D)";
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.17655, 0.199308, 0.281353, 0.596771, 1.85342, 6.87559", \
+            "0.187793, 0.210572, 0.292612, 0.608018, 1.86473, 6.88691", \
+            "0.235725, 0.258526, 0.34057, 0.656017, 1.91273, 6.93474", \
+            "0.358341, 0.381209, 0.463272, 0.778845, 2.03574, 7.0578", \
+            "0.623596, 0.646959, 0.72913, 1.04477, 2.30204, 7.32396", \
+            "1.30861, 1.33562, 1.41909, 1.73427, 2.99126, 8.01351" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0495358, 0.0758726, 0.189149, 0.652347, 2.51303, 9.94976", \
+            "0.0495341, 0.0758757, 0.18915, 0.652265, 2.51309, 9.95007", \
+            "0.0495519, 0.0758927, 0.189149, 0.652262, 2.51309, 9.94978", \
+            "0.0503913, 0.0764343, 0.189228, 0.652213, 2.51301, 9.9498", \
+            "0.0546421, 0.0790909, 0.189743, 0.65215, 2.5131, 9.95005", \
+            "0.0710281, 0.0912319, 0.192476, 0.652429, 2.51307, 9.9503" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.139877, 0.144288, 0.148732, 0.154703, 0.159849, 0.163003, 0.168997, 0.173383, 0.177518, 0.184958, 0.192419, 0.198538, 0.201036, 0.20552, 0.213211, 0.216403, 0.225607, 0.229489, 0.233335, 0.238473, 0.242487, 0.255945, 0.268749, 0.278089, 0.290543, 0.311151, 0.358625");
+            values ( \
+              "0.00739217, 0.0129751, 0.0174639, 0.0229599, 0.0267989, 0.0286976, 0.031036, 0.031841, 0.0320204, 0.030515, 0.0272703, 0.0256513, 0.0244289, 0.0202329, 0.0146239, 0.0127536, 0.00837081, 0.00751359, 0.00825317, 0.00775482, 0.00709492, 0.0040887, 0.00226124, 0.00145153, 0.00087607, 0.000244972, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498107");
+            index_3 ("0.142189, 0.148641, 0.15452, 0.162055, 0.168585, 0.173916, 0.179756, 0.185323, 0.196208, 0.207421, 0.219423, 0.231311, 0.232789, 0.241311, 0.250225, 0.257332, 0.268355, 0.280187, 0.286203, 0.293552, 0.30107, 0.310219, 0.335528, 0.344652, 0.356585, 0.370982, 0.399159, 0.435985, 0.479612");
+            values ( \
+              "0.0165893, 0.039107, 0.0523959, 0.066199, 0.0743506, 0.0788151, 0.0816371, 0.0828168, 0.0820148, 0.0778556, 0.0719055, 0.0637032, 0.0611954, 0.0514468, 0.0421251, 0.0355636, 0.0270695, 0.0195156, 0.0162036, 0.0143073, 0.0132195, 0.0115498, 0.00649213, 0.00506655, 0.00360975, 0.00241942, 0.000958094, 0.000321097, 3.17946e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199085");
+            index_3 ("0.149633, 0.162432, 0.173413, 0.182277, 0.190409, 0.1997, 0.208402, 0.221262, 0.244217, 0.261187, 0.289398, 0.308484, 0.319747, 0.342273, 0.393968, 0.438117, 0.461571, 0.478826, 0.499233, 0.524263, 0.549626, 0.585899, 0.623542, 0.673944, 0.714422, 0.767781, 0.832602, 0.928869");
+            values ( \
+              "0.0891672, 0.101394, 0.122344, 0.131793, 0.136639, 0.139134, 0.139652, 0.138511, 0.134338, 0.130658, 0.123123, 0.117097, 0.112789, 0.102757, 0.0708263, 0.0482056, 0.0384515, 0.0322732, 0.0258847, 0.0196223, 0.0157421, 0.0113492, 0.00785556, 0.00459493, 0.00290947, 0.0015538, 0.000704146, 0.000198878" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079571");
+            index_3 ("0.159219, 0.225182, 0.240043, 0.273136, 0.306476, 0.35174, 0.430429, 0.604878, 0.710029, 0.817652, 0.999926, 1.10594, 1.1933, 1.26202, 1.35365, 1.41941, 1.47441, 1.54775, 1.67342, 1.81116, 1.91984, 2.06188, 2.22946, 2.70387");
+            values ( \
+              "0.168642, 0.170737, 0.170834, 0.170156, 0.168427, 0.16536, 0.158889, 0.142429, 0.129745, 0.111536, 0.0769738, 0.0590837, 0.0465958, 0.0382705, 0.028993, 0.0236049, 0.0199829, 0.0160279, 0.0108602, 0.00705605, 0.00495125, 0.00309587, 0.00173994, 0.000313272" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.318032");
+            index_3 ("0.183788, 0.183808, 0.686712, 1.13291, 1.66708, 1.86204, 2.26729, 2.41149, 2.7296, 3.49961, 3.7535, 4.1132, 4.29625, 4.64415, 5.05587, 5.23678, 5.53552, 5.95983, 6.22669, 6.71947, 7.23534, 7.81661, 8.51978, 9.39321, 10.4826");
+            values ( \
+              "1e-22, 0.202875, 0.176489, 0.166072, 0.152883, 0.147647, 0.134293, 0.128323, 0.113339, 0.074694, 0.0633943, 0.0491654, 0.0429755, 0.0329184, 0.0236743, 0.0205056, 0.0160009, 0.011272, 0.00895721, 0.00587245, 0.00378677, 0.00221855, 0.00121905, 0.000504617, 0.000215122" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27112");
+            index_3 ("0.27634, 0.27636, 2.56964, 5.40002, 6.48938, 7.64726, 8.49195, 10.3179, 13.1488, 14.2012, 15.2906, 16.9561, 17.8679, 18.9572, 20.596, 21.6365, 23.5849, 26.0788, 28.2576, 30.4363, 34.7937, 38.0618");
+            values ( \
+              "1e-22, 0.208692, 0.176126, 0.158982, 0.151763, 0.143067, 0.13542, 0.114411, 0.0782207, 0.0659034, 0.0545978, 0.0401024, 0.0335289, 0.0270078, 0.0192954, 0.0154628, 0.0102012, 0.00593253, 0.00366688, 0.00225501, 0.00083895, 0.000447037" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.175089, 0.179883, 0.189928, 0.195896, 0.198596, 0.203997, 0.206045, 0.209651, 0.213104, 0.220008, 0.223874, 0.228005, 0.234174, 0.236625, 0.24111, 0.248799, 0.252008, 0.261233, 0.265108, 0.268959, 0.273987, 0.277996, 0.281712, 0.286319, 0.287073, 0.288581, 0.291597, 0.304361, 0.307453, 0.313637, 0.326006, 0.346598, 0.394048");
+            values ( \
+              "0.00576241, 0.0129756, 0.022624, 0.0271266, 0.0286676, 0.0308914, 0.0313475, 0.0319383, 0.0319906, 0.0306843, 0.0291597, 0.0272484, 0.0256495, 0.0244137, 0.0202408, 0.0146233, 0.0127436, 0.00835161, 0.00752132, 0.00825218, 0.00776407, 0.00711176, 0.0061694, 0.00524425, 0.00496466, 0.00476751, 0.00407732, 0.00225881, 0.00205385, 0.00145439, 0.000881396, 0.000246984, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498107");
+            index_3 ("0.178526, 0.19008, 0.197625, 0.203568, 0.208887, 0.215744, 0.220899, 0.231777, 0.242999, 0.255002, 0.266464, 0.268365, 0.277867, 0.289054, 0.295536, 0.302868, 0.316728, 0.322047, 0.328568, 0.345739, 0.37326, 0.389229, 0.400455, 0.422677, 0.456511, 0.497247");
+            values ( \
+              "0.0244914, 0.0523332, 0.066142, 0.0736887, 0.0783711, 0.0817582, 0.08279, 0.0820195, 0.0778565, 0.0719043, 0.0641131, 0.0611017, 0.05033, 0.0390121, 0.0334084, 0.0278131, 0.0188898, 0.016141, 0.0144571, 0.0115845, 0.00614677, 0.00394306, 0.00287933, 0.00144183, 0.000501516, 0.000103159" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199085");
+            index_3 ("0.183376, 0.20346, 0.213759, 0.22641, 0.23555, 0.244108, 0.25697, 0.279902, 0.296895, 0.325106, 0.344214, 0.377939, 0.429676, 0.473826, 0.49728, 0.514534, 0.53494, 0.560015, 0.585294, 0.621579, 0.65925, 0.709651, 0.750184, 0.803611, 0.86853, 0.964956");
+            values ( \
+              "0.0664401, 0.112913, 0.127873, 0.136725, 0.139138, 0.139629, 0.138515, 0.134343, 0.130659, 0.123124, 0.117087, 0.102777, 0.0708293, 0.048207, 0.0384493, 0.0322715, 0.0258868, 0.0196115, 0.0157456, 0.0113503, 0.0078536, 0.00459298, 0.00290946, 0.00154951, 0.000704027, 0.000195865" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079571");
+            index_3 ("0.198073, 0.198093, 0.38211, 0.478131, 0.543343, 0.640653, 0.745749, 0.821956, 1.01625, 1.13182, 1.22646, 1.27523, 1.36873, 1.45198, 1.52457, 1.60448, 1.7178, 1.86303, 1.97471, 2.11865, 2.28064, 2.49154, 2.77296");
+            values ( \
+              "1e-22, 0.178513, 0.165749, 0.157834, 0.15194, 0.142383, 0.129738, 0.117229, 0.0805099, 0.060665, 0.0468911, 0.0408315, 0.0309257, 0.0238461, 0.0191297, 0.0150492, 0.0105793, 0.00667858, 0.00466818, 0.00286425, 0.001672, 0.000779119, 0.000295524" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.318032");
+            index_3 ("0.223855, 0.223875, 0.737435, 1.50935, 1.70392, 1.89782, 2.27906, 2.44694, 2.59483, 2.76535, 3.34495, 3.53514, 3.78873, 4.14883, 4.3322, 4.44842, 4.68088, 5.00142, 5.27205, 5.57095, 5.9954, 6.18029, 6.427, 6.75595, 7.27258, 7.85642, 8.56098, 9.43807, 10.5274");
+            values ( \
+              "1e-22, 0.205642, 0.176173, 0.157831, 0.152879, 0.147618, 0.135128, 0.128308, 0.121546, 0.113311, 0.0838952, 0.0747312, 0.0633897, 0.0491966, 0.0429954, 0.0394115, 0.0329191, 0.0254921, 0.0204878, 0.0160313, 0.0112477, 0.00963115, 0.00781803, 0.0058946, 0.00375585, 0.00223608, 0.00118708, 0.000525805, 0.000187563" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27112");
+            index_3 ("0.315852, 0.315872, 2.60452, 6.06008, 6.91894, 8.00831, 8.52621, 9.35686, 10.3515, 13.1815, 14.2322, 15.3215, 16.0642, 16.9926, 17.9479, 19.0373, 20.5478, 21.6172, 22.7065, 24.2426, 26.1851, 28.3638, 30.5425, 34.9, 38.1681");
+            values ( \
+              "1e-22, 0.209746, 0.176106, 0.154895, 0.149002, 0.140258, 0.135403, 0.126415, 0.1144, 0.0782209, 0.0659431, 0.0546131, 0.0476752, 0.0400695, 0.0332311, 0.0267427, 0.0196166, 0.0156478, 0.0124521, 0.00892895, 0.00584916, 0.00361878, 0.00222919, 0.000835713, 0.00042958" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.317279, 0.329793, 0.333018, 0.340203, 0.344117, 0.351584, 0.358653, 0.361933, 0.365788, 0.371929, 0.376794, 0.382152, 0.389117, 0.393717, 0.399776, 0.40961, 0.412756, 0.416117, 0.421853, 0.435169, 0.440467, 0.448781, 0.458937, 0.466964, 0.482884, 0.504913, 0.528948");
+            values ( \
+              "0.000722321, 0.0172181, 0.0204759, 0.0263456, 0.028588, 0.03148, 0.0320648, 0.031605, 0.0306215, 0.0279027, 0.0265071, 0.0245558, 0.0180458, 0.014967, 0.0115532, 0.00734903, 0.00815209, 0.00803422, 0.00748294, 0.00446135, 0.0036021, 0.00238702, 0.00150388, 0.00105796, 0.000466618, 0.000171011, 3.65635e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498107");
+            index_3 ("0.323497, 0.329648, 0.335578, 0.343148, 0.35032, 0.355409, 0.361197, 0.36646, 0.377343, 0.388234, 0.398456, 0.400567, 0.4123, 0.413932, 0.423102, 0.436427, 0.445188, 0.461264, 0.467784, 0.474335, 0.491326, 0.517928, 0.525868, 0.535996, 0.548354, 0.572601, 0.607371, 0.648983");
+            values ( \
+              "0.0197341, 0.038632, 0.0519805, 0.065924, 0.0748989, 0.0789053, 0.0816949, 0.0827894, 0.081991, 0.0779847, 0.0726937, 0.0718999, 0.0638523, 0.0611557, 0.0507136, 0.037373, 0.0301872, 0.019532, 0.0160463, 0.0144377, 0.011589, 0.00628948, 0.00506486, 0.00380281, 0.00269599, 0.00124722, 0.000430292, 7.27825e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199085");
+            index_3 ("0.330988, 0.343537, 0.354511, 0.363413, 0.371576, 0.380859, 0.389549, 0.402412, 0.433676, 0.454406, 0.484853, 0.523981, 0.575123, 0.61792, 0.660443, 0.680673, 0.704056, 0.73187, 0.767214, 0.804516, 0.857131, 0.896888, 0.950071, 0.981754");
+            values ( \
+              "0.0931681, 0.101152, 0.12219, 0.131734, 0.136554, 0.139133, 0.139593, 0.138532, 0.132613, 0.127651, 0.118881, 0.102641, 0.0707332, 0.0488241, 0.032104, 0.0258067, 0.0198954, 0.0155813, 0.011321, 0.00785924, 0.00448264, 0.00287875, 0.00152347, 0.00126035" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079571");
+            index_3 ("0.34064, 0.397249, 0.411146, 0.424768, 0.463243, 0.509329, 0.62611, 0.723974, 0.786034, 0.89141, 0.959199, 1.20157, 1.2499, 1.33817, 1.39659, 1.45686, 1.51811, 1.59892, 1.66727, 1.74818, 1.86227, 1.97707, 2.06021, 2.19779, 2.35103, 2.54272, 2.78096");
+            values ( \
+              "0.170134, 0.170321, 0.170806, 0.170808, 0.16973, 0.167031, 0.157585, 0.148589, 0.142357, 0.129704, 0.118764, 0.0733242, 0.0650665, 0.0515048, 0.0437484, 0.0367401, 0.0305715, 0.0237258, 0.0192784, 0.0151467, 0.0105982, 0.00739399, 0.00569344, 0.00358351, 0.00216571, 0.00108837, 0.000491039" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.318032");
+            index_3 ("0.365164, 0.365184, 0.877742, 1.31412, 1.84948, 2.04336, 2.44859, 2.59248, 2.91089, 3.68068, 3.93427, 4.29437, 4.59397, 4.82643, 5.23717, 5.41759, 5.71649, 6.14094, 6.57255, 6.9015, 7.41538, 7.99605, 8.69597, 9.56674, 10.6561");
+            values ( \
+              "1e-22, 0.202674, 0.176288, 0.166045, 0.152878, 0.147618, 0.134266, 0.128309, 0.113311, 0.0747313, 0.0633899, 0.0491967, 0.0394113, 0.0329189, 0.0237005, 0.0204879, 0.0160313, 0.0112476, 0.00781796, 0.0058946, 0.00376515, 0.00224835, 0.0011989, 0.000534629, 0.00019062" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27112");
+            index_3 ("0.45698, 0.457, 2.74568, 5.09587, 6.55057, 8.09877, 8.67332, 9.5025, 10.4987, 13.3288, 14.3798, 15.4692, 16.2115, 17.1392, 18.0396, 19.1289, 19.6873, 20.7766, 21.8172, 23.7656, 25.1705, 26.2598, 28.4385, 30.6173, 34.9747, 38.2428");
+            values ( \
+              "1e-22, 0.208747, 0.176125, 0.161985, 0.152598, 0.140765, 0.135401, 0.126436, 0.114397, 0.0782161, 0.0659407, 0.0546057, 0.0476771, 0.0400705, 0.0336024, 0.0270441, 0.0241121, 0.0192827, 0.0154766, 0.0102147, 0.00757278, 0.00594577, 0.00368023, 0.00226844, 0.000852477, 0.000433427" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.826209, 0.840774, 0.850686, 0.861091, 0.8641, 0.870118, 0.87387, 0.878646, 0.886509, 0.895163, 0.906785, 0.913792, 0.923046, 0.926081, 0.934447, 0.949789, 0.963317, 0.970069, 0.994868, 1.0086");
+            values ( \
+              "0.000258962, 0.0151521, 0.0235435, 0.0294276, 0.0303072, 0.031294, 0.0311851, 0.030064, 0.0270245, 0.0244085, 0.0149173, 0.0110649, 0.00739922, 0.008245, 0.0075797, 0.00417986, 0.00224295, 0.00163508, 0.000477366, 0.000341941" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498107");
+            index_3 ("0.836458, 0.844755, 0.855221, 0.862377, 0.867987, 0.874031, 0.879272, 0.890287, 0.902156, 0.913641, 0.922018, 0.927034, 0.937064, 0.944504, 0.958396, 0.975897, 0.978857, 0.984036, 1.0014, 1.03449, 1.0543, 1.0793, 1.10337");
+            values ( \
+              "0.0410188, 0.042369, 0.0621946, 0.0717879, 0.076772, 0.0801363, 0.0815279, 0.0812675, 0.0771817, 0.0716155, 0.066046, 0.0613939, 0.0495838, 0.0421163, 0.030124, 0.018598, 0.0169799, 0.0150923, 0.0121518, 0.00573868, 0.00331071, 0.00157865, 0.000796826" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199085");
+            index_3 ("0.841022, 0.872445, 0.8835, 0.8934, 0.902529, 0.915477, 0.945566, 0.967862, 1.00272, 1.03642, 1.09186, 1.14665, 1.17804, 1.22014, 1.25261, 1.28994, 1.36019, 1.44904");
+            values ( \
+              "0.0723314, 0.12573, 0.134511, 0.138004, 0.138902, 0.138113, 0.132846, 0.127576, 0.11711, 0.102799, 0.069056, 0.0420351, 0.0306017, 0.0193306, 0.0144582, 0.0104025, 0.0050188, 0.00183755" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079571");
+            index_3 ("0.851427, 0.893068, 0.901469, 0.912672, 0.925501, 0.938149, 0.964287, 0.994335, 1.04441, 1.11027, 1.20207, 1.26545, 1.29942, 1.36736, 1.40463, 1.47916, 1.67499, 1.78718, 1.88427, 1.93525, 2.0307, 2.11175, 2.18117, 2.26182, 2.37572, 2.52312, 2.63389, 2.77664, 2.93694, 3.14588, 3.4242");
+            values ( \
+              "0.156495, 0.16534, 0.168084, 0.169855, 0.170477, 0.17071, 0.170313, 0.168848, 0.16551, 0.160136, 0.151922, 0.14582, 0.142395, 0.134453, 0.129754, 0.117478, 0.0804943, 0.0611923, 0.0470041, 0.0406803, 0.0306218, 0.0237588, 0.0192437, 0.0151191, 0.0105962, 0.00663976, 0.00466859, 0.00286324, 0.00169433, 0.000783945, 0.000312116" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.318032");
+            index_3 ("0.884757, 0.884777, 1.37583, 2.1812, 2.5398, 2.78481, 2.96214, 3.31679, 4.10599, 4.70778, 5.11356, 5.35184, 5.66314, 5.92387, 6.22509, 6.65134, 7.08956, 7.4243, 7.93684, 9.01814");
+            values ( \
+              "1e-22, 0.208117, 0.176635, 0.1575, 0.148081, 0.140603, 0.134209, 0.118564, 0.0789073, 0.0528477, 0.0391889, 0.0326108, 0.0254053, 0.0205806, 0.0161036, 0.0112538, 0.0077769, 0.00585636, 0.00372413, 0.00157739" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27112");
+            index_3 ("0.965895, 0.965915, 2.86551, 6.09336, 7.57959, 8.34074, 9.18543, 10.008, 11.0114, 13.8423, 14.8947, 15.9841, 16.7247, 17.6496, 18.606, 19.6954, 21.2114, 22.2795, 23.3688, 24.9016, 26.8418, 29.0205, 31.1992, 34.4673, 38.8247");
+            values ( \
+              "1e-22, 0.211873, 0.178486, 0.158959, 0.148987, 0.143089, 0.135398, 0.126528, 0.114389, 0.0781986, 0.0659256, 0.0545756, 0.0476818, 0.0400802, 0.0332551, 0.0267411, 0.0195899, 0.0156516, 0.0124341, 0.00894254, 0.00586502, 0.00363306, 0.00224247, 0.00109448, 0.000418256" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.64204, 2.65481, 2.68062, 2.6899, 2.69814, 2.70614, 2.71402, 2.71543, 2.71733, 2.72026, 2.72341, 2.72801, 2.7353, 2.7491, 2.75296, 2.75704, 2.75947, 2.77826, 2.79198, 2.7986, 2.80743, 2.82471, 2.83324");
+            values ( \
+              "0.00228485, 0.00772006, 0.0221466, 0.026228, 0.028263, 0.0279026, 0.0258311, 0.0259048, 0.0257554, 0.0251661, 0.0236097, 0.0196181, 0.0146403, 0.00801689, 0.00796187, 0.00840804, 0.00814311, 0.0041929, 0.00223868, 0.00164217, 0.00113662, 0.000441602, 0.000363593" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498107");
+            index_3 ("2.65074, 2.66206, 2.68658, 2.69363, 2.70063, 2.70603, 2.71771, 2.73074, 2.7417, 2.74399, 2.74858, 2.75307, 2.76811, 2.78092, 2.7889, 2.80218, 2.81069, 2.83373, 2.85166, 2.86286, 2.87602, 2.89356, 2.92272, 2.96058");
+            values ( \
+              "0.0217312, 0.0266336, 0.0602032, 0.0679372, 0.0732458, 0.0759144, 0.0777648, 0.0749076, 0.0706899, 0.0687972, 0.0669769, 0.063073, 0.0466203, 0.0347344, 0.0284815, 0.01982, 0.015601, 0.0113972, 0.00770058, 0.00571625, 0.00394663, 0.00238114, 0.000924624, 0.000281642" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199085");
+            index_3 ("2.65603, 2.67105, 2.68541, 2.69261, 2.7023, 2.7115, 2.72127, 2.72999, 2.74334, 2.7564, 2.76839, 2.78686, 2.81162, 2.83291, 2.84196, 2.8576, 2.91779, 2.94492, 2.96986, 2.98917, 3.00996, 3.03768, 3.05409, 3.06755, 3.08551, 3.10731, 3.14572, 3.1621, 3.19475, 3.23635, 3.28888, 3.35355, 3.44736");
+            values ( \
+              "0.0369567, 0.0585749, 0.0909303, 0.10491, 0.11916, 0.127868, 0.133201, 0.13541, 0.136068, 0.134974, 0.133422, 0.129747, 0.123145, 0.116292, 0.112904, 0.106303, 0.0700999, 0.0556057, 0.043993, 0.036394, 0.0293517, 0.0215543, 0.0184138, 0.0163176, 0.013949, 0.0114121, 0.00783758, 0.00664401, 0.00464786, 0.00293181, 0.00155783, 0.000730523, 0.000194482" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079571");
+            index_3 ("2.67611, 2.71278, 2.72299, 2.73833, 2.7525, 2.7659, 2.78053, 2.80632, 2.84486, 2.93808, 3.09253, 3.12722, 3.19659, 3.23243, 3.28672, 3.35181, 3.50322, 3.61942, 3.71358, 3.79109, 3.85138, 3.93743, 3.96261, 4.01296, 4.09219, 4.20178, 4.31845, 4.40231, 4.53738, 4.69267, 4.87852");
+            values ( \
+              "0.149566, 0.153515, 0.161135, 0.166937, 0.168994, 0.170044, 0.170364, 0.169639, 0.167459, 0.160151, 0.145905, 0.142382, 0.134284, 0.129738, 0.120984, 0.109353, 0.0804113, 0.0604583, 0.0468318, 0.0374913, 0.0312939, 0.0239188, 0.0221941, 0.0190148, 0.0149917, 0.0107746, 0.00747263, 0.0057077, 0.00365665, 0.00216456, 0.00114218" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.318032");
+            index_3 ("2.70317, 2.70319, 3.18961, 3.82722, 4.23802, 4.48249, 4.79109, 5.11335, 5.92713, 6.48286, 6.72862, 6.99931, 7.36024, 7.53286, 7.82906, 8.11337, 8.51045, 8.98742, 9.41136, 9.93672, 10.5291, 12.2237");
+            values ( \
+              "1e-22, 0.200571, 0.176992, 0.161974, 0.151653, 0.14475, 0.134196, 0.120116, 0.0792714, 0.0549082, 0.0459915, 0.0375245, 0.0282689, 0.0245717, 0.0193728, 0.0153055, 0.0110114, 0.00735784, 0.00507338, 0.00322774, 0.00187937, 0.000380504" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27112");
+            index_3 ("2.79015, 2.79017, 4.85803, 8.54557, 9.40558, 10.4949, 11.0129, 11.8435, 12.8381, 15.6681, 16.7188, 17.8082, 18.5508, 19.4792, 20.4346, 21.5239, 23.0345, 24.1038, 25.1932, 26.7293, 28.6717, 30.8505, 33.0292, 36.2973, 40.6547");
+            values ( \
+              "1e-22, 0.209694, 0.17749, 0.154913, 0.148991, 0.140269, 0.135392, 0.126426, 0.114389, 0.0782098, 0.0659542, 0.054602, 0.0476863, 0.0400582, 0.0332424, 0.0267314, 0.0196053, 0.015659, 0.0124409, 0.00894023, 0.00586045, 0.00363007, 0.00224048, 0.00109403, 0.000418274" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.54189, 9.55874, 9.56548, 9.61385, 9.61919, 9.62987, 9.63331, 9.6377, 9.64189, 9.65004, 9.65777, 9.66297, 9.66825, 9.67483, 9.68671, 9.69042, 9.69441, 9.69948, 9.70424, 9.70886, 9.71981, 9.72545, 9.73346, 9.7438, 9.75759, 9.77886, 9.80339");
+            values ( \
+              "0.00202658, 0.00330055, 0.00449851, 0.0165396, 0.0177703, 0.0199439, 0.0204399, 0.0208008, 0.0209188, 0.0209332, 0.0223891, 0.0202327, 0.0176872, 0.0138868, 0.00877404, 0.00842065, 0.00894086, 0.0084301, 0.00759915, 0.00645077, 0.00418313, 0.0033017, 0.00230174, 0.00143945, 0.000775392, 0.00024955, 9.07231e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498107");
+            index_3 ("9.54197, 9.56711, 9.57587, 9.60079, 9.63381, 9.64062, 9.65529, 9.66902, 9.68245, 9.68312, 9.6858, 9.68937, 9.69594, 9.70979, 9.72286, 9.73099, 9.74503, 9.74856, 9.75326, 9.77191, 9.79211, 9.80425, 9.81816, 9.8367, 9.8668, 9.90602");
+            values ( \
+              "0.00274552, 0.0115292, 0.0162209, 0.0318093, 0.0537846, 0.0579012, 0.0644365, 0.0662855, 0.0650746, 0.0648907, 0.064923, 0.0641789, 0.0595, 0.0461271, 0.0345949, 0.0284647, 0.0192988, 0.017392, 0.0157494, 0.0123253, 0.0079979, 0.00582075, 0.00394062, 0.0022641, 0.000876597, 0.000219796" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199085");
+            index_3 ("9.56708, 9.59809, 9.64707, 9.66445, 9.6759, 9.68678, 9.69676, 9.71068, 9.72424, 9.74261, 9.77252, 9.78294, 9.79857, 9.85858, 9.88674, 9.93016, 9.95087, 9.97849, 10.0086, 10.0484, 10.0866, 10.1354, 10.1761, 10.2284, 10.2458");
+            values ( \
+              "0.0295359, 0.0460935, 0.102695, 0.117825, 0.123826, 0.127641, 0.130039, 0.131061, 0.129658, 0.125625, 0.116693, 0.112737, 0.106321, 0.0701924, 0.0552126, 0.0364097, 0.0293906, 0.0216138, 0.0163143, 0.0114029, 0.00784222, 0.00466157, 0.00297564, 0.00158335, 0.00142956" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079571");
+            index_3 ("9.58881, 9.63374, 9.6597, 9.67716, 9.69213, 9.70586, 9.72137, 9.73498, 9.75117, 9.77087, 9.81512, 9.87839, 9.97027, 10.0676, 10.1728, 10.2804, 10.4439, 10.5687, 10.6561, 10.7248, 10.8164, 10.8822, 10.9372, 11.0105, 11.1362, 11.2739, 11.3825, 11.5227, 11.6884, 11.8875, 12.1549");
+            values ( \
+              "0.0735561, 0.104195, 0.138776, 0.153532, 0.161797, 0.166706, 0.169268, 0.169546, 0.169215, 0.168183, 0.165206, 0.160096, 0.151897, 0.14239, 0.129708, 0.111564, 0.0804102, 0.0591087, 0.0465736, 0.0382492, 0.0290189, 0.023583, 0.0199604, 0.0160516, 0.0108376, 0.00703335, 0.00497568, 0.00309348, 0.00178738, 0.000868356, 0.000351686" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.318032");
+            index_3 ("9.6348, 9.63482, 10.1612, 10.8621, 11.1259, 11.3252, 11.7063, 11.8754, 12.0228, 12.1927, 12.7723, 12.9633, 13.218, 13.5767, 13.7589, 13.8741, 14.1047, 14.4279, 14.7012, 14.9995, 15.4234, 15.6077, 15.8532, 16.1806, 16.6664, 17.2278, 17.5414, 18.1685, 19.2579");
+            values ( \
+              "1e-22, 0.193849, 0.176232, 0.159663, 0.153017, 0.147623, 0.13513, 0.128264, 0.121514, 0.113315, 0.0838931, 0.0746907, 0.0633114, 0.0491778, 0.0430169, 0.0394629, 0.0330109, 0.0255125, 0.0204592, 0.0160146, 0.0112428, 0.00963199, 0.00782665, 0.00590759, 0.00387216, 0.00242243, 0.00184082, 0.00104909, 0.000371193" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27112");
+            index_3 ("9.74126, 9.74128, 11.6909, 14.3841, 15.8227, 16.6658, 17.4481, 17.957, 18.7878, 19.7821, 22.612, 23.6626, 24.752, 25.4947, 26.4233, 27.3786, 28.4679, 29.9783, 31.0476, 32.137, 33.6732, 35.6158, 37.7945, 39.9733, 43.2413, 47.5988");
+            values ( \
+              "1e-22, 0.21229, 0.178163, 0.161965, 0.152686, 0.146638, 0.140194, 0.135382, 0.126429, 0.114382, 0.0782035, 0.0659622, 0.0545964, 0.0476932, 0.0400511, 0.033248, 0.0267249, 0.0195999, 0.0156654, 0.0124351, 0.00894592, 0.00586596, 0.0036356, 0.00224601, 0.00108847, 0.000412833" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195954", \
+            "0.00235268", \
+            "0.00254795", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253645", \
+            "0.00256028", \
+            "0.00289392", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.00180533, 0.00180533, 0.00180534, 0.00180534, 0.00180534, 0.00180534", \
+            "0.00207553, 0.00207553, 0.00207553, 0.00207553, 0.00207553, 0.00207553", \
+            "0.00218142, 0.00218142, 0.00218142, 0.00218142, 0.00218142, 0.00218142", \
+            "0.002233, 0.002233, 0.002233, 0.002233, 0.002233, 0.002233", \
+            "0.00225805, 0.00225805, 0.00225805, 0.00225805, 0.00225805, 0.00225805", \
+            "0.00227151, 0.00227151, 0.00227152, 0.00227152, 0.00227152, 0.00227152" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.00230298, 0.00230298, 0.00230298, 0.00230298, 0.00230298, 0.00230298", \
+            "0.00242892, 0.00242893, 0.00242892, 0.00242892, 0.00242891, 0.00242891", \
+            "0.00265926, 0.00265926, 0.00265925, 0.00265924, 0.00265924, 0.00265924", \
+            "0.00341178, 0.00341136, 0.00341112, 0.00341109, 0.0034111, 0.00341111", \
+            "0.00370123, 0.00370116, 0.00370144, 0.00370165, 0.00370064, 0.00370064", \
+            "0.00368453, 0.00368542, 0.00368752, 0.00368566, 0.00368579, 0.00368485" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "~CK";
+        timing_sense : negative_unate;
+        timing_type : preset;
+        when : "!CK";
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.183855, 0.206834, 0.288496, 0.603607, 1.86027, 6.88254", \
+            "0.195106, 0.21809, 0.299758, 0.614869, 1.8717, 6.89377", \
+            "0.24366, 0.266628, 0.348289, 0.663424, 1.92025, 6.9423", \
+            "0.374735, 0.397713, 0.479394, 0.794667, 2.05139, 7.07365", \
+            "0.659002, 0.682869, 0.764468, 1.07972, 2.33681, 7.35901", \
+            "1.37987, 1.40751, 1.48956, 1.80429, 3.06128, 8.0836" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.050597, 0.0764014, 0.188537, 0.652192, 2.51303, 9.94985", \
+            "0.0505963, 0.0763998, 0.188537, 0.652191, 2.513, 9.94981", \
+            "0.0506028, 0.0764122, 0.188544, 0.65219, 2.513, 9.94979", \
+            "0.051706, 0.0770329, 0.188841, 0.652193, 2.51302, 9.95007", \
+            "0.0575887, 0.0806064, 0.189086, 0.652171, 2.51349, 9.94973", \
+            "0.075945, 0.0932485, 0.191152, 0.65229, 2.51251, 9.94958" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.13992, 0.160445, 0.164901, 0.17378, 0.177251, 0.184587, 0.19201, 0.199824, 0.205962, 0.208511, 0.217907, 0.223872, 0.233119, 0.237032, 0.240944, 0.245842, 0.263496, 0.276201, 0.285363, 0.297579, 0.31856, 0.342422");
+            values ( \
+              "6.64033e-05, 0.0218068, 0.0249314, 0.029192, 0.0301645, 0.0310497, 0.0298929, 0.0268998, 0.0255731, 0.024213, 0.0164723, 0.012757, 0.00837651, 0.00758535, 0.00831873, 0.00780923, 0.00408789, 0.002273, 0.00147391, 0.000894735, 0.000247667, 0.000130648" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498107");
+            index_3 ("0.145944, 0.15444, 0.160544, 0.168403, 0.177717, 0.18711, 0.192476, 0.203536, 0.21631, 0.226861, 0.236247, 0.261076, 0.275069, 0.29283, 0.300461, 0.30801, 0.33963, 0.351405, 0.367276, 0.38797, 0.422325, 0.462389");
+            values ( \
+              "0.0048434, 0.0374056, 0.0502666, 0.0632396, 0.0736817, 0.0795616, 0.0810672, 0.0811009, 0.0769089, 0.0718341, 0.0655479, 0.0389287, 0.027598, 0.0166015, 0.014321, 0.0133476, 0.00704582, 0.00515237, 0.00327881, 0.00175465, 0.000569776, 0.000141656" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199085");
+            index_3 ("0.154959, 0.185203, 0.198104, 0.211557, 0.215967, 0.224789, 0.240812, 0.268531, 0.296617, 0.318354, 0.342439, 0.402763, 0.456878, 0.492852, 0.518018, 0.53766, 0.575667, 0.607155, 0.642319, 0.705131, 0.777557");
+            values ( \
+              "0.0769816, 0.124384, 0.134683, 0.138852, 0.139253, 0.139051, 0.137079, 0.131537, 0.123786, 0.116504, 0.106506, 0.0700077, 0.0430698, 0.029973, 0.0226037, 0.0185869, 0.0132733, 0.00990417, 0.00692956, 0.00347773, 0.00182973" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079571");
+            index_3 ("0.163945, 0.199562, 0.224683, 0.250786, 0.289558, 0.325864, 0.446168, 0.611518, 0.716669, 0.824287, 1.00656, 1.10994, 1.19944, 1.2696, 1.36315, 1.42675, 1.55119, 1.67897, 1.76417, 1.92206, 2.06869, 2.24013, 2.73068");
+            values ( \
+              "0.156995, 0.16128, 0.170523, 0.17175, 0.17077, 0.168266, 0.158151, 0.142445, 0.129757, 0.111529, 0.0769662, 0.0594864, 0.046668, 0.0381726, 0.0287256, 0.0235597, 0.0161743, 0.0109027, 0.00834667, 0.00501597, 0.00310078, 0.00170575, 0.000280164" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.318032");
+            index_3 ("0.188408, 0.188428, 0.787719, 1.41016, 1.66173, 1.86914, 2.24995, 2.42095, 2.56762, 3.38031, 3.74451, 4.11747, 4.42254, 4.64663, 4.972, 5.06288, 5.24464, 5.54308, 5.96716, 6.39743, 6.70386, 7.23459, 7.82349, 8.54951, 9.44097, 10.5318");
+            values ( \
+              "1e-22, 0.198984, 0.174335, 0.159587, 0.153252, 0.147602, 0.135163, 0.128174, 0.121498, 0.0807809, 0.0640382, 0.0493108, 0.0393049, 0.0330851, 0.0254846, 0.0237224, 0.0204437, 0.0160418, 0.0112211, 0.00780091, 0.00603849, 0.00376219, 0.00226589, 0.00114345, 0.000531794, 0.000158379" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27112");
+            index_3 ("0.150174, 0.194755, 0.241304, 0.285261, 0.341626, 0.517298, 5.40304, 6.49387, 7.65061, 8.49527, 9.31784, 10.3212, 13.1492, 14.1957, 15.2866, 16.1664, 16.9682, 17.7623, 18.8532, 19.406, 20.7311, 21.7977, 22.8885, 23.801, 24.8918, 25.9827, 28.1643, 30.346, 33.6185, 37.9818");
+            values ( \
+              "0.0670873, 0.171313, 0.186444, 0.188974, 0.189435, 0.188644, 0.158951, 0.151784, 0.143097, 0.135391, 0.126536, 0.114381, 0.0782264, 0.0660315, 0.0546396, 0.0465392, 0.040005, 0.0342892, 0.0275731, 0.0246481, 0.0187631, 0.0149965, 0.0118945, 0.00977957, 0.00772655, 0.00609086, 0.0037735, 0.00232988, 0.00112897, 0.000428245" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.178683, 0.185603, 0.195995, 0.20105, 0.209294, 0.212794, 0.220129, 0.227553, 0.235367, 0.241516, 0.25942, 0.268673, 0.272584, 0.276497, 0.281362, 0.299057, 0.311749, 0.320892, 0.35406, 0.377928");
+            values ( \
+              "0.000921472, 0.0120486, 0.0218582, 0.0253367, 0.029227, 0.0301198, 0.0310958, 0.0299003, 0.0269033, 0.0255671, 0.0127513, 0.00836827, 0.00759025, 0.00831571, 0.00780954, 0.00408205, 0.0022698, 0.00147228, 0.000245662, 0.0001334" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498107");
+            index_3 ("0.182855, 0.190093, 0.200622, 0.209231, 0.216772, 0.222751, 0.228118, 0.239178, 0.25195, 0.262503, 0.271904, 0.296717, 0.31071, 0.328471, 0.336103, 0.375271, 0.402917, 0.423571, 0.457913, 0.497986");
+            values ( \
+              "0.0119504, 0.0376381, 0.0581626, 0.0697011, 0.0763378, 0.0795712, 0.0810729, 0.0810983, 0.0769091, 0.0718343, 0.0655367, 0.0389288, 0.027598, 0.0166022, 0.0143199, 0.00704476, 0.0032777, 0.00175807, 0.000569704, 0.000142997" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199085");
+            index_3 ("0.191311, 0.204982, 0.215738, 0.225119, 0.233637, 0.246826, 0.25158, 0.261089, 0.277486, 0.293985, 0.315872, 0.33913, 0.350284, 0.36242, 0.386692, 0.396576, 0.414788, 0.436541, 0.462799, 0.480648, 0.504109, 0.521389, 0.541786, 0.567213, 0.591801, 0.627969, 0.666083, 0.682987, 0.716794, 0.758084, 0.813083, 0.880007, 0.980355");
+            values ( \
+              "0.0860901, 0.0980914, 0.117853, 0.12871, 0.134607, 0.138841, 0.139206, 0.139043, 0.136877, 0.133775, 0.128532, 0.121715, 0.117909, 0.113084, 0.101994, 0.0950073, 0.0845419, 0.0708411, 0.0568818, 0.048228, 0.0384579, 0.0322673, 0.0258897, 0.0195335, 0.0157855, 0.0113943, 0.00785229, 0.00659676, 0.00457602, 0.00287465, 0.00149824, 0.000663903, 0.000169551" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079571");
+            index_3 ("0.200471, 0.244469, 0.260351, 0.273679, 0.28656, 0.325406, 0.36168, 0.515508, 0.64729, 0.752442, 0.860059, 1.02355, 1.14834, 1.2357, 1.30444, 1.39608, 1.46182, 1.51681, 1.59013, 1.71582, 1.85358, 1.9623, 2.10827, 2.28035, 2.49003, 2.77201");
+            values ( \
+              "0.15928, 0.166391, 0.170523, 0.171526, 0.171771, 0.170743, 0.168238, 0.155093, 0.142412, 0.129723, 0.111563, 0.0804071, 0.0591141, 0.0465681, 0.0382405, 0.0290199, 0.0235751, 0.0199531, 0.0160594, 0.0108301, 0.00702362, 0.00498258, 0.00302131, 0.00172002, 0.000787978, 0.000314552" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.318032");
+            index_3 ("0.22536, 0.22538, 0.722306, 1.34659, 1.88796, 2.13299, 2.3103, 2.66493, 3.45415, 4.05588, 4.4618, 4.70005, 5.01132, 5.272, 5.57323, 5.99949, 6.43774, 6.77252, 7.30394, 7.91297, 8.64346, 9.56466");
+            values ( \
+              "1e-22, 0.202297, 0.1767, 0.161994, 0.148103, 0.140603, 0.134209, 0.118565, 0.0789078, 0.0528503, 0.0391869, 0.0326096, 0.025405, 0.0205813, 0.0161039, 0.011254, 0.00777677, 0.00585604, 0.00366046, 0.00214813, 0.00109296, 0.000479765" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27112");
+            index_3 ("0.31204, 0.31206, 2.18314, 5.44183, 6.53267, 7.68932, 8.53398, 9.35655, 10.3599, 13.1879, 14.2345, 15.3253, 16.2051, 17.0069, 17.915, 19.0058, 20.6349, 21.6765, 22.7674, 24.2294, 26.124, 28.3056, 31.5781, 33.7598, 38.1232");
+            values ( \
+              "1e-22, 0.211713, 0.178695, 0.158935, 0.1518, 0.143113, 0.135374, 0.126552, 0.114365, 0.0782104, 0.0660475, 0.0546236, 0.0465234, 0.0399893, 0.0335415, 0.0269261, 0.0192623, 0.0155156, 0.0122783, 0.00900621, 0.00597453, 0.00370796, 0.00177194, 0.00108447, 0.000399237" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.325586, 0.331746, 0.336259, 0.34011, 0.346344, 0.351262, 0.355572, 0.359029, 0.36637, 0.374125, 0.380786, 0.386433, 0.390281, 0.394737, 0.401551, 0.405893, 0.415096, 0.418967, 0.422889, 0.427266, 0.431287, 0.440725, 0.445567, 0.458077, 0.466931, 0.478736, 0.498813, 0.52265");
+            values ( \
+              "0.00245499, 0.0121239, 0.0167961, 0.0201319, 0.024712, 0.0273504, 0.0291992, 0.0300907, 0.0310738, 0.0297976, 0.0272296, 0.0259342, 0.0244754, 0.019984, 0.0151052, 0.0126252, 0.00828638, 0.00761675, 0.00831661, 0.00786064, 0.00721072, 0.00499236, 0.00404047, 0.00226759, 0.00149291, 0.000917261, 0.000276926, 0.000134426" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498107");
+            index_3 ("0.3294, 0.336155, 0.34002, 0.346823, 0.355363, 0.359526, 0.362918, 0.368903, 0.374272, 0.385335, 0.398097, 0.408662, 0.418182, 0.437666, 0.442871, 0.44981, 0.456857, 0.469237, 0.474628, 0.482274, 0.489814, 0.521422, 0.533196, 0.549065, 0.56996, 0.604335, 0.64461");
+            values ( \
+              "0.0157144, 0.0374203, 0.0456376, 0.0580953, 0.0695669, 0.0736356, 0.0762652, 0.0795102, 0.0810464, 0.0810753, 0.0769094, 0.0718269, 0.0654471, 0.0439699, 0.0389386, 0.0329671, 0.027608, 0.0195919, 0.0166022, 0.0143158, 0.013347, 0.00704484, 0.00515148, 0.00327789, 0.00174459, 0.000564302, 0.000140427" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199085");
+            index_3 ("0.337914, 0.350926, 0.36184, 0.375491, 0.384188, 0.397605, 0.410605, 0.436516, 0.460912, 0.490071, 0.508432, 0.534103, 0.582416, 0.627277, 0.66701, 0.687562, 0.711435, 0.739576, 0.775533, 0.812373, 0.858698, 0.89743, 0.951284, 0.987472");
+            values ( \
+              "0.0950274, 0.0978024, 0.117911, 0.132006, 0.136622, 0.139189, 0.138811, 0.134585, 0.128809, 0.12015, 0.11303, 0.101281, 0.0709041, 0.0479482, 0.0324106, 0.0259641, 0.0199058, 0.0155472, 0.0112342, 0.00783291, 0.00479132, 0.00310777, 0.00164703, 0.00114637" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079571");
+            index_3 ("0.347693, 0.40649, 0.419875, 0.432807, 0.44574, 0.471526, 0.559625, 0.662257, 0.763057, 0.843314, 0.898682, 1.0063, 1.16979, 1.29458, 1.38194, 1.45067, 1.54232, 1.60806, 1.66305, 1.73637, 1.86206, 1.99982, 2.10853, 2.2545, 2.42689, 2.63658, 2.91905");
+            values ( \
+              "0.163539, 0.170528, 0.171506, 0.17182, 0.171729, 0.170739, 0.164059, 0.155068, 0.145484, 0.136697, 0.129702, 0.111583, 0.0803862, 0.0591348, 0.0465471, 0.0382196, 0.0290413, 0.0235541, 0.019932, 0.0160803, 0.0108089, 0.00700263, 0.00500375, 0.00300035, 0.00173923, 0.000766016, 0.000334623" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.318032");
+            index_3 ("0.373155, 0.373175, 0.87065, 1.67536, 2.03395, 2.27898, 2.45629, 2.81092, 3.60013, 4.20186, 4.6078, 5.15731, 5.71922, 6.14547, 6.91852, 7.44994, 8.05899, 8.33187");
+            values ( \
+              "1e-22, 0.203589, 0.176663, 0.15746, 0.148122, 0.140603, 0.134209, 0.118565, 0.0789079, 0.0528507, 0.0391865, 0.0254049, 0.0161039, 0.011254, 0.005856, 0.0036604, 0.00214809, 0.00187246" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27112");
+            index_3 ("0.458651, 0.458671, 2.35187, 5.58788, 7.07412, 7.8353, 8.67996, 9.50253, 10.5059, 13.3339, 14.3804, 15.4713, 16.2175, 17.1528, 18.1061, 19.197, 19.6954, 20.6922, 21.7651, 23.7556, 26.3489, 28.5306, 30.7123, 35.0756, 38.3481");
+            values ( \
+              "1e-22, 0.211641, 0.178496, 0.158998, 0.149027, 0.14305, 0.135437, 0.126489, 0.114428, 0.0782734, 0.0659845, 0.0546866, 0.0476578, 0.0400522, 0.0331794, 0.0267418, 0.024077, 0.0196856, 0.0156422, 0.0102227, 0.00580984, 0.00358159, 0.00219427, 0.000804382, 0.000454513" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.84085, 0.849719, 0.867291, 0.876184, 0.879778, 0.887376, 0.894918, 0.911661, 0.922975, 0.936913, 0.940741, 0.944768, 0.947856, 0.974373, 0.993581, 1.01302");
+            values ( \
+              "0.000211422, 0.00991264, 0.0233078, 0.0277649, 0.0289328, 0.0301938, 0.0293224, 0.0242668, 0.0150718, 0.00814661, 0.00773758, 0.00838389, 0.0080476, 0.00291923, 0.00118817, 0.000655421" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498107");
+            index_3 ("0.841062, 0.867141, 0.876532, 0.882651, 0.886363, 0.89114, 0.895528, 0.904303, 0.911631, 0.918116, 0.928339, 0.930227, 0.941554, 0.9436, 0.953254, 0.959889, 0.967615, 0.973393, 0.98495, 0.993001, 0.997594, 1.0038, 1.01147, 1.02067, 1.02173, 1.03577, 1.05609, 1.06563, 1.0847, 1.11216, 1.15085");
+            values ( \
+              "0.000778653, 0.05366, 0.0666039, 0.0727443, 0.0754451, 0.0780852, 0.0795106, 0.0804304, 0.0792849, 0.0769386, 0.0720602, 0.0715774, 0.0642582, 0.0612473, 0.0503633, 0.0433445, 0.0362436, 0.0313061, 0.0234326, 0.0181952, 0.016086, 0.0143684, 0.0133484, 0.0115158, 0.0114754, 0.00838952, 0.00512942, 0.00392547, 0.0022292, 0.000942069, 0.000250686" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199085");
+            index_3 ("0.852452, 0.869746, 0.885041, 0.892178, 0.903958, 0.918994, 0.932122, 0.944966, 0.972173, 0.999687, 1.0299, 1.05141, 1.10598, 1.1343, 1.18214, 1.21062, 1.23785, 1.26538, 1.32589, 1.38189, 1.42512, 1.47992, 1.49371");
+            values ( \
+              "0.0429478, 0.0880292, 0.117321, 0.125809, 0.134554, 0.138477, 0.138406, 0.136723, 0.131481, 0.123857, 0.113333, 0.103141, 0.0701563, 0.054943, 0.034646, 0.0255244, 0.0190013, 0.0148885, 0.00842837, 0.00471359, 0.00286895, 0.00152006, 0.00141006" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079571");
+            index_3 ("0.868823, 0.912252, 0.928678, 0.941845, 0.954471, 0.981147, 1.01119, 1.08689, 1.18218, 1.31517, 1.42032, 1.52794, 1.69143, 1.81623, 1.90358, 1.97231, 2.06394, 2.12969, 2.18469, 2.25803, 2.3837, 2.52144, 2.63012, 2.77613, 2.94857, 3.15833, 3.44091");
+            values ( \
+              "0.1648, 0.165115, 0.170072, 0.171267, 0.171861, 0.171482, 0.169664, 0.163573, 0.155213, 0.14241, 0.129723, 0.111562, 0.0804082, 0.0591105, 0.0465695, 0.0382441, 0.0290198, 0.0235784, 0.0199564, 0.0160545, 0.0108337, 0.00702962, 0.00497777, 0.00302707, 0.00171219, 0.000792444, 0.000307539" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.318032");
+            index_3 ("0.899269, 0.899289, 1.36791, 2.08103, 2.37747, 2.57242, 2.95363, 3.12186, 3.26962, 3.43998, 4.01957, 4.20998, 4.46387, 4.82357, 5.00663, 5.1226, 5.35453, 5.67579, 5.94715, 6.24589, 6.6702, 6.85493, 7.10132, 7.42985, 7.96011, 8.55884, 9.2865, 10.1942");
+            values ( \
+              "1e-22, 0.207882, 0.177203, 0.16036, 0.152908, 0.147622, 0.135127, 0.128298, 0.121537, 0.113313, 0.0838942, 0.0747199, 0.0633687, 0.0491912, 0.0430016, 0.0394251, 0.0329444, 0.0254972, 0.0204796, 0.0160271, 0.0112459, 0.00963081, 0.00781977, 0.00589865, 0.00371168, 0.00217961, 0.00113094, 0.000485526" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27112");
+            index_3 ("0.984712, 0.984732, 3.15806, 6.73174, 7.59338, 8.68422, 9.20066, 10.0313, 11.0259, 13.853, 14.8978, 15.9886, 16.7369, 17.6758, 18.628, 19.7188, 21.2085, 22.2827, 23.3735, 24.9205, 26.8722, 29.0539, 31.2356, 34.5081, 38.8714");
+            values ( \
+              "1e-22, 0.209876, 0.176817, 0.154923, 0.148992, 0.140255, 0.135393, 0.126425, 0.11439, 0.0782468, 0.0660512, 0.0546752, 0.0477003, 0.0399923, 0.0332044, 0.0266943, 0.0196634, 0.0156875, 0.012462, 0.00893238, 0.00584293, 0.00361627, 0.00222995, 0.00108973, 0.000416893" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.67696, 2.68865, 2.72381, 2.73276, 2.74126, 2.74964, 2.75191, 2.75829, 2.77051, 2.78409, 2.78809, 2.79364, 2.81362, 2.83504, 2.85346, 2.87486");
+            values ( \
+              "0.00711655, 0.00875157, 0.0238729, 0.0262778, 0.0265465, 0.0249754, 0.0253557, 0.0239889, 0.0148313, 0.00832663, 0.00806093, 0.00839274, 0.00427384, 0.00158186, 0.000636741, 0.000351865" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498107");
+            index_3 ("2.67856, 2.68872, 2.72805, 2.74133, 2.75344, 2.76539, 2.77779, 2.78681, 2.80701, 2.81894, 2.83219, 2.84643, 2.89901, 2.92008, 2.94415, 2.96216");
+            values ( \
+              "0.0157345, 0.0195761, 0.0625163, 0.0724576, 0.0757463, 0.0744421, 0.0702841, 0.0657285, 0.0439433, 0.0331554, 0.023654, 0.0156322, 0.00565926, 0.00307633, 0.00149901, 0.000964636" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199085");
+            index_3 ("2.69119, 2.71024, 2.73021, 2.73715, 2.75104, 2.76576, 2.7795, 2.79221, 2.80536, 2.8289, 2.86128, 2.90425, 2.9502, 3.00654, 3.03028, 3.05735, 3.08504, 3.11225, 3.16712, 3.21307, 3.24862, 3.29454, 3.3493");
+            values ( \
+              "0.0559595, 0.0658469, 0.102205, 0.1125, 0.126998, 0.134059, 0.136171, 0.13596, 0.134458, 0.129117, 0.119387, 0.100553, 0.0714275, 0.0433042, 0.0342568, 0.0255877, 0.0190077, 0.0149366, 0.00896855, 0.00557829, 0.00379007, 0.00222163, 0.00117762" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079571");
+            index_3 ("2.70475, 2.73905, 2.75855, 2.77458, 2.78864, 2.80152, 2.81586, 2.84386, 2.88568, 2.97308, 3.06485, 3.16221, 3.26736, 3.37497, 3.53846, 3.66325, 3.75061, 3.81935, 3.911, 3.97674, 4.03172, 4.10503, 4.16475, 4.21191, 4.32586, 4.39726, 4.53163, 4.68291, 4.86641, 5.09067, 5.41505");
+            values ( \
+              "0.112546, 0.136384, 0.158333, 0.166433, 0.169997, 0.171439, 0.171809, 0.170608, 0.167579, 0.160222, 0.151933, 0.1424, 0.129713, 0.111573, 0.0804074, 0.0591122, 0.0465709, 0.0382446, 0.0290153, 0.0235798, 0.01996, 0.0160533, 0.0133319, 0.0116752, 0.00818155, 0.00651833, 0.00419565, 0.0025263, 0.00134315, 0.000609155, 0.000177422" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.318032");
+            index_3 ("2.69015, 2.73316, 2.76213, 2.79671, 2.81931, 2.85331, 2.90021, 2.99534, 4.04345, 4.41915, 4.61126, 4.8246, 5.23977, 5.93927, 6.34418, 6.67961, 6.94126, 7.29012, 7.60369, 7.737, 8.00362, 8.48084, 8.6982, 9.02197, 9.45365, 10.0027, 10.6486, 11.421, 12.4536");
+            values ( \
+              "0.0393371, 0.133494, 0.170351, 0.182673, 0.184734, 0.185095, 0.184451, 0.182373, 0.157503, 0.147619, 0.141763, 0.134239, 0.115619, 0.0803451, 0.0619252, 0.0488986, 0.0402747, 0.0307003, 0.0238825, 0.0214357, 0.0172522, 0.0115679, 0.00963968, 0.00732642, 0.00505464, 0.00311148, 0.00175493, 0.000861563, 0.000331203" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27112");
+            index_3 ("2.83153, 2.83155, 5.13663, 7.95706, 9.44314, 10.2043, 11.049, 11.8715, 12.8749, 15.7029, 16.7494, 17.8403, 18.5865, 19.5219, 20.4751, 21.566, 23.0612, 24.1341, 25.2249, 26.7685, 28.7179, 30.8996, 33.0813, 36.3538, 40.7171");
+            values ( \
+              "1e-22, 0.20832, 0.176047, 0.15896, 0.148989, 0.143088, 0.135399, 0.126527, 0.114389, 0.0782347, 0.0660233, 0.0546479, 0.0476966, 0.0400134, 0.0332182, 0.0267031, 0.0196468, 0.015681, 0.0124542, 0.00893579, 0.00584863, 0.00362038, 0.00223305, 0.00108906, 0.000415732" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.60111, 9.62033, 9.62497, 9.63321, 9.65127, 9.67294, 9.68845, 9.70145, 9.71324, 9.72418, 9.72537, 9.72696, 9.73013, 9.73442, 9.73697, 9.74716, 9.76006, 9.76365, 9.76753, 9.77125, 9.77589, 9.78734, 9.79449, 9.8063, 9.80984, 9.81455, 9.82397, 9.84281, 9.86743");
+            values ( \
+              "0.00181423, 0.00285888, 0.00351404, 0.00493532, 0.00862916, 0.012821, 0.0160983, 0.0184603, 0.0195544, 0.020084, 0.0209149, 0.0214267, 0.0213312, 0.0200976, 0.0190279, 0.0139528, 0.00882715, 0.00919722, 0.00922615, 0.00878719, 0.00784095, 0.00503514, 0.00368423, 0.0021456, 0.00182935, 0.00149882, 0.000937474, 0.000384971, 8.46723e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498107");
+            index_3 ("9.61127, 9.64158, 9.66728, 9.67945, 9.7113, 9.72682, 9.74089, 9.75452, 9.76665, 9.76848, 9.78607, 9.79251, 9.79909, 9.81579, 9.8208, 9.82639, 9.84497, 9.86342, 9.87529, 9.88807, 9.9051, 9.93165, 9.97155");
+            values ( \
+              "0.00693562, 0.015466, 0.0279995, 0.0346993, 0.0540321, 0.0617043, 0.0655571, 0.0663835, 0.0628319, 0.0598368, 0.0421551, 0.0363102, 0.0309553, 0.0196003, 0.0168672, 0.0151819, 0.0118409, 0.0079231, 0.00577428, 0.00402638, 0.00245178, 0.00104709, 0.00027424" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199085");
+            index_3 ("9.62256, 9.65075, 9.65867, 9.68966, 9.72541, 9.7399, 9.75516, 9.76808, 9.78174, 9.79505, 9.81462, 9.83746, 9.85332, 9.88067, 9.88751, 9.92522, 9.96446, 10.0034, 10.0317, 10.0547, 10.086, 10.136, 10.1865, 10.2194, 10.268, 10.3148");
+            values ( \
+              "0.0206456, 0.0302399, 0.036092, 0.0648836, 0.10476, 0.118303, 0.129175, 0.133269, 0.133599, 0.1313, 0.126358, 0.119326, 0.112925, 0.100407, 0.094952, 0.07211, 0.0516697, 0.035322, 0.0261635, 0.0202547, 0.0153173, 0.00966254, 0.00575613, 0.0040407, 0.00228587, 0.00138191" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079571");
+            index_3 ("9.66731, 9.72261, 9.74711, 9.75923, 9.7755, 9.7843, 9.79283, 9.8099, 9.86101, 9.9198, 10.0403, 10.1377, 10.2428, 10.3503, 10.5139, 10.5697, 10.6383, 10.7259, 10.7951, 10.8873, 10.9524, 11.0069, 11.0796, 11.2059, 11.2624, 11.3446, 11.4543, 11.599, 11.7704, 11.9779, 12.2583");
+            values ( \
+              "0.0975214, 0.123382, 0.152698, 0.162888, 0.169804, 0.17092, 0.171335, 0.170997, 0.167499, 0.162634, 0.151885, 0.142383, 0.129696, 0.111606, 0.0803936, 0.0704322, 0.0591854, 0.0465755, 0.0382009, 0.0289584, 0.0235506, 0.0199614, 0.0161133, 0.0108318, 0.00908783, 0.00700179, 0.00496235, 0.0030111, 0.00172879, 0.000789326, 0.000325594" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.318032");
+            index_3 ("9.71551, 9.71553, 10.2381, 11.1256, 11.3947, 11.7348, 11.9315, 12.1938, 12.8798, 13.3514, 13.6658, 13.894, 14.1479, 14.4847, 14.7933, 15.0833, 15.5008, 16.0296, 16.4694, 17.1005, 17.764, 18.1251");
+            values ( \
+              "1e-22, 0.201764, 0.17606, 0.154817, 0.147619, 0.136699, 0.128801, 0.116629, 0.0820139, 0.0605854, 0.0484888, 0.0409472, 0.0336948, 0.0257458, 0.0200633, 0.0158287, 0.0111536, 0.0071217, 0.00487843, 0.00285659, 0.00159474, 0.00134863" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27112");
+            index_3 ("9.81153, 9.81155, 11.7437, 14.9321, 16.4183, 17.3839, 18.0224, 18.9166, 19.8509, 22.6703, 23.7017, 24.7926, 25.5564, 26.5222, 27.4664, 28.5572, 30.0045, 31.0886, 32.1795, 33.7522, 35.7214, 37.9031, 40.0848, 43.3573, 47.7206");
+            values ( \
+              "1e-22, 0.212819, 0.178252, 0.158956, 0.148984, 0.141365, 0.135405, 0.125727, 0.11437, 0.0783207, 0.0662811, 0.0548643, 0.0477468, 0.0398138, 0.0331172, 0.0266101, 0.019772, 0.0157544, 0.0125037, 0.00892415, 0.00581723, 0.00360257, 0.00222382, 0.00107742, 0.000408416" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195954", \
+            "0.00235268", \
+            "0.00254795", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253645", \
+            "0.00256028", \
+            "0.00289392", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.00180696, 0.00180697, 0.00180697, 0.00180697, 0.00180697, 0.00180697", \
+            "0.00207649, 0.00207648, 0.00207648, 0.00207648, 0.00207648, 0.00207648", \
+            "0.00218112, 0.00218112, 0.00218112, 0.00218112, 0.00218112, 0.00218112", \
+            "0.00223187, 0.00223187, 0.00223188, 0.00223188, 0.00223188, 0.00223188", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00227094, 0.00227094, 0.00227094, 0.00227094, 0.00227094, 0.00227094" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.00230604, 0.00230604, 0.00230604, 0.00230604, 0.00230604, 0.00230604", \
+            "0.00242653, 0.00242651, 0.0024265, 0.0024265, 0.0024265, 0.0024265", \
+            "0.00263743, 0.00263743, 0.00263743, 0.00263742, 0.00263742, 0.00263742", \
+            "0.00325301, 0.00325301, 0.00325309, 0.00325318, 0.00325323, 0.00325324", \
+            "0.00368871, 0.00368789, 0.00368785, 0.0036884, 0.00368765, 0.00368729", \
+            "0.00367219, 0.00367202, 0.00367525, 0.00367218, 0.00367214, 0.00367067" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        timing_sense : negative_unate;
+        timing_type : preset;
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.183667, 0.20656, 0.288682, 0.604105, 1.86084, 6.88301", \
+            "0.19494, 0.217766, 0.299935, 0.615361, 1.87208, 6.8942", \
+            "0.243478, 0.266349, 0.348476, 0.663952, 1.92066, 6.94286", \
+            "0.374494, 0.397436, 0.479565, 0.795168, 2.05195, 7.07418", \
+            "0.658602, 0.682357, 0.764731, 1.08032, 2.33757, 7.35957", \
+            "1.38045, 1.40886, 1.49316, 1.8081, 3.0651, 8.08743" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0499593, 0.0761732, 0.189248, 0.652353, 2.5131, 9.94981", \
+            "0.049986, 0.0761691, 0.189249, 0.652273, 2.5131, 9.94977", \
+            "0.0499861, 0.0761837, 0.189246, 0.652354, 2.5131, 9.94984", \
+            "0.051027, 0.0768486, 0.1896, 0.652274, 2.51309, 9.94985", \
+            "0.0567311, 0.0805236, 0.190062, 0.652325, 2.51302, 9.95006", \
+            "0.0769382, 0.0960081, 0.193791, 0.652485, 2.51304, 9.94924" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.142382, 0.15197, 0.165054, 0.16971, 0.177297, 0.182131, 0.184471, 0.188013, 0.191666, 0.197891, 0.208117, 0.219716, 0.235515, 0.238702, 0.247989, 0.26083, 0.272377, 0.288245, 0.300443, 0.315882");
+            values ( \
+              "0.000241708, 0.0137249, 0.0251168, 0.0280843, 0.0309178, 0.0316055, 0.0316588, 0.031286, 0.0303358, 0.0277286, 0.0244656, 0.0149224, 0.00735834, 0.00817792, 0.00747035, 0.00453784, 0.00268936, 0.00129598, 0.0007387, 0.000370543" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00498107");
+            index_3 ("0.147039, 0.154892, 0.161046, 0.168821, 0.175923, 0.181287, 0.187214, 0.192447, 0.203396, 0.215283, 0.226692, 0.232869, 0.240059, 0.251706, 0.267676, 0.275098, 0.287542, 0.293457, 0.299389, 0.307443, 0.318574, 0.335113, 0.352164, 0.363241, 0.382723, 0.411374, 0.448752");
+            values ( \
+              "0.00872898, 0.0369728, 0.0503299, 0.0644681, 0.0735348, 0.0779294, 0.0809709, 0.0821573, 0.081607, 0.0773263, 0.0717198, 0.0675407, 0.0618778, 0.0474145, 0.0330284, 0.0274923, 0.0194408, 0.0163202, 0.0145996, 0.0134145, 0.0114, 0.0079363, 0.00505433, 0.00372528, 0.0020583, 0.000861111, 0.000223249" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0199085");
+            index_3 ("0.155829, 0.16941, 0.180753, 0.189376, 0.197246, 0.20678, 0.215647, 0.228557, 0.254709, 0.272403, 0.296754, 0.327097, 0.342736, 0.41727, 0.456401, 0.493671, 0.519388, 0.538348, 0.574941, 0.605618, 0.666613, 0.705452, 0.755719, 0.794648");
+            values ( \
+              "0.0828839, 0.0994105, 0.121166, 0.130615, 0.135598, 0.138519, 0.139199, 0.138273, 0.133548, 0.129658, 0.12311, 0.112857, 0.106272, 0.0622027, 0.0434086, 0.0298108, 0.0223983, 0.0185274, 0.0134434, 0.0100644, 0.005381, 0.00351839, 0.00194268, 0.00131091" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.079571");
+            index_3 ("0.168268, 0.168288, 0.327403, 0.37678, 0.526199, 0.612274, 0.648303, 0.717406, 0.824413, 0.987862, 1.03695, 1.1024, 1.19764, 1.27951, 1.34257, 1.42429, 1.44787, 1.49504, 1.57538, 1.68905, 1.83553, 1.94892, 2.09417, 2.25897, 2.47272, 2.76244");
+            values ( \
+              "1e-22, 0.175506, 0.167544, 0.163961, 0.150871, 0.142396, 0.138316, 0.129654, 0.111751, 0.080515, 0.0717109, 0.0608401, 0.0469526, 0.0371394, 0.0307228, 0.0237971, 0.0221963, 0.019197, 0.0150874, 0.0105906, 0.00665775, 0.00463194, 0.00282375, 0.00163646, 0.000749232, 0.000279003" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.318032");
+            index_3 ("0.194371, 0.194391, 0.706275, 1.14033, 1.67568, 1.86957, 2.25081, 2.41868, 2.56658, 2.7371, 3.3167, 3.50689, 3.76048, 4.12057, 4.42018, 4.65264, 4.97317, 5.06337, 5.24379, 5.5427, 5.96715, 6.15204, 6.39875, 6.72771, 7.24872, 7.83762, 8.54963, 9.43686, 10.5278");
+            values ( \
+              "1e-22, 0.204846, 0.176218, 0.166059, 0.152879, 0.147617, 0.135128, 0.128308, 0.121546, 0.113311, 0.0838953, 0.0747314, 0.0633898, 0.0491967, 0.0394113, 0.032919, 0.025492, 0.0237005, 0.0204878, 0.0160314, 0.0112476, 0.00963105, 0.00781791, 0.00589467, 0.0037408, 0.00221674, 0.00116819, 0.000512113, 0.000182266" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("1.27112");
+            index_3 ("0.277051, 0.277071, 1.92436, 5.40624, 6.89249, 7.65367, 8.49833, 9.3209, 10.3243, 13.1521, 14.1983, 15.2892, 16.0358, 16.9717, 17.8954, 18.9864, 20.5675, 21.6203, 23.585, 25.0256, 26.1166, 28.2984, 30.4802, 34.8439, 38.1167");
+            values ( \
+              "1e-22, 0.214853, 0.180006, 0.158967, 0.148995, 0.143081, 0.135406, 0.12652, 0.114397, 0.0782442, 0.0660212, 0.0546594, 0.0476899, 0.0400173, 0.0334028, 0.0268689, 0.0194224, 0.0155517, 0.0102297, 0.00752336, 0.00590639, 0.00365345, 0.0022506, 0.000845073, 0.000427945" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.179444, 0.185936, 0.196488, 0.200543, 0.205438, 0.212329, 0.214467, 0.217318, 0.220193, 0.227417, 0.235212, 0.24171, 0.243875, 0.248205, 0.256136, 0.259709, 0.269188, 0.272934, 0.276934, 0.277904, 0.283728, 0.29433, 0.300152, 0.312798, 0.314522, 0.31797, 0.338537, 0.383251");
+            values ( \
+              "0.00177953, 0.0120484, 0.021793, 0.0249807, 0.0279849, 0.0307747, 0.0311979, 0.0315166, 0.0316703, 0.0303742, 0.0270813, 0.0254825, 0.0242665, 0.020354, 0.0145302, 0.0124719, 0.00801106, 0.00771925, 0.00821298, 0.00818228, 0.0074367, 0.00496824, 0.00384077, 0.00213115, 0.00208534, 0.00166709, 0.00059088, 1.08137e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00498107");
+            index_3 ("0.179409, 0.196543, 0.20433, 0.21659, 0.223691, 0.227958, 0.236491, 0.243687, 0.262205, 0.272851, 0.290397, 0.301921, 0.33022, 0.383615, 0.406928, 0.433572, 0.435088");
+            values ( \
+              "0.00203925, 0.0503402, 0.0644771, 0.0778217, 0.0812116, 0.082124, 0.0819925, 0.080231, 0.0717062, 0.0647406, 0.0445478, 0.0341002, 0.0158087, 0.00567203, 0.00292793, 0.00127643, 0.00125288" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0199085");
+            index_3 ("0.190047, 0.199261, 0.210243, 0.22116, 0.232499, 0.242199, 0.251215, 0.264127, 0.290277, 0.305752, 0.332323, 0.362666, 0.378304, 0.452845, 0.491983, 0.529224, 0.55492, 0.573907, 0.610553, 0.641234, 0.667462, 0.702114, 0.740927, 0.791163, 0.852985, 0.936337");
+            values ( \
+              "0.0723132, 0.0834497, 0.111007, 0.127065, 0.135462, 0.138451, 0.139222, 0.138247, 0.133528, 0.130181, 0.12311, 0.112858, 0.106272, 0.0621992, 0.0434034, 0.0298162, 0.0224068, 0.0185292, 0.0134377, 0.0100603, 0.007756, 0.00538573, 0.00352132, 0.00194636, 0.000939845, 0.000309413" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.079571");
+            index_3 ("0.205295, 0.205315, 0.390482, 0.482446, 0.550657, 0.647973, 0.753072, 0.8286, 1.02359, 1.13716, 1.23328, 1.2833, 1.37844, 1.46002, 1.53049, 1.6109, 1.72461, 1.87128, 1.98389, 2.12917, 2.29281, 2.50652, 2.79249");
+            values ( \
+              "1e-22, 0.178364, 0.165644, 0.158082, 0.151925, 0.142377, 0.129724, 0.117363, 0.0805196, 0.0609626, 0.0469683, 0.0407559, 0.0307127, 0.0237778, 0.0191958, 0.0151059, 0.0105806, 0.00664272, 0.00465458, 0.00281728, 0.00165924, 0.000741743, 0.000297653" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.318032");
+            index_3 ("0.232249, 0.232269, 0.741585, 1.17595, 1.7113, 1.90519, 2.28644, 2.45431, 2.60221, 2.77273, 3.35233, 3.54252, 3.7961, 4.1562, 4.33957, 4.4558, 4.68826, 5.00879, 5.27942, 5.57832, 6.00277, 6.18766, 6.43438, 6.76333, 7.28422, 7.87295, 8.58474, 9.47166, 10.5626");
+            values ( \
+              "1e-22, 0.206542, 0.176225, 0.16606, 0.152879, 0.147617, 0.135128, 0.128308, 0.121546, 0.113311, 0.0838953, 0.0747314, 0.0633898, 0.0491967, 0.0429954, 0.0394114, 0.032919, 0.025492, 0.0204878, 0.0160314, 0.0112476, 0.00963109, 0.00781795, 0.00589464, 0.00374128, 0.00221731, 0.0011688, 0.0005125, 0.000182446" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("1.27112");
+            index_3 ("0.315248, 0.315268, 2.61925, 5.44251, 6.53343, 7.68982, 8.53448, 9.35705, 10.3604, 13.1882, 14.2345, 15.3254, 16.2056, 17.0079, 17.961, 19.0519, 20.5459, 21.6191, 22.71, 24.2542, 26.2042, 28.386, 30.5678, 33.8406, 38.2043");
+            values ( \
+              "1e-22, 0.208059, 0.176055, 0.158952, 0.151782, 0.143096, 0.135391, 0.126535, 0.114381, 0.0782286, 0.0660367, 0.0546438, 0.0465397, 0.0400017, 0.0332242, 0.0266929, 0.0196419, 0.0156907, 0.0124473, 0.00894343, 0.00585573, 0.00362768, 0.00224054, 0.00108076, 0.000407596" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.325852, 0.332019, 0.339278, 0.347576, 0.351524, 0.359131, 0.366301, 0.369145, 0.373509, 0.379558, 0.384861, 0.389956, 0.396886, 0.401558, 0.407669, 0.417506, 0.42064, 0.429567, 0.440356, 0.448933, 0.462598, 0.472867, 0.486178, 0.507623, 0.530985");
+            values ( \
+              "0.00250309, 0.0120564, 0.0189058, 0.0256319, 0.0279766, 0.0309566, 0.0316874, 0.0313833, 0.0303496, 0.0277997, 0.0264134, 0.0243688, 0.0181135, 0.014901, 0.0114753, 0.00732595, 0.00822814, 0.00754497, 0.00504964, 0.00346, 0.00182472, 0.00111957, 0.000632667, 0.000178861, 9.2357e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00498107");
+            index_3 ("0.32987, 0.336563, 0.342743, 0.350536, 0.357328, 0.363025, 0.368965, 0.37418, 0.385131, 0.396401, 0.40843, 0.414607, 0.421797, 0.43337, 0.449483, 0.456884, 0.469279, 0.475116, 0.480987, 0.500306, 0.516962, 0.533902, 0.544786, 0.564136, 0.592483, 0.629607");
+            values ( \
+              "0.0167111, 0.0369171, 0.0502512, 0.0644091, 0.0730799, 0.0779279, 0.08091, 0.0821552, 0.0815728, 0.0775945, 0.0717144, 0.0675393, 0.0618757, 0.0474996, 0.0329747, 0.0274596, 0.0194425, 0.0163516, 0.0146282, 0.011402, 0.00791557, 0.00505528, 0.00374468, 0.00208012, 0.000877836, 0.000232175" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0199085");
+            index_3 ("0.337927, 0.350561, 0.362322, 0.371099, 0.379106, 0.388572, 0.397375, 0.410285, 0.436438, 0.454126, 0.478481, 0.508823, 0.524459, 0.599147, 0.638021, 0.675447, 0.701234, 0.720107, 0.756523, 0.786967, 0.84877, 0.887392, 0.937438, 0.975588");
+            values ( \
+              "0.0894185, 0.0979111, 0.120918, 0.1306, 0.135607, 0.138537, 0.139165, 0.13829, 0.133575, 0.129666, 0.123112, 0.112853, 0.106279, 0.0621222, 0.0434548, 0.0297956, 0.0223679, 0.0185241, 0.0134599, 0.0101021, 0.00535645, 0.00350987, 0.0019438, 0.00132294" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.079571");
+            index_3 ("0.34811, 0.420355, 0.432652, 0.445254, 0.470942, 0.502388, 0.540908, 0.598591, 0.764872, 0.843808, 0.899152, 1.00677, 1.18905, 1.29506, 1.38242, 1.45115, 1.54279, 1.60853, 1.66353, 1.73685, 1.86253, 2.00029, 2.10899, 2.25153, 2.4196, 2.62309, 2.89614");
+            values ( \
+              "0.168198, 0.170545, 0.170606, 0.170532, 0.169714, 0.167983, 0.165334, 0.160684, 0.14532, 0.136664, 0.12973, 0.111552, 0.0769878, 0.0590981, 0.0465829, 0.0382568, 0.0290047, 0.0235915, 0.0199702, 0.0160418, 0.0108474, 0.00704276, 0.00496375, 0.00307721, 0.00174671, 0.000849374, 0.000322409" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.318032");
+            index_3 ("0.374383, 0.374403, 0.877847, 1.32205, 1.85744, 2.05129, 2.45652, 2.6004, 2.91883, 3.68861, 3.94219, 4.3023, 4.60191, 4.83438, 5.2451, 5.42551, 5.72442, 6.14887, 6.58049, 6.90945, 7.42711, 8.01214, 8.71846, 9.59794, 10.6889");
+            values ( \
+              "1e-22, 0.203867, 0.176472, 0.166043, 0.152878, 0.147617, 0.134266, 0.128309, 0.113311, 0.0747315, 0.0633903, 0.0491968, 0.039411, 0.0329184, 0.0237005, 0.020488, 0.0160315, 0.0112476, 0.00781788, 0.00589457, 0.00375216, 0.00223154, 0.00118252, 0.000522604, 0.000185985" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("1.27112");
+            index_3 ("0.46981, 0.46983, 2.76525, 5.58863, 6.67955, 7.83618, 8.68084, 10.5068, 13.3346, 14.3808, 15.4717, 17.1542, 18.0297, 19.1207, 20.7861, 21.8262, 23.7744, 26.2672, 28.4491, 30.6309, 33.9037, 38.2673");
+            values ( \
+              "1e-22, 0.209442, 0.176062, 0.15896, 0.151775, 0.143087, 0.1354, 0.11439, 0.0782376, 0.0660277, 0.0546528, 0.0400108, 0.0337299, 0.0271274, 0.0192705, 0.0154814, 0.0102208, 0.00595395, 0.00368545, 0.00227277, 0.0011106, 0.000424849" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.843285, 0.850262, 0.868151, 0.872077, 0.879929, 0.884482, 0.887449, 0.893384, 0.89775, 0.902758, 0.909184, 0.923751, 0.936383, 0.940269, 0.944202, 0.948494, 0.952509, 0.967018, 0.979545, 0.988413, 1.00024, 1.02082, 1.05369");
+            values ( \
+              "0.00297425, 0.00989439, 0.0239506, 0.026382, 0.029728, 0.030599, 0.0308138, 0.0301309, 0.0287668, 0.0267352, 0.0254548, 0.0145256, 0.00823791, 0.00763747, 0.00829906, 0.00784596, 0.00720425, 0.00400332, 0.00224653, 0.0014778, 0.000911019, 0.000264475, 7.82129e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00498107");
+            index_3 ("0.847742, 0.866877, 0.878338, 0.889954, 0.895237, 0.906351, 0.919217, 0.92981, 0.939039, 0.964212, 0.978277, 0.996716, 1.04068, 1.05873, 1.07737, 1.10221, 1.11768");
+            values ( \
+              "0.0174742, 0.0536268, 0.0703597, 0.0790214, 0.0806653, 0.0806856, 0.0764463, 0.0714369, 0.065329, 0.0387289, 0.0274564, 0.0162536, 0.00747561, 0.00466921, 0.00273274, 0.00127456, 0.00103751" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0199085");
+            index_3 ("0.857002, 0.871127, 0.883791, 0.892033, 0.904009, 0.918624, 0.939504, 0.955133, 0.978727, 1.01386, 1.04062, 1.10835, 1.16222, 1.19584, 1.21445, 1.23966, 1.26307, 1.31743, 1.38614, 1.47638");
+            values ( \
+              "0.0811037, 0.0926134, 0.118114, 0.127902, 0.135522, 0.13837, 0.136671, 0.134041, 0.128875, 0.118936, 0.108959, 0.0690057, 0.0423699, 0.0301703, 0.0245215, 0.0188052, 0.015292, 0.00923875, 0.00450603, 0.00169367" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.079571");
+            index_3 ("0.867878, 0.911914, 0.926023, 0.940374, 0.967085, 0.99277, 1.04063, 1.15513, 1.25477, 1.31559, 1.42097, 1.48525, 1.72598, 1.83015, 1.90875, 1.96356, 2.03169, 2.12191, 2.15101, 2.20921, 2.28568, 2.39623, 2.53157, 2.64343, 2.7824, 2.94427, 3.14703, 3.42482");
+            values ( \
+              "0.159744, 0.165612, 0.169185, 0.170234, 0.170532, 0.169745, 0.166929, 0.157644, 0.148459, 0.142369, 0.12971, 0.119385, 0.074238, 0.0570298, 0.0459716, 0.0393027, 0.032086, 0.0242216, 0.0221913, 0.0185769, 0.0147609, 0.0104701, 0.00682497, 0.00476213, 0.00298158, 0.00173453, 0.000841596, 0.000317001" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.318032");
+            index_3 ("0.896055, 0.896075, 1.39937, 1.84372, 2.3791, 2.57296, 2.97818, 3.12207, 3.44049, 4.21028, 4.46386, 4.82396, 5.12358, 5.35605, 5.76677, 5.94717, 6.24608, 6.67053, 7.10215, 7.43111, 7.94557, 8.52691, 9.22781, 10.0999, 11.1908");
+            values ( \
+              "1e-22, 0.203883, 0.176469, 0.16605, 0.152878, 0.147617, 0.134266, 0.128309, 0.113311, 0.0747316, 0.0633903, 0.0491968, 0.0394111, 0.0329185, 0.0237005, 0.020488, 0.0160315, 0.0112476, 0.00781788, 0.0058946, 0.00376308, 0.00224578, 0.00119632, 0.000532803, 0.000189571" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("1.27112");
+            index_3 ("0.990247, 0.990267, 2.65109, 6.1095, 7.5959, 8.35707, 9.20173, 10.0243, 11.0277, 13.8555, 14.9017, 15.9926, 16.7392, 17.6751, 18.6282, 19.7191, 21.2132, 22.2864, 23.3773, 24.9215, 26.8714, 29.0532, 31.2351, 34.5078, 38.8715");
+            values ( \
+              "1e-22, 0.216832, 0.179874, 0.158957, 0.148985, 0.14309, 0.135397, 0.126529, 0.114387, 0.0782347, 0.0660307, 0.0546499, 0.0476994, 0.0400078, 0.033218, 0.026699, 0.0196481, 0.0156846, 0.0124535, 0.00893724, 0.00584954, 0.00362147, 0.00223432, 0.00108698, 0.000413827" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.66852, 2.68416, 2.69832, 2.71413, 2.7235, 2.72764, 2.73279, 2.74114, 2.74348, 2.74974, 2.75573, 2.75884, 2.76352, 2.76882, 2.77146, 2.77454, 2.78238, 2.78655, 2.79001, 2.79359, 2.79861, 2.80821, 2.81368, 2.82098, 2.82744, 2.83037, 2.83623, 2.84793, 2.86691, 2.91487");
+            values ( \
+              "0.000503252, 0.00622012, 0.012763, 0.020419, 0.0244022, 0.02572, 0.0268656, 0.0268532, 0.0264901, 0.0252212, 0.0248548, 0.0230972, 0.0194284, 0.0157682, 0.0141781, 0.0125081, 0.00877782, 0.00780497, 0.00847404, 0.00824918, 0.0075872, 0.00536255, 0.00422355, 0.00311714, 0.00225338, 0.0020453, 0.00149029, 0.000916129, 0.000304352, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00498107");
+            index_3 ("2.67755, 2.68932, 2.72794, 2.73802, 2.74094, 2.74678, 2.75292, 2.76535, 2.7749, 2.77731, 2.78212, 2.78953, 2.79094, 2.80298, 2.8181, 2.83101, 2.84157, 2.84805, 2.86446, 2.88756, 2.90223, 2.92104, 2.94122, 2.97635, 3.01694");
+            values ( \
+              "0.0110842, 0.0193835, 0.0641683, 0.0717555, 0.0732475, 0.0751618, 0.0759993, 0.0740674, 0.0706865, 0.0701425, 0.0668827, 0.0628346, 0.060403, 0.0474405, 0.0334261, 0.024235, 0.0176114, 0.0151586, 0.0123822, 0.00761753, 0.00512586, 0.00296666, 0.00170608, 0.000459903, 0.000188027" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0199085");
+            index_3 ("2.68847, 2.70333, 2.72679, 2.73684, 2.74869, 2.76189, 2.77203, 2.78201, 2.79191, 2.80358, 2.82181, 2.84721, 2.86739, 2.87755, 2.89317, 2.95329, 2.96795, 3.00467, 3.02474, 3.04615, 3.07469, 3.1026, 3.11935, 3.15226, 3.18195, 3.22377, 3.26352, 3.31463, 3.37717, 3.46469");
+            values ( \
+              "0.0432698, 0.0532844, 0.099145, 0.114459, 0.126217, 0.132859, 0.134641, 0.134825, 0.134324, 0.13309, 0.12975, 0.12311, 0.116684, 0.112841, 0.106342, 0.0701347, 0.0620787, 0.0443322, 0.0364044, 0.0291672, 0.0212205, 0.0163958, 0.0141641, 0.0104401, 0.00778045, 0.00499422, 0.0032294, 0.00175193, 0.000845657, 0.000251803" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.079571");
+            index_3 ("2.70991, 2.75545, 2.77444, 2.78828, 2.80141, 2.81679, 2.82972, 2.84282, 2.88173, 2.96962, 3.06547, 3.13677, 3.21268, 3.26797, 3.3756, 3.59545, 3.66389, 3.75124, 3.81996, 3.91159, 3.97735, 4.03235, 4.1057, 4.16476, 4.26939, 4.33214, 4.4158, 4.54641, 4.69581, 4.87384, 4.9013");
+            values ( \
+              "0.139326, 0.156609, 0.165425, 0.168055, 0.169537, 0.170133, 0.17002, 0.169521, 0.167349, 0.160497, 0.151925, 0.145019, 0.136665, 0.12972, 0.111561, 0.0703392, 0.0591092, 0.0465698, 0.038245, 0.0290198, 0.0235793, 0.0199572, 0.0160532, 0.0133544, 0.00978251, 0.00803566, 0.00615107, 0.00400516, 0.00242594, 0.00131531, 0.00122719" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.318032");
+            index_3 ("2.73725, 2.73727, 3.31556, 4.23629, 4.67855, 5.11931, 6.31989, 6.85041, 7.18484, 7.51847, 8.09832, 8.52032, 9.06577, 10.0285, 10.6062");
+            values ( \
+              "1e-22, 0.198441, 0.174843, 0.152607, 0.139568, 0.121441, 0.062968, 0.0431186, 0.0333908, 0.025578, 0.0159627, 0.0112102, 0.00705685, 0.00304478, 0.00183696" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("1.27112");
+            index_3 ("2.83008, 2.8301, 4.92944, 8.57825, 9.44129, 10.5322, 11.0486, 11.8793, 12.8739, 15.7007, 16.7452, 17.8361, 18.5847, 19.5242, 20.4762, 21.5671, 23.0556, 24.1301, 25.221, 26.7686, 28.7208, 30.9027, 33.0845, 36.3573, 40.7209");
+            values ( \
+              "1e-22, 0.210135, 0.177277, 0.154934, 0.14899, 0.140256, 0.135391, 0.126426, 0.114389, 0.0782477, 0.0660577, 0.0546781, 0.0477022, 0.0399876, 0.0332033, 0.0266912, 0.0196656, 0.0156901, 0.0124622, 0.00893294, 0.00584295, 0.00361649, 0.00223035, 0.0010885, 0.000415826" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.60716, 9.62468, 9.63464, 9.65313, 9.68872, 9.70173, 9.71373, 9.72397, 9.72811, 9.7322, 9.7364, 9.75343, 9.76196, 9.76563, 9.76972, 9.77372, 9.79046, 9.79818, 9.81033, 9.81869, 9.82825, 9.84737, 9.87163, 9.89793");
+            values ( \
+              "0.00302254, 0.00322942, 0.00497687, 0.00897058, 0.0161668, 0.0183264, 0.0190685, 0.0194386, 0.0209256, 0.0204082, 0.0192391, 0.011471, 0.00840488, 0.00910265, 0.00889526, 0.00849409, 0.00480939, 0.003494, 0.00206107, 0.00144762, 0.000922479, 0.000378861, 9.86445e-05, 3.90113e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00498107");
+            index_3 ("9.60724, 9.63043, 9.63538, 9.64507, 9.66806, 9.71061, 9.71916, 9.72763, 9.74224, 9.75454, 9.75649, 9.76038, 9.76773, 9.77774, 9.78749, 9.79962, 9.81935, 9.82234, 9.82831, 9.8358, 9.8498, 9.86308, 9.87466, 9.88299, 9.8938, 9.90822, 9.93707, 9.97438");
+            values ( \
+              "0.00542408, 0.0100524, 0.0120673, 0.0167088, 0.0288404, 0.0524893, 0.0566545, 0.0600202, 0.0628306, 0.0631156, 0.0627983, 0.0633081, 0.0604042, 0.0517528, 0.0425843, 0.0326487, 0.0193172, 0.0177279, 0.0156096, 0.014351, 0.0116449, 0.00871288, 0.00645523, 0.00514017, 0.00378044, 0.00249396, 0.000988281, 0.000292896" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0199085");
+            index_3 ("9.62927, 9.65511, 9.67769, 9.71866, 9.73697, 9.74923, 9.76053, 9.77053, 9.78477, 9.79827, 9.81461, 9.82665, 9.85704, 9.8725, 9.93305, 9.95948, 9.98516, 10.0043, 10.0251, 10.0528, 10.0825, 10.1222, 10.1607, 10.2097, 10.2516, 10.304, 10.3345");
+            values ( \
+              "0.0301501, 0.033314, 0.0532254, 0.095099, 0.111282, 0.118882, 0.124128, 0.12775, 0.130048, 0.12923, 0.12599, 0.122842, 0.112821, 0.106312, 0.0700461, 0.0558884, 0.0439264, 0.0364174, 0.0293636, 0.0215443, 0.0163366, 0.0114331, 0.0078503, 0.00465771, 0.00292125, 0.00155969, 0.00116543" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.079571");
+            index_3 ("9.664, 9.76889, 9.80897, 9.86619, 10.0063, 10.143, 10.2482, 10.6426, 10.8013, 10.9584, 11.0822, 11.2103, 11.4647, 11.6554");
+            values ( \
+              "0.0823553, 0.159523, 0.169265, 0.166945, 0.15545, 0.142405, 0.129691, 0.0593431, 0.0381264, 0.0235219, 0.0162281, 0.0108798, 0.00486001, 0.00292368" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.318032");
+            index_3 ("9.70008, 9.7001, 10.6209, 11.1507, 11.3984, 11.7594, 12.0664, 13.1949, 13.593, 13.7972, 14.1135, 14.5911, 15.0957, 15.5093, 16.0243, 16.4473, 17.1009, 17.7661, 17.8412");
+            values ( \
+              "1e-22, 0.188324, 0.167187, 0.154273, 0.147622, 0.135887, 0.122961, 0.0674647, 0.051271, 0.0441405, 0.034704, 0.0236978, 0.0156969, 0.0111257, 0.00719407, 0.00497514, 0.00286704, 0.00159818, 0.00154693" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("1.27112");
+            index_3 ("9.8145, 9.81452, 11.7294, 14.9358, 16.4221, 17.349, 18.0244, 19.0968, 19.8555, 22.6557, 23.651, 24.742, 25.6961, 26.5871, 27.5091, 28.6, 29.0438, 29.9314, 31.0223, 32.1132, 33.0756, 34.7323, 35.8232, 36.9141, 39.096, 42.3687, 46.7324");
+            values ( \
+              "1e-22, 0.21287, 0.178365, 0.15895, 0.148979, 0.141698, 0.135422, 0.12366, 0.114354, 0.0785395, 0.0668861, 0.0553961, 0.0465629, 0.0393469, 0.0328622, 0.0263985, 0.0241176, 0.0200906, 0.0159899, 0.0126916, 0.0103285, 0.00722578, 0.00569391, 0.00448474, 0.00277281, 0.00134052, 0.000506786" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195954", \
+            "0.00235268", \
+            "0.00254795", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253645", \
+            "0.00256028", \
+            "0.00289392", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.00180707, 0.00180707, 0.00180708, 0.00180708, 0.00180708, 0.00180708", \
+            "0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651", \
+            "0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111", \
+            "0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00227094, 0.00227094, 0.00227094, 0.00227094, 0.00227094, 0.00227094" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0023061, 0.00230609, 0.00230609, 0.00230609, 0.00230609, 0.00230609", \
+            "0.00242665, 0.00242664, 0.00242663, 0.00242663, 0.00242662, 0.00242662", \
+            "0.00263724, 0.00263723, 0.00263723, 0.00263722, 0.00263722, 0.00263722", \
+            "0.00325461, 0.00325462, 0.0032547, 0.0032548, 0.00325484, 0.00325486", \
+            "0.00368813, 0.00368846, 0.00368807, 0.00368858, 0.00368761, 0.00368738", \
+            "0.00367232, 0.00367265, 0.00367196, 0.00367217, 0.00367193, 0.00367251" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "CK";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "CK";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0147937, 0.0148247, 0.0146839, 0.013764, 0.00991087, 0", \
+            "0.0145142, 0.0145485, 0.0144045, 0.0134847, 0.00963053, 0", \
+            "0.0143866, 0.0144172, 0.0142767, 0.0133592, 0.00950154, 0", \
+            "0.0150698, 0.0150835, 0.014937, 0.0140543, 0.0102071, 0", \
+            "0.0193698, 0.0194153, 0.0192343, 0.0183734, 0.0145379, 0", \
+            "0.0371538, 0.0371873, 0.0370174, 0.0360639, 0.03229, 0.0169373" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0148826, 0.0149248, 0.0148328, 0.0138694, 0.00975947, 0", \
+            "0.014745, 0.014785, 0.0146938, 0.0137308, 0.00962068, 0", \
+            "0.0146332, 0.014675, 0.0145829, 0.0136201, 0.00951031, 0", \
+            "0.0149258, 0.0149677, 0.0148871, 0.0138983, 0.00981802, 0", \
+            "0.0171584, 0.0172225, 0.0170981, 0.0163266, 0.0119694, 0", \
+            "0.0281608, 0.0282046, 0.0281288, 0.0271404, 0.0233506, 0.00657273" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * D)";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "-0.00100946, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403466, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100946, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.0296" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * D)";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.017287, 0.0173177, 0.0171658, 0.016239, 0.0123791, -0.00307957", \
+            "0.017192, 0.0172186, 0.0170699, 0.0161385, 0.0122835, -0.00316079", \
+            "0.0170858, 0.0171149, 0.0169639, 0.0160408, 0.0121806, -0.0032537", \
+            "0.0173064, 0.0173217, 0.0171869, 0.016251, 0.0124105, -0.00304345", \
+            "0.0194203, 0.0194318, 0.0192707, 0.0183911, 0.0145791, -0.000908658", \
+            "0.0307483, 0.0307532, 0.0305596, 0.0296219, 0.0258193, 0.0104625" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * !D)";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "-0.00100946, -0.00403466, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100946, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100946, -0.00403466, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.0296" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * !D)";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0136824, 0.0137081, 0.0135552, 0.0126282, 0.00875884, -0.00667591", \
+            "0.0135864, 0.013616, 0.0134623, 0.0125289, 0.00867337, -0.00678566", \
+            "0.0135409, 0.0135711, 0.0134193, 0.0124896, 0.00863436, -0.00682071", \
+            "0.0138575, 0.0138709, 0.0137183, 0.012808, 0.00896073, -0.00648597", \
+            "0.0160115, 0.0160277, 0.0158674, 0.0149807, 0.0111756, -0.00431959", \
+            "0.0269624, 0.0269589, 0.0267797, 0.025847, 0.0220584, 0.00671389" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "!CK";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "-0.00100947, -0.00403466, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.0296" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "!CK";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0195806, 0.0196087, 0.019457, 0.0185297, 0.0146646, -0.000782238", \
+            "0.0194836, 0.0195124, 0.0193623, 0.018434, 0.0145711, -0.00087656", \
+            "0.0193778, 0.0194048, 0.0192536, 0.0183289, 0.0144667, -0.000981233", \
+            "0.0195683, 0.0195908, 0.01945, 0.0185166, 0.0146626, -0.000782064", \
+            "0.0216519, 0.0216579, 0.021507, 0.0206192, 0.0167896, 0.0013493", \
+            "0.032988, 0.0329708, 0.0328028, 0.0318666, 0.0280719, 0.0126974" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "-0.00100946, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403466, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100946, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.02961", \
+            "-0.00100947, -0.00403467, -0.0161259, -0.0644525, -0.257606, -1.0296" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00498107, 0.0199085, 0.079571, 0.318032, 1.27112");
+          values ( \
+            "0.0195806, 0.0196087, 0.019457, 0.0185297, 0.0146646, -0.000782238", \
+            "0.0194836, 0.0195124, 0.0193623, 0.018434, 0.0145711, -0.00087656", \
+            "0.0193778, 0.0194048, 0.0192536, 0.0183289, 0.0144667, -0.000981233", \
+            "0.0195683, 0.0195908, 0.01945, 0.0185166, 0.0146626, -0.000782064", \
+            "0.0216519, 0.0216579, 0.021507, 0.0206192, 0.0167896, 0.0013493", \
+            "0.032988, 0.0329708, 0.0328028, 0.0318666, 0.0280719, 0.0126974" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+    }
+    pin (CK) {
+      clock : true;
+      direction : input;
+      related_ground_pin : GND;
+      related_power_pin : VDD;
+      max_transition : 10;
+      capacitance : 0.00888889;
+      rise_capacitance : 0.00888889;
+      rise_capacitance_range (0.00643098, 0.00888889);
+      fall_capacitance : 0.00886997;
+      fall_capacitance_range (0.00582864, 0.00886997);
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "adacond0";
+        timing_type : min_pulse_width;
+        when : "(D * RN)";
+        rise_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.158195, 0.41626, 13.3337" \
+          );
+        }
+        fall_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.155048, 0.41626, 13.3337" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "adacond1";
+        timing_type : min_pulse_width;
+        when : "(!D * RN)";
+        rise_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.208549, 0.41626, 13.3337" \
+          );
+        }
+        fall_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.114136, 0.41626, 13.3337" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(D * RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00532878, 0.0070963, 0.00812358, 0.008775, 0.00937346, 0.00978109" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00731704, 0.00834965, 0.00954621, 0.00955281, 0.00838693, 0.00780059" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00532878, 0.0070963, 0.00812358, 0.008775, 0.00937346, 0.00978109" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00731704, 0.00834965, 0.00954621, 0.00955281, 0.00838693, 0.00780059" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(D * RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00491826, 0.00599868, 0.00652543, 0.00686349, 0.00725262, 0.00786013" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00650674, 0.00726086, 0.00836179, 0.00931846, 0.00872538, 0.00772503" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00509928, 0.00632258, 0.00679847, 0.00698113, 0.00705578, 0.00709206" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00663404, 0.00687037, 0.00750535, 0.00910449, 0.00937088, 0.00933137" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(D * !RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00534053, 0.00710964, 0.00812429, 0.00877314, 0.00938767, 0.0101119" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00733669, 0.00834169, 0.00956693, 0.0104107, 0.00943746, 0.00824463" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00492351, 0.0062393, 0.00683591, 0.00708601, 0.00717651, 0.00722343" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00680055, 0.00781638, 0.00876779, 0.0112458, 0.0117823, 0.0117545" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(!D * RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0049146, 0.00597188, 0.00648582, 0.0068401, 0.00721672, 0.00742475" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00645545, 0.00720253, 0.0083566, 0.00855004, 0.00779133, 0.00743513" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0049146, 0.00597188, 0.00648582, 0.0068401, 0.00721672, 0.00742475" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00645545, 0.00720253, 0.0083566, 0.00855004, 0.00779133, 0.00743513" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "!D";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00531448, 0.00702991, 0.0079818, 0.0085272, 0.00901268, 0.00954514" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00720587, 0.00794733, 0.00881612, 0.00940612, 0.00862637, 0.00775007" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0047852, 0.00589164, 0.00640993, 0.00663305, 0.00672194, 0.00676125" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00648883, 0.00762199, 0.00872641, 0.0104923, 0.0108267, 0.0108093" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * RN * Q * !QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * RN * Q * !QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "-0.000410688, -0.00067598, -0.000962323, -0.000610215, 0.0036413, 0.024447" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0121921, 0.0118775, 0.0118036, 0.0126531, 0.0173275, 0.0384368" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * RN * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * RN * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.010395, 0.0099241, 0.00918567, 0.00997303, 0.0175645, 0.0481794" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * !RN * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * !RN * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00277298, 0.00233629, 0.00182773, 0.00269037, 0.0108188, 0.0497882" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0158968, 0.0154242, 0.0152945, 0.0168626, 0.025451, 0.0644737" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(!D * RN * Q * !QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(!D * RN * Q * !QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.012651, 0.0123207, 0.0117379, 0.0120699, 0.0163121, 0.0460004" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(!D * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(!D * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "-0.00033589, -0.000665723, -0.000932692, -0.000558881, 0.00359511, 0.0244327" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0131223, 0.0128098, 0.0127172, 0.0134327, 0.0179831, 0.0388274" \
+          );
+        }
+      }
+    }
+    pin (D) {
+      direction : input;
+      related_ground_pin : GND;
+      related_power_pin : VDD;
+      max_transition : 10;
+      capacitance : 0.00277483;
+      rise_capacitance : 0.00277483;
+      rise_capacitance_range (0.00237914, 0.00277483);
+      fall_capacitance : 0.00277255;
+      fall_capacitance_range (0.00218872, 0.00277255);
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "RN";
+        timing_type : hold_falling;
+        when : "RN";
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0955245, -0.160823, -0.0735112", \
+            "-0.163579, -0.206903, -0.105607", \
+            "0.0204414, -0.0207553, -0.560347" \
+          );
+        }
+        fall_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0748356, -8.81389e-05, 0.671611", \
+            "-0.174106, -0.091819, 0.584794", \
+            "-1.26476, -1.1175, -0.2624" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        timing_type : hold_falling;
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0955245, -0.160823, -0.0735112", \
+            "-0.163579, -0.206903, -0.105607", \
+            "0.0204414, -0.0207553, -0.560347" \
+          );
+        }
+        fall_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0748356, -8.81389e-05, 0.671611", \
+            "-0.174106, -0.091819, 0.584794", \
+            "-1.26476, -1.1175, -0.2624" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "RN";
+        timing_type : setup_falling;
+        when : "RN";
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.162542, 0.17268, 0.109832", \
+            "0.228573, 0.221071, 0.140362", \
+            "0.0770692, 0.0586676, 0.597507" \
+          );
+        }
+        fall_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.170554, 0.109066, -0.4618", \
+            "0.273142, 0.209129, -0.375202", \
+            "1.40975, 1.3205, 0.525485" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        timing_type : setup_falling;
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.162542, 0.17268, 0.109832", \
+            "0.228573, 0.221071, 0.140362", \
+            "0.0770692, 0.0586676, 0.597507" \
+          );
+        }
+        fall_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.170554, 0.109066, -0.4618", \
+            "0.273142, 0.209129, -0.375202", \
+            "1.40975, 1.3205, 0.525485" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(CK * RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00205767, 0.00249523, 0.00269052, 0.0028163, 0.00289997, 0.0030333" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00270917, 0.00272633, 0.00276442, 0.00295857, 0.00279176, 0.00258037" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00191218, 0.00218283, 0.00228043, 0.00232468, 0.00235662, 0.00236543" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00243988, 0.00255723, 0.00267812, 0.00310838, 0.00333857, 0.00334194" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(CK * !RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00205812, 0.00249562, 0.00269076, 0.00281633, 0.00290025, 0.00305471" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00270977, 0.00272521, 0.00276276, 0.00304396, 0.00292042, 0.00267769" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00191306, 0.00218334, 0.00228029, 0.0023249, 0.00235675, 0.00236543" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00244063, 0.0025572, 0.00267886, 0.00317823, 0.0034717, 0.00347529" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "!CK";
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00201723, 0.00245825, 0.0026355, 0.00269806, 0.00271678, 0.00272162" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00254748, 0.002536, 0.00245551, 0.00242466, 0.002423, 0.00242782" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00190427, 0.00216325, 0.00224621, 0.002281, 0.00230273, 0.00230784" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0023603, 0.00255416, 0.00272729, 0.00277373, 0.00277542, 0.00277108" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * RN * Q * !QN) + (CK * RN * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * RN * Q * !QN) + (CK * RN * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00963157, 0.00948518, 0.00926147, 0.00929252, 0.0116355, 0.0245641" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0151606, 0.015069, 0.0149353, 0.0150518, 0.0176072, 0.0308288" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * !RN * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * !RN * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00311906, 0.00298584, 0.00278616, 0.00287488, 0.00529064, 0.0181434" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00821404, 0.00811769, 0.00800482, 0.00810834, 0.0106479, 0.0235126" \
+          );
+        }
+      }
+      internal_power () {
+        when : "!CK";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "!CK";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "-0.00213559, -0.00234546, -0.00246235, -0.00250658, -0.00252383, -0.00252087" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00251028, 0.0025241, 0.00252448, 0.00253746, 0.00254664, 0.00252885" \
+          );
+        }
+      }
+    }
+    pin (RN) {
+      direction : input;
+      related_ground_pin : GND;
+      related_power_pin : VDD;
+      max_transition : 10;
+      capacitance : 0.00276523;
+      rise_capacitance : 0.00276523;
+      rise_capacitance_range (0.00222222, 0.00276523);
+      fall_capacitance : 0.00276337;
+      fall_capacitance_range (0.00206338, 0.00276337);
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "D";
+        timing_type : recovery_falling;
+        when : "D";
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.136092, 0.0535263, -0.716131", \
+            "0.200202, 0.120511, -0.65507", \
+            "0.0564508, -0.0657747, -0.887723" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        timing_type : recovery_falling;
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.136092, 0.0535263, -0.716131", \
+            "0.200202, 0.120511, -0.65507", \
+            "0.0564508, -0.0657747, -0.887723" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "D";
+        timing_type : removal_falling;
+        when : "D";
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0955255, -0.0322858, 0.726872", \
+            "-0.160325, -0.0995667, 0.665818", \
+            "0.0711084, 0.129995, 0.901501" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        timing_type : removal_falling;
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0955255, -0.0322858, 0.726872", \
+            "-0.160325, -0.0995667, 0.665818", \
+            "0.0711084, 0.129995, 0.901501" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "CK";
+        timing_type : min_pulse_width;
+        when : "CK";
+        fall_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.133018, 0.41626, 13.3337" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "~CK";
+        timing_type : min_pulse_width;
+        when : "!CK";
+        fall_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.133018, 0.41626, 13.3337" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(CK * D)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00195954, 0.00235268, 0.00254795, 0.00270446, 0.00286125, 0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00253645, 0.00256028, 0.00289392, 0.00326773, 0.00302723, 0.00266774" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00180619, 0.00207481, 0.00218016, 0.00223065, 0.00225525, 0.0022698" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00230545, 0.00242678, 0.002635, 0.00329229, 0.00369166, 0.00367829" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(CK * !D) + (!CK)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00195963, 0.00235253, 0.00254793, 0.00270499, 0.00286698, 0.00310841" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00253631, 0.00256044, 0.00291634, 0.00329148, 0.00302757, 0.00263004" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00180473, 0.00207501, 0.00218145, 0.00222997, 0.00225636, 0.00227048" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00230232, 0.00243012, 0.00265686, 0.0034416, 0.00370443, 0.00368483" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * D * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * D * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00969408, 0.00950115, 0.00915969, 0.00953477, 0.0140526, 0.0357689" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0150545, 0.0148766, 0.0146966, 0.0154013, 0.0197579, 0.0412595" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * !D * !Q * QN) + (!CK * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * !D * !Q * QN) + (!CK * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00301535, 0.00284788, 0.00263227, 0.00303409, 0.00738656, 0.0281153" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00789676, 0.00773327, 0.00768773, 0.0084279, 0.0130263, 0.0339355" \
+          );
+        }
+      }
+    }
+    ff (IQ,IQN) {
+      clear : "!RN";
+      clocked_on : "!CK";
+      next_state : "D";
+      power_down_function : "(!VDD) + (GND)";
+    }
+  }
+  cell (sky130_osu_sc_12T_ms__dffnr_l) {
+    area : 42.4908;
+    cell_footprint : "sky130_osu_sc_12T_ms__dffnr";
+    cell_leakage_power : 0.726244;
+    pg_pin (GND) {
+      pg_type : primary_ground;
+      voltage_name : "GND";
+    }
+    pg_pin (VDD) {
+      pg_type : primary_power;
+      voltage_name : "VDD";
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * D * RN * Q * !QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.525438;
+      when : "(CK * D * RN * Q * !QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * D * RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.95516;
+      when : "(CK * D * RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * D * !RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.954913;
+      when : "(CK * D * !RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * !D * RN * Q * !QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.679663;
+      when : "(CK * !D * RN * Q * !QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * !D * RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 1.08472;
+      when : "(CK * !D * RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(CK * !D * !RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.474929;
+      when : "(CK * !D * !RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * D * RN * Q * !QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.499599;
+      when : "(!CK * D * RN * Q * !QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * D * RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 1.05918;
+      when : "(!CK * D * RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * D * !RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.449386;
+      when : "(!CK * D * !RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * !D * RN * Q * !QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.523962;
+      when : "(!CK * !D * RN * Q * !QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * !D * RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 1.05889;
+      when : "(!CK * !D * RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      when : "(!CK * !D * !RN * !Q * QN)";
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.44909;
+      when : "(!CK * !D * !RN * !Q * QN)";
+      related_pg_pin : VDD;
+    }
+    leakage_power () {
+      value : 0;
+      related_pg_pin : GND;
+    }
+    leakage_power () {
+      value : 0.726244;
+      related_pg_pin : VDD;
+    }
+    pin (Q) {
+      direction : output;
+      function : "IQ";
+      power_down_function : "(!VDD) + (GND)";
+      related_ground_pin : GND;
+      related_power_pin : VDD;
+      max_capacitance : 0.898722;
+      timing () {
+        related_pin : "CK";
+        timing_sense : non_unate;
+        timing_type : falling_edge;
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.346331, 0.411834, 0.624929, 1.37683, 4.16805, 14.5716", \
+            "0.357265, 0.422841, 0.636025, 1.38775, 4.17891, 14.5813", \
+            "0.405373, 0.470931, 0.68416, 1.4358, 4.2275, 14.6298", \
+            "0.529508, 0.594899, 0.80799, 1.55991, 4.35218, 14.7569", \
+            "0.786851, 0.852497, 1.06569, 1.81815, 4.61104, 15.0125", \
+            "1.45433, 1.51966, 1.73236, 2.4838, 5.27638, 15.6671" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0329113, 0.0710378, 0.216547, 0.764743, 2.81137, 10.4389", \
+            "0.0330388, 0.0710565, 0.216611, 0.764548, 2.81145, 10.4396", \
+            "0.0329623, 0.0710321, 0.216509, 0.764678, 2.81124, 10.4398", \
+            "0.032918, 0.0710215, 0.216527, 0.764632, 2.81185, 10.4411", \
+            "0.0329774, 0.0709812, 0.216563, 0.765084, 2.8123, 10.4413", \
+            "0.0328976, 0.0709696, 0.216453, 0.764837, 2.81163, 10.4435" \
+          );
+        }
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.230071, 0.290941, 0.509954, 1.31966, 4.33783, 15.5846", \
+            "0.237833, 0.298707, 0.517388, 1.32748, 4.34481, 15.5934", \
+            "0.280227, 0.341101, 0.559837, 1.37009, 4.38514, 15.6345", \
+            "0.394723, 0.455503, 0.67391, 1.48421, 4.50199, 15.7487", \
+            "0.654226, 0.71559, 0.93433, 1.74457, 4.76134, 16.0103", \
+            "1.34412, 1.40759, 1.62648, 2.43626, 5.45385, 16.6997" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0248079, 0.0551822, 0.168692, 0.592132, 2.1701, 8.04157", \
+            "0.0248078, 0.0551799, 0.168609, 0.592073, 2.17057, 8.04135", \
+            "0.024813, 0.0551798, 0.168823, 0.591997, 2.17186, 8.03972", \
+            "0.0249104, 0.05516, 0.168801, 0.591666, 2.16883, 8.04124", \
+            "0.0254019, 0.0554483, 0.168638, 0.591836, 2.16952, 8.03119", \
+            "0.0270215, 0.0560593, 0.168834, 0.591901, 2.16902, 8.04248" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.31107, 0.314542, 0.320474, 0.334608, 0.338751, 0.344575, 0.349705, 0.35457, 0.359455, 0.361883, 0.370709, 0.373623, 0.377063, 0.381608, 0.386025, 0.388993, 0.393917, 0.400005, 0.405638, 0.41184, 0.416647, 0.42626, 0.43396");
+            values ( \
+              "0.00286332, 0.00380364, 0.00876863, 0.029578, 0.0351638, 0.0419249, 0.045502, 0.0467485, 0.0451408, 0.0427699, 0.0281936, 0.0240351, 0.0198152, 0.0151872, 0.0116796, 0.00973116, 0.0071451, 0.00483671, 0.00334482, 0.00223597, 0.00163482, 0.000854928, 0.000582242" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0046474");
+            index_3 ("0.329947, 0.35113, 0.354035, 0.359847, 0.37289, 0.377774, 0.382658, 0.387402, 0.389775, 0.394519, 0.397939, 0.401083, 0.403178, 0.4064, 0.409621, 0.412245, 0.414869, 0.417492, 0.420116, 0.42274, 0.425363, 0.427987, 0.430883, 0.431703, 0.436075, 0.440447, 0.453809, 0.464852, 0.46997, 0.476061, 0.482663, 0.487099, 0.492137, 0.496056, 0.504768, 0.509418, 0.516063, 0.521378, 0.534729");
+            values ( \
+              "0.000792081, 0.0150146, 0.0188466, 0.0273266, 0.0477964, 0.0550783, 0.0619975, 0.0674593, 0.069777, 0.073859, 0.0763437, 0.0782297, 0.0792277, 0.0794648, 0.0795179, 0.0794253, 0.0792105, 0.0788737, 0.0784149, 0.0776862, 0.0768016, 0.0757609, 0.0744306, 0.0739298, 0.0701879, 0.0658963, 0.0508061, 0.0391772, 0.0346059, 0.0296763, 0.0247594, 0.0218006, 0.0189769, 0.017015, 0.0130692, 0.0112771, 0.009249, 0.00786492, 0.00509184" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173306");
+            index_3 ("0.392165, 0.434928, 0.495646, 0.522539, 0.536158, 0.563396, 0.582273, 0.601456, 0.633127, 0.667265, 0.705141, 0.769226, 0.81832, 0.862013, 0.908657, 0.967008, 1.02901, 1.08273, 1.10959");
+            values ( \
+              "0.0151013, 0.0172476, 0.0641295, 0.0818698, 0.0882318, 0.096237, 0.0984398, 0.0986549, 0.0959092, 0.0885884, 0.0753302, 0.0494673, 0.0329788, 0.0220762, 0.0139608, 0.0076393, 0.00396155, 0.002192, 0.00178362" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0646276");
+            index_3 ("0.076964, 0.203791, 0.21777, 0.291118, 0.331507, 0.365397, 0.491277, 0.539182, 0.597432, 0.645763, 0.699111, 0.903303, 0.996027, 1.04397, 1.13986, 1.20369, 1.27291, 1.3845, 1.50615, 1.62834, 1.87291, 2.04254, 2.20918, 2.3028, 2.42001, 2.55083, 2.6975, 2.81363, 3.0459, 3.12147");
+            values ( \
+              "1.60753e-05, 0.00012172, 0.000383517, 0.00575037, 0.00702961, 0.00683212, 0.00342225, 0.00297859, 0.00520179, 0.00977034, 0.0181702, 0.0689044, 0.0878491, 0.0945642, 0.102502, 0.10435, 0.104256, 0.100872, 0.0929501, 0.0807483, 0.0518338, 0.0348046, 0.0224356, 0.0172781, 0.0123268, 0.00833773, 0.00535966, 0.00375351, 0.00177626, 0.00151328" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.241003");
+            index_3 ("0.0955683, 0.220673, 0.332946, 0.407903, 0.89834, 1.10823, 1.39499, 1.48114, 1.70327, 2.40579, 2.74252, 2.92284, 3.28348, 3.49233, 3.76384, 4.17404, 4.62205, 5.07208, 5.96995, 6.59169, 7.20093, 7.68845, 8.45395, 8.98989, 9.41403, 10.2623, 10.4562");
+            values ( \
+              "4.22035e-05, 0.000425036, 0.0100879, 0.0116519, 0.00463735, 0.0037375, 0.00762748, 0.0102805, 0.0205519, 0.0696959, 0.0890167, 0.0960755, 0.104221, 0.105858, 0.10576, 0.102228, 0.0941541, 0.0818481, 0.0527037, 0.0354156, 0.0228551, 0.0157296, 0.00848739, 0.005462, 0.00382728, 0.00181737, 0.00162466" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.898722");
+            index_3 ("0.0882403, 0.444554, 1.47808, 2.80299, 3.79638, 4.54269, 5.67942, 8.64357, 9.91296, 11.2071, 12.1136, 13.0343, 14.3284, 15.0946, 16.22, 18.0664, 21.4106, 23.5757, 25.4154, 26.7095, 27.914, 29.0152, 31.2622, 32.4426, 35.0308, 37.619, 39.6802");
+            values ( \
+              "5.23648e-05, 0.0143941, 0.00845332, 0.00414616, 0.00523915, 0.0101643, 0.0253856, 0.0810457, 0.0966227, 0.104521, 0.106366, 0.106198, 0.103317, 0.100405, 0.0945158, 0.0806948, 0.0514042, 0.0353068, 0.0248185, 0.0190389, 0.0147924, 0.0117121, 0.00712635, 0.00547698, 0.00304312, 0.00167745, 0.00107274" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.343643, 0.354959, 0.379706, 0.384861, 0.389741, 0.394622, 0.399523, 0.406284, 0.415377, 0.421325, 0.430441, 0.43881, 0.450306, 0.462509");
+            values ( \
+              "0.00249848, 0.00791251, 0.0416277, 0.0453676, 0.0466032, 0.0451086, 0.039455, 0.0276216, 0.0164989, 0.0116088, 0.00656615, 0.00381716, 0.00180346, 0.00108963" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0046474");
+            index_3 ("0.365081, 0.386288, 0.389879, 0.394668, 0.408235, 0.413052, 0.417869, 0.420319, 0.422768, 0.425218, 0.427668, 0.430117, 0.433915, 0.435264, 0.437062, 0.437961, 0.441482, 0.443243, 0.445003, 0.447627, 0.450251, 0.452876, 0.4555, 0.458124, 0.460747, 0.463371, 0.466267, 0.467086, 0.468542, 0.471453, 0.475819, 0.478471, 0.485648, 0.489241, 0.495976, 0.500164, 0.505303, 0.511414, 0.518047, 0.522516, 0.527545, 0.531458, 0.535931, 0.540154, 0.54479, 0.546115, 0.551413, 0.556712, 0.56365, 0.570113");
+            values ( \
+              "0.00115659, 0.0146266, 0.0193624, 0.0264047, 0.0476825, 0.0549188, 0.0617558, 0.0646814, 0.0673701, 0.0697329, 0.0719179, 0.0739251, 0.0766859, 0.0775492, 0.0785789, 0.0790438, 0.0793555, 0.0794286, 0.0794465, 0.0793709, 0.0791727, 0.0788519, 0.0784086, 0.0776957, 0.0768182, 0.0757761, 0.0744346, 0.0739285, 0.0727847, 0.070192, 0.0659079, 0.0630739, 0.0547659, 0.0507542, 0.0435119, 0.0392435, 0.0346499, 0.0297006, 0.0247585, 0.0217813, 0.0189649, 0.0170066, 0.0149282, 0.0130688, 0.0112814, 0.0108209, 0.00925854, 0.0078773, 0.00637161, 0.00509118" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173306");
+            index_3 ("0.42445, 0.468929, 0.490282, 0.530624, 0.54899, 0.571125, 0.604758, 0.636583, 0.668401, 0.682412, 0.702334, 0.736463, 0.805593, 0.854255, 0.875054, 0.902785, 0.939735, 0.961538, 0.999489, 1.04238, 1.0765, 1.14475, 1.18864");
+            values ( \
+              "0.0139812, 0.0165762, 0.031596, 0.0640681, 0.076866, 0.0882405, 0.0973153, 0.0988479, 0.0959324, 0.0934469, 0.0886128, 0.0768406, 0.0489514, 0.0327184, 0.027129, 0.0208775, 0.0145227, 0.0116421, 0.00783662, 0.00498886, 0.00345574, 0.00160282, 0.00112095" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0646276");
+            index_3 ("0.114064, 0.251219, 0.32194, 0.35283, 0.385292, 0.422699, 0.505512, 0.551105, 0.603823, 0.669528, 0.705772, 0.751573, 0.938498, 1.0287, 1.07913, 1.17998, 1.2291, 1.29459, 1.33508, 1.42135, 1.54129, 1.67581, 1.96216, 2.07271, 2.23101, 2.31743, 2.3871, 2.47254, 2.59273, 2.71817, 2.81431, 3.0066, 3.18412");
+            values ( \
+              "3.198e-05, 0.000332486, 0.0054898, 0.00680303, 0.00701425, 0.00640056, 0.00393387, 0.00299719, 0.00368646, 0.008437, 0.0132528, 0.0217871, 0.0689616, 0.087403, 0.0946108, 0.102713, 0.104179, 0.104468, 0.103785, 0.100725, 0.0929504, 0.0792924, 0.0459148, 0.0352454, 0.0232815, 0.018281, 0.0149949, 0.0117222, 0.00816127, 0.00559211, 0.00419048, 0.00226188, 0.00147413" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.241003");
+            index_3 ("0.131636, 0.238146, 0.260819, 0.422683, 0.506147, 0.868698, 1.04015, 1.33048, 1.46926, 1.80237, 2.43899, 2.756, 2.95576, 3.35527, 3.57469, 3.79726, 4.20693, 4.65514, 5.09499, 6.18644, 6.60113, 7.19841, 7.71621, 8.48198, 9.0351, 9.4759, 10.3575, 10.5855");
+            values ( \
+              "4.4784e-05, 0.00013204, 0.00068305, 0.0115772, 0.0110512, 0.00529662, 0.00389153, 0.0054683, 0.00885583, 0.0245363, 0.0697241, 0.0880867, 0.0960909, 0.104707, 0.10605, 0.105765, 0.102238, 0.0941549, 0.0821593, 0.0471811, 0.0359767, 0.0234752, 0.0158014, 0.00852603, 0.00541047, 0.00373081, 0.00171992, 0.00150466" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.898722");
+            index_3 ("0.127118, 0.503857, 1.32404, 2.49618, 3.40012, 4.45933, 5.81534, 8.70977, 9.94798, 11.2421, 12.1444, 13.0681, 14.3622, 16.2539, 17.548, 22.7386, 25.2363, 27.7759, 30.9595, 34.4097, 36.919");
+            values ( \
+              "4.48904e-05, 0.0144885, 0.00943033, 0.00484014, 0.00408785, 0.00905167, 0.0271217, 0.0815457, 0.0965808, 0.10454, 0.106382, 0.106163, 0.103343, 0.0944862, 0.0852474, 0.0413256, 0.025862, 0.0153747, 0.00768798, 0.00354168, 0.00220519" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.490846, 0.499749, 0.501153, 0.519775, 0.525621, 0.530762, 0.535634, 0.540507, 0.542639, 0.551973, 0.562443, 0.567975, 0.57448, 0.581553, 0.594021, 0.602946");
+            values ( \
+              "0.00279573, 0.00711056, 0.00829862, 0.0350258, 0.0417644, 0.0454614, 0.0466586, 0.0451465, 0.0431169, 0.0278235, 0.0153767, 0.0110654, 0.00737334, 0.00468446, 0.00208191, 0.00115132" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0046474");
+            index_3 ("0.261553, 0.383366, 0.433297, 0.461996, 0.504568, 0.52699, 0.53591, 0.55404, 0.568548, 0.577801, 0.584782, 0.59076, 0.601256, 0.61175, 0.617216, 0.638576, 0.652821, 0.668235, 0.674954, 0.686572, 0.702523, 0.711736, 0.724134, 0.740665, 0.756884");
+            values ( \
+              "2.27329e-05, 1.18661e-05, 0.000370264, 1e-22, 2e-22, 0.00954552, 0.0196565, 0.0474488, 0.0672108, 0.0753938, 0.0788081, 0.0800999, 0.0794078, 0.0747427, 0.0703424, 0.0468576, 0.0332357, 0.021994, 0.0181723, 0.0129111, 0.00792192, 0.00593685, 0.00401095, 0.00234066, 0.00153589" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173306");
+            index_3 ("0.548603, 0.612789, 0.676749, 0.717178, 0.745021, 0.762036, 0.782451, 0.815332, 0.848249, 0.886124, 0.95021, 0.999302, 1.04298, 1.08964, 1.14799, 1.20999, 1.26369, 1.30004");
+            values ( \
+              "0.00390971, 0.0155395, 0.0643885, 0.0883692, 0.096361, 0.0983579, 0.0987273, 0.0957073, 0.0885918, 0.0753297, 0.0494647, 0.0329766, 0.0220771, 0.0139615, 0.00764054, 0.00396071, 0.00219368, 0.00163989" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0646276");
+            index_3 ("0.263665, 0.37089, 0.397458, 0.470339, 0.483324, 0.509294, 0.542971, 0.583944, 0.667777, 0.715236, 0.77254, 0.824255, 0.881708, 0.95831, 1.08322, 1.17287, 1.22391, 1.32598, 1.37155, 1.43231, 1.49407, 1.5662, 1.68026, 1.76768, 1.82093, 1.98873, 2.10106, 2.21555, 2.31549, 2.38024, 2.48259, 2.59424, 2.72878, 2.77481, 2.88205, 3.00461, 3.24974, 3.44574");
+            values ( \
+              "8.27573e-05, 0.000118606, 0.000348542, 0.0056913, 0.00633183, 0.00698958, 0.00688622, 0.00594633, 0.00348009, 0.0029685, 0.00486964, 0.00960985, 0.0187013, 0.0366059, 0.0688828, 0.0872916, 0.0945646, 0.102781, 0.104154, 0.104498, 0.103402, 0.100699, 0.0934729, 0.0851671, 0.0792569, 0.0592086, 0.0465808, 0.0353893, 0.0273737, 0.0229852, 0.0172789, 0.0125292, 0.00839202, 0.00730487, 0.00528884, 0.00362007, 0.00164339, 0.0010108" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.241003");
+            index_3 ("0.27983, 0.401271, 0.511846, 0.585968, 1.06866, 1.27189, 1.56422, 1.81389, 2.771, 3.10323, 3.53373, 3.94569, 4.37346, 4.80298, 5.29785, 6.34394, 6.75299, 7.33653, 7.9039, 8.65834, 9.47353, 10.1812, 10.5768");
+            values ( \
+              "4.60896e-05, 0.000389307, 0.00997103, 0.011632, 0.00475558, 0.00369895, 0.00733908, 0.016762, 0.0812767, 0.096176, 0.105118, 0.105958, 0.102055, 0.0941794, 0.0804009, 0.0468727, 0.0358707, 0.0236397, 0.0153015, 0.00832653, 0.00424491, 0.00230116, 0.00180477" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.898722");
+            index_3 ("0.273676, 0.395689, 0.621061, 1.6228, 2.17341, 2.92675, 3.92334, 4.72274, 5.15611, 6.02286, 8.17275, 8.92818, 10.091, 11.3851, 11.6872, 12.2916, 13.2125, 14.5066, 15.2731, 16.3984, 18.2447, 21.589, 23.8141, 25.3808, 26.6749, 27.9204, 28.7667, 30.0608, 31.104, 33.26, 34.5541, 35.8483, 38.4365, 41.0247, 44.907");
+            values ( \
+              "4.58913e-05, 0.000398884, 0.014399, 0.00864094, 0.00628999, 0.00424999, 0.00501707, 0.0101557, 0.0148175, 0.0282717, 0.0699828, 0.0826591, 0.0965659, 0.104519, 0.105399, 0.106371, 0.10617, 0.103332, 0.100421, 0.0944948, 0.080675, 0.0514235, 0.0349358, 0.0258708, 0.0199145, 0.0153661, 0.0128287, 0.00968593, 0.00769662, 0.00476036, 0.00355028, 0.00264758, 0.00146535, 0.000809014, 0.000328819" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("1.01375, 1.02024, 1.03017, 1.03437, 1.03894, 1.04101, 1.04864, 1.05254, 1.05749, 1.06924, 1.07497, 1.08036, 1.08464, 1.09168, 1.10359");
+            values ( \
+              "0.0136297, 0.0150306, 0.0292894, 0.0381813, 0.0402878, 0.0433087, 0.0462903, 0.0458057, 0.042089, 0.0238082, 0.0173176, 0.0125691, 0.00968678, 0.00613592, 0.00287815" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0046474");
+            index_3 ("1.02714, 1.04657, 1.08238, 1.09396, 1.09748, 1.10459, 1.11508, 1.12557, 1.13106, 1.16679, 1.18195, 1.20039, 1.21651, 1.23786, 1.25418, 1.27818");
+            values ( \
+              "0.0014571, 0.0157695, 0.0673436, 0.0768314, 0.078406, 0.0801684, 0.0793867, 0.0747836, 0.0703242, 0.0331095, 0.0220593, 0.0129113, 0.00788079, 0.00402363, 0.00236559, 0.0011608" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173306");
+            index_3 ("1.07446, 1.12728, 1.20495, 1.23132, 1.24953, 1.26479, 1.29666, 1.32852, 1.36244, 1.40031, 1.4644, 1.51349, 1.55716, 1.60383, 1.66218, 1.72416, 1.77786, 1.81427");
+            values ( \
+              "0.0079887, 0.0156526, 0.0743243, 0.0883336, 0.0942028, 0.0971699, 0.0987424, 0.09592, 0.0886028, 0.075333, 0.0494642, 0.0329764, 0.0220792, 0.0139607, 0.00764027, 0.0039611, 0.00219394, 0.00163923" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0646276");
+            index_3 ("0.603835, 0.90932, 0.991913, 1.00998, 1.04082, 1.09692, 1.17251, 1.22145, 1.27671, 1.34536, 1.3812, 1.42898, 1.61254, 1.69731, 1.73792, 1.80141, 1.85497, 1.96711, 2.07927, 2.1588, 2.20032, 2.33499, 2.62038, 2.73091, 2.89122, 3.04517, 3.13094, 3.25137, 3.37774, 3.47469, 3.66861, 3.87459");
+            values ( \
+              "3.32759e-06, 0.000274612, 0.00605081, 0.00668694, 0.0070066, 0.00594975, 0.00372796, 0.00295646, 0.0042845, 0.0103942, 0.0158607, 0.0258033, 0.0723964, 0.0889232, 0.0945194, 0.100461, 0.103247, 0.104324, 0.100871, 0.0961662, 0.0929521, 0.0792553, 0.046022, 0.0352854, 0.0231848, 0.0150522, 0.0117253, 0.00818667, 0.00559679, 0.00415772, 0.00225414, 0.00133304" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.241003");
+            index_3 ("0.701047, 0.90995, 1.02345, 1.08999, 1.16041, 1.51625, 1.67941, 1.95639, 2.10903, 2.31432, 2.58803, 3.09774, 3.3993, 3.61435, 4.04592, 4.4574, 4.88511, 5.03811, 5.31479, 5.80967, 6.85574, 7.26482, 7.84838, 8.16345, 8.41572, 8.7294, 9.17017, 9.63154, 9.98538, 10.6931, 11.5158");
+            values ( \
+              "4.9987e-06, 0.000306938, 0.00992477, 0.0115354, 0.0110798, 0.00544017, 0.00398447, 0.0049552, 0.00829011, 0.0162229, 0.0329882, 0.0696799, 0.0873162, 0.0960638, 0.105065, 0.105942, 0.102047, 0.099704, 0.0941752, 0.0803993, 0.0468736, 0.0358706, 0.0236393, 0.0186095, 0.0153018, 0.0119324, 0.00832667, 0.00569878, 0.00424475, 0.00230118, 0.00126875" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.898722");
+            index_3 ("0.711703, 0.891739, 0.91389, 1.04243, 1.15974, 2.34468, 2.90232, 3.64349, 4.65133, 5.23632, 5.90869, 6.8052, 8.69244, 9.84443, 10.6104, 11.9045, 12.2074, 12.8134, 13.733, 15.0271, 15.7941, 16.9191, 18.7655, 22.1097, 24.3349, 25.9017, 27.1958, 28.4411, 29.2874, 30.5815, 31.6248, 33.781, 35.0751, 36.3692, 38.9574, 41.5457, 45.428");
+            values ( \
+              "4.48951e-06, 0.000126483, 0.000435985, 0.0120544, 0.0144392, 0.00768401, 0.00557906, 0.00400733, 0.00594693, 0.0101132, 0.0179458, 0.0331597, 0.0699652, 0.0881546, 0.0964997, 0.104476, 0.105371, 0.106345, 0.106158, 0.103322, 0.100411, 0.0944958, 0.0806779, 0.0514199, 0.0349321, 0.0258744, 0.0199105, 0.0153624, 0.0128328, 0.00968232, 0.00770056, 0.0047563, 0.003554, 0.0026436, 0.00146142, 0.000805104, 0.000332684" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.82342, 2.83473, 2.85934, 2.86449, 2.86936, 2.87425, 2.87626, 2.88783, 2.8957, 2.90317, 2.90871, 2.91638, 2.92729, 2.94377");
+            values ( \
+              "0.00259121, 0.00806418, 0.0417628, 0.0454025, 0.0466858, 0.0451037, 0.0432307, 0.0248623, 0.0157999, 0.0101097, 0.00714176, 0.00435768, 0.00214208, 0.000951398" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0046474");
+            index_3 ("2.84821, 2.8667, 2.88792, 2.90235, 2.9139, 2.91823, 2.92452, 2.93501, 2.9455, 2.95689, 2.97567, 2.98938, 3.00748, 3.01724, 3.02644, 3.0387, 3.04625, 3.06616, 3.08891, 3.10366");
+            values ( \
+              "0.00218757, 0.0159211, 0.047858, 0.0674478, 0.0768827, 0.0787803, 0.0801853, 0.0794307, 0.0747765, 0.0643268, 0.0434181, 0.0308823, 0.0188164, 0.0141432, 0.0107302, 0.00733194, 0.00579087, 0.00307128, 0.00143312, 0.000996799" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173306");
+            index_3 ("2.88786, 2.94655, 3.0264, 3.05112, 3.08472, 3.11654, 3.14834, 3.17742, 3.21147, 3.27607, 3.32544, 3.37722, 3.42355, 3.48196, 3.54416, 3.59806, 3.62969");
+            values ( \
+              "0.00594552, 0.0153247, 0.0754631, 0.0882878, 0.0974272, 0.0988026, 0.0960033, 0.0899604, 0.0787543, 0.0525435, 0.0352797, 0.0220254, 0.0139641, 0.00763521, 0.00395324, 0.00218199, 0.0017031" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0646276");
+            index_3 ("1.63884, 2.72438, 2.81126, 2.85424, 2.88871, 3.01421, 3.0635, 3.12422, 3.1792, 3.23581, 3.41934, 3.48962, 3.55983, 3.67734, 3.78933, 3.90127, 4.02236, 4.15684, 4.37306, 4.55471, 4.70535, 4.87217, 4.95624, 5.07536, 5.28956, 5.47496, 5.58809");
+            values ( \
+              "1.56425e-07, 0.000186328, 0.0059922, 0.00706473, 0.00671232, 0.0032474, 0.00309894, 0.00599486, 0.0121518, 0.0225274, 0.068903, 0.0839885, 0.094534, 0.103513, 0.104403, 0.100945, 0.0929689, 0.0792812, 0.0536259, 0.0351358, 0.0236984, 0.0148492, 0.0116151, 0.00814806, 0.00425043, 0.00237668, 0.00184123" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.241003");
+            index_3 ("1.77764, 2.72695, 2.89208, 2.97454, 3.20919, 3.46977, 3.72319, 3.90138, 4.23418, 4.91851, 5.21525, 5.43597, 5.86754, 6.27939, 6.69081, 7.13684, 7.63174, 8.6778, 9.08689, 9.67046, 10.2378, 10.5515, 10.9922, 11.8075, 12.5152, 13.1635");
+            values ( \
+              "1.04091e-06, 0.000236195, 0.0114671, 0.0111863, 0.00715899, 0.00416838, 0.00428594, 0.00727409, 0.0213812, 0.0694631, 0.0869365, 0.0959697, 0.105035, 0.105915, 0.102265, 0.0941728, 0.0803989, 0.0468739, 0.0358709, 0.0236392, 0.0153018, 0.0119326, 0.00832656, 0.00424482, 0.00230101, 0.0014876" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.898722");
+            index_3 ("1.74643, 2.73096, 2.97765, 4.15705, 5.10391, 5.5826, 6.20836, 6.94435, 7.93104, 10.681, 11.6393, 12.4345, 13.7286, 14.6381, 15.5574, 16.8515, 17.6185, 18.7436, 20.5899, 23.9341, 26.1593, 27.7261, 29.0202, 30.2655, 32.4059, 33.4492, 35.6054, 36.8995, 40.7819, 41.1948");
+            values ( \
+              "9.73463e-07, 0.000205002, 0.0144351, 0.00773047, 0.00459613, 0.00397217, 0.0048852, 0.00911021, 0.0208715, 0.0728826, 0.0877486, 0.0964901, 0.104463, 0.106336, 0.106159, 0.103317, 0.100406, 0.0944988, 0.0806811, 0.0514169, 0.0349291, 0.0258773, 0.0199077, 0.0153598, 0.00967981, 0.00770303, 0.00475392, 0.00355639, 0.00145907, 0.00138733" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.74536, 9.7549, 9.76779, 9.77186, 9.77767, 9.7828, 9.78766, 9.79252, 9.79513, 9.79785, 9.8033, 9.8082, 9.8151, 9.8213, 9.82565, 9.82974, 9.83518, 9.83943, 9.84425, 9.85068, 9.86354, 9.87234");
+            values ( \
+              "0.00103818, 0.0106857, 0.0297245, 0.0352581, 0.0419565, 0.0455719, 0.0467523, 0.0451844, 0.0425897, 0.0385525, 0.0288129, 0.0221447, 0.0148538, 0.010219, 0.00776904, 0.00599496, 0.00421763, 0.00319289, 0.0023321, 0.00153223, 0.00062673, 0.000400802" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0046474");
+            index_3 ("9.77349, 9.78465, 9.80597, 9.8204, 9.82983, 9.83617, 9.84256, 9.85305, 9.86353, 9.87496, 9.89377, 9.90786, 9.92494, 9.93951, 9.95376, 9.96391, 9.97543, 9.99078, 10.0175");
+            values ( \
+              "0.0142409, 0.0157595, 0.0478233, 0.0674634, 0.075698, 0.0787905, 0.0801998, 0.0794614, 0.0747709, 0.064285, 0.0433444, 0.0305146, 0.0191274, 0.0124639, 0.00806705, 0.00586895, 0.00407912, 0.0024777, 0.00105565" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173306");
+            index_3 ("9.80215, 9.86453, 9.92838, 9.96871, 9.99668, 10.0132, 10.0339, 10.0668, 10.0997, 10.1376, 10.2017, 10.2508, 10.2945, 10.3412, 10.3995, 10.4616, 10.5154, 10.5521");
+            values ( \
+              "0.00445648, 0.0155567, 0.0645547, 0.0884715, 0.0964664, 0.0983939, 0.0987637, 0.0957235, 0.0885973, 0.0753279, 0.0494568, 0.0329701, 0.0220745, 0.0139539, 0.00763488, 0.00395517, 0.00218894, 0.00163158" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0646276");
+            index_3 ("9.84756, 10.0948, 10.1482, 10.3348, 10.4106, 10.4752, 10.5927, 10.7047, 10.8166, 10.9377, 11.0722, 11.3587, 11.4691, 11.6273, 11.7836, 11.869, 11.9892, 12.2106, 12.4028, 12.5612");
+            values ( \
+              "0.00669856, 0.0121316, 0.0218242, 0.0689472, 0.0850238, 0.0945573, 0.103529, 0.104412, 0.100951, 0.0929715, 0.0792827, 0.0459116, 0.0352243, 0.0232751, 0.0150018, 0.0117083, 0.00817088, 0.00418122, 0.00227283, 0.0015615" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.241003");
+            index_3 ("10.1183, 10.9725, 11.3088, 11.8363, 12.0627, 12.3528, 12.7841, 13.1956, 13.6233, 14.0529, 14.5478, 15.5939, 16.003, 16.5866, 17.1133, 17.5628, 17.9605, 18.4252, 19.0449, 20.018");
+            values ( \
+              "0.00919272, 0.0126521, 0.0318373, 0.0697213, 0.0835174, 0.0961201, 0.105078, 0.105956, 0.102049, 0.0941757, 0.080399, 0.0468728, 0.0358697, 0.0236384, 0.0158973, 0.0111265, 0.00804306, 0.00548141, 0.00323465, 0.0014888" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.898722");
+            index_3 ("10.9542, 14.5214, 17.7893, 18.8373, 19.3369, 20.2012, 20.9344, 22.2285, 22.9383, 23.8846, 24.7708, 25.6517, 27.4956, 30.8396, 33.065, 34.6324, 35.9265, 37.1707, 39.3103, 40.3545, 42.5134, 43.8075, 47.6899, 48.092");
+            values ( \
+              "0.0059934, 0.0161192, 0.0760941, 0.0911116, 0.0962467, 0.102357, 0.105172, 0.106345, 0.105376, 0.102852, 0.099259, 0.0944519, 0.0806686, 0.0514238, 0.0349344, 0.0258697, 0.0199105, 0.0153667, 0.00968773, 0.00769904, 0.00475638, 0.00354977, 0.00146315, 0.00139274" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00532637", \
+            "0.00709603", \
+            "0.00812364", \
+            "0.00877504", \
+            "0.00937347", \
+            "0.00978109" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00731672", \
+            "0.00835062", \
+            "0.00954651", \
+            "0.00955276", \
+            "0.00838691", \
+            "0.00780041" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.207757, 0.217408, 0.219532, 0.22982, 0.234088, 0.241964, 0.245803, 0.249641, 0.259268, 0.263618, 0.269345, 0.273745, 0.280713, 0.282711");
+            values ( \
+              "-8.37592e-05, -0.0316014, -0.0358395, -0.0504249, -0.0547062, -0.0588707, -0.0580916, -0.0514466, -0.0184477, -0.0101354, -0.00407388, -0.00224705, -0.000587811, -0.00052558" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0046474");
+            index_3 ("0.233739, 0.252207, 0.270028, 0.280567, 0.290035, 0.29891, 0.307499, 0.316043, 0.324585, 0.345224, 0.35789, 0.369886, 0.379559, 0.384225");
+            values ( \
+              "-0.0124741, -0.0486523, -0.0740988, -0.0847983, -0.09193, -0.0964205, -0.0986753, -0.0972863, -0.0856998, -0.0331141, -0.0149954, -0.00670949, -0.00339974, -0.00270094" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173306");
+            index_3 ("0.298144, 0.331905, 0.389123, 0.429669, 0.462201, 0.491117, 0.518158, 0.544327, 0.570468, 0.59648, 0.646874, 0.668701, 0.696611, 0.737283, 0.769811, 0.780814");
+            values ( \
+              "-0.014735, -0.02224, -0.0652161, -0.0887076, -0.103448, -0.112242, -0.118267, -0.120533, -0.119053, -0.10439, -0.0509992, -0.0338699, -0.0191893, -0.00795541, -0.00378369, -0.00317418" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0646276");
+            index_3 ("0, 0.000634578, 0.0163713, 0.127455, 0.19212, 0.4746, 0.586645, 1.01687, 1.13146, 1.23299, 1.32775, 1.41946, 1.51106, 1.60224, 1.77916, 1.95789, 2.09439, 2.17766");
+            values ( \
+              "-0.00077394, -0.0033393, -1e-22, -0.00081249, -0.00650827, -0.00120821, -0.00615925, -0.0935661, -0.109641, -0.119499, -0.12578, -0.128347, -0.12657, -0.111058, -0.0541426, -0.019983, -0.00866752, -0.0053077" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.241003");
+            index_3 ("0.762218, 1.80383, 2.6769, 3.20854, 3.62869, 4.00067, 4.34781, 4.68378, 5.01938, 5.35348, 6.17695, 6.46304, 6.81162, 7.152, 7.4907");
+            values ( \
+              "-0.00354401, -0.0131241, -0.0673779, -0.0951554, -0.111441, -0.12176, -0.12793, -0.130741, -0.128696, -0.113094, -0.0427989, -0.0276827, -0.015704, -0.00904616, -0.0049871" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.898722");
+            index_3 ("1.85223, 6.09307, 10.304, 12.2754, 12.9153, 14.0571, 14.7779, 15.5861, 16.7279, 17.0429, 17.4629, 18.0697, 19.2114, 21.1059, 22.012, 22.8747, 24.0574, 25.3306, 26.2142, 27.356, 28.4977, 29.6395, 31.9229");
+            values ( \
+              "-0.00291558, -0.0123502, -0.081493, -0.105794, -0.11187, -0.120762, -0.124988, -0.12847, -0.131218, -0.131396, -0.131086, -0.129289, -0.115558, -0.068787, -0.0494874, -0.0351382, -0.0212694, -0.0121254, -0.00805534, -0.00474178, -0.00272652, -0.00160988, -0.000550019" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.239893, 0.249612, 0.253628, 0.262014, 0.266283, 0.270288, 0.274158, 0.277997, 0.281835, 0.291462, 0.295813, 0.299339, 0.305937, 0.310249");
+            values ( \
+              "-1.07263e-05, -0.0315155, -0.0390548, -0.0505336, -0.0545981, -0.0573259, -0.0587622, -0.0582008, -0.0513373, -0.0185717, -0.0100065, -0.00588398, -0.00211872, -0.00125165" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0046474");
+            index_3 ("0.265504, 0.281216, 0.289581, 0.302293, 0.312829, 0.322297, 0.331171, 0.33976, 0.348304, 0.356846, 0.377475, 0.390176, 0.402115, 0.411742, 0.412471");
+            values ( \
+              "-0.010039, -0.0428826, -0.0571941, -0.0742275, -0.0846877, -0.0920419, -0.0963213, -0.0987727, -0.0971982, -0.0857869, -0.0331352, -0.0149663, -0.00672102, -0.00342276, -0.00331256" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173306");
+            index_3 ("0.328562, 0.363625, 0.420913, 0.447276, 0.489437, 0.502538, 0.522725, 0.539039, 0.549781, 0.571267, 0.585379, 0.601918, 0.627992, 0.666458, 0.690763, 0.714935, 0.732078, 0.744789, 0.764046, 0.789724, 0.838009, 0.89446");
+            values ( \
+              "-0.0135139, -0.022107, -0.0648615, -0.0813058, -0.101514, -0.106294, -0.11235, -0.116097, -0.117949, -0.120411, -0.120675, -0.11874, -0.104575, -0.0626394, -0.0406957, -0.0253015, -0.0176975, -0.0135027, -0.00888548, -0.00495863, -0.00149838, -0.000332772" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0646276");
+            index_3 ("0.000272382, 0.160462, 0.231587, 0.50189, 0.611636, 0.705136, 0.905265, 1.04959, 1.16411, 1.26561, 1.36037, 1.45207, 1.54366, 1.63483, 1.81172, 1.89567, 1.9907, 2.07232, 2.23554, 2.26918");
+            values ( \
+              "-1e-22, -0.000852021, -0.00656049, -0.00121894, -0.00533717, -0.0208943, -0.0667889, -0.0936604, -0.109607, -0.119567, -0.125736, -0.128408, -0.126522, -0.111114, -0.0541894, -0.0345765, -0.0199892, -0.0121585, -0.00425098, -0.00366799" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.241003");
+            index_3 ("0.780775, 1.82608, 2.70765, 3.23989, 3.66023, 4.03231, 4.37951, 4.71553, 5.05117, 5.3853, 6.03376, 6.3427, 6.69642, 6.98979, 7.57683, 7.68651");
+            values ( \
+              "-0.0039891, -0.0126174, -0.0672402, -0.0950688, -0.111431, -0.121704, -0.127939, -0.130698, -0.128715, -0.11306, -0.0551476, -0.035062, -0.0201986, -0.0123294, -0.00437919, -0.00386126" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.898722");
+            index_3 ("1.83822, 6.12775, 10.4576, 12.2966, 12.9454, 14.0871, 14.8079, 15.6161, 16.7579, 17.0728, 17.4927, 18.0996, 19.2414, 21.2668, 22.042, 22.9046, 24.0873, 25.3606, 26.2443, 27.386, 28.5277, 29.6695, 31.9529");
+            values ( \
+              "-0.00264873, -0.0124429, -0.083238, -0.105688, -0.111894, -0.120746, -0.124971, -0.128492, -0.1312, -0.131378, -0.131107, -0.12927, -0.115579, -0.0656935, -0.0494662, -0.0351172, -0.0212487, -0.0121464, -0.00803413, -0.00476269, -0.00270548, -0.00163082, -0.000570966" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.380039, 0.389963, 0.394995, 0.40199, 0.406262, 0.410268, 0.414139, 0.417979, 0.421817, 0.432049, 0.438356, 0.441257, 0.445124, 0.447374");
+            values ( \
+              "-0.000750909, -0.0325333, -0.0413334, -0.0505453, -0.0545694, -0.0573214, -0.0587565, -0.0581812, -0.0513521, -0.0169983, -0.00669648, -0.00427458, -0.00250449, -0.00191788" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0046474");
+            index_3 ("0.404578, 0.413907, 0.422596, 0.442167, 0.452698, 0.462173, 0.471047, 0.479636, 0.48818, 0.496722, 0.517361, 0.530028, 0.542022, 0.551693, 0.554785");
+            values ( \
+              "-0.00663302, -0.0292679, -0.0455133, -0.0740917, -0.0848114, -0.0919209, -0.0964355, -0.098665, -0.0972997, -0.0856887, -0.033124, -0.0149884, -0.00670549, -0.00340492, -0.00293921" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173306");
+            index_3 ("0.466829, 0.503377, 0.55297, 0.577085, 0.601477, 0.634013, 0.662968, 0.690027, 0.71621, 0.742364, 0.768383, 0.808388, 0.832771, 0.853475, 0.880613, 0.909623, 0.942779, 0.962484");
+            values ( \
+              "-0.0124524, -0.0216889, -0.05941, -0.0752351, -0.0886202, -0.10324, -0.112222, -0.118133, -0.120547, -0.11896, -0.104423, -0.0609999, -0.0394549, -0.0262592, -0.0148774, -0.00789275, -0.00367287, -0.00261978" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0646276");
+            index_3 ("0.000800123, 0.282328, 0.371818, 0.635115, 0.739114, 0.819356, 1.04616, 1.19029, 1.30482, 1.40632, 1.50106, 1.59275, 1.68434, 1.77551, 1.95236, 2.03635, 2.13157, 2.26717, 2.37566, 2.39401");
+            values ( \
+              "-1e-22, -0.000395649, -0.00656873, -0.00126165, -0.00410667, -0.0156612, -0.0668053, -0.093626, -0.109683, -0.119526, -0.125802, -0.128361, -0.126582, -0.111063, -0.0541626, -0.0346096, -0.0199361, -0.00869641, -0.00429474, -0.00396606" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.241003");
+            index_3 ("0, 0.280306, 0.388961, 0.897519, 1.45536, 1.91569, 2.22185, 2.84017, 3.37367, 3.79463, 4.16701, 4.51439, 4.85053, 5.1863, 5.52049, 6.01521, 6.34603, 6.62786, 6.97705, 7.32543, 7.72358, 8.16474");
+            values ( \
+              "-1.64977e-06, -0.000379355, -0.00896427, -0.00445975, -0.00194736, -0.0103896, -0.0272731, -0.0669651, -0.0949125, -0.111312, -0.121638, -0.127873, -0.130669, -0.128677, -0.113054, -0.0673986, -0.0426836, -0.0277953, -0.0157635, -0.00893136, -0.00427369, -0.00225217" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.898722");
+            index_3 ("0, 0.273112, 0.412157, 1.449, 2.57099, 3.52162, 4.66336, 5.8051, 6.28288, 7.06355, 9.16318, 10.359, 12.0204, 13.0896, 14.4703, 15.1385, 15.7592, 16.9009, 17.2158, 17.6357, 18.2427, 19.3845, 21.41, 22.1851, 23.0478, 24.2306, 25.062, 25.5038, 26.3873, 27.529, 28.6708, 29.8125, 32.096");
+            values ( \
+              "-1.15433e-05, -0.000374796, -0.00992436, -0.00682314, -0.00437989, -0.00291304, -0.00226196, -0.00733858, -0.0125937, -0.0242643, -0.0609927, -0.0797591, -0.101181, -0.1119, -0.122249, -0.125893, -0.128485, -0.131189, -0.131368, -0.131104, -0.129262, -0.11558, -0.0656906, -0.0494646, -0.0351148, -0.0212452, -0.0147144, -0.0121487, -0.00803256, -0.0047652, -0.00270352, -0.00163311, -0.00057318" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.886999, 0.890138, 0.890447, 0.891066, 0.895271, 0.902231, 0.906982, 0.911272, 0.915294, 0.919177, 0.923027, 0.926876, 0.936795, 0.940289, 0.944035, 0.94912, 0.949825");
+            values ( \
+              "-0.0151027, -0.0207824, -0.0201353, -0.0209114, -0.0330748, -0.0441125, -0.0503859, -0.0542194, -0.057238, -0.0584674, -0.058168, -0.0511405, -0.0179148, -0.0107977, -0.00611328, -0.00277295, -0.00258414" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0046474");
+            index_3 ("0.904882, 0.934247, 0.946978, 0.956581, 0.966974, 0.978192, 0.987649, 0.992962, 1.00151, 1.02215, 1.03485, 1.04665, 1.05823");
+            values ( \
+              "-0.000121287, -0.0569029, -0.0739877, -0.0839235, -0.0918417, -0.0971888, -0.098657, -0.0971243, -0.0857031, -0.0331044, -0.0149997, -0.00688267, -0.00314696" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173306");
+            index_3 ("0.960183, 1.00843, 1.05618, 1.07763, 1.10562, 1.13318, 1.16705, 1.19416, 1.22034, 1.24641, 1.27248, 1.31091, 1.3355, 1.35914, 1.37591, 1.38922, 1.40935, 1.4362, 1.48384, 1.54045");
+            values ( \
+              "-0.00356616, -0.0223128, -0.0586722, -0.0728053, -0.0886615, -0.101162, -0.112242, -0.117979, -0.120602, -0.118856, -0.104545, -0.0626781, -0.0405083, -0.0254478, -0.0179381, -0.0135129, -0.0087202, -0.00473709, -0.00145252, -0.000327331" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0646276");
+            index_3 ("0, 0.691512, 0.760717, 0.780285, 0.806566, 0.852757, 0.865609, 0.880666, 1.03413, 1.1173, 1.1655, 1.24006, 1.30784, 1.32435, 1.54987, 1.69401, 1.80827, 1.90961, 2.00449, 2.09617, 2.18778, 2.27896, 2.41375, 2.4559, 2.5398, 2.58039, 2.6345, 2.67554, 2.71655, 2.77124, 2.88061, 3.05333, 3.25948");
+            values ( \
+              "-1.44259e-08, -3.49144e-05, -0.000223088, -0.000370592, -0.00109075, -0.00562286, -0.00630103, -0.00651104, -0.00287623, -0.00148866, -0.00120019, -0.00393908, -0.012889, -0.0159703, -0.066981, -0.0937818, -0.109592, -0.119595, -0.125659, -0.128453, -0.126454, -0.111166, -0.0663719, -0.0542146, -0.034538, -0.0274371, -0.0200628, -0.0155672, -0.012118, -0.00872218, -0.00419155, -0.00129465, -0.000200987" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.241003");
+            index_3 ("0, 0.776658, 0.899399, 1.7755, 2.14493, 2.35808, 3.88066, 4.2267, 4.67139, 5.01903, 5.35494, 5.69061, 6.02475, 6.67332, 6.98217, 7.33521, 7.62948, 8.21827, 8.54404");
+            values ( \
+              "-1.83171e-06, -0.000362393, -0.00896205, -0.00238922, -0.00294125, -0.00812766, -0.095154, -0.108976, -0.121682, -0.127931, -0.130689, -0.128711, -0.113055, -0.0551385, -0.0350616, -0.0202201, -0.0123251, -0.00436342, -0.0028243" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.898722");
+            index_3 ("0, 0.772038, 0.9103, 2.14496, 3.40076, 4.4343, 5.57604, 6.71777, 7.016, 10.8374, 12.0384, 12.8681, 13.5925, 14.7343, 15.4554, 16.2636, 17.4053, 17.7205, 18.1408, 18.7472, 19.889, 21.9144, 22.6896, 23.5523, 24.7351, 26.0082, 26.8918, 28.0335, 29.1752, 30.317, 32.6004");
+            values ( \
+              "-3.99448e-06, -0.000360687, -0.0099299, -0.00634538, -0.00382223, -0.00245298, -0.00300924, -0.0116993, -0.0156737, -0.0793698, -0.0955006, -0.104865, -0.111887, -0.120714, -0.124943, -0.128494, -0.131177, -0.131356, -0.131113, -0.129251, -0.11559, -0.0656798, -0.049454, -0.0351041, -0.0212344, -0.0121593, -0.00802204, -0.00477581, -0.00269297, -0.00164369, -0.000583733" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.70614, 2.71038, 2.71182, 2.71735, 2.72321, 2.7325, 2.74055, 2.74445, 2.74835, 2.75666, 2.76049, 2.76598, 2.77207, 2.77865, 2.78871");
+            values ( \
+              "-0.00196774, -0.0191986, -0.0191072, -0.0338587, -0.0429457, -0.0533496, -0.0578195, -0.057244, -0.0508722, -0.0220846, -0.0131989, -0.00575018, -0.00221556, -0.000901812, -0.000623867" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0046474");
+            index_3 ("2.72991, 2.74258, 2.75348, 2.76938, 2.78001, 2.78953, 2.79845, 2.80707, 2.81564, 2.82421, 2.84508, 2.86091, 2.87022, 2.87061");
+            values ( \
+              "-0.012549, -0.0301402, -0.0515708, -0.0732004, -0.0843716, -0.0912908, -0.0961618, -0.0982107, -0.0971654, -0.0854186, -0.0326743, -0.0120052, -0.0064092, -0.00628352" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173306");
+            index_3 ("2.80078, 2.83121, 2.88803, 2.91401, 2.92836, 2.95707, 2.9833, 3.00255, 3.01677, 3.04293, 3.06909, 3.0951, 3.13511, 3.15953, 3.18016, 3.19478, 3.20731, 3.21979, 3.23645, 3.26975, 3.31866, 3.37813");
+            values ( \
+              "-0.0183615, -0.0226796, -0.0649938, -0.0812565, -0.0887857, -0.101842, -0.110538, -0.115335, -0.118057, -0.120603, -0.118888, -0.104484, -0.0610279, -0.0394057, -0.0262645, -0.0194059, -0.0148727, -0.0113688, -0.00788676, -0.00363955, -0.00106897, -0.000218769" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0646276");
+            index_3 ("2.88866, 3.14733, 3.37219, 3.51626, 3.63069, 3.73214, 3.82686, 3.91854, 4.01011, 4.10127, 4.28259, 4.37238, 4.48766, 4.60548, 4.70912, 4.72147");
+            values ( \
+              "-0.00185864, -0.0161371, -0.0669558, -0.0937585, -0.109675, -0.11962, -0.125773, -0.128438, -0.12654, -0.111129, -0.0533675, -0.0329285, -0.016738, -0.00810704, -0.00413696, -0.00392824" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.241003");
+            index_3 ("0, 2.56686, 2.73845, 3.32415, 3.86602, 4.16274, 5.70323, 6.12276, 6.4944, 6.84214, 7.17812, 7.51385, 7.84804, 8.49699, 8.8055, 9.15615, 9.4536, 10.0485, 10.2944");
+            values ( \
+              "-1.76769e-07, -0.000201329, -0.00877616, -0.00382013, -0.00213336, -0.00743758, -0.0950622, -0.111367, -0.121637, -0.127897, -0.130666, -0.128693, -0.113046, -0.0551091, -0.0350574, -0.0202989, -0.0123069, -0.00430519, -0.00315959" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.898722");
+            index_3 ("0.00386848, 2.41328, 2.57299, 2.76236, 4.16887, 5.61706, 6.64196, 7.78369, 8.50173, 8.89749, 11.9029, 12.6953, 13.8371, 14.418, 15.4299, 16.1678, 16.8094, 18.0999, 18.876, 19.3468, 20.2883, 20.5804, 21.1647, 21.9075, 23.7433, 24.8655, 25.3708, 25.9935, 26.8239, 27.4223, 28.3272, 29.4689, 30.6107, 31.4782");
+            values ( \
+              "-1e-22, -3.37623e-05, -0.000207505, -0.00983259, -0.00589423, -0.00324387, -0.00220642, -0.00465561, -0.0111124, -0.01637, -0.0675653, -0.079718, -0.0950719, -0.101838, -0.111918, -0.11792, -0.122251, -0.128508, -0.130643, -0.131267, -0.130439, -0.129269, -0.12451, -0.111362, -0.0657489, -0.0432503, -0.0353143, -0.0272546, -0.0189904, -0.014576, -0.00968918, -0.00569541, -0.0033051, -0.00225937" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.64217, 9.65445, 9.66018, 9.6684, 9.67314, 9.6775, 9.68166, 9.68574, 9.68981, 9.70033, 9.70453, 9.70777, 9.70994, 9.71427, 9.71594");
+            values ( \
+              "-0.00293727, -0.0257579, -0.0345367, -0.0450119, -0.0496956, -0.0530386, -0.0550019, -0.0551665, -0.0493405, -0.0165852, -0.00917357, -0.00568243, -0.00410277, -0.00212455, -0.00179325" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0046474");
+            index_3 ("9.67127, 9.6837, 9.6981, 9.71136, 9.72219, 9.73186, 9.74087, 9.74956, 9.75819, 9.76681, 9.78816, 9.79423, 9.80306, 9.80934, 9.81772, 9.82605");
+            values ( \
+              "-0.0117751, -0.0276122, -0.0541009, -0.0717882, -0.0827856, -0.0904116, -0.0950493, -0.0976766, -0.0964335, -0.0852483, -0.0317878, -0.0219794, -0.0124083, -0.00815712, -0.00453741, -0.00284324" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173306");
+            index_3 ("9.75263, 9.78978, 9.8224, 9.84789, 9.87127, 9.9038, 9.93275, 9.95982, 9.98601, 10.0122, 10.0382, 10.0887, 10.1105, 10.1379, 10.1546, 10.1796, 10.2129, 10.2333");
+            values ( \
+              "-0.0230609, -0.0341245, -0.0594794, -0.0760454, -0.088638, -0.103302, -0.112152, -0.118153, -0.120467, -0.118978, -0.104358, -0.0509271, -0.0338428, -0.019376, -0.0135883, -0.0078643, -0.00365842, -0.00255972" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0646276");
+            index_3 ("9.81721, 10.0896, 10.3146, 10.4587, 10.5732, 10.6747, 10.7694, 10.8611, 10.9526, 11.0438, 11.2206, 11.3046, 11.4002, 11.4811, 11.643, 11.7002");
+            values ( \
+              "-0.000990855, -0.0160971, -0.0669311, -0.0937347, -0.109662, -0.1196, -0.125765, -0.128423, -0.126537, -0.111119, -0.0542131, -0.0345786, -0.019927, -0.0121728, -0.00429696, -0.00328654" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.241003");
+            index_3 ("10.1749, 11.2438, 11.4171, 12.1157, 12.5154, 12.8832, 13.066, 13.439, 13.786, 14.1221, 14.4577, 14.7918, 15.4402, 15.7492, 15.9009, 16.1031, 16.3962, 16.6651, 17.1157, 17.3177");
+            values ( \
+              "-0.00302352, -0.0132187, -0.0227477, -0.0673755, -0.0889802, -0.104931, -0.111394, -0.121728, -0.127925, -0.130717, -0.1287, -0.113077, -0.0551652, -0.0350482, -0.0277185, -0.0202054, -0.0123178, -0.00778218, -0.00373303, -0.00269821" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.898722");
+            index_3 ("0, 9.38333, 9.69921, 10.9408, 12.5011, 13.3979, 14.5396, 15.4297, 15.7909, 19.6027, 21.5092, 22.3526, 23.4944, 24.2095, 25.0218, 26.1635, 26.479, 26.8997, 27.5056, 28.6473, 31.448, 32.3107, 33.4937, 34.7666, 35.65, 36.7918, 37.9335, 38.2866");
+            values ( \
+              "-1.16461e-07, -7.70233e-05, -0.0097266, -0.006256, -0.00329664, -0.00229139, -0.00377561, -0.0111455, -0.0159148, -0.0794339, -0.103618, -0.111875, -0.120723, -0.12492, -0.128473, -0.13118, -0.13136, -0.131096, -0.129256, -0.115578, -0.049465, -0.0351142, -0.0212433, -0.0121493, -0.00803276, -0.00476597, -0.00270333, -0.00237253" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.00503035, 0.00503052, 0.00503072, 0.00503082, 0.00503085, 0.00503086", \
+            "0.00626995, 0.00626994, 0.00626994, 0.00626996, 0.00626997, 0.00626997", \
+            "0.00684987, 0.00684986, 0.00684986, 0.00684986, 0.00684986, 0.00684986", \
+            "0.00710007, 0.00710008, 0.00710008, 0.00710008, 0.00710008, 0.00710008", \
+            "0.00721069, 0.00721069, 0.00721069, 0.00721069, 0.00721069, 0.00721069", \
+            "0.00725909, 0.00725909, 0.00725909, 0.00725909, 0.00725909, 0.00725909" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.00680706, 0.00680696, 0.00680698, 0.00680701, 0.00680703, 0.00680704", \
+            "0.00778539, 0.00778535, 0.00778528, 0.00778524, 0.00778523, 0.00778523", \
+            "0.00894217, 0.00894216, 0.00894214, 0.0089421, 0.00894208, 0.00894208", \
+            "0.0107111, 0.0107081, 0.0107061, 0.0107057, 0.0107056, 0.0107056", \
+            "0.0113071, 0.0113069, 0.011307, 0.0113013, 0.0112979, 0.0112974", \
+            "0.0113108, 0.0113043, 0.0113054, 0.011306, 0.0113012, 0.0112968" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "QN";
+        timing_sense : negative_unate;
+        timing_type : combinational;
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0297807, 0.0583176, 0.16127, 0.543028, 1.96614, 7.27221", \
+            "0.0408479, 0.0691207, 0.172441, 0.554488, 1.9777, 7.28381", \
+            "0.0762645, 0.116729, 0.219838, 0.601207, 2.02378, 7.33008", \
+            "0.146055, 0.22767, 0.404531, 0.795909, 2.21545, 7.51585", \
+            "0.321363, 0.461281, 0.803634, 1.51458, 2.99215, 8.28109", \
+            "0.889521, 1.10008, 1.67573, 3.02675, 5.80664, 11.4405" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0283221, 0.0700263, 0.225492, 0.805409, 2.9675, 11.0292", \
+            "0.0295982, 0.0699991, 0.225492, 0.805407, 2.9675, 11.0293", \
+            "0.0581581, 0.0878421, 0.225782, 0.805416, 2.96785, 11.0297", \
+            "0.128386, 0.19041, 0.317109, 0.81069, 2.96749, 11.029", \
+            "0.318379, 0.426856, 0.696428, 1.20532, 3.00352, 11.0296", \
+            "1.02251, 1.14901, 1.58287, 2.6538, 4.65239, 11.2239" \
+          );
+        }
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0235969, 0.0433143, 0.114787, 0.379755, 1.36734, 5.04978", \
+            "0.0336204, 0.0535801, 0.125404, 0.390537, 1.37816, 5.06063", \
+            "0.0567046, 0.0910156, 0.169137, 0.434235, 1.42186, 5.1044", \
+            "0.0877177, 0.156287, 0.306199, 0.61207, 1.59723, 5.27937", \
+            "0.104381, 0.234741, 0.529641, 1.13557, 2.31235, 5.98359", \
+            "0.0117499, 0.22764, 0.776906, 1.9554, 4.32034, 8.88117" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0171393, 0.0424757, 0.136679, 0.487799, 1.79552, 6.67705", \
+            "0.0191232, 0.0424215, 0.136651, 0.487793, 1.79527, 6.67705", \
+            "0.0411786, 0.0642315, 0.140071, 0.487791, 1.79776, 6.67705", \
+            "0.098061, 0.141401, 0.239715, 0.511209, 1.79776, 6.67705", \
+            "0.274344, 0.348259, 0.528468, 0.914699, 1.92017, 6.67705", \
+            "0.948516, 1.02793, 1.32537, 2.03085, 3.53158, 7.23463" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.0208316, 0.0208326, 0.0266287, 0.0298923, 0.0337814, 0.0372388, 0.0382011, 0.0390885, 0.0402962, 0.0415038, 0.0436467, 0.0457897, 0.0476287, 0.0493021, 0.0509028, 0.0530144, 0.0550274, 0.0574897, 0.0594046, 0.0604986, 0.0626868, 0.0652325, 0.0668823, 0.0690676, 0.0707423, 0.0735811, 0.0762201, 0.0770998, 0.0788591, 0.0797388, 0.0812021, 0.0826654, 0.0841287, 0.0861024, 0.0896215, 0.0909469, 0.0922723, 0.0935977, 0.0949231, 0.0962485, 0.0975739, 0.100225, 0.102875, 0.105526, 0.108177, 0.112264, 0.116351, 0.120438, 0.124525, 0.144348, 1.11943");
+            values ( \
+              "1e-22, 0.0883855, 0.0653932, 0.0623736, 0.0585702, 0.0548719, 0.0537107, 0.0525467, 0.0507448, 0.0488169, 0.0450856, 0.0409575, 0.0368906, 0.0334559, 0.0304947, 0.0268019, 0.0236741, 0.0205618, 0.018392, 0.0172457, 0.0151451, 0.0129416, 0.0116346, 0.0101945, 0.00920265, 0.00766667, 0.00637244, 0.00602991, 0.00538829, 0.00508918, 0.00463368, 0.00421158, 0.00382289, 0.00335627, 0.00261876, 0.00236525, 0.00212762, 0.00192263, 0.00173204, 0.00155586, 0.00139408, 0.00116546, 0.000959994, 0.000777678, 0.000618513, 0.000470839, 0.000343291, 0.000235871, 0.000148577, 1.07341e-05, 2.49758e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0046474");
+            index_3 ("0.0222059, 0.0222069, 0.037777, 0.0498482, 0.0552284, 0.0584548, 0.0633351, 0.0664613, 0.0687103, 0.0709802, 0.0740206, 0.078245, 0.0836536, 0.0870366, 0.0917883, 0.0958612, 0.103377, 0.107989, 0.113627, 0.117958, 0.12041, 0.125313, 0.131365, 0.133846, 0.138809, 0.140463, 0.142594, 0.144725, 0.148987, 0.152517, 0.156046, 0.160181, 0.164317, 0.170161, 0.173084, 0.17859, 0.181175, 0.183759, 0.186343, 0.188927, 0.191511, 0.19668, 0.201848, 0.207017, 0.212185, 0.220278, 0.228372, 0.236465, 0.244558, 0.283129, 1.87013");
+            values ( \
+              "1e-22, 0.117629, 0.0958375, 0.0893277, 0.0863353, 0.0844589, 0.0815295, 0.0795656, 0.0779985, 0.0762467, 0.0737363, 0.070004, 0.0648112, 0.0613, 0.0558854, 0.0513745, 0.0434783, 0.0389161, 0.034083, 0.0306629, 0.0288359, 0.0254098, 0.0215287, 0.0200409, 0.0175272, 0.0167427, 0.0157977, 0.0148795, 0.0131239, 0.0117607, 0.0105265, 0.00933956, 0.00825858, 0.00696475, 0.00635721, 0.0052838, 0.00481219, 0.00439538, 0.00400581, 0.00364349, 0.00330842, 0.00281315, 0.00236477, 0.00196328, 0.00160868, 0.00125038, 0.000938545, 0.000673169, 0.000454256, 4.93072e-05, 9.90512e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173306");
+            index_3 ("0.0237975, 0.0237985, 0.0757749, 0.122635, 0.162863, 0.16691, 0.184166, 0.198946, 0.213727, 0.233343, 0.305719, 0.324748, 0.347582, 0.373918, 0.389559, 0.420021, 0.44575, 0.474324, 0.500703, 0.524777, 0.55534, 0.585902, 0.616465, 0.665472, 0.714478, 0.830802, 0.976627");
+            values ( \
+              "1e-22, 0.12883, 0.109587, 0.100583, 0.092249, 0.0915979, 0.0874761, 0.0833459, 0.0788452, 0.0722963, 0.0457596, 0.0396196, 0.033017, 0.0262156, 0.0228029, 0.0172837, 0.0133362, 0.0101034, 0.00779785, 0.00593711, 0.00411751, 0.00296102, 0.00203549, 0.00121728, 0.000625382, 0.000126532, 4.74324e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0646276");
+            index_3 ("0.0329082, 0.0329092, 0.21562, 0.403095, 0.487536, 0.524469, 0.551234, 0.585824, 0.603694, 0.639434, 0.688812, 0.720319, 0.751826, 0.783334, 0.797708, 0.826457, 1.02331, 1.05574, 1.08816, 1.14813, 1.17811, 1.20809, 1.25511, 1.2809, 1.31185, 1.36223, 1.40073, 1.46585, 1.51397, 1.52359, 1.54284, 1.58133, 1.60058, 1.63548, 1.67038, 1.70529, 1.74019, 1.7879, 1.83557, 1.89912, 1.96267, 2.02623, 2.08978, 2.15333, 2.21689, 2.28044, 2.37515, 2.46987, 2.56458, 2.6593, 3.12184");
+            values ( \
+              "1e-22, 0.138986, 0.113915, 0.103489, 0.0985959, 0.0963843, 0.0946914, 0.0923131, 0.0909541, 0.088131, 0.084, 0.0812242, 0.0783394, 0.0753456, 0.073922, 0.0708897, 0.049759, 0.046571, 0.0434958, 0.038106, 0.0355557, 0.0331018, 0.0294902, 0.0275802, 0.0254359, 0.022422, 0.0203246, 0.0170427, 0.0147872, 0.014355, 0.0136335, 0.0122747, 0.0116374, 0.0105762, 0.00959219, 0.00868532, 0.00785562, 0.00684627, 0.00589057, 0.00474551, 0.00383677, 0.0030623, 0.00254191, 0.00207593, 0.00166433, 0.00130712, 0.000991888, 0.00072, 0.000491452, 0.000306244, 2.4412e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.241003");
+            index_3 ("0.0519247, 0.0519447, 0.742495, 1.23075, 1.89028, 2.36691, 2.75748, 3.90996, 4.47202, 4.97434, 5.31961, 5.61371, 5.93143, 6.38251, 6.57803, 6.96907, 7.75116, 9.00887, 10.2673, 11.5258, 12.7843, 62.9244");
+            values ( \
+              "1e-22, 0.136506, 0.114952, 0.107726, 0.0973083, 0.0880426, 0.078376, 0.0454015, 0.0319302, 0.0225901, 0.0175751, 0.014109, 0.0110561, 0.00776272, 0.00664557, 0.0048633, 0.00253013, 0.00081986, 0.000258429, 8.58077e-05, 2.39502e-05, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.898722");
+            index_3 ("0.149715, 0.149735, 2.61914, 5.48206, 7.00234, 8.73802, 10.6566, 13.7391, 15.728, 16.6774, 17.596, 19.7463, 20.7152, 23.1817, 24.3609, 25.8424, 28.3594, 30.8763, 33.3933, 38.4271, 42.2026, 43.461, 44.7195, 45.978, 62.9244");
+            values ( \
+              "1e-22, 0.139051, 0.115608, 0.104031, 0.0974237, 0.0884037, 0.0752399, 0.0508999, 0.0369886, 0.0313082, 0.0264523, 0.0174156, 0.0143155, 0.00852976, 0.00661932, 0.0047892, 0.00272622, 0.00152641, 0.000834334, 0.000209541, 0.000224275, 5.24632e-06, 0.000168123, 1e-22, 2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.0487725, 0.0562891, 0.0614355, 0.0649329, 0.0651588, 0.0658652, 0.0662641, 0.0666998, 0.0671355, 0.067819, 0.0681608, 0.0687184, 0.0689343, 0.0697978, 0.0712391, 0.0729214, 0.0742486, 0.0751464, 0.0763523, 0.0780263, 0.0801684, 0.0812395, 0.0841916, 0.0853067, 0.0868706, 0.0884605, 0.0899694, 0.0904723, 0.0921129, 0.0937536, 0.0959409, 0.0981283, 0.0994355, 0.101087, 0.102325, 0.105236, 0.107125, 0.11004, 0.112091, 0.11496, 0.117265, 0.118613, 0.121309, 0.124832, 0.12813, 0.130328, 0.133625, 0.135824, 0.14022, 0.174663");
+            values ( \
+              "0.0354268, 0.035636, 0.0450786, 0.0507002, 0.0529505, 0.0568082, 0.0580242, 0.0586254, 0.0590012, 0.0589235, 0.0588366, 0.058626, 0.0585216, 0.0578241, 0.0564274, 0.0546037, 0.0529646, 0.051682, 0.0498169, 0.0470186, 0.0430834, 0.0409666, 0.0345893, 0.0324025, 0.0295741, 0.0268184, 0.024427, 0.0236832, 0.0215759, 0.0196183, 0.0172411, 0.0151299, 0.0140013, 0.0126045, 0.0116415, 0.00974383, 0.00868404, 0.00714661, 0.0061988, 0.00516471, 0.00445813, 0.0040864, 0.00341435, 0.00267017, 0.00205986, 0.00169144, 0.00123034, 0.000964637, 0.000501434, 1.9029e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0046474");
+            index_3 ("0.053548, 0.0639488, 0.065044, 0.0669485, 0.067919, 0.0684043, 0.0688895, 0.06986, 0.0712458, 0.0804903, 0.0958126, 0.100506, 0.104575, 0.110307, 0.114522, 0.122017, 0.129907, 0.135422, 0.143213, 0.150438, 0.157662, 0.164632, 0.168891, 0.175515, 0.186546, 0.190151, 0.193411, 0.199585, 0.205413, 0.211241, 0.219814, 0.225558, 0.232451, 0.241642, 0.246238, 0.250833, 0.259383, 0.267933, 0.276483, 0.285034, 0.295135, 0.305237, 0.315339, 0.32544, 0.33837, 0.351301, 0.364231, 0.377161, 0.559688, 37.9748");
+            values ( \
+              "0.0773858, 0.0789758, 0.0885446, 0.095671, 0.0971599, 0.0971829, 0.0971766, 0.0970759, 0.0967288, 0.0918593, 0.083212, 0.0803546, 0.0775933, 0.0731639, 0.0692506, 0.0615635, 0.0526387, 0.0468117, 0.0390201, 0.0327691, 0.027359, 0.0227272, 0.0201356, 0.0168203, 0.0122035, 0.0109029, 0.00990173, 0.00826541, 0.00695419, 0.0058246, 0.00442483, 0.00360185, 0.00278204, 0.00204235, 0.00172416, 0.0014404, 0.00111898, 0.000840165, 0.00060395, 0.000410336, 0.000302349, 0.000209919, 0.000133046, 7.17286e-05, 5.12847e-05, 3.27671e-05, 1.61756e-05, 1.51036e-06, 3.71526e-09, 4.18491e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173306");
+            index_3 ("0.062285, 0.062286, 0.111364, 0.147763, 0.168281, 0.183354, 0.198426, 0.200044, 0.202495, 0.212357, 0.219753, 0.230837, 0.241921, 0.24931, 0.264088, 0.273762, 0.31903, 0.337497, 0.35272, 0.367943, 0.375554, 0.383165, 0.389731, 0.404218, 0.41478, 0.42514, 0.4355, 0.4422, 0.4556, 0.46991, 0.477521, 0.485131, 0.497515, 0.509898, 0.51869, 0.536274, 0.548885, 0.560343, 0.575619, 0.590896, 0.606173, 0.62145, 0.636727, 0.652004, 0.6765, 0.700996, 0.725492, 0.749989, 0.80813, 0.866272, 1.01204");
+            values ( \
+              "1e-22, 0.142913, 0.10959, 0.102625, 0.0985898, 0.0954807, 0.092249, 0.0920334, 0.0915982, 0.0893174, 0.0874759, 0.0844136, 0.0811429, 0.0788466, 0.0739761, 0.0705828, 0.0537461, 0.0470682, 0.0419871, 0.0373362, 0.0351353, 0.0330175, 0.0312699, 0.0275246, 0.0249576, 0.0228042, 0.0207855, 0.0195834, 0.0172848, 0.0149856, 0.0138662, 0.0128299, 0.0114049, 0.0101047, 0.00930526, 0.00779924, 0.00679533, 0.00593845, 0.00496388, 0.00411901, 0.00351181, 0.00296235, 0.00247064, 0.00203666, 0.00159912, 0.00121817, 0.0008938, 0.000626021, 0.000331797, 0.000126755, 4.76446e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0646276");
+            index_3 ("0.0598626, 0.0598826, 0.246692, 0.569301, 0.692701, 1.24347, 1.50124, 1.6359, 1.77555, 1.93454, 2.0617, 2.31603, 2.69622, 3.15965, 3.7515, 62.9231");
+            values ( \
+              "1e-22, 0.12792, 0.114153, 0.0958177, 0.0870622, 0.0330913, 0.0170762, 0.0117431, 0.00788138, 0.00496938, 0.00338027, 0.00154865, 0.000405183, 9.05687e-05, 1e-22, 2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.241003");
+            index_3 ("0.0821522, 0.0821722, 0.756219, 1.52615, 1.92694, 2.20646, 2.40192, 2.79286, 3.94498, 4.50703, 4.742, 5.00935, 5.35462, 5.64872, 5.96644, 6.41751, 6.61303, 7.00406, 7.78612, 9.04356, 10.302, 11.5605, 12.819, 62.9235");
+            values ( \
+              "1e-22, 0.134812, 0.11537, 0.10364, 0.0971822, 0.0921126, 0.0880428, 0.078366, 0.0454016, 0.0319303, 0.0272555, 0.0225904, 0.0175751, 0.014109, 0.0110562, 0.00776271, 0.00664573, 0.00486333, 0.00253031, 0.000820063, 0.000258593, 8.57615e-05, 2.40341e-05, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.898722");
+            index_3 ("0.154634, 0.154654, 2.64969, 6.7711, 8.06238, 8.76854, 10.6872, 14.5002, 16.5549, 17.6266, 18.559, 20.0999, 21.9538, 23.2123, 24.1446, 25.873, 28.39, 29.6485, 32.1654, 34.6823, 39.7162, 62.919");
+            values ( \
+              "1e-22, 0.13634, 0.1157, 0.0985131, 0.0922741, 0.0883105, 0.0751455, 0.0456074, 0.032271, 0.0265483, 0.0222406, 0.0164142, 0.0112309, 0.00862608, 0.00707281, 0.00488569, 0.00282275, 0.0021355, 0.00122349, 0.000698799, 0.000225924, 4.89801e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.137843, 0.154928, 0.159994, 0.167657, 0.180061, 0.186373, 0.191315, 0.196257, 0.200718, 0.206433, 0.208942, 0.210089, 0.211236, 0.212383, 0.21353, 0.215596, 0.217663, 0.219729, 0.221795, 0.223851, 0.225906, 0.227961, 0.230016, 0.235661, 0.23942, 0.24323, 0.247697, 0.250469, 0.254062, 0.255522, 0.258441, 0.25932, 0.260199, 0.261562, 0.26319, 0.264531, 0.266655, 0.269004, 0.271158, 0.274198, 0.276858, 0.279223, 0.281587, 0.286317, 0.291046, 0.298839, 0.306633, 0.315911, 0.325189, 0.348811");
+            values ( \
+              "0.0089028, 0.0101001, 0.0120784, 0.0147681, 0.0188695, 0.0208285, 0.0221911, 0.0234464, 0.0244747, 0.0257015, 0.026352, 0.0264178, 0.0264483, 0.0264434, 0.0264033, 0.0262419, 0.025966, 0.0255756, 0.0250705, 0.0243044, 0.0233652, 0.0222528, 0.0209673, 0.0164275, 0.0139602, 0.0117798, 0.00943264, 0.00819944, 0.00681165, 0.00630543, 0.00539295, 0.00536949, 0.005417, 0.00616095, 0.00586025, 0.00551851, 0.00493198, 0.00421871, 0.00367535, 0.00297244, 0.00242325, 0.00201593, 0.00166391, 0.00118845, 0.000808799, 0.000474937, 0.000235819, 0.000125037, 4.78311e-05, 2.83578e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0046474");
+            index_3 ("0.139674, 0.159215, 0.217912, 0.225071, 0.23223, 0.246942, 0.248758, 0.250575, 0.253952, 0.255641, 0.25733, 0.258056, 0.259507, 0.260233, 0.261531, 0.26283, 0.265334, 0.268508, 0.282411, 0.29131, 0.294992, 0.302358, 0.313686, 0.317166, 0.322207, 0.330939, 0.338354, 0.346536, 0.352344, 0.358152, 0.365494, 0.37229, 0.379086, 0.388148, 0.392679, 0.39721, 0.405744, 0.414278, 0.422812, 0.431346, 0.441407, 0.451469, 0.46153, 0.471592, 0.484475, 0.497358, 0.510242, 0.523125, 0.704225, 58.2344");
+            values ( \
+              "0.0164387, 0.0181384, 0.0535443, 0.0572626, 0.0605893, 0.0662757, 0.0667889, 0.067133, 0.0668399, 0.0667532, 0.0667066, 0.0666988, 0.0667053, 0.0667197, 0.0665708, 0.0663262, 0.0648807, 0.0619932, 0.0466443, 0.0378829, 0.034651, 0.0289152, 0.0213594, 0.0193977, 0.0169026, 0.013174, 0.0104607, 0.00824608, 0.00694189, 0.00581784, 0.00461001, 0.0036202, 0.00280603, 0.00206747, 0.00174927, 0.00146511, 0.00113866, 0.000855464, 0.00061551, 0.000418802, 0.000308899, 0.000214798, 0.000136498, 7.39992e-05, 5.29164e-05, 3.38252e-05, 1.67255e-05, 1.61738e-06, 2.76565e-09, 2.59236e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173306");
+            index_3 ("0.17232, 0.214502, 0.24072, 0.259954, 0.261128, 0.262121, 0.262968, 0.26454, 0.266713, 0.270777, 0.300483, 0.320819, 0.343493, 0.346833, 0.349909, 0.362225, 0.372084, 0.386875, 0.394271, 0.411475, 0.41871, 0.46397, 0.482444, 0.493861, 0.505278, 0.520501, 0.541244, 0.559723, 0.570083, 0.580443, 0.593843, 0.607243, 0.618658, 0.630073, 0.648647, 0.654838, 0.66363, 0.690003, 0.705276, 0.712913, 0.728187, 0.735823, 0.76637, 0.796917, 0.845904, 0.870397, 0.894891, 0.953025, 1.01116, 1.1569");
+            values ( \
+              "0.064312, 0.0656824, 0.0880557, 0.103757, 0.106857, 0.107765, 0.107776, 0.107722, 0.107519, 0.106795, 0.101112, 0.0970512, 0.0922463, 0.091718, 0.0910469, 0.088105, 0.0854594, 0.0811408, 0.0788424, 0.0731351, 0.0705835, 0.0537494, 0.0470689, 0.0432204, 0.0396219, 0.0351379, 0.0295579, 0.0249587, 0.0228055, 0.0207868, 0.0184174, 0.0161891, 0.0144164, 0.0128309, 0.01074, 0.0101055, 0.00930613, 0.00709361, 0.00593955, 0.00543602, 0.00452625, 0.00412001, 0.00296329, 0.00203751, 0.00121875, 0.000894273, 0.000626399, 0.000332023, 0.000126869, 4.77487e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0646276");
+            index_3 ("0.130104, 0.273711, 0.28345, 0.638283, 0.766596, 0.837452, 0.963511, 1.15208, 1.26823, 1.38821, 1.49204, 1.55919, 1.64599, 1.7037, 1.78064, 1.92029, 1.9839, 2.07927, 2.20643, 2.46076, 2.84087, 3.30426, 3.89605, 62.9235");
+            values ( \
+              "0.00173041, 0.1206, 0.120294, 0.100353, 0.0923667, 0.0870701, 0.0754245, 0.0553043, 0.0436191, 0.0331021, 0.0256152, 0.0215206, 0.0170645, 0.0145753, 0.0117556, 0.00786958, 0.00654812, 0.00495742, 0.00339264, 0.00153658, 0.000417548, 7.84283e-05, 1.08124e-06, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.241003");
+            index_3 ("0.185912, 0.274044, 1.67051, 2.03332, 2.28216, 2.54597, 3.01079, 3.77353, 4.13103, 4.68628, 4.94986, 5.19654, 5.51525, 5.7274, 6.01027, 6.52119, 6.75384, 7.1021, 7.56645, 8.49514, 9.75361, 11.0121, 12.2706, 17.3044, 62.924");
+            values ( \
+              "0.0794666, 0.12506, 0.103636, 0.0978222, 0.0934226, 0.088048, 0.076354, 0.0541572, 0.0443145, 0.0311947, 0.0260798, 0.0219085, 0.0173655, 0.0148263, 0.0119513, 0.00800583, 0.00666418, 0.00505041, 0.00346075, 0.00157234, 0.000499723, 0.000163959, 4.80877e-05, 1e-22, 2.74141e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.898722");
+            index_3 ("0.300285, 0.300305, 2.79734, 5.66045, 7.22193, 8.91578, 10.8352, 14.6481, 16.7028, 17.7745, 18.7069, 20.2478, 20.8937, 22.1018, 23.3603, 24.2925, 26.0209, 27.2794, 28.5378, 29.7963, 32.3133, 34.8302, 39.8641, 47.4149, 62.9227");
+            values ( \
+              "1e-22, 0.136143, 0.115706, 0.103937, 0.0971392, 0.0883098, 0.0751415, 0.045605, 0.0322689, 0.0265499, 0.0222392, 0.0164131, 0.0144128, 0.0112299, 0.00862703, 0.0070719, 0.00488635, 0.00371048, 0.0028233, 0.00213507, 0.0012231, 0.00069847, 0.000225716, 3.9277e-05, 4.57115e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.475612, 0.527279, 0.537472, 0.549337, 0.573241, 0.589078, 0.607794, 0.618274, 0.627284, 0.638317, 0.648894, 0.664077, 0.672867, 0.678141, 0.683416, 0.688141, 0.692866, 0.697591, 0.702316, 0.70704, 0.716489, 0.721214, 0.722249, 0.729876, 0.749188, 0.757109, 0.771395, 0.778817, 0.789254, 0.799522, 0.807262, 0.813897, 0.827165, 0.834689, 0.842213, 0.849737, 0.857261, 0.866146, 0.875032, 0.883917, 0.892803, 0.931158, 0.969513, 1.00787, 1.04623, 1.07416, 1.0847, 1.09524, 1.18223, 58.9962");
+            values ( \
+              "0.00194476, 0.00211189, 0.00274206, 0.00364203, 0.00586643, 0.00718634, 0.00858596, 0.0092393, 0.00970372, 0.010193, 0.0105945, 0.0110838, 0.0113369, 0.0114005, 0.0114422, 0.011461, 0.0114624, 0.0114462, 0.0114125, 0.0113336, 0.01109, 0.0109252, 0.0108388, 0.00975358, 0.0061641, 0.00493794, 0.00316253, 0.00248518, 0.00172921, 0.0011488, 0.000801091, 0.000597787, 0.000307671, 0.000220408, 0.000147539, 8.90658e-05, 4.49872e-05, 3.88055e-05, 3.44761e-05, 3.19991e-05, 3.13745e-05, 4.99348e-05, 0.000103011, 0.000190604, 0.000312714, 3.15989e-05, 1.53928e-05, 2.02393e-06, 2.57196e-08, 6.68193e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0046474");
+            index_3 ("0.488925, 0.549098, 0.560194, 0.592322, 0.610926, 0.642772, 0.670427, 0.681045, 0.705915, 0.72173, 0.757025, 0.760892, 0.768625, 0.772492, 0.779318, 0.786144, 0.79297, 0.799796, 0.80662, 0.813443, 0.820267, 0.827935, 0.83551, 0.872427, 0.898223, 0.908089, 0.924118, 0.937343, 0.952266, 0.962214, 0.98211, 1.00012, 1.0115, 1.02288, 1.03425, 1.04122, 1.04554, 1.04986, 1.05654, 1.06323, 1.07364, 1.08406, 1.09448, 1.11216, 1.121, 1.12984, 1.15805, 1.18626, 1.44571, 58.8346");
+            values ( \
+              "0.00659057, 0.00664919, 0.0080811, 0.0129036, 0.0154048, 0.0192316, 0.0222144, 0.023232, 0.0253204, 0.0265097, 0.0289468, 0.0290959, 0.0293184, 0.0293919, 0.02946, 0.0294496, 0.0293606, 0.029193, 0.0288128, 0.0283003, 0.0276557, 0.0267483, 0.0249102, 0.0146712, 0.00898468, 0.00723532, 0.0050552, 0.00367704, 0.00238557, 0.0017311, 0.00103795, 0.000582362, 0.000378694, 0.000240177, 0.000166811, 0.00079703, 0.000787571, 0.000765136, 0.000704902, 0.000613643, 0.000386329, 0.000276123, 0.000186552, 9.91978e-05, 6.47366e-05, 3.64192e-05, 1.68133e-05, 4.14671e-07, 4.01155e-09, 3.46679e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173306");
+            index_3 ("0.501206, 0.58451, 0.657804, 0.816788, 0.843709, 0.87063, 0.925521, 0.939, 0.951345, 0.957517, 0.96369, 0.974809, 0.985929, 0.997049, 1.00817, 1.01007, 1.02782, 1.04129, 1.04991, 1.05745, 1.08703, 1.09984, 1.12378, 1.14438, 1.16364, 1.18349, 1.20669, 1.22436, 1.24203, 1.2597, 1.28811, 1.30009, 1.31446, 1.33363, 1.3528, 1.37196, 1.39113, 1.4103, 1.42947, 1.45591, 1.48236, 1.5088, 1.53525, 1.56764, 1.60004, 1.63244, 1.66484, 1.74697, 1.82911, 19.0083");
+            values ( \
+              "0.0133987, 0.0151518, 0.0273583, 0.0527351, 0.056599, 0.0601663, 0.0665735, 0.0685038, 0.0682179, 0.0680333, 0.0678208, 0.067368, 0.0668249, 0.0661917, 0.0654682, 0.0651719, 0.0611807, 0.0566134, 0.0550067, 0.0530459, 0.0428057, 0.0388333, 0.0320251, 0.0266235, 0.02247, 0.0188142, 0.014931, 0.0125177, 0.0105937, 0.00890745, 0.00668029, 0.00582811, 0.00490115, 0.00392718, 0.00310101, 0.00255359, 0.00206665, 0.00164021, 0.00127425, 0.000982814, 0.000730414, 0.000517052, 0.000342728, 0.000249701, 0.000170381, 0.000104769, 5.28653e-05, 2.40987e-05, 6.49341e-07, 6.85646e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0646276");
+            index_3 ("0.520869, 0.645627, 0.771153, 0.991131, 1.04102, 1.05054, 1.06433, 1.18539, 1.29932, 1.42272, 1.54878, 1.73735, 1.85351, 1.97349, 2.07731, 2.14446, 2.23126, 2.28897, 2.36591, 2.50556, 2.56917, 2.66453, 2.79168, 3.04598, 3.42578, 3.88914, 4.48079, 62.9237");
+            values ( \
+              "0.0193387, 0.0277992, 0.0522786, 0.0994124, 0.109252, 0.109904, 0.109389, 0.102584, 0.0958465, 0.0870498, 0.0754432, 0.0553015, 0.0436195, 0.0331014, 0.0256152, 0.0215213, 0.0170644, 0.0145753, 0.0117558, 0.00786961, 0.00654836, 0.0049578, 0.00339277, 0.00153693, 0.000418065, 7.87006e-05, 9.53073e-07, 4.30159e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.241003");
+            index_3 ("0.521205, 0.805378, 1.04136, 1.0591, 1.08274, 1.10407, 1.24099, 2.25242, 2.67239, 2.93272, 3.12819, 3.51913, 4.37598, 4.67124, 5.06493, 5.2333, 5.46827, 5.73561, 6.08088, 6.37498, 6.6927, 7.14377, 7.33928, 7.7303, 8.51235, 9.76973, 11.0282, 12.2867, 13.5451, 16.0621, 62.9241");
+            values ( \
+              "0.010913, 0.0622608, 0.120781, 0.122046, 0.121417, 0.121299, 0.119018, 0.10367, 0.0968554, 0.0921116, 0.0880439, 0.0783649, 0.0535557, 0.0454023, 0.0356418, 0.0319309, 0.0272553, 0.0225909, 0.0175749, 0.0141088, 0.0110566, 0.00776254, 0.0066461, 0.00486319, 0.00253067, 0.000819892, 0.000258873, 8.55193e-05, 2.42864e-05, 5.30882e-07, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.898722");
+            index_3 ("0.678543, 1.05794, 1.06986, 5.4744, 7.4933, 8.89406, 9.49084, 10.6844, 15.2221, 16.4806, 17.7361, 19.2809, 20.8218, 21.4678, 22.6758, 23.9343, 24.8665, 25.6072, 26.5947, 27.8532, 30.3702, 32.8871, 35.404, 40.4379, 47.9887, 62.9213");
+            values ( \
+              "0.0598425, 0.125522, 0.125256, 0.107127, 0.0985565, 0.091723, 0.0882776, 0.0805125, 0.0455845, 0.0371063, 0.0296932, 0.022222, 0.0163964, 0.0144292, 0.0112135, 0.00864319, 0.00705584, 0.0060223, 0.00490163, 0.00369563, 0.00212036, 0.00120856, 0.000684106, 0.000211713, 2.58206e-05, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("1.72955, 1.94157, 1.95518, 1.98764, 2.02011, 2.03536, 2.04778, 2.0602, 2.07883, 2.09575, 2.11717, 2.13768, 2.17817, 2.21131, 2.25522, 2.27638, 2.29348, 2.30708, 2.34786, 2.37303, 2.3982, 2.42007, 2.44659, 2.45845, 2.47031, 2.48218, 2.49404, 2.51777, 2.5415, 2.55297, 2.56003, 2.56835, 2.57833, 2.61935, 2.6466, 2.67003, 2.69269, 2.70503, 2.72971, 2.75439, 2.76673, 2.77907, 2.79509, 2.8111, 2.82712, 2.84313, 2.92322, 3.56567, 4.41111, 10.8388");
+            values ( \
+              "0.000295356, 0.000339011, 0.000367327, 0.000447344, 0.000541564, 0.000605133, 0.000672114, 0.000752761, 0.000907884, 0.00107846, 0.00133494, 0.00163174, 0.0023319, 0.00285105, 0.00344286, 0.00367813, 0.00384025, 0.00394349, 0.00420829, 0.00433324, 0.00444218, 0.00452485, 0.0046116, 0.00460682, 0.00459949, 0.00458961, 0.00457718, 0.00454467, 0.00450195, 0.00440652, 0.00431392, 0.00414969, 0.00388538, 0.00239251, 0.00155595, 0.00106462, 0.000717569, 0.000560559, 0.000348092, 0.000235654, 0.000189677, 0.000150528, 0.000122113, 9.70558e-05, 7.53575e-05, 5.70176e-05, 1.56955e-05, 9.81883e-06, 1.26578e-07, 1.32359e-08" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0046474");
+            index_3 ("1.69991, 1.92739, 1.95584, 1.97202, 2.00439, 2.03163, 2.06478, 2.08532, 2.10586, 2.1264, 2.14965, 2.17291, 2.23581, 2.27992, 2.34798, 2.38301, 2.39469, 2.41312, 2.44999, 2.46842, 2.51907, 2.56039, 2.60037, 2.61606, 2.63174, 2.64743, 2.66311, 2.6788, 2.69449, 2.71017, 2.72586, 2.74162, 2.75584, 2.78061, 2.83181, 2.86291, 2.88027, 2.89763, 2.92067, 2.937, 2.94926, 2.96559, 2.97376, 3.03911, 3.61927, 4.19943, 5.39242");
+            values ( \
+              "0.000869489, 0.000988732, 0.00114252, 0.00124476, 0.00147708, 0.00171043, 0.00206211, 0.00236666, 0.00276327, 0.0032127, 0.00378524, 0.00442546, 0.00644002, 0.00773192, 0.00951129, 0.0102714, 0.0104984, 0.0108086, 0.0113731, 0.0116275, 0.0122247, 0.0126397, 0.0130044, 0.0129955, 0.012977, 0.0129491, 0.0129116, 0.0128646, 0.0128081, 0.0127421, 0.0126666, 0.0123057, 0.0117423, 0.010362, 0.00685941, 0.004944, 0.00403803, 0.00327378, 0.00240573, 0.0018532, 0.00151993, 0.00115173, 0.000989868, 0.000228652, 0.000140832, 5.58587e-05, 5.2992e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173306");
+            index_3 ("1.81324, 2.06003, 2.08551, 2.11786, 2.1502, 2.21489, 2.27958, 2.36145, 2.42329, 2.4921, 2.58327, 2.64061, 2.73172, 2.76209, 2.81742, 2.9196, 2.94811, 2.97663, 3.00174, 3.02685, 3.05196, 3.07707, 3.10218, 3.12729, 3.1524, 3.17751, 3.181, 3.20259, 3.22898, 3.35347, 3.42428, 3.45637, 3.49482, 3.55093, 3.59189, 3.62829, 3.6647, 3.79271, 3.92071, 4.04872, 4.17673, 4.19625, 4.21335, 4.24755, 4.28176, 4.31597, 4.38438, 4.45279, 5.27374, 59.8351");
+            values ( \
+              "0.0041336, 0.00432984, 0.00480525, 0.00565779, 0.00659577, 0.00872801, 0.011202, 0.0145941, 0.0169076, 0.019252, 0.0221044, 0.023662, 0.0257381, 0.0263713, 0.0274357, 0.0292604, 0.029856, 0.0305301, 0.0304335, 0.0302871, 0.0300911, 0.0298454, 0.0295501, 0.0292051, 0.0288104, 0.0283661, 0.0282463, 0.0270006, 0.0251183, 0.0149889, 0.00988198, 0.00806801, 0.00621767, 0.00405057, 0.00276281, 0.00195951, 0.00124159, 0.000816201, 0.000498743, 0.000289214, 0.000187613, 0.000181604, 0.000142328, 9.44151e-05, 5.9454e-05, 3.22564e-05, 1.55732e-05, 1.10226e-06, 1.15538e-08, 7.00266e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0646276");
+            index_3 ("1.5529, 2.08317, 2.17864, 2.30593, 2.60551, 2.9324, 3.1787, 3.3861, 3.57129, 3.64892, 3.74332, 3.91348, 3.9961, 4.10263, 4.18546, 4.2951, 4.42923, 4.50099, 4.59398, 4.67189, 4.75913, 4.88265, 4.93655, 5.00865, 5.10478, 5.29704, 5.65955, 6.08687, 6.63313, 7.35728, 62.9232");
+            values ( \
+              "0.00132182, 0.00765673, 0.0103347, 0.0149985, 0.0280128, 0.0411454, 0.0507259, 0.0582028, 0.0638572, 0.0657056, 0.0671822, 0.0652043, 0.0610816, 0.053613, 0.0469066, 0.0368204, 0.0265787, 0.0220918, 0.017234, 0.0139224, 0.0108991, 0.00763693, 0.00653421, 0.00529609, 0.00398955, 0.00222151, 0.000661658, 0.000143416, 1.46985e-05, 1e-22, 1.07205e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.241003");
+            index_3 ("2.01841, 2.58936, 3.22132, 3.96861, 4.16519, 4.19592, 4.25737, 4.34429, 4.44935, 4.59109, 4.7924, 5.01141, 5.46625, 5.82198, 7.00893, 7.56081, 8.10736, 8.51756, 8.68788, 9.01469, 9.47273, 9.76948, 10.2511, 10.8187, 11.8443, 13.1027, 14.3612, 16.8782, 18.1366, 19.3951, 62.9237");
+            values ( \
+              "0.0194961, 0.0292731, 0.0605777, 0.100404, 0.109796, 0.109971, 0.108472, 0.10768, 0.105574, 0.103873, 0.100237, 0.0970705, 0.0882037, 0.0794225, 0.0453294, 0.0320841, 0.0220003, 0.0162837, 0.0144359, 0.0111475, 0.00786927, 0.00613871, 0.00416153, 0.00268104, 0.00104369, 0.00038808, 6.97536e-05, 1e-22, 4.44096e-05, 1e-22, 3.62357e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.898722");
+            index_3 ("2.46797, 3.34596, 4.18565, 9.13061, 10.8408, 11.8126, 13.732, 17.5449, 20.0589, 21.6037, 22.8216, 24.9986, 27.1893, 28.9177, 31.4347, 35.2101, 38.9855, 44.0194, 62.9161");
+            values ( \
+              "0.0508153, 0.0711607, 0.121965, 0.101515, 0.0936477, 0.0883172, 0.0751485, 0.045611, 0.0297167, 0.0222447, 0.0175076, 0.0112352, 0.00707717, 0.00488127, 0.00281827, 0.00122806, 0.000529049, 0.000171541, 1.27227e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("5.82197, 7.40375, 7.56639, 7.89168, 8.0323, 8.21982, 8.33706, 8.56509, 8.76259, 8.86663, 9.0363, 9.19917, 9.27126, 9.35835, 9.51612, 9.67544, 9.74713, 9.99875, 10.099, 10.1814, 10.3121, 10.4024, 10.5674, 10.7874, 11.2246, 11.7317, 12.3537, 16.6662, 16.9808, 17.4911, 17.9458, 18.8551, 20.1136, 21.3721, 22.6305, 23.889, 25.1475, 26.4059, 27.6644, 28.9229, 30.1813, 31.4398, 32.6983, 62.9231");
+            values ( \
+              "2.45577e-06, 5.82336e-05, 6.88275e-05, 0.0001138, 0.000156203, 0.000255528, 0.000390722, 0.00087549, 0.00115891, 0.0012432, 0.00131917, 0.00136086, 0.00137176, 0.00137819, 0.0013855, 0.0013509, 0.00128674, 0.000408592, 0.000255921, 0.00019115, 0.00013406, 0.000109321, 7.49757e-05, 4.53065e-05, 1.21775e-05, 3.79784e-06, 1e-22, 2e-22, 1.56313e-06, 1e-22, 1.18365e-06, 1e-22, 1.039e-06, 1e-22, 9.29135e-07, 1e-22, 8.28729e-07, 1e-22, 7.36987e-07, 1e-22, 6.53171e-07, 1e-22, 5.76606e-07, 1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0046474");
+            index_3 ("5.82232, 7.43865, 7.62923, 7.98332, 8.07991, 8.27307, 8.33741, 8.43963, 8.73834, 8.9078, 9.02997, 9.22531, 9.43378, 9.58312, 9.7557, 9.92829, 10.0095, 10.0878, 10.2994, 10.4247, 10.485, 10.5913, 10.7329, 10.9708, 11.2787, 11.7017, 12.2984, 13.1182, 16.6666, 16.9812, 17.5171, 17.9998, 18.9653, 20.2238, 21.4823, 22.7407, 23.9992, 62.9235");
+            values ( \
+              "9.72619e-06, 0.000215292, 0.000269328, 0.000457547, 0.000572887, 0.000885011, 0.00109243, 0.00147707, 0.00300325, 0.00363937, 0.00395713, 0.00435843, 0.00460108, 0.00469962, 0.00472211, 0.00465983, 0.00445739, 0.00394955, 0.00158116, 0.000803987, 0.000600805, 0.000383128, 0.000241391, 0.000118362, 5.91606e-05, 7.06016e-06, 1.21532e-06, 1e-22, 2e-22, 5.36432e-06, 1e-22, 3.11633e-06, 1e-22, 2.39478e-06, 1e-22, 1.88847e-06, 1e-22, 2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173306");
+            index_3 ("5.82127, 7.53701, 7.78262, 8.15393, 8.33636, 8.48658, 9.1287, 9.44759, 9.72152, 9.97288, 10.2113, 10.4437, 10.6762, 10.8238, 11.1975, 11.4749, 11.6401, 11.8949, 12.1943, 12.5653, 16.6655, 16.8442, 17.0596, 17.9213, 62.9224");
+            values ( \
+              "2.07013e-05, 0.00079211, 0.00105788, 0.00177099, 0.00247406, 0.00330162, 0.00850946, 0.010451, 0.0116578, 0.0124613, 0.0129357, 0.0131491, 0.0128746, 0.0117516, 0.00481896, 0.00163049, 0.000760857, 0.000185665, 2.24483e-05, 1e-22, 2e-22, 2.00551e-05, 6.89134e-07, 1e-22, 2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0646276");
+            index_3 ("3.52818, 4.5618, 5.82027, 7.07781, 7.63852, 7.98694, 8.33536, 8.51962, 8.88813, 9.69002, 9.98252, 10.4139, 10.8772, 11.2994, 11.6962, 12.0802, 12.4642, 12.5684, 13.2885, 13.4566, 13.7351, 14.0104, 14.3249, 14.8371, 15.4341, 62.9214");
+            values ( \
+              "1.21282e-05, 4.23313e-05, 0.000315598, 0.00128886, 0.0023369, 0.00331485, 0.00481098, 0.00594894, 0.00915942, 0.0174437, 0.0199324, 0.0230505, 0.0257802, 0.0277684, 0.0291267, 0.0297664, 0.0281021, 0.0266923, 0.0118415, 0.00893152, 0.00526308, 0.00295816, 0.00139121, 0.000282864, 1e-22, 4.16361e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.241003");
+            index_3 ("7.07536, 8.3329, 8.55543, 9.00049, 10.3005, 12.5148, 13.3189, 14.0368, 14.3377, 14.7029, 15.3613, 16.0182, 17.3998, 18.1701, 18.8965, 19.2692, 20.0023, 20.9799, 22.2384, 23.4968, 24.7553, 62.919");
+            values ( \
+              "0.00688209, 0.00785472, 0.00932928, 0.0130181, 0.027083, 0.0488207, 0.0559753, 0.0614386, 0.0632621, 0.0648039, 0.06342, 0.0541629, 0.0250827, 0.0142759, 0.00812089, 0.00605186, 0.00334609, 0.00144606, 0.000462714, 0.000148347, 4.68146e-05, 2.43838e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.898722");
+            index_3 ("8.34207, 10.1523, 11.6321, 15.2121, 16.6712, 17.9796, 19.5424, 20.2627, 21.2349, 22.4933, 23.1543, 25.8716, 26.9672, 28.2257, 29.175, 30.0936, 31.026, 32.2439, 33.2128, 34.4209, 35.6793, 36.6116, 37.3523, 38.34, 39.5985, 40.8569, 42.1154, 43.3739, 45.8908, 49.6662, 54.7001, 62.9281");
+            values ( \
+              "0.0238754, 0.0272138, 0.0446323, 0.0916615, 0.109241, 0.103934, 0.0971293, 0.0936537, 0.0883131, 0.0800284, 0.0751447, 0.0537823, 0.0456079, 0.0370835, 0.031403, 0.026547, 0.0222421, 0.0175103, 0.0144101, 0.0112327, 0.00862429, 0.00707469, 0.00604019, 0.00488366, 0.0037132, 0.00282061, 0.00213777, 0.00162075, 0.000928637, 0.000400414, 0.000130075, 2.15775e-05" \
+            );
+          }
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.018714, 0.0192201, 0.0195959, 0.0199717, 0.02122, 0.0222936, 0.0233672, 0.0237219, 0.0251701, 0.0266766, 0.027969, 0.0291339, 0.0304315, 0.0314252, 0.0329169, 0.0335136, 0.0341079, 0.0352967, 0.036131, 0.0369653, 0.0376911, 0.0391427, 0.0402863, 0.042044, 0.0439976, 0.0464055, 0.0479986, 0.04986, 0.0509012, 0.0522259, 0.0534872, 0.0541178, 0.0557746, 0.0571606, 0.0590087, 0.0596248, 0.0608568, 0.0620889, 0.063321, 0.064553, 0.0663559, 0.0681587, 0.0699615, 0.0717643, 0.0761865, 0.0806087, 0.0878007, 0.109377, 0.203042, 0.71686, 0.792624");
+            values ( \
+              "-0.0867765, -0.0874762, -0.0877862, -0.0879842, -0.0878386, -0.0876717, -0.0874662, -0.0873566, -0.0868016, -0.0860978, -0.0854003, -0.0846733, -0.0837368, -0.0829434, -0.0814207, -0.0807028, -0.0798478, -0.0775185, -0.0751697, -0.0724913, -0.069893, -0.0639482, -0.0585445, -0.0485959, -0.0384327, -0.027552, -0.0219928, -0.0164165, -0.0138977, -0.0112991, -0.0092273, -0.0083221, -0.00626625, -0.00478287, -0.00334371, -0.00294278, -0.00240616, -0.00192908, -0.00151153, -0.00115351, -0.000866944, -0.00062116, -0.000416156, -0.000251931, -0.000132273, -3.70158e-05, -3.01093e-05, -1.3002e-05, -1.55491e-06, -1.52146e-10, -0.0014172" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0046474");
+            index_3 ("0.0193076, 0.0198461, 0.0203207, 0.020729, 0.0209331, 0.0215918, 0.0238613, 0.0276731, 0.0315445, 0.0323859, 0.0388785, 0.0449736, 0.0506941, 0.05442, 0.0573999, 0.060379, 0.0634719, 0.0663026, 0.069902, 0.0717017, 0.082897, 0.0868516, 0.089471, 0.0930172, 0.096461, 0.0989658, 0.101285, 0.105735, 0.111191, 0.112556, 0.115285, 0.118015, 0.123475, 0.126204, 0.128934, 0.133073, 0.137212, 0.141352, 0.145491, 0.150547, 0.155603, 0.160659, 0.165715, 0.172235, 0.178755, 0.185274, 0.191794, 0.229346, 0.295535, 22.6998, 24.5379");
+            values ( \
+              "-0.134634, -0.13488, -0.134944, -0.13494, -0.13493, -0.134853, -0.134331, -0.133228, -0.131914, -0.131699, -0.129265, -0.12665, -0.123781, -0.121474, -0.119245, -0.116158, -0.111308, -0.105848, -0.0974959, -0.0927281, -0.0555426, -0.0442565, -0.0380031, -0.0306647, -0.0242495, -0.0206805, -0.0178011, -0.0132206, -0.00887274, -0.00793272, -0.00638959, -0.00507807, -0.00342661, -0.00274073, -0.00214808, -0.00164528, -0.00121153, -0.000846856, -0.000551242, -0.000406672, -0.000283271, -0.000181039, -9.99752e-05, -7.37525e-05, -5.13536e-05, -3.27783e-05, -1.80268e-05, -7.50026e-06, -8.14572e-07, -2.75556e-10, -0.000207147" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173306");
+            index_3 ("0.01961, 0.0219937, 0.0488231, 0.0719546, 0.0994666, 0.120527, 0.137374, 0.151826, 0.16507, 0.188227, 0.223749, 0.246835, 0.266714, 0.277297, 0.295966, 0.316915, 0.33359, 0.366939, 0.419004, 0.482224, 0.563359, 0.880405, 45.5196");
+            values ( \
+              "-0.157728, -0.15793, -0.154627, -0.151247, -0.146821, -0.1429, -0.139099, -0.134773, -0.12887, -0.108722, -0.0652146, -0.0429859, -0.0290618, -0.023396, -0.0157338, -0.0100164, -0.00693277, -0.00319736, -0.000844456, -0.000144242, -5.66892e-06, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0646276");
+            index_3 ("0.0196448, 0.0202869, 0.021725, 0.0392939, 0.0677309, 0.106166, 0.159077, 0.20359, 0.257952, 0.331832, 0.387921, 0.439519, 0.456759, 0.473999, 0.491239, 0.503049, 0.52667, 0.53848, 0.55915, 0.57982, 0.60049, 0.62116, 0.631083, 0.669786, 0.703747, 0.747574, 0.777422, 0.796694, 0.829811, 0.861721, 0.895928, 0.910071, 0.928928, 0.954984, 0.988238, 1.00487, 1.04608, 1.07949, 1.10919, 1.13889, 1.16859, 1.19829, 1.22799, 1.25769, 1.30406, 1.35043, 1.44318, 1.49939, 1.66803, 1.95708");
+            values ( \
+              "-0.165167, -0.16538, -0.165494, -0.165112, -0.164169, -0.162659, -0.160398, -0.15834, -0.155688, -0.151822, -0.148583, -0.145057, -0.143692, -0.142106, -0.140297, -0.138668, -0.134917, -0.132794, -0.128682, -0.124065, -0.118944, -0.113318, -0.110205, -0.0956417, -0.0833072, -0.068258, -0.0588535, -0.0531714, -0.0448936, -0.0378477, -0.0308826, -0.0284546, -0.0255907, -0.0220604, -0.0181597, -0.0164458, -0.0127284, -0.0100613, -0.00816629, -0.00654784, -0.00544927, -0.00446511, -0.00359537, -0.00284005, -0.00217056, -0.00159263, -0.00071141, -0.000512763, -9.51269e-05, -1.3775e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.241003");
+            index_3 ("0.0197788, 0.0217518, 0.0238305, 0.0405268, 0.0540921, 0.0703567, 0.140167, 0.146331, 0.158659, 0.542271, 0.544291, 0.884409, 1.33809, 1.63054, 1.93046, 1.99151, 2.11362, 2.26899, 2.69905, 3.00113, 3.26293, 3.40255, 3.64686, 3.75734, 3.92059, 4.13825, 4.57357, 5.25624, 6.08464, 6.99504, 45.5197");
+            values ( \
+              "-0.167185, -0.16774, -0.167656, -0.167922, -0.167797, -0.167895, -0.167364, -0.16716, -0.16717, -0.162219, -0.162341, -0.157785, -0.150999, -0.145396, -0.136357, -0.13345, -0.125574, -0.111703, -0.0690916, -0.0455882, -0.0307852, -0.024744, -0.0166685, -0.0139206, -0.0106357, -0.00736833, -0.00342343, -0.000899858, -0.000161155, -1.2838e-05, -6.74312e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.898722");
+            index_3 ("0.0161339, 0.036792, 0.146293, 2.90225, 5.05587, 5.7631, 6.47214, 7.11917, 8.02956, 10.4702, 11.6367, 12.2254, 13.0103, 13.8691, 14.3268, 15.2372, 16.1475, 17.9683, 19.7891, 21.6099, 27.0723, 45.516");
+            values ( \
+              "-0.167766, -0.168686, -0.169031, -0.159415, -0.150748, -0.147213, -0.142734, -0.136767, -0.120952, -0.0580962, -0.0368968, -0.0289542, -0.0207312, -0.0142464, -0.0115976, -0.00770766, -0.00504949, -0.00216475, -0.00091707, -0.00038165, -1.31288e-05, -1.77708e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.0476248, 0.0540356, 0.0601363, 0.0625419, 0.0644812, 0.0650041, 0.0654362, 0.0659542, 0.0663703, 0.0667267, 0.0670553, 0.0676845, 0.0683169, 0.0688697, 0.0698958, 0.071383, 0.0728373, 0.0742915, 0.0778734, 0.0789398, 0.0808051, 0.0823954, 0.084597, 0.0859794, 0.0872337, 0.0889267, 0.0902727, 0.0914823, 0.0926919, 0.0939016, 0.0963209, 0.0975305, 0.0987402, 0.100484, 0.102229, 0.103973, 0.105718, 0.107869, 0.11002, 0.112171, 0.114322, 0.117104, 0.119886, 0.122669, 0.125451, 0.141866, 0.163959, 0.171323, 0.305596, 16.9711");
+            values ( \
+              "-0.0507636, -0.051529, -0.0659189, -0.0710668, -0.07486, -0.078619, -0.0794062, -0.080828, -0.0814513, -0.0813549, -0.0812147, -0.0808086, -0.0800765, -0.0792333, -0.0771658, -0.0726397, -0.0671473, -0.0606346, -0.0411937, -0.0358838, -0.0276402, -0.0220718, -0.0155284, -0.0125353, -0.0102878, -0.0078176, -0.00616206, -0.00486356, -0.003865, -0.00302197, -0.00199137, -0.00156772, -0.00120515, -0.000921698, -0.000677413, -0.000472298, -0.000306352, -0.000227409, -0.000160186, -0.000104683, -6.09007e-05, -4.84035e-05, -3.76813e-05, -2.87342e-05, -2.15621e-05, -1.53776e-05, -7.95639e-06, -5.71277e-06, -2.59083e-07, -3.01383e-11" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0046474");
+            index_3 ("0.0432629, 0.0589572, 0.0661117, 0.0682317, 0.0788698, 0.0871789, 0.0921117, 0.0978269, 0.101942, 0.123323, 0.132322, 0.141494, 0.150909, 0.161669, 0.177473, 0.196682, 0.221129, 0.255896, 0.433413, 45.5197");
+            values ( \
+              "-0.0067462, -0.0975589, -0.129033, -0.131271, -0.127133, -0.12283, -0.119385, -0.113207, -0.105599, -0.0400032, -0.0227374, -0.0122688, -0.00637792, -0.00289308, -0.00083613, -0.000172026, -2.52749e-05, -8.59215e-06, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173306");
+            index_3 ("0.0434813, 0.0599687, 0.0691646, 0.113619, 0.155215, 0.176877, 0.199753, 0.222907, 0.281509, 0.301385, 0.330632, 0.368241, 0.401576, 0.45315, 0.515761, 0.595918, 15.7495, 45.5193");
+            values ( \
+              "-0.000206164, -0.12269, -0.156559, -0.150245, -0.142939, -0.137824, -0.128895, -0.108721, -0.0429811, -0.0290767, -0.0157474, -0.00692634, -0.00320931, -0.00084933, -0.000160282, -1e-22, -1.28003e-05, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0646276");
+            index_3 ("0.0436132, 0.0692585, 0.24095, 0.33912, 0.422951, 0.474549, 0.52627, 0.573509, 0.65619, 0.782604, 0.86484, 0.935671, 0.973385, 1.03989, 1.11451, 1.17391, 1.29269, 1.47651, 1.69963, 1.98531, 2.39035, 45.5195");
+            values ( \
+              "-0.0219522, -0.16556, -0.158244, -0.153347, -0.148592, -0.145106, -0.140657, -0.134696, -0.113799, -0.0682968, -0.0450149, -0.0304004, -0.0245027, -0.0164513, -0.0104722, -0.00727277, -0.00333602, -0.000913639, -0.000144424, -2.09628e-05, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.241003");
+            index_3 ("0.0493505, 0.0696302, 0.0718127, 0.0754771, 0.0785156, 0.0840193, 0.0930291, 0.10608, 0.210607, 0.55124, 0.965317, 1.37436, 1.53819, 1.66624, 1.92232, 2.05647, 2.17003, 2.79097, 3.03678, 3.22858, 3.43764, 3.68218, 3.79277, 3.9563, 4.17435, 4.61044, 5.28716, 6.10825, 7.01865, 45.5202");
+            values ( \
+              "-0.0706553, -0.169471, -0.167582, -0.168178, -0.167846, -0.168062, -0.167864, -0.167969, -0.166995, -0.162702, -0.157111, -0.150944, -0.148014, -0.145395, -0.138136, -0.131825, -0.123931, -0.0641704, -0.0455745, -0.0342484, -0.0247583, -0.0166714, -0.0139206, -0.0106305, -0.00736026, -0.00341407, -0.000911593, -0.000166791, -1.38433e-05, -6.47899e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.898722");
+            index_3 ("0.048453, 0.0686879, 0.0708704, 0.0745349, 0.0775732, 0.105421, 0.24201, 1.40627, 3.62186, 5.09429, 5.80152, 6.51057, 7.15759, 8.06799, 10.5086, 11.6752, 12.2638, 13.0487, 13.9075, 14.3652, 15.2756, 16.186, 18.0068, 19.8275, 21.6483, 27.1107, 45.5192");
+            values ( \
+              "-0.071173, -0.170188, -0.168305, -0.168938, -0.168631, -0.169008, -0.168916, -0.164939, -0.156862, -0.150748, -0.147213, -0.142734, -0.136767, -0.120952, -0.0580959, -0.0368966, -0.028954, -0.0207315, -0.0142467, -0.0115974, -0.0077079, -0.00504928, -0.00216453, -0.000916853, -0.000381432, -1.29121e-05, -1.79804e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.134212, 0.142928, 0.152533, 0.163399, 0.171331, 0.17771, 0.186419, 0.19222, 0.194433, 0.19534, 0.197154, 0.19951, 0.202409, 0.203904, 0.206395, 0.213494, 0.217897, 0.220093, 0.222181, 0.225401, 0.228092, 0.230784, 0.233475, 0.237614, 0.239683, 0.241752, 0.244237, 0.246723, 0.249209, 0.251695, 0.253799, 0.255903, 0.258007, 0.260111, 0.261163, 0.262215, 0.264319, 0.267152, 0.269984, 0.272625, 0.275266, 0.280548, 0.285829, 0.301698, 0.317567, 0.361903, 0.384071, 0.406239, 0.617762, 43.5276");
+            values ( \
+              "-0.00997131, -0.0102799, -0.01711, -0.0232933, -0.0274622, -0.0306483, -0.0345707, -0.0368298, -0.0379662, -0.0379376, -0.0378243, -0.0375655, -0.0370737, -0.0367142, -0.0329351, -0.0187703, -0.0114437, -0.00876733, -0.00675385, -0.00424545, -0.00274086, -0.00185113, -0.00116229, -0.000652053, -0.000457252, -0.000302661, -0.000170108, -9.55943e-05, -7.91209e-05, -0.000120687, -0.000242711, -0.000420808, -0.000654979, -0.000945222, -0.000925752, -0.000896872, -0.000810885, -0.000587856, -0.00039227, -0.000234639, -0.000100863, -5.31975e-05, -1.57456e-05, -1.0221e-05, -5.7698e-06, -2.85386e-06, -1.66412e-06, -6.532e-07, -6.76487e-10, -1.92765e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0046474");
+            index_3 ("0.144874, 0.161495, 0.173411, 0.186251, 0.198256, 0.207116, 0.214848, 0.221103, 0.224441, 0.227779, 0.229484, 0.230336, 0.232041, 0.232893, 0.234303, 0.235712, 0.237122, 0.238531, 0.240782, 0.243032, 0.245282, 0.247951, 0.248787, 0.251371, 0.259597, 0.260114, 0.260999, 0.261416, 0.266606, 0.269349, 0.272519, 0.275528, 0.277032, 0.280041, 0.282108, 0.286142, 0.289268, 0.290831, 0.292394, 0.29552, 0.298646, 0.301772, 0.304898, 0.308024, 0.312193, 0.316363, 0.324701, 0.335074, 0.345447, 0.412327");
+            values ( \
+              "-0.0341305, -0.034833, -0.0457, -0.0568852, -0.0669541, -0.0740075, -0.0796838, -0.0840575, -0.0861782, -0.088109, -0.0892383, -0.0895666, -0.0900255, -0.090156, -0.0902273, -0.0901184, -0.0898293, -0.08936, -0.0881951, -0.086547, -0.0844155, -0.0812555, -0.0796664, -0.0731675, -0.0508436, -0.0499927, -0.0490543, -0.0483158, -0.0360756, -0.0305175, -0.0245887, -0.020258, -0.0183937, -0.0150705, -0.0131187, -0.00978997, -0.00758755, -0.00673512, -0.00594847, -0.00457252, -0.00370037, -0.00293092, -0.00226417, -0.00170014, -0.00129933, -0.000953462, -0.000426568, -0.000213795, -6.93062e-05, -2.64466e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173306");
+            index_3 ("0.130034, 0.216368, 0.262039, 0.266049, 0.294848, 0.313768, 0.32765, 0.341268, 0.364409, 0.399936, 0.423017, 0.442891, 0.472134, 0.493068, 0.509729, 0.543051, 0.594136, 0.65612, 0.735277, 0.847338, 45.5196");
+            values ( \
+              "-0.00155108, -0.101804, -0.148298, -0.148899, -0.143386, -0.139085, -0.134933, -0.128894, -0.108754, -0.0652272, -0.042997, -0.02907, -0.0157398, -0.0100228, -0.00693951, -0.00320166, -0.000873986, -0.000157076, -8.5082e-06, -6.67086e-07, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0646276");
+            index_3 ("0.128442, 0.26364, 0.267172, 0.333851, 0.454934, 0.579928, 0.666048, 0.713287, 0.795968, 0.922381, 1.00462, 1.07545, 1.11316, 1.17967, 1.25428, 1.31367, 1.43245, 1.61452, 1.83546, 2.11762, 2.5171, 45.518");
+            values ( \
+              "-0.0014064, -0.163637, -0.163237, -0.160445, -0.154601, -0.147492, -0.14066, -0.134694, -0.113801, -0.0682992, -0.045017, -0.0303991, -0.0245048, -0.01645, -0.010471, -0.00727496, -0.00333483, -0.000930205, -0.000149793, -2.39644e-05, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.241003");
+            index_3 ("0.170418, 0.264539, 0.27039, 0.27688, 0.587752, 1.15566, 1.55142, 1.74166, 1.93238, 2.10644, 2.41116, 2.87662, 3.05755, 3.17952, 3.44042, 3.57933, 3.82431, 3.93509, 4.09917, 4.31794, 4.75548, 5.42622, 6.24002, 7.15041, 45.5187");
+            values ( \
+              "-0.0766545, -0.168155, -0.167552, -0.167823, -0.164013, -0.156373, -0.150289, -0.146764, -0.142291, -0.136323, -0.115218, -0.0691627, -0.0541529, -0.0455922, -0.0307579, -0.0248257, -0.0166356, -0.0138807, -0.0105817, -0.00738403, -0.00335693, -0.00096128, -0.000132281, -5.46738e-05, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.898722");
+            index_3 ("0.142054, 0.267435, 0.275526, 0.284111, 0.295991, 0.313172, 2.74038, 4.08566, 5.23465, 5.94188, 6.65093, 7.29796, 8.20835, 9.59861, 10.649, 11.8155, 12.4042, 13.1891, 14.0479, 14.5055, 15.4159, 16.3263, 17.2367, 18.1471, 19.9679, 21.7887, 27.251, 45.5183");
+            values ( \
+              "-0.0246158, -0.169461, -0.16871, -0.169246, -0.168845, -0.169152, -0.160656, -0.155604, -0.150748, -0.147213, -0.142735, -0.136767, -0.120952, -0.0835462, -0.058096, -0.0368966, -0.028954, -0.0207315, -0.0142467, -0.0115974, -0.00770792, -0.00504929, -0.00334739, -0.00216454, -0.000916857, -0.000381435, -1.29174e-05, -1.79608e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.461028, 0.496034, 0.502236, 0.50637, 0.514639, 0.521866, 0.529059, 0.535225, 0.551849, 0.566705, 0.582736, 0.594654, 0.608273, 0.611675, 0.6266, 0.630815, 0.63503, 0.638407, 0.641785, 0.645162, 0.64854, 0.650989, 0.653438, 0.655887, 0.663678, 0.672918, 0.676848, 0.681012, 0.685527, 0.691219, 0.693306, 0.69609, 0.697481, 0.700265, 0.703048, 0.705831, 0.708615, 0.716434, 0.720343, 0.724253, 0.743864, 0.770223, 0.801513, 0.832802, 0.895381, 0.931769, 0.968157, 1.00454, 1.04093, 1.05368");
+            values ( \
+              "-0.0028075, -0.00309667, -0.00361237, -0.00399601, -0.00485774, -0.00570394, -0.00661879, -0.00736035, -0.00927184, -0.0108756, -0.0124746, -0.0135205, -0.0146222, -0.0148694, -0.0158843, -0.0161066, -0.0162671, -0.0163332, -0.0163495, -0.0163159, -0.0162325, -0.0160954, -0.0157154, -0.0151907, -0.0125988, -0.00782143, -0.00597786, -0.00447221, -0.00319795, -0.00195941, -0.00162368, -0.00125736, -0.00109969, -0.000901971, -0.000727784, -0.000577128, -0.000450004, -0.00028771, -0.000224506, -0.000173265, -9.67352e-05, -4.26896e-05, -1.7139e-05, -3.94509e-06, -3.0133e-06, -1.62975e-05, -3.97489e-05, -7.33673e-05, -0.000117153, -1.76564e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0046474");
+            index_3 ("0.496843, 0.546569, 0.569364, 0.599716, 0.621132, 0.642705, 0.657084, 0.675537, 0.695364, 0.702877, 0.709037, 0.712117, 0.715197, 0.72004, 0.724883, 0.729726, 0.734569, 0.738077, 0.743339, 0.749913, 0.754734, 0.767709, 0.77768, 0.783748, 0.791906, 0.797463, 0.802226, 0.805402, 0.811753, 0.817073, 0.822393, 0.827713, 0.833033, 0.83924, 0.845448, 0.851655, 0.857863, 0.875785, 0.893707, 0.91163, 0.929552, 0.996017, 1.02925, 1.06248, 1.06945, 1.07642, 1.09037, 1.1646, 3.95067, 36.7248");
+            values ( \
+              "-0.0140106, -0.0143002, -0.0189807, -0.0248794, -0.0288183, -0.0325519, -0.034834, -0.0376128, -0.0402647, -0.0413887, -0.0420756, -0.0423397, -0.042551, -0.0426837, -0.0426334, -0.0424002, -0.041984, -0.041382, -0.0392036, -0.0355725, -0.0322846, -0.0218336, -0.014738, -0.0114577, -0.00775464, -0.00567793, -0.00439847, -0.00369687, -0.00251303, -0.00193669, -0.0014388, -0.00101937, -0.0006784, -0.000515158, -0.000368444, -0.00023826, -0.000124603, -8.43767e-05, -5.18215e-05, -2.69378e-05, -9.72543e-06, -1.28701e-05, -5.40062e-05, -0.000121518, -9.75994e-05, -7.07118e-05, -8.02962e-06, -8.95697e-07, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173306");
+            index_3 ("0.532347, 0.611633, 0.649769, 0.692006, 0.720976, 0.752576, 0.764535, 0.7791, 0.812936, 0.830922, 0.858032, 0.864329, 0.870625, 0.875842, 0.881058, 0.886275, 0.891492, 0.899793, 0.908094, 0.916396, 0.924697, 0.926248, 0.931779, 0.937256, 0.952282, 0.973944, 0.985257, 0.996185, 1.00596, 1.01617, 1.02843, 1.06172, 1.07074, 1.07786, 1.08609, 1.09021, 1.10132, 1.11243, 1.11984, 1.12724, 1.13464, 1.14204, 1.15284, 1.16363, 1.17443, 1.18522, 1.26196, 1.30032, 1.33869, 43.6165");
+            values ( \
+              "-0.0334524, -0.0342221, -0.0438497, -0.0541802, -0.0610628, -0.0683304, -0.0710133, -0.0741315, -0.0810368, -0.0845451, -0.0893331, -0.0907933, -0.0925603, -0.0923233, -0.0920279, -0.0916739, -0.0912614, -0.0904843, -0.089559, -0.0884855, -0.0872639, -0.0866771, -0.0833921, -0.0795298, -0.0677615, -0.0500046, -0.0418511, -0.0347908, -0.0288706, -0.0236265, -0.0183498, -0.00959163, -0.00790127, -0.00671589, -0.00551011, -0.00497322, -0.0038783, -0.00294919, -0.0024613, -0.00202099, -0.00162826, -0.00128312, -0.000975551, -0.000695532, -0.000443061, -0.000218135, -0.000106169, -5.24034e-05, -1.16125e-07, -1.75518e-10" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0646276");
+            index_3 ("0.576646, 0.694671, 0.80372, 0.892354, 0.950065, 1.041, 1.04894, 1.08139, 1.12418, 1.16916, 1.19526, 1.22814, 1.25445, 1.2689, 1.28335, 1.29376, 1.31458, 1.325, 1.33749, 1.36249, 1.37499, 1.43394, 1.47742, 1.49515, 1.53589, 1.56469, 1.58943, 1.62745, 1.654, 1.67473, 1.69546, 1.72492, 1.75438, 1.77327, 1.80477, 1.82997, 1.85516, 1.88036, 1.90555, 1.93075, 1.97191, 2.01306, 2.05422, 2.09538, 2.14399, 2.19261, 2.24123, 2.28984, 2.5332, 7.92996");
+            values ( \
+              "-0.0567035, -0.0605305, -0.0910999, -0.11528, -0.13045, -0.153504, -0.153213, -0.151545, -0.14912, -0.146143, -0.144122, -0.141085, -0.138273, -0.136304, -0.13411, -0.132079, -0.127474, -0.124899, -0.121568, -0.114123, -0.110009, -0.0883362, -0.0730846, -0.0671675, -0.0545708, -0.0471296, -0.0414038, -0.0333286, -0.0284485, -0.0253307, -0.0224789, -0.0190204, -0.0158268, -0.0139175, -0.0111555, -0.00928725, -0.00793141, -0.00670343, -0.00560332, -0.00463108, -0.00363736, -0.00277188, -0.00203464, -0.00142563, -0.00106259, -0.000751065, -0.000491058, -0.00028257, -1.33467e-05, -6.54566e-16" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.241003");
+            index_3 ("0.519603, 0.717345, 1.03976, 1.05255, 1.27356, 1.72072, 2.117, 2.30723, 2.49795, 2.67202, 2.97673, 3.44219, 3.62312, 3.7451, 3.9018, 4.00599, 4.14491, 4.38988, 4.50067, 4.66474, 4.88351, 5.32105, 5.98938, 6.80016, 7.71056, 8.62095, 45.5186");
+            values ( \
+              "-0.0196676, -0.0691572, -0.164441, -0.165156, -0.162449, -0.156395, -0.150301, -0.146775, -0.142302, -0.136313, -0.115226, -0.0691683, -0.0541516, -0.0455939, -0.0360563, -0.0307569, -0.0248266, -0.0166347, -0.0138802, -0.0105814, -0.00738449, -0.00335655, -0.000967365, -0.000134572, -5.54057e-05, -1e-22, -3.32484e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.898722");
+            index_3 ("0.712426, 1.03942, 1.07751, 3.64635, 4.65098, 5.79996, 6.5072, 7.21624, 7.86327, 8.77366, 10.1639, 11.2143, 11.7197, 12.3808, 12.9695, 13.7544, 14.6132, 15.0708, 15.9812, 16.8916, 17.802, 18.7124, 20.5332, 22.354, 27.8164, 45.5182");
+            values ( \
+              "-0.120606, -0.167667, -0.168442, -0.159416, -0.155604, -0.150747, -0.147213, -0.142734, -0.136768, -0.120951, -0.0835456, -0.0580965, -0.0480251, -0.0368971, -0.0289545, -0.0207311, -0.0142463, -0.0115979, -0.00770746, -0.00504975, -0.00334693, -0.002165, -0.000917313, -0.000381888, -1.33582e-05, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("1.61023, 1.77346, 1.85087, 1.8939, 2.10727, 2.1836, 2.22492, 2.26483, 2.30471, 2.30522, 2.32722, 2.38888, 2.42814, 2.45362, 2.4881, 2.54652, 2.7802, 2.9979, 3.2759, 3.62559, 4.09679, 4.16647, 4.20131, 4.27099, 4.3277, 4.44112, 4.66798, 5.12168, 6.02908, 6.93947, 7.84986, 8.76026, 9.67065, 10.581, 11.4914, 12.4018, 13.3122, 14.2226, 15.133, 16.0434, 45.5211");
+            values ( \
+              "-0.000186083, -0.000443057, -0.000779192, -0.00109386, -0.00448273, -0.00522657, -0.00544523, -0.0055313, -0.00542733, -0.00542939, -0.00517503, -0.00156558, -0.000452108, -0.000286615, -0.000219519, -0.000160039, -4.54657e-05, -1.92724e-05, -1e-22, -4.94404e-06, -1e-22, -3.87391e-06, -1.55136e-05, -1e-22, -5.89417e-06, -1e-22, -3.74335e-06, -1e-22, -3.31387e-06, -1e-22, -3.04388e-06, -1e-22, -2.78712e-06, -1e-22, -2.5431e-06, -1e-22, -2.31123e-06, -1e-22, -2.09096e-06, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0046474");
+            index_3 ("1.69815, 1.82608, 1.85709, 1.8881, 1.90869, 1.91899, 1.93958, 1.96083, 1.99743, 2.02359, 2.10074, 2.15098, 2.20159, 2.24176, 2.28382, 2.31794, 2.38264, 2.39774, 2.41285, 2.42486, 2.43687, 2.44888, 2.46089, 2.46961, 2.47396, 2.48703, 2.5046, 2.51128, 2.51459, 2.55279, 2.56723, 2.58727, 2.60195, 2.61234, 2.62273, 2.63312, 2.6539, 2.66429, 2.67468, 2.70232, 2.72995, 2.80354, 2.85611, 2.90868, 3.23267, 3.55666, 3.88065, 4.20465, 4.39768, 18.8935");
+            values ( \
+              "-0.00172004, -0.00174467, -0.00213594, -0.00257277, -0.00292768, -0.00314046, -0.00360301, -0.00413208, -0.005172, -0.00607739, -0.00892999, -0.0107177, -0.0123689, -0.0135431, -0.0146203, -0.0154161, -0.0166646, -0.0168701, -0.0170142, -0.0170848, -0.0171166, -0.0171096, -0.0170636, -0.0169732, -0.0168431, -0.0162568, -0.0149953, -0.0143928, -0.0139355, -0.00724898, -0.00518097, -0.00318193, -0.00215445, -0.00158796, -0.00122384, -0.000928389, -0.000640393, -0.000526774, -0.000433408, -0.00034602, -0.000277183, -0.000184346, -0.000126724, -7.30625e-05, -4.68202e-05, -2.63458e-05, -1.16394e-05, -2.70108e-06, -1.1761e-07, -3.21339e-09" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173306");
+            index_3 ("1.68768, 1.8902, 1.95589, 2.01331, 2.08328, 2.34618, 2.42064, 2.51712, 2.63019, 2.71129, 2.75516, 2.82684, 2.83983, 2.8658, 2.90141, 2.98499, 3.01543, 3.04551, 3.0691, 3.11627, 3.19409, 3.28574, 3.40131, 4.16474, 4.20541, 4.28675, 4.40469, 4.64058, 5.00346, 5.72921, 6.63961, 7.55, 8.46039, 9.37079, 10.2812, 11.1916, 12.102, 13.0124, 13.9228, 14.8331, 15.7435, 45.5194");
+            values ( \
+              "-0.00287344, -0.00447697, -0.00637339, -0.00842877, -0.0115197, -0.0256108, -0.0293444, -0.0338308, -0.0384666, -0.0412, -0.0423434, -0.0428476, -0.0423945, -0.0403883, -0.0340004, -0.0152187, -0.0103631, -0.00690604, -0.00493189, -0.00240542, -0.000601065, -0.000103894, -1e-22, -2e-22, -8.89126e-05, -1.39115e-05, -1e-22, -3.56614e-06, -1e-22, -3.42909e-06, -1e-22, -3.37481e-06, -1e-22, -3.32837e-06, -1e-22, -3.28763e-06, -1e-22, -3.25106e-06, -1e-22, -3.21756e-06, -1e-22, -2.79115e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0646276");
+            index_3 ("1.78383, 2.08262, 2.23637, 2.69179, 2.97953, 3.05382, 3.24934, 3.33701, 3.38502, 3.46463, 3.59122, 3.59993, 3.66711, 3.77738, 3.87073, 3.93182, 4.00164, 4.0396, 4.09622, 4.16408, 4.25022, 4.30417, 4.41208, 4.6279, 4.92777, 5.33609, 45.5187");
+            values ( \
+              "-0.00531972, -0.0140021, -0.0227209, -0.0520335, -0.0691157, -0.0732248, -0.0832041, -0.08704, -0.0888374, -0.0909747, -0.0870976, -0.0859815, -0.0741703, -0.05025, -0.0328733, -0.0241178, -0.0164641, -0.01329, -0.0095599, -0.00633448, -0.00391113, -0.00277987, -0.00138229, -0.000259596, -1.10849e-05, -1e-22, -3.96716e-06" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.241003");
+            index_3 ("1.51554, 2.0828, 2.42705, 3.54776, 3.9473, 4.16426, 4.20464, 4.39472, 4.64838, 4.77144, 4.94957, 5.25416, 5.71974, 5.90067, 6.02264, 6.28354, 6.42245, 6.66742, 6.77821, 6.94228, 7.16104, 7.59857, 8.26611, 9.07587, 9.98627, 10.8967, 45.5189");
+            values ( \
+              "-0.000931533, -0.015109, -0.0368702, -0.11521, -0.140872, -0.15371, -0.153329, -0.15028, -0.145415, -0.142398, -0.136328, -0.115226, -0.0691599, -0.0541543, -0.0455911, -0.0307591, -0.0248249, -0.0166367, -0.0138817, -0.0105827, -0.0073836, -0.00335785, -0.000968166, -0.000136583, -5.44309e-05, -1e-22, -3.25234e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.898722");
+            index_3 ("2.0816, 2.89825, 3.95937, 4.16305, 4.18798, 5.57198, 6.59238, 7.42324, 8.30147, 9.00931, 9.48201, 10.129, 11.0394, 12.4297, 13.0573, 13.4801, 13.9854, 14.6466, 15.2353, 16.0201, 16.6501, 16.8789, 17.3366, 18.247, 19.1574, 20.0678, 20.9782, 21.8886, 22.799, 23.7094, 24.6198, 28.2613, 45.5177");
+            values ( \
+              "-0.0254576, -0.0721166, -0.15071, -0.165395, -0.165517, -0.160658, -0.15687, -0.153558, -0.149631, -0.145857, -0.142738, -0.136764, -0.120955, -0.0835484, -0.0676375, -0.058094, -0.0480276, -0.0368947, -0.0289522, -0.0207334, -0.0157129, -0.0142485, -0.0115957, -0.00770974, -0.00504752, -0.00334919, -0.00216277, -0.00144929, -0.000915095, -0.00063141, -0.000379688, -5.29439e-05, -1.95871e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("6.48598, 6.96626, 7.11677, 7.28347, 7.36818, 7.53759, 7.70362, 7.85773, 7.97836, 8.10999, 8.32945, 8.33782, 8.39565, 8.50672, 8.62761, 8.75032, 8.85638, 8.9602, 9.05285, 9.12676, 9.17358, 9.2789, 9.41933, 9.65664, 9.80728, 9.8649, 9.98015, 10.2106, 10.6716, 12.3998, 13.3102, 14.2206, 15.131, 16.0414, 16.6673, 16.8949, 17.287, 45.5203");
+            values ( \
+              "-0.00011206, -0.000121235, -0.000160362, -0.000231287, -0.000283235, -0.000437607, -0.000762033, -0.00115074, -0.00133983, -0.00145299, -0.00150412, -0.0014971, -0.00149162, -0.00146936, -0.00143029, -0.00136985, -0.00126569, -0.000997782, -0.000510066, -0.000264551, -0.000209681, -0.000150609, -0.000111083, -7.26926e-05, -6.02366e-05, -5.89203e-05, -4.53035e-05, -3.96184e-05, -1.76728e-05, -1e-22, -2.85472e-06, -1e-22, -2.50337e-06, -1e-22, -2.46305e-06, -7.20617e-07, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0046474");
+            index_3 ("6.48496, 7.15503, 7.34385, 7.46465, 7.6178, 7.68149, 7.80887, 8.02992, 8.14578, 8.20533, 8.3013, 8.38121, 8.46567, 8.5431, 8.59747, 8.69875, 8.75634, 8.85213, 9.00566, 9.06525, 9.1175, 9.1781, 9.32651, 9.3752, 9.44013, 9.53845, 9.64434, 9.81959, 9.88056, 10.0025, 10.2464, 10.7341, 11.5177, 12.4281, 13.3385, 16.6663, 16.8939, 17.2793, 17.6211, 18.3047, 19.2151, 20.1255, 21.0359, 21.9463, 22.8566, 23.767, 45.5193");
+            values ( \
+              "-0.000279966, -0.0005555, -0.000813702, -0.00104932, -0.00148692, -0.00174559, -0.00239126, -0.00362944, -0.00415371, -0.00437779, -0.00469425, -0.00490136, -0.00506947, -0.00519124, -0.00525226, -0.00532277, -0.0053353, -0.00532497, -0.00516561, -0.00500865, -0.00476171, -0.00417066, -0.00140429, -0.000898744, -0.000566599, -0.00036256, -0.00030639, -0.000226768, -0.00021498, -0.000180942, -0.000134864, -7.28851e-05, -2.65847e-05, -3.50372e-06, -1e-22, -2e-22, -2.56953e-06, -1e-22, -1.68765e-06, -1e-22, -1.41441e-06, -1e-22, -1.21921e-06, -1e-22, -1.05175e-06, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173306");
+            index_3 ("6.48475, 7.09636, 7.20722, 7.42894, 7.52942, 7.73038, 7.8767, 8.02674, 8.45369, 8.63557, 8.76798, 8.87403, 9.08719, 9.15605, 9.28421, 9.3569, 9.47188, 9.65564, 9.68898, 9.75564, 9.84513, 10.0076, 10.0565, 10.1297, 10.1653, 10.2188, 10.2901, 10.4327, 10.6369, 10.9094, 11.3061, 12.0661, 12.9765, 16.6661, 16.762, 16.8834, 45.5191");
+            values ( \
+              "-0.000894892, -0.00133002, -0.00159928, -0.00229926, -0.00270669, -0.00375499, -0.00479995, -0.00613384, -0.0102929, -0.0118738, -0.0129131, -0.0136747, -0.0149929, -0.0153544, -0.0159374, -0.0162124, -0.0165444, -0.016677, -0.0165848, -0.0161716, -0.0143754, -0.00693497, -0.00505017, -0.0030167, -0.00235706, -0.00165036, -0.00106587, -0.000542383, -0.00034457, -0.000259509, -0.000147075, -4.20877e-05, -1e-22, -2e-22, -1.38928e-05, -1e-22, -3.40836e-07" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0646276");
+            index_3 ("6.48448, 7.49545, 7.71517, 7.97459, 8.33662, 9.26629, 9.55292, 9.92383, 10.3586, 10.6675, 10.8382, 11.1132, 11.163, 11.2626, 11.398, 11.7143, 11.823, 11.9271, 12.0073, 12.1677, 12.4532, 12.7855, 13.2044, 13.767, 45.5188");
+            values ( \
+              "-0.00096062, -0.00443762, -0.00592478, -0.0081125, -0.0121109, -0.0247836, -0.0284485, -0.0328337, -0.0373463, -0.0399814, -0.0411159, -0.0417078, -0.0413705, -0.0396233, -0.0336946, -0.0150024, -0.0103709, -0.00712468, -0.00525672, -0.00275487, -0.00073502, -0.000146532, -8.4965e-06, -1e-22, -2e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.241003");
+            index_3 ("7.39432, 8.33607, 8.84534, 10.6208, 11.1563, 11.734, 12.021, 12.7766, 13.0225, 13.3009, 13.608, 14.0966, 14.1778, 14.384, 14.867, 15.1084, 15.3785, 15.599, 15.7775, 16.0285, 16.3631, 16.6652, 17.059, 17.2919, 17.7577, 18.6681, 20.4889, 45.5182");
+            values ( \
+              "-0.0113265, -0.0143812, -0.0215392, -0.0500989, -0.0582656, -0.0666646, -0.0706438, -0.080297, -0.0830557, -0.0857909, -0.0879418, -0.0848747, -0.0822836, -0.0729173, -0.046195, -0.0345173, -0.0240872, -0.0175572, -0.0134553, -0.00912096, -0.00525425, -0.00313831, -0.001673, -0.00111754, -0.000481974, -5.92628e-05, -5.34257e-07, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.898722");
+            index_3 ("8.39787, 9.96924, 12.5763, 13.9567, 14.8711, 15.7815, 16.6643, 17.5123, 18.1603, 18.6995, 19.277, 20.1873, 20.5362, 21.5775, 22.2051, 22.6279, 23.1332, 23.7944, 24.3831, 25.1679, 25.7979, 26.4844, 27.3948, 28.3052, 29.2156, 30.126, 31.0364, 31.9468, 32.8572, 33.7676, 35.5884, 39.2299, 45.5173");
+            values ( \
+              "-0.0339546, -0.0417703, -0.087771, -0.111306, -0.126245, -0.140391, -0.153101, -0.14933, -0.145844, -0.142209, -0.136766, -0.120949, -0.112091, -0.0835456, -0.0676404, -0.0580967, -0.0480249, -0.0368974, -0.0289548, -0.0207309, -0.0157154, -0.0115981, -0.00770729, -0.00504998, -0.00334674, -0.00216521, -0.00144686, -0.000917522, -0.000628994, -0.000382096, -0.000153102, -1.3569e-05, -1.9097e-05" \
+            );
+          }
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "(CK & D)";
+        timing_sense : positive_unate;
+        timing_type : clear;
+        when : "(CK * D)";
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.217391, 0.278754, 0.498393, 1.30803, 4.32588, 15.5709", \
+            "0.228675, 0.290031, 0.509677, 1.31969, 4.33784, 15.5856", \
+            "0.277168, 0.338493, 0.558267, 1.36819, 4.38609, 15.6331", \
+            "0.407535, 0.46884, 0.688438, 1.49869, 4.51504, 15.7653", \
+            "0.689352, 0.751141, 0.970532, 1.78063, 4.79888, 16.047", \
+            "1.40692, 1.47224, 1.69117, 2.50037, 5.51715, 16.7644" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0248622, 0.0554281, 0.16822, 0.5915, 2.16864, 8.04233", \
+            "0.0248534, 0.0554262, 0.16854, 0.591766, 2.16974, 8.041", \
+            "0.0248792, 0.0554308, 0.168628, 0.591794, 2.16977, 8.04016", \
+            "0.0249746, 0.0554512, 0.168704, 0.59171, 2.17074, 8.04066", \
+            "0.0254864, 0.0555967, 0.168588, 0.591706, 2.16996, 8.04049", \
+            "0.0278994, 0.0564871, 0.168492, 0.591671, 2.17043, 8.03362" \
+          );
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195952", \
+            "0.00235269", \
+            "0.00254796", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253647", \
+            "0.0025603", \
+            "0.00289394", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.197923, 0.203363, 0.206896, 0.21043, 0.212476, 0.216569, 0.220999, 0.225499, 0.2312, 0.233206, 0.237065, 0.247321, 0.253653, 0.260406, 0.262318");
+            values ( \
+              "-0.0159531, -0.0255507, -0.0379885, -0.0395551, -0.0459837, -0.0483252, -0.0543382, -0.0571174, -0.0586694, -0.0578383, -0.051334, -0.0169685, -0.00666244, -0.00251379, -0.00200274" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0046474");
+            index_3 ("0.216381, 0.239975, 0.252827, 0.268291, 0.27782, 0.286736, 0.295358, 0.303929, 0.312497, 0.32889, 0.345327, 0.358471, 0.36905, 0.372168");
+            values ( \
+              "-6.62629e-06, -0.0486687, -0.06761, -0.084312, -0.0914321, -0.0960497, -0.0983028, -0.0970506, -0.0855007, -0.0421294, -0.01548, -0.00640738, -0.0030448, -0.00262737" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173306");
+            index_3 ("0.287934, 0.321325, 0.377801, 0.404081, 0.418102, 0.446145, 0.476807, 0.495057, 0.506448, 0.529228, 0.539374, 0.552902, 0.558494, 0.569679, 0.586318, 0.624632, 0.647821, 0.671622, 0.689129, 0.701231, 0.71959, 0.744069, 0.791839, 0.848034");
+            values ( \
+              "-0.0143605, -0.0230357, -0.065141, -0.0815031, -0.0889099, -0.10164, -0.111691, -0.116055, -0.118046, -0.120558, -0.120784, -0.119819, -0.118796, -0.11498, -0.102672, -0.0608926, -0.0402182, -0.0251753, -0.0174335, -0.01347, -0.00903566, -0.00522091, -0.00157866, -0.000377446" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0646276");
+            index_3 ("0.0775876, 0.110179, 0.12183, 0.160445, 0.176563, 0.201295, 0.324287, 0.436315, 0.477775, 0.530017, 0.599878, 0.627426, 0.690623, 0.860832, 1.00468, 1.11883, 1.22019, 1.31505, 1.40669, 1.49829, 1.58946, 1.72344, 1.77029, 1.85052, 1.92408, 1.97968, 2.04672, 2.13611, 2.29473, 2.48563");
+            values ( \
+              "-0.000278942, -0.000775268, -0.00135146, -0.00581368, -0.00660916, -0.00641448, -0.0032906, -0.00139587, -0.00122302, -0.00253923, -0.0097995, -0.0145099, -0.0276829, -0.0670391, -0.0937931, -0.109591, -0.119592, -0.125706, -0.128442, -0.126493, -0.111147, -0.0666466, -0.0531449, -0.0345076, -0.0227074, -0.0161891, -0.0107291, -0.00614034, -0.00197983, -0.000539155" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.241003");
+            index_3 ("0.0755169, 0.198336, 1.10402, 1.45638, 1.66665, 3.19213, 3.54008, 3.98275, 4.33036, 4.66625, 5.00189, 5.33602, 6.06348, 6.40168, 6.77837, 7.19518, 7.61153, 7.7694");
+            values ( \
+              "-0.000237897, -0.008923, -0.00231984, -0.00295984, -0.00802405, -0.0951606, -0.109077, -0.121681, -0.127955, -0.130684, -0.12873, -0.113045, -0.0493734, -0.0296772, -0.0161384, -0.00810666, -0.00375091, -0.00311865" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.898722");
+            index_3 ("0.0797113, 0.216029, 1.94751, 3.64773, 4.7907, 5.93367, 7.02408, 9.26048, 10.122, 11.3561, 12.234, 12.9109, 14.0538, 14.7721, 15.5822, 16.7251, 17.0367, 17.452, 18.0658, 19.2088, 21.2331, 22.01, 22.871, 24.0495, 24.8847, 25.3283, 26.2154, 27.3584, 28.5013, 29.6443, 31.9302");
+            values ( \
+              "-0.00022382, -0.00983943, -0.00525152, -0.0025519, -0.00273639, -0.0104486, -0.0265494, -0.0654924, -0.0788437, -0.0954671, -0.105372, -0.111865, -0.120737, -0.124951, -0.128476, -0.131196, -0.131374, -0.131106, -0.129268, -0.115549, -0.0656977, -0.0494386, -0.0351216, -0.0212925, -0.0147245, -0.0121345, -0.00802084, -0.00474432, -0.00270058, -0.00161947, -0.000563353" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.229349, 0.246125, 0.248173, 0.252269, 0.252896, 0.254151, 0.25666, 0.261191, 0.266993, 0.268897, 0.272705, 0.283642, 0.286431, 0.290917, 0.294461, 0.297805");
+            values ( \
+              "-0.00344589, -0.0396253, -0.0459842, -0.0483765, -0.050946, -0.0512878, -0.0543312, -0.0571534, -0.0586438, -0.0578607, -0.0514573, -0.015563, -0.0103942, -0.00523636, -0.00300972, -0.00228918" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0046474");
+            index_3 ("0.255179, 0.272101, 0.280558, 0.29338, 0.303986, 0.313509, 0.322424, 0.331046, 0.339617, 0.348185, 0.364571, 0.372314, 0.381052, 0.386228, 0.394114, 0.404628, 0.420869, 0.440407");
+            values ( \
+              "-0.00595058, -0.0423528, -0.0566415, -0.0736771, -0.0841624, -0.0915854, -0.0959107, -0.0984376, -0.0969265, -0.0856215, -0.0421563, -0.0268941, -0.0154521, -0.0109801, -0.00643796, -0.00305886, -0.000887261, -0.000228237" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173306");
+            index_3 ("0.325496, 0.356967, 0.41347, 0.439767, 0.453772, 0.481782, 0.512673, 0.529552, 0.542118, 0.568267, 0.594406, 0.620416, 0.658682, 0.684737, 0.70557, 0.720335, 0.732688, 0.745015, 0.761452, 0.794325, 0.844306, 0.904658");
+            values ( \
+              "-0.0166371, -0.0230158, -0.0651594, -0.0814933, -0.0889285, -0.101608, -0.111759, -0.115806, -0.118057, -0.120712, -0.11887, -0.104564, -0.0627961, -0.0394722, -0.0262106, -0.019304, -0.0148497, -0.0113927, -0.00793567, -0.00370819, -0.0010475, -0.00021167" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0646276");
+            index_3 ("0.388242, 0.672457, 0.868029, 1.04141, 1.15582, 1.25725, 1.35196, 1.44363, 1.53519, 1.62635, 1.80307, 1.88716, 1.98317, 2.11706, 2.2242, 2.28501");
+            values ( \
+              "-0.000256444, -0.0161233, -0.0607848, -0.0937337, -0.109741, -0.119589, -0.125833, -0.128402, -0.126595, -0.111086, -0.0542015, -0.0346126, -0.019844, -0.00874717, -0.00436305, -0.00325825" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.241003");
+            index_3 ("0.117492, 0.242616, 0.762513, 1.21184, 1.57985, 1.88111, 2.70247, 3.23346, 3.65358, 4.0255, 4.37258, 4.70852, 5.04408, 5.37816, 6.0262, 6.33552, 6.69179, 6.98176, 7.5622, 7.67973");
+            values ( \
+              "-0.000234831, -0.00893249, -0.00439681, -0.00210197, -0.00431515, -0.015853, -0.0674059, -0.0951409, -0.111517, -0.121733, -0.127995, -0.130705, -0.128753, -0.113051, -0.0551626, -0.0350831, -0.0200979, -0.0123656, -0.00445925, -0.00388626" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.898722");
+            index_3 ("0.122575, 0.261802, 1.58501, 2.85588, 3.96093, 5.1039, 6.04927, 6.34691, 9.43028, 10.5732, 11.4037, 12.3015, 12.9575, 14.1005, 14.8174, 15.6282, 16.7711, 17.0822, 17.4971, 18.1116, 19.2546, 21.2788, 22.0558, 22.9166, 24.0949, 24.9303, 25.374, 26.2613, 27.4043, 28.5472, 29.1417");
+            values ( \
+              "-0.000219434, -0.009845, -0.00617232, -0.00368537, -0.0023092, -0.00361327, -0.0113252, -0.0152271, -0.0675466, -0.084689, -0.0955336, -0.105613, -0.111911, -0.120752, -0.124955, -0.128505, -0.131196, -0.131373, -0.13113, -0.129264, -0.115565, -0.0656849, -0.0494236, -0.035108, -0.0212808, -0.0147107, -0.0121481, -0.00800591, -0.00475769, -0.00268631, -0.00213854" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.37699, 0.384372, 0.385101, 0.388596, 0.394172, 0.403186, 0.411085, 0.414935, 0.418784, 0.427007, 0.430906, 0.436327, 0.442149, 0.448437, 0.451395");
+            values ( \
+              "-0.00153818, -0.023721, -0.0285246, -0.0359909, -0.0446788, -0.0545726, -0.0586811, -0.057949, -0.0512818, -0.0222926, -0.0132251, -0.00575592, -0.00228859, -0.00100239, -0.000715339" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0046474");
+            index_3 ("0.401928, 0.413328, 0.426742, 0.439569, 0.450176, 0.4597, 0.468616, 0.477239, 0.48581, 0.494378, 0.510766, 0.517884, 0.527234, 0.540321, 0.550855, 0.554559");
+            values ( \
+              "-0.0145795, -0.0308158, -0.05657, -0.0736248, -0.0841862, -0.0915436, -0.0959378, -0.0984017, -0.0969542, -0.0855898, -0.0421373, -0.0279082, -0.0154509, -0.0064187, -0.00306409, -0.00256217" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173306");
+            index_3 ("0.475828, 0.503354, 0.551587, 0.576361, 0.600144, 0.632612, 0.661525, 0.688555, 0.714715, 0.740847, 0.766852, 0.818462, 0.843646, 0.859489, 0.876727, 0.906624, 0.932587, 0.952708");
+            values ( \
+              "-0.0223146, -0.0230358, -0.0599174, -0.0760709, -0.0886636, -0.103606, -0.112177, -0.118425, -0.120462, -0.119199, -0.104299, -0.0498654, -0.0309419, -0.0224391, -0.0156462, -0.00814735, -0.00454757, -0.00319084" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0646276");
+            index_3 ("0.537744, 0.818402, 1.04351, 1.18745, 1.30189, 1.40338, 1.4981, 1.58977, 1.68134, 1.77249, 1.95024, 2.11045, 2.18516, 2.30953, 2.37368");
+            values ( \
+              "-0.000492533, -0.0160942, -0.0669873, -0.0937629, -0.109668, -0.119636, -0.125772, -0.128453, -0.126538, -0.111139, -0.053963, -0.0222536, -0.0142072, -0.00652585, -0.00473353" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.241003");
+            index_3 ("0.262435, 0.39141, 0.91322, 1.37279, 1.7421, 2.10002, 2.84756, 3.3789, 3.79892, 4.17083, 4.51791, 4.85385, 5.18942, 5.5235, 6.18019, 6.76158, 7.19321, 7.50266, 7.65478");
+            values ( \
+              "-0.000213712, -0.00893898, -0.00437439, -0.00207445, -0.0046687, -0.0199015, -0.0674141, -0.0951696, -0.111491, -0.121757, -0.127968, -0.13073, -0.128727, -0.113076, -0.0545363, -0.0227481, -0.0110337, -0.00659957, -0.00538227" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.898722");
+            index_3 ("0.250362, 0.393887, 1.72948, 3.00019, 4.13288, 5.27584, 6.15839, 6.52981, 10.3263, 11.4692, 12.3414, 13.0848, 14.2278, 14.9446, 15.7555, 16.8984, 17.2096, 17.6245, 18.2389, 19.3819, 21.4061, 22.1831, 23.044, 24.2223, 25.5013, 26.3886, 27.5316, 28.6745, 29.8175, 32.1034");
+            values ( \
+              "-0.000201991, -0.00984092, -0.00612172, -0.00364794, -0.00229099, -0.00379213, -0.0111123, -0.0160204, -0.0793414, -0.0947771, -0.104711, -0.111898, -0.120758, -0.124961, -0.128493, -0.131204, -0.131381, -0.131118, -0.129273, -0.115554, -0.0656951, -0.0494341, -0.0351183, -0.0212909, -0.0121378, -0.0080165, -0.00474736, -0.00269679, -0.0016228, -0.000566787" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.900002, 0.901823, 0.902937, 0.904637, 0.905853, 0.909393, 0.915022, 0.919782, 0.924085, 0.928116, 0.932011, 0.935871, 0.93973, 0.947964, 0.951862, 0.954354, 0.957293, 0.96314, 0.96513");
+            values ( \
+              "-0.0153371, -0.0181674, -0.0214295, -0.0218994, -0.0282986, -0.0355832, -0.0443631, -0.0499501, -0.0543526, -0.0568334, -0.0585212, -0.0578097, -0.0512007, -0.0222484, -0.0132292, -0.00897908, -0.00573106, -0.00226098, -0.00186776" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0046474");
+            index_3 ("0.922588, 0.933963, 0.947405, 0.960248, 0.970864, 0.980393, 0.989311, 0.997936, 1.00651, 1.01508, 1.03594, 1.04344, 1.04988, 1.0548, 1.06356, 1.07122");
+            values ( \
+              "-0.0145601, -0.0306823, -0.0564885, -0.0735705, -0.0841196, -0.0915228, -0.0958952, -0.0983978, -0.0969257, -0.0855984, -0.0326851, -0.0207161, -0.0136573, -0.0098514, -0.00542873, -0.00340608" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173306");
+            index_3 ("0.995329, 1.02382, 1.0719, 1.09616, 1.12037, 1.15287, 1.1818, 1.20884, 1.235, 1.26114, 1.28715, 1.33754, 1.35937, 1.38728, 1.40355, 1.42795, 1.46047, 1.48231");
+            values ( \
+              "-0.020696, -0.023116, -0.0595788, -0.0754775, -0.0887532, -0.103336, -0.112314, -0.1182, -0.120613, -0.119002, -0.104458, -0.0510238, -0.0338923, -0.0192057, -0.0135871, -0.00796976, -0.00377048, -0.00256904" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0646276");
+            index_3 ("1.07146, 1.34043, 1.56451, 1.70845, 1.82284, 1.92427, 2.01897, 2.11063, 2.20219, 2.29334, 2.47004, 2.55415, 2.65033, 2.73042, 2.89068, 2.94668");
+            values ( \
+              "-0.000975326, -0.0163367, -0.0670731, -0.0938412, -0.109673, -0.119683, -0.125758, -0.12849, -0.126517, -0.11117, -0.0542703, -0.0345574, -0.0198767, -0.0121669, -0.00432913, -0.00335309" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.241003");
+            index_3 ("0.756784, 0.820028, 0.895357, 1.50169, 1.7336, 2.05078, 2.4333, 2.73589, 3.36122, 3.89379, 4.31417, 4.68628, 5.0335, 5.36953, 5.70518, 6.03932, 6.68784, 6.99673, 7.35005, 7.64395, 7.83997, 8.232, 8.55906");
+            values ( \
+              "-0.000124936, -0.00129562, -0.00881947, -0.00390372, -0.00264272, -0.00209576, -0.0104468, -0.0270731, -0.0671924, -0.0950513, -0.111412, -0.121701, -0.127928, -0.1307, -0.128708, -0.113063, -0.0551473, -0.0350572, -0.0202155, -0.0123227, -0.00898934, -0.0043658, -0.00282507" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.898722");
+            index_3 ("0.766177, 0.931059, 2.06313, 3.12616, 4.02886, 5.17183, 6.31479, 7.1139, 10.0957, 11.2386, 12.0708, 12.9686, 13.6245, 14.7675, 15.4842, 16.2951, 17.438, 17.749, 18.1637, 18.7785, 19.9214, 21.9456, 22.7226, 23.5834, 24.7617, 25.5971, 26.0408, 26.9281, 28.0711, 29.2141, 29.8392");
+            values ( \
+              "-0.000119915, -0.00982281, -0.00662478, -0.00434699, -0.00294686, -0.00224778, -0.00712451, -0.0166834, -0.0675277, -0.0846832, -0.0955399, -0.105629, -0.111913, -0.120764, -0.124965, -0.128504, -0.131205, -0.131381, -0.131127, -0.129272, -0.11556, -0.0656915, -0.0494298, -0.0351145, -0.0212877, -0.0147173, -0.0121416, -0.00801222, -0.0047511, -0.00269272, -0.00210963" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.74218, 2.74386, 2.74559, 2.74881, 2.75247, 2.75264, 2.75299, 2.75853, 2.76231, 2.76572, 2.77197, 2.77593, 2.77985, 2.78376, 2.79209, 2.79593, 2.79836, 2.80143, 2.80756, 2.8112");
+            values ( \
+              "-0.0128345, -0.0149605, -0.0189986, -0.0228511, -0.0352624, -0.0338868, -0.0338789, -0.0424569, -0.0474499, -0.0511118, -0.0559656, -0.0574442, -0.0572345, -0.0505902, -0.0220025, -0.0132022, -0.00908565, -0.00569772, -0.00216247, -0.0014671" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0046474");
+            index_3 ("2.76541, 2.77395, 2.77577, 2.7794, 2.78329, 2.78899, 2.7919, 2.79771, 2.80486, 2.81553, 2.82509, 2.83403, 2.84267, 2.85126, 2.85984, 2.86176, 2.86433, 2.86928, 2.87287, 2.87643, 2.88117, 2.88345, 2.88506, 2.8872, 2.89089, 2.89603, 2.89812, 2.90231, 2.91069, 2.91488");
+            values ( \
+              "-0.0126302, -0.0241065, -0.027788, -0.0317275, -0.041681, -0.0507306, -0.0560235, -0.0636866, -0.0732533, -0.0836245, -0.0913958, -0.0955689, -0.0983681, -0.0967125, -0.0856396, -0.0809133, -0.073918, -0.0599427, -0.0503072, -0.0416667, -0.0318101, -0.0277214, -0.0251307, -0.0220394, -0.0173868, -0.0124402, -0.0107874, -0.00817556, -0.00453095, -0.00367451" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173306");
+            index_3 ("2.84883, 2.89591, 2.93893, 2.96449, 2.99694, 3.02584, 3.05287, 3.07902, 3.10515, 3.13115, 3.18148, 3.20334, 3.23163, 3.24758, 3.27152, 3.30344, 3.33325");
+            values ( \
+              "-0.0217329, -0.0445374, -0.0748352, -0.088937, -0.103437, -0.112411, -0.118246, -0.120674, -0.119017, -0.104494, -0.0510824, -0.0339164, -0.0190621, -0.0135765, -0.00804462, -0.003867, -0.00218414" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0646276");
+            index_3 ("2.91637, 3.18453, 3.40855, 3.55239, 3.66679, 3.76827, 3.86297, 3.95463, 4.04619, 4.13734, 4.32205, 4.42826, 4.52594, 4.69473, 4.75416");
+            values ( \
+              "-0.00101216, -0.0163544, -0.0670784, -0.0938225, -0.109674, -0.119675, -0.125766, -0.128482, -0.126525, -0.111162, -0.052124, -0.0292527, -0.016418, -0.00568081, -0.00426988" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.241003");
+            index_3 ("2.54344, 2.65487, 2.76693, 3.64899, 3.98099, 4.16767, 5.74514, 6.16538, 6.53736, 6.88447, 7.22043, 7.55601, 7.89011, 8.53826, 8.84748, 9.20305, 9.49395, 10.0762, 10.1633");
+            values ( \
+              "-6.87624e-05, -0.00112777, -0.0086752, -0.00237068, -0.00262585, -0.00630981, -0.095144, -0.111465, -0.121752, -0.127952, -0.130731, -0.128715, -0.113081, -0.0551842, -0.0350522, -0.02015, -0.0123314, -0.00441312, -0.00399878" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.898722");
+            index_3 ("2.53906, 2.64043, 2.80153, 4.68343, 5.68186, 6.82483, 7.96779, 8.47424, 9.04271, 11.4681, 12.8574, 14.8175, 15.4581, 16.6011, 17.3174, 18.1285, 19.2715, 19.5824, 19.997, 20.6119, 21.7548, 23.779, 24.556, 25.4168, 26.595, 27.8742, 28.7616, 29.9045, 31.0475, 32.1905, 34.4764");
+            values ( \
+              "-6.80625e-05, -0.000861642, -0.00978858, -0.00487114, -0.00319566, -0.00218403, -0.00567656, -0.0104558, -0.0180948, -0.0599489, -0.0816561, -0.10579, -0.111923, -0.120766, -0.124964, -0.12851, -0.131204, -0.13138, -0.131132, -0.12927, -0.115563, -0.065689, -0.0494269, -0.0351119, -0.0212854, -0.0121442, -0.00800945, -0.00475365, -0.00269003, -0.00162927, -0.000573328" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.70131, 9.71148, 9.71629, 9.73056, 9.73999, 9.74426, 9.74844, 9.75262, 9.76341, 9.76618, 9.77076, 9.77677, 9.78363, 9.79203, 9.81719");
+            values ( \
+              "-6.93592e-05, -0.0155586, -0.0247933, -0.0432125, -0.0514784, -0.0535587, -0.0539201, -0.0484875, -0.0160408, -0.0109475, -0.00559679, -0.00229674, -0.000820405, -0.000302221, -7.69114e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0046474");
+            index_3 ("9.72989, 9.74354, 9.7445, 9.74641, 9.75324, 9.75927, 9.76262, 9.76933, 9.77625, 9.78726, 9.79702, 9.80609, 9.81483, 9.82349, 9.83214, 9.83276, 9.83649, 9.84147, 9.84643, 9.84889, 9.85383, 9.85634, 9.85919, 9.863, 9.86827, 9.87049, 9.87494, 9.88383, 9.88433");
+            values ( \
+              "-0.00760754, -0.0209431, -0.0224472, -0.0241596, -0.036334, -0.0473257, -0.0517505, -0.0618039, -0.0700344, -0.0820836, -0.0893581, -0.0947894, -0.0970257, -0.0964307, -0.0848685, -0.0838115, -0.074093, -0.0600655, -0.0470973, -0.0412929, -0.0310975, -0.0267487, -0.0224365, -0.0176835, -0.0124631, -0.010819, -0.00796483, -0.00433504, -0.00423527" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173306");
+            index_3 ("9.81876, 9.85069, 9.87613, 9.91205, 9.93603, 9.96836, 9.99732, 10.0243, 10.0505, 10.0766, 10.1026, 10.153, 10.1767, 10.2031, 10.2271, 10.2431, 10.2751, 10.3022");
+            values ( \
+              "-0.0266412, -0.0309408, -0.0514626, -0.0757431, -0.0890806, -0.103277, -0.112511, -0.118133, -0.120779, -0.118919, -0.104595, -0.0511067, -0.0326993, -0.019099, -0.011431, -0.00804504, -0.00384016, -0.00233604" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0646276");
+            index_3 ("9.26453, 9.56059, 9.74208, 9.9522, 9.99421, 10.0466, 10.1178, 10.156, 10.3797, 10.5236, 10.638, 10.7394, 10.8341, 10.9257, 11.0173, 11.1084, 11.2851, 11.3693, 11.4656, 11.5455, 11.7054, 11.7431");
+            values ( \
+              "-1.36356e-05, -0.000453407, -0.00531273, -0.00147109, -0.00122708, -0.00247188, -0.00969048, -0.0164226, -0.0671143, -0.0938776, -0.10967, -0.119708, -0.125749, -0.128511, -0.126506, -0.111187, -0.0542871, -0.034548, -0.0198702, -0.0121615, -0.00433213, -0.00367467" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.241003");
+            index_3 ("9.31846, 9.57482, 9.77099, 10.3178, 10.8222, 11.2042, 11.4079, 12.2605, 12.7111, 13.1316, 13.5036, 13.8508, 14.1868, 14.5225, 14.8566, 15.505, 15.814, 16.1681, 16.4609, 16.8645, 17.2339");
+            values ( \
+              "-2.52014e-05, -0.00076999, -0.00843193, -0.00390564, -0.00199591, -0.00858144, -0.0183741, -0.0720157, -0.0950966, -0.111412, -0.121739, -0.127918, -0.130731, -0.128691, -0.113089, -0.0551785, -0.0350387, -0.0202069, -0.01231, -0.00626744, -0.00363821" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.898722");
+            index_3 ("9.3196, 9.5119, 9.56412, 9.77508, 11.728, 13.3088, 14.4518, 15.2996, 16.2939, 19.1382, 20.6241, 21.3429, 22.6586, 23.7994, 25.0901, 25.8652, 26.3371, 27.281, 27.5709, 28.1508, 28.898, 30.734, 31.8564, 32.9839, 33.8138, 34.4129, 35.3188, 36.4618, 37.6048, 38.7477, 41.0336");
+            values ( \
+              "-2.73765e-05, -0.000224337, -0.000807573, -0.00970792, -0.00471631, -0.00241932, -0.00316344, -0.00895194, -0.0226102, -0.0713899, -0.0924914, -0.101072, -0.113953, -0.122225, -0.128489, -0.130626, -0.131256, -0.130421, -0.129263, -0.124554, -0.111361, -0.0657464, -0.0432434, -0.0272564, -0.0189982, -0.0145779, -0.00968646, -0.00568777, -0.00330116, -0.00192283, -0.000645455" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.00180706, 0.00180706, 0.00180707, 0.00180707, 0.00180707, 0.00180707", \
+            "0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651", \
+            "0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111", \
+            "0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00227069, 0.00227069, 0.00227069, 0.00227069, 0.00227069, 0.00227069" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.00230608, 0.00230607, 0.00230607, 0.00230607, 0.00230607, 0.00230607", \
+            "0.00242667, 0.00242667, 0.00242666, 0.00242665, 0.00242665, 0.00242665", \
+            "0.00263732, 0.00263731, 0.00263731, 0.0026373, 0.0026373, 0.0026373", \
+            "0.00325222, 0.0032521, 0.00325205, 0.00325209, 0.00325211, 0.00325212", \
+            "0.00368928, 0.00368793, 0.00368837, 0.00368856, 0.00368773, 0.00368749", \
+            "0.00367257, 0.00367433, 0.0036743, 0.00367208, 0.00367348, 0.00367192" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "(CK & ~D)";
+        timing_sense : positive_unate;
+        timing_type : clear;
+        when : "(CK * !D)";
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.210508, 0.271801, 0.491394, 1.30151, 4.31964, 15.5659", \
+            "0.221757, 0.28305, 0.502787, 1.31278, 4.33044, 15.5769", \
+            "0.269673, 0.330951, 0.5507, 1.36049, 4.37762, 15.6246", \
+            "0.391732, 0.452987, 0.672453, 1.48276, 4.50073, 15.7461", \
+            "0.655094, 0.716621, 0.936005, 1.74632, 4.76358, 16.0126", \
+            "1.33642, 1.40052, 1.61949, 2.4287, 5.44609, 16.6901" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0248393, 0.0554111, 0.168444, 0.591729, 2.16965, 8.04185", \
+            "0.0248394, 0.0554113, 0.168621, 0.591709, 2.16983, 8.04018", \
+            "0.0248421, 0.0554094, 0.16862, 0.591468, 2.17035, 8.0395", \
+            "0.0248947, 0.0554223, 0.168736, 0.591783, 2.16868, 8.04068", \
+            "0.0252888, 0.05552, 0.168524, 0.591698, 2.16919, 8.04039", \
+            "0.027117, 0.0561265, 0.168543, 0.591393, 2.17041, 8.04199" \
+          );
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195952", \
+            "0.00235269", \
+            "0.00254796", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253647", \
+            "0.0025603", \
+            "0.00289394", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.190013, 0.193635, 0.194771, 0.199936, 0.205493, 0.214486, 0.222373, 0.226219, 0.230064, 0.238283, 0.242182, 0.2476, 0.253412, 0.259853, 0.270781");
+            values ( \
+              "-0.00274623, -0.022058, -0.0215608, -0.0361142, -0.044796, -0.0546642, -0.0587526, -0.0580008, -0.0513228, -0.0223277, -0.0132089, -0.0057792, -0.00231235, -0.000959863, -0.000633948" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0046474");
+            index_3 ("0.207424, 0.245952, 0.261475, 0.270999, 0.279912, 0.288531, 0.297101, 0.305668, 0.326531, 0.333518, 0.342378, 0.351656, 0.362259, 0.364797");
+            values ( \
+              "-0.00107562, -0.0677854, -0.084521, -0.0913073, -0.0962233, -0.0981911, -0.0971917, -0.0853951, -0.0326462, -0.0213094, -0.0119525, -0.00646383, -0.00297159, -0.00264926" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173306");
+            index_3 ("0.28109, 0.314268, 0.370701, 0.410994, 0.439114, 0.452018, 0.478455, 0.499333, 0.525512, 0.537194, 0.551427, 0.577498, 0.617567, 0.640481, 0.655776, 0.680985, 0.694233, 0.714273, 0.740993, 0.78924, 0.846008");
+            values ( \
+              "-0.0145825, -0.0230512, -0.0651932, -0.088954, -0.101646, -0.106351, -0.113958, -0.118073, -0.12067, -0.120625, -0.118808, -0.104578, -0.0610012, -0.0405518, -0.0301097, -0.0178906, -0.0134889, -0.00871389, -0.00478414, -0.00140896, -0.000344534" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0646276");
+            index_3 ("0.0809369, 0.11878, 0.17902, 0.434131, 0.529957, 0.631471, 0.827792, 1.00016, 1.11454, 1.21597, 1.31067, 1.40234, 1.4939, 1.58505, 1.76176, 1.839, 1.94195, 2.07567, 2.18268, 2.20258");
+            values ( \
+              "-0.00029359, -0.00133141, -0.00672516, -0.00140435, -0.00284229, -0.0161842, -0.061081, -0.0937077, -0.109796, -0.119554, -0.125881, -0.128364, -0.126639, -0.111048, -0.0541791, -0.0359267, -0.0198123, -0.00873135, -0.00438974, -0.00401989" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.241003");
+            index_3 ("0.0837206, 0.1997, 0.602714, 1.14036, 1.49726, 1.86346, 2.66025, 3.191, 3.61086, 3.9832, 4.33027, 4.66621, 5.00176, 5.33584, 5.98387, 6.2932, 6.64952, 6.93942, 7.51972, 7.62875");
+            values ( \
+              "-0.000246444, -0.00898899, -0.00525227, -0.00221829, -0.00353665, -0.0171994, -0.0674149, -0.0951288, -0.111518, -0.121726, -0.128007, -0.130697, -0.128764, -0.113043, -0.0551569, -0.0350893, -0.0200902, -0.0123716, -0.00446627, -0.00393098" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.898722");
+            index_3 ("0.0738065, 0.219408, 1.48696, 2.70114, 3.76057, 4.90229, 6.19219, 10.2342, 11.3435, 12.262, 14.0398, 14.7609, 15.569, 16.7107, 17.0259, 17.4461, 18.0526, 19.1943, 21.0888, 21.9949, 22.8576, 24.0404, 25.3135, 26.1971, 27.3388, 28.4805, 29.6222, 31.9056");
+            values ( \
+              "-0.000230147, -0.00986644, -0.00624335, -0.00381562, -0.0024538, -0.00305789, -0.0138679, -0.080726, -0.09547, -0.105826, -0.120758, -0.124986, -0.128465, -0.131217, -0.131396, -0.131082, -0.129289, -0.115558, -0.068786, -0.049488, -0.035138, -0.0212682, -0.0121258, -0.00805559, -0.00474231, -0.00272658, -0.0016102, -0.000550245" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.225637, 0.229201, 0.230283, 0.235503, 0.245777, 0.250053, 0.25794, 0.261787, 0.265631, 0.27385, 0.277749, 0.283167, 0.288979, 0.295414, 0.32759");
+            values ( \
+              "-0.00315598, -0.0220459, -0.0214732, -0.0360893, -0.0503659, -0.0546463, -0.0587367, -0.0580158, -0.0513083, -0.0223229, -0.0132128, -0.00577593, -0.0023092, -0.000963982, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0046474");
+            index_3 ("0.243069, 0.281579, 0.297103, 0.306627, 0.315541, 0.32416, 0.33273, 0.341297, 0.36216, 0.369147, 0.378007, 0.387285, 0.397889, 0.400319");
+            values ( \
+              "-0.00109448, -0.0677918, -0.0845308, -0.0912967, -0.0962334, -0.0981806, -0.097202, -0.0853846, -0.032655, -0.0213173, -0.0119601, -0.0064565, -0.00297833, -0.00266775" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173306");
+            index_3 ("0.314819, 0.350269, 0.399502, 0.421145, 0.44702, 0.479488, 0.508398, 0.535427, 0.561586, 0.587718, 0.613721, 0.66407, 0.685914, 0.714096, 0.730144, 0.754214, 0.786308, 0.802074");
+            values ( \
+              "-0.0121438, -0.0230882, -0.0604267, -0.0745974, -0.0888782, -0.103413, -0.112379, -0.118236, -0.120653, -0.119016, -0.104481, -0.0510632, -0.0339071, -0.0191008, -0.0135766, -0.00801969, -0.0038417, -0.00295779" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0646276");
+            index_3 ("0.398764, 0.666595, 0.890653, 1.03454, 1.14895, 1.25038, 1.34508, 1.43674, 1.5283, 1.61945, 1.79615, 1.88027, 1.97644, 2.05653, 2.21679, 2.27576");
+            values ( \
+              "-0.0010242, -0.0163633, -0.0670438, -0.0938008, -0.109708, -0.119647, -0.125794, -0.128455, -0.126552, -0.111135, -0.0542443, -0.0345814, -0.0198536, -0.0121883, -0.00435031, -0.00330245" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.241003");
+            index_3 ("0.116525, 0.228858, 0.852634, 1.09043, 1.42675, 1.72116, 1.93216, 2.69199, 3.22343, 3.64347, 4.01538, 4.36248, 4.69842, 5.03399, 5.36808, 6.01616, 6.32544, 6.68145, 6.97177, 7.55288, 7.76442");
+            values ( \
+              "-0.000227159, -0.00896227, -0.00376904, -0.00250752, -0.00236508, -0.0088579, -0.0191657, -0.0673854, -0.0951487, -0.1115, -0.12174, -0.12798, -0.130714, -0.12874, -0.113061, -0.0551713, -0.0350709, -0.0201181, -0.012352, -0.00444118, -0.0034202" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.898722");
+            index_3 ("0.114287, 0.239594, 1.42421, 2.53748, 3.4703, 4.61201, 5.75372, 6.12694, 6.55882, 9.0286, 10.1763, 11.7851, 12.9387, 14.3202, 14.7999, 15.609, 16.7507, 17.0658, 17.4858, 18.0925, 19.2342, 21.2597, 22.0349, 22.8975, 23.2918, 24.0803, 24.9117, 25.3535, 26.237, 27.3787, 28.5205, 30.8039, 31.9456");
+            values ( \
+              "-0.000211587, -0.00984177, -0.00652118, -0.00418632, -0.00278347, -0.00237437, -0.00826031, -0.0125064, -0.0185888, -0.0612681, -0.0792985, -0.100242, -0.111911, -0.122271, -0.124949, -0.128506, -0.131184, -0.131362, -0.131121, -0.129255, -0.115594, -0.0656789, -0.0494524, -0.0351028, -0.0299193, -0.0212334, -0.0147023, -0.0121608, -0.00802025, -0.0047773, -0.00269139, -0.000864643, -0.000585318" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.370798, 0.374663, 0.375845, 0.380968, 0.391244, 0.39552, 0.403409, 0.407255, 0.4111, 0.419319, 0.423218, 0.428636, 0.434449, 0.440846, 0.472904");
+            values ( \
+              "-0.00121255, -0.0220286, -0.0216438, -0.0360976, -0.0503494, -0.0546464, -0.0587347, -0.05801, -0.051306, -0.0223131, -0.0132208, -0.00576755, -0.0023011, -0.000977763, -1e-22" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0046474");
+            index_3 ("0.388136, 0.425202, 0.431982, 0.442583, 0.452102, 0.461014, 0.469634, 0.478203, 0.486769, 0.503146, 0.510268, 0.51969, 0.524816, 0.532628, 0.543045, 0.551927");
+            values ( \
+              "-8.72279e-05, -0.0648807, -0.0737801, -0.0841395, -0.0916712, -0.0958877, -0.0985059, -0.0969012, -0.085671, -0.042164, -0.0279178, -0.0153796, -0.0109594, -0.00645102, -0.00311396, -0.00188585" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173306");
+            index_3 ("0.475931, 0.523518, 0.566509, 0.592186, 0.62465, 0.65356, 0.680588, 0.706747, 0.732879, 0.758882, 0.80923, 0.831075, 0.859264, 0.875306, 0.899367, 0.931448, 0.960961");
+            values ( \
+              "-0.0211483, -0.0444157, -0.0747073, -0.0888956, -0.1034, -0.112394, -0.118224, -0.120667, -0.119003, -0.104493, -0.0510669, -0.0339098, -0.0190987, -0.0135767, -0.00802143, -0.00384351, -0.00218675" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0646276");
+            index_3 ("0.542232, 0.811617, 1.03579, 1.17975, 1.27545, 1.39523, 1.49008, 1.58174, 1.67332, 1.76448, 1.94131, 2.02531, 2.1207, 2.20184, 2.36413, 2.43191");
+            values ( \
+              "-0.000957018, -0.0163256, -0.0670226, -0.0937841, -0.107458, -0.119576, -0.125764, -0.128416, -0.126542, -0.111114, -0.0542036, -0.0345803, -0.0199444, -0.0121697, -0.00428422, -0.00309398" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.241003");
+            index_3 ("0.260602, 0.373686, 0.982313, 1.21359, 1.54036, 1.89294, 2.16217, 2.83511, 3.3672, 3.78743, 4.15946, 4.50662, 4.84262, 5.17823, 5.51235, 6.16069, 6.46974, 6.82415, 7.11659, 7.70184, 7.93");
+            values ( \
+              "-0.000207538, -0.00896459, -0.00386174, -0.00260435, -0.00217695, -0.0099135, -0.0242051, -0.0672836, -0.0951013, -0.111442, -0.121725, -0.127942, -0.130714, -0.128713, -0.113071, -0.0551658, -0.0350539, -0.0201848, -0.0123257, -0.00438746, -0.00330954" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.898722");
+            index_3 ("0.254097, 0.379912, 1.53375, 2.61181, 3.5118, 4.65351, 5.79522, 6.27458, 7.06064, 9.15413, 10.3486, 12.0181, 13.08, 14.4605, 15.1291, 15.7494, 16.8911, 17.206, 17.626, 18.2329, 19.3746, 21.4001, 22.1753, 23.038, 24.2208, 25.0521, 25.4939, 26.3774, 27.5191, 28.6608, 29.8025, 32.0859");
+            values ( \
+              "-0.000192522, -0.00983637, -0.00659716, -0.00430196, -0.0029081, -0.00227276, -0.00732879, -0.0126032, -0.0243688, -0.0609966, -0.0797423, -0.101277, -0.111913, -0.122259, -0.125887, -0.128495, -0.13118, -0.131359, -0.131114, -0.129253, -0.11559, -0.0656809, -0.0494554, -0.0351051, -0.0212348, -0.0147045, -0.0121586, -0.00802307, -0.00477517, -0.00269393, -0.00164297, -0.000582965" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.880764, 0.899419, 0.903516, 0.904154, 0.907985, 0.912463, 0.918066, 0.920179, 0.92404, 0.934301, 0.940637, 0.943532, 0.943684");
+            values ( \
+              "-0.00401401, -0.0458134, -0.0482931, -0.0508046, -0.0542507, -0.0570227, -0.0586452, -0.0577745, -0.0513178, -0.0169606, -0.00666172, -0.0042557, -0.00418669" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0046474");
+            index_3 ("0.905765, 0.9157, 0.924838, 0.94428, 0.954886, 0.964407, 0.973322, 0.981943, 0.990513, 0.99908, 1.01547, 1.02258, 1.03193, 1.04074, 1.04984, 1.0664");
+            values ( \
+              "-0.0049245, -0.0287868, -0.045589, -0.0735391, -0.0842968, -0.0914718, -0.0960381, -0.0983379, -0.0970406, -0.0855268, -0.0421387, -0.0279101, -0.0154556, -0.00860745, -0.00468935, -0.0016048" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173306");
+            index_3 ("0.973548, 1.00776, 1.05742, 1.07608, 1.11454, 1.13659, 1.16552, 1.19258, 1.21876, 1.24491, 1.27093, 1.31093, 1.33531, 1.35601, 1.38315, 1.41216, 1.44531, 1.49387, 1.55321");
+            values ( \
+              "-0.0133373, -0.0231664, -0.0606626, -0.0729651, -0.09376, -0.103178, -0.112267, -0.118095, -0.120605, -0.11893, -0.104475, -0.0610184, -0.0394457, -0.0262546, -0.014873, -0.0078971, -0.00367028, -0.00107976, -0.000231436" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0646276");
+            index_3 ("1.06341, 1.32349, 1.54846, 1.69239, 1.80684, 1.90831, 2.00302, 2.09469, 2.18626, 2.27741, 2.45415, 2.53823, 2.63414, 2.71458, 2.87552, 2.93167");
+            values ( \
+              "-0.00177266, -0.0161267, -0.0669981, -0.093771, -0.109672, -0.119641, -0.125771, -0.128456, -0.126536, -0.111142, -0.0542426, -0.0345701, -0.0198947, -0.012172, -0.00431788, -0.00333133" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.241003");
+            index_3 ("0.754747, 0.804585, 0.890973, 1.37675, 1.7792, 2.12111, 2.36141, 2.65663, 3.35117, 3.75076, 4.11818, 4.30099, 4.67392, 5.02087, 5.35684, 5.69245, 6.02655, 6.6748, 6.98393, 7.33892, 7.63059, 7.82517, 8.21432, 8.47266");
+            values ( \
+              "-0.000121382, -0.00103399, -0.0089188, -0.00464858, -0.00238949, -0.00266753, -0.00819951, -0.0230689, -0.0674481, -0.0890366, -0.104964, -0.111422, -0.121749, -0.127941, -0.130729, -0.128708, -0.113082, -0.0551799, -0.0350485, -0.0201718, -0.0123243, -0.00903057, -0.0043961, -0.00317423" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.898722");
+            index_3 ("0.744052, 0.892759, 3.25232, 4.22428, 5.36599, 6.5077, 7.70358, 10.3167, 12.3699, 13.5865, 14.9678, 16.2566, 17.3983, 17.7135, 18.1337, 18.7402, 19.8819, 22.6826, 23.5453, 24.7282, 26.0012, 26.8847, 28.0264, 29.1681, 29.4551");
+            values ( \
+              "-0.000116544, -0.00982568, -0.00406435, -0.00266733, -0.00252542, -0.00935193, -0.0266503, -0.0715942, -0.099507, -0.111896, -0.122255, -0.128492, -0.131183, -0.131362, -0.13111, -0.129256, -0.115587, -0.0494589, -0.0351086, -0.0212383, -0.0121551, -0.00802666, -0.00477165, -0.00269749, -0.00243154" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.70615, 2.7129, 2.71478, 2.71847, 2.72427, 2.73349, 2.74151, 2.7454, 2.7493, 2.75759, 2.76144, 2.76692, 2.77299, 2.77955, 2.78204");
+            values ( \
+              "-0.00115153, -0.0193114, -0.0266863, -0.0343449, -0.0433354, -0.0536076, -0.0579694, -0.0573716, -0.0509144, -0.0220802, -0.0132177, -0.00572418, -0.0021948, -0.000928266, -0.000708181" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0046474");
+            index_3 ("2.73152, 2.74111, 2.7519, 2.76996, 2.78061, 2.79015, 2.79908, 2.80771, 2.81629, 2.82486, 2.84128, 2.84839, 2.85753, 2.86289, 2.87103, 2.88189, 2.8979, 2.91719");
+            values ( \
+              "-0.00670832, -0.0282133, -0.0477128, -0.0731993, -0.0840796, -0.0912767, -0.0959223, -0.0982217, -0.0969888, -0.0854696, -0.0420506, -0.0278686, -0.0156347, -0.0109749, -0.00631372, -0.00294741, -0.000851667, -0.000248499" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173306");
+            index_3 ("2.79851, 2.83357, 2.88979, 2.91637, 2.95737, 2.97257, 2.99131, 3.01837, 3.04452, 3.07066, 3.09666, 3.14703, 3.17074, 3.19695, 3.22114, 3.23726, 3.26951, 3.29597");
+            values ( \
+              "-0.0122809, -0.023338, -0.0652829, -0.0817484, -0.101391, -0.106913, -0.112426, -0.118148, -0.120711, -0.118939, -0.104543, -0.0510752, -0.0327026, -0.0191543, -0.0114213, -0.00801565, -0.00380357, -0.00234805" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0646276");
+            index_3 ("2.51308, 2.62031, 2.7062, 2.94891, 2.99025, 3.04317, 3.15099, 3.37525, 3.51924, 3.63362, 3.73504, 3.82973, 3.92139, 4.01295, 4.1041, 4.30778, 4.46184, 4.60115, 4.64957");
+            values ( \
+              "-5.27267e-05, -0.000901563, -0.00629002, -0.00144049, -0.0012179, -0.00250656, -0.0163116, -0.0670213, -0.0937999, -0.109727, -0.119638, -0.125809, -0.128444, -0.126566, -0.111125, -0.047417, -0.0198217, -0.00844289, -0.0065629" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.241003");
+            index_3 ("2.5235, 2.61646, 2.72819, 3.63528, 3.97565, 4.16195, 5.70487, 6.06555, 6.49659, 6.84354, 7.17949, 7.51516, 7.84931, 8.49793, 8.80673, 9.15942, 9.45416, 10.0438, 10.3302");
+            values ( \
+              "-6.46058e-05, -0.000990045, -0.00876033, -0.00226708, -0.00302896, -0.00740482, -0.0951223, -0.109455, -0.121695, -0.127923, -0.130691, -0.128705, -0.113059, -0.0551386, -0.0350568, -0.0202358, -0.0123183, -0.0043507, -0.00300337" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.898722");
+            index_3 ("2.53558, 2.76524, 4.69154, 5.67919, 6.8209, 7.96262, 8.45135, 9.01601, 11.4562, 12.8091, 13.8804, 14.7954, 15.4342, 16.5759, 17.296, 18.1046, 19.2463, 19.561, 19.9806, 20.5879, 21.7296, 23.755, 24.5302, 25.3928, 26.5754, 27.8488, 28.7325, 29.8742, 31.0159, 31.4758");
+            values ( \
+              "-6.3517e-05, -0.00978263, -0.00480934, -0.00316678, -0.00218652, -0.00581421, -0.0104681, -0.0180534, -0.0601524, -0.0813073, -0.0955505, -0.105808, -0.111923, -0.120758, -0.124977, -0.12851, -0.131202, -0.13138, -0.131121, -0.12927, -0.115587, -0.065689, -0.0494609, -0.0351123, -0.0212443, -0.0121514, -0.00802885, -0.00476763, -0.0027004, -0.00227157" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.63274, 9.65132, 9.65333, 9.65434, 9.65534, 9.65669, 9.65804, 9.6594, 9.66075, 9.66194, 9.66312, 9.66431, 9.6655, 9.66659, 9.66769, 9.66878, 9.66987, 9.67092, 9.67196, 9.673, 9.67405, 9.67507, 9.67609, 9.67712, 9.67814, 9.67916, 9.68019, 9.68121, 9.68223, 9.68245, 9.68289, 9.68377, 9.68821, 9.68871, 9.68921, 9.68998, 9.69075, 9.69277, 9.69337, 9.69367, 9.69427, 9.69458, 9.69518, 9.69578, 9.69638, 9.69698, 9.69752, 9.69806, 9.69915, 9.70024");
+            values ( \
+              "-5.62197e-05, -0.0329068, -0.035781, -0.0371635, -0.0385097, -0.0402613, -0.0419473, -0.0435676, -0.0451222, -0.0463851, -0.0475895, -0.0487355, -0.0498232, -0.0507725, -0.0516723, -0.0525227, -0.0533236, -0.0537696, -0.0540923, -0.0542917, -0.0543678, -0.0543227, -0.0541592, -0.0538771, -0.0534765, -0.0526624, -0.0516119, -0.0503251, -0.0488018, -0.0483783, -0.0472287, -0.0444581, -0.0290994, -0.0274265, -0.025835, -0.0235793, -0.0214604, -0.016519, -0.0150887, -0.0144497, -0.013246, -0.0126813, -0.0116886, -0.0107535, -0.00987605, -0.00905629, -0.00840197, -0.00776944, -0.0065698, -0.00545082" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0046474");
+            index_3 ("9.66114, 9.69084, 9.70419, 9.71506, 9.72474, 9.73376, 9.74246, 9.75109, 9.75971, 9.78109, 9.78708, 9.79593, 9.80226, 9.81069, 9.81995");
+            values ( \
+              "-0.0020079, -0.0537396, -0.0715472, -0.0824841, -0.0903723, -0.0949132, -0.0977385, -0.0963801, -0.0853341, -0.0317075, -0.0220449, -0.0124213, -0.00813916, -0.00450979, -0.00263215" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173306");
+            index_3 ("9.74945, 9.79583, 9.83884, 9.86432, 9.89675, 9.92564, 9.95266, 9.97881, 10.0049, 10.0309, 10.0812, 10.105, 10.1315, 10.1712, 10.2029, 10.224");
+            values ( \
+              "-0.022565, -0.0447068, -0.0749416, -0.0890003, -0.103465, -0.112452, -0.118262, -0.120706, -0.119025, -0.104516, -0.0511068, -0.0327191, -0.0190194, -0.00807091, -0.0038917, -0.00269197" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0646276");
+            index_3 ("9.25727, 9.41557, 9.50829, 9.55915, 9.62511, 9.65988, 9.69008, 9.79315, 9.88206, 9.92381, 9.97697, 10.0478, 10.0839, 10.3077, 10.4517, 10.5658, 10.6115, 10.6671, 10.762, 10.8536, 10.9452, 11.0363, 11.2132, 11.2972, 11.3381, 11.3926, 11.4331, 11.4737, 11.5277, 11.6358, 11.7307");
+            values ( \
+              "-1.50136e-05, -7.46046e-05, -0.000551393, -0.00183872, -0.00482624, -0.00550363, -0.00519789, -0.00287929, -0.00144926, -0.00123354, -0.00259243, -0.00999791, -0.0164167, -0.0670822, -0.0938387, -0.109623, -0.114614, -0.119611, -0.125737, -0.128449, -0.126514, -0.111144, -0.0542262, -0.0345634, -0.027408, -0.019951, -0.0155661, -0.012157, -0.00875261, -0.00427654, -0.00262863" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.241003");
+            index_3 ("9.30219, 9.48049, 9.52462, 9.69325, 10.0506, 10.404, 10.5771, 10.8368, 11.2022, 12.1114, 12.6434, 13.0637, 13.4358, 13.7829, 14.1189, 14.4546, 14.7887, 15.5161, 15.8543, 16.231, 16.4096, 16.6698, 17.014");
+            values ( \
+              "-2.31704e-05, -0.000269842, -0.00091624, -0.00847188, -0.00531468, -0.00303267, -0.00225989, -0.00230216, -0.011352, -0.0672503, -0.0950693, -0.111458, -0.121699, -0.127962, -0.13069, -0.128734, -0.113048, -0.0493763, -0.0296749, -0.0161361, -0.0119822, -0.00766985, -0.00432833" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.898722");
+            index_3 ("9.31363, 9.47736, 9.52148, 9.71695, 11.2364, 12.473, 13.3896, 14.5313, 15.4457, 15.7787, 18.8247, 19.562, 20.7037, 21.3843, 22.357, 22.7464, 23.737, 24.1603, 25.0268, 26.1685, 26.4831, 26.9025, 27.5104, 28.6521, 30.6778, 31.4528, 32.3157, 32.7101, 33.499, 34.3299, 34.7715, 35.6547, 36.7964, 37.9381, 39.0798, 40.2215, 41.3632");
+            values ( \
+              "-2.5174e-05, -0.000276823, -0.000957402, -0.00972965, -0.00559467, -0.00335037, -0.00230651, -0.00371052, -0.0112613, -0.0156491, -0.0673722, -0.0787448, -0.0942394, -0.102198, -0.111858, -0.115164, -0.122201, -0.124617, -0.128455, -0.131169, -0.131349, -0.131086, -0.129249, -0.115574, -0.0656901, -0.0494684, -0.0351155, -0.0299033, -0.0212419, -0.0147151, -0.0121482, -0.00803566, -0.00476524, -0.00270546, -0.0016324, -0.000878206, -0.000572173" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.00180532, 0.00180532, 0.00180533, 0.00180533, 0.00180533, 0.00180533", \
+            "0.00207553, 0.00207553, 0.00207553, 0.00207553, 0.00207553, 0.00207553", \
+            "0.00218142, 0.00218142, 0.00218142, 0.00218142, 0.00218142, 0.00218142", \
+            "0.002233, 0.002233, 0.002233, 0.002233, 0.002233, 0.002233", \
+            "0.00225805, 0.00225805, 0.00225805, 0.00225805, 0.00225805, 0.00225805", \
+            "0.00227128, 0.00227128, 0.00227128, 0.00227128, 0.00227128, 0.00227128" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.00230296, 0.00230296, 0.00230296, 0.00230296, 0.00230296, 0.00230296", \
+            "0.00242895, 0.00242894, 0.00242894, 0.00242893, 0.00242893, 0.00242893", \
+            "0.00265935, 0.00265935, 0.00265934, 0.00265933, 0.00265933, 0.00265933", \
+            "0.00340786, 0.00340709, 0.00340657, 0.0034064, 0.00340637, 0.00340636", \
+            "0.00370127, 0.00370109, 0.00370119, 0.00370152, 0.00370067, 0.00370044", \
+            "0.00368622, 0.00368534, 0.00368657, 0.0036864, 0.00368673, 0.00368622" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "~CK";
+        timing_sense : positive_unate;
+        timing_type : clear;
+        when : "!CK";
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.21752, 0.278823, 0.498017, 1.30815, 4.32607, 15.5708", \
+            "0.228767, 0.290075, 0.509267, 1.31906, 4.33662, 15.5837", \
+            "0.277269, 0.338557, 0.557808, 1.36755, 4.38518, 15.6347", \
+            "0.407671, 0.468931, 0.687898, 1.49791, 4.51435, 15.7641", \
+            "0.689605, 0.751281, 0.970168, 1.78024, 4.79827, 16.0466", \
+            "1.40644, 1.47097, 1.6892, 2.49845, 5.51649, 16.7609" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0249256, 0.0553774, 0.168482, 0.591431, 2.16964, 8.03255", \
+            "0.0249279, 0.0553759, 0.168499, 0.591454, 2.16881, 8.04153", \
+            "0.024878, 0.0553554, 0.168528, 0.59146, 2.16994, 8.03989", \
+            "0.0250072, 0.0553919, 0.168621, 0.591561, 2.17101, 8.04061", \
+            "0.0255437, 0.055528, 0.168563, 0.591703, 2.17011, 8.0406", \
+            "0.027747, 0.0561384, 0.168524, 0.59166, 2.16962, 8.04446" \
+          );
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195952", \
+            "0.00235269", \
+            "0.00254796", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253647", \
+            "0.0025603", \
+            "0.00289394", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.19674, 0.200372, 0.201565, 0.206778, 0.217123, 0.221423, 0.229335, 0.23319, 0.237042, 0.24527, 0.249167, 0.254594, 0.26043, 0.266881, 0.299731");
+            values ( \
+              "-0.00320486, -0.0215289, -0.0211829, -0.0357106, -0.0500983, -0.054441, -0.0586005, -0.0579043, -0.0512468, -0.0223154, -0.0131784, -0.0057945, -0.00232693, -0.000931676, -1.39603e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0046474");
+            index_3 ("0.214756, 0.251168, 0.268486, 0.277999, 0.286906, 0.295519, 0.304083, 0.312644, 0.329017, 0.336137, 0.34554, 0.350678, 0.358508, 0.368949, 0.38527, 0.405203");
+            values ( \
+              "-0.000945596, -0.0653946, -0.0845724, -0.0913648, -0.0962987, -0.0982485, -0.0972716, -0.0854171, -0.0421347, -0.0278898, -0.0153719, -0.0109372, -0.0064188, -0.00313063, -0.000844865, -0.000263193" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173306");
+            index_3 ("0.29205, 0.321195, 0.363908, 0.403408, 0.417868, 0.446786, 0.479149, 0.506198, 0.532298, 0.558264, 0.584356, 0.622942, 0.643259, 0.665045, 0.677157, 0.694066, 0.704863, 0.724939, 0.751708, 0.799663, 0.859528");
+            values ( \
+              "-0.0197437, -0.0230716, -0.0558327, -0.0813771, -0.0888942, -0.102093, -0.112531, -0.118172, -0.120758, -0.11886, -0.104684, -0.062532, -0.0437677, -0.028781, -0.0224082, -0.0157429, -0.0124274, -0.00800319, -0.00441044, -0.00128668, -0.000303358" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0646276");
+            index_3 ("0.0786833, 0.110815, 0.122131, 0.158686, 0.18193, 0.214391, 0.327275, 0.441358, 0.481665, 0.533251, 0.598265, 0.627, 0.699096, 0.861759, 0.970115, 1.06985, 1.11976, 1.22146, 1.31613, 1.4078, 1.49937, 1.59053, 1.72221, 1.78872, 1.83751, 1.88081, 1.93854, 1.98338, 2.03206, 2.09696, 2.21252, 2.39072, 2.6091");
+            values ( \
+              "-0.000284951, -0.000803311, -0.00134591, -0.0055616, -0.0065753, -0.0061418, -0.00325085, -0.00134803, -0.0012359, -0.00268876, -0.00947605, -0.0143356, -0.0295208, -0.0671768, -0.0878325, -0.103231, -0.109693, -0.119587, -0.125816, -0.128403, -0.126583, -0.111091, -0.0674164, -0.0485567, -0.0373361, -0.0292856, -0.0209063, -0.0159493, -0.0118533, -0.00791988, -0.00368706, -0.00103604, -0.00017125" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.241003");
+            index_3 ("0.0774616, 0.198794, 1.06343, 1.43496, 1.66043, 3.19332, 3.61328, 3.98515, 4.33221, 4.66814, 5.00369, 5.33777, 6.06518, 6.40346, 6.78014, 7.19677, 7.57635, 7.70024");
+            values ( \
+              "-0.000245861, -0.00891912, -0.0024901, -0.00269258, -0.0077521, -0.0952046, -0.111484, -0.121782, -0.127956, -0.130751, -0.128712, -0.113096, -0.0494148, -0.0296396, -0.0161016, -0.00814406, -0.00399895, -0.00348116" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.898722");
+            index_3 ("0.0777806, 0.229537, 1.9228, 3.62708, 4.77021, 5.91333, 6.43777, 7.13703, 8.9907, 10.1605, 11.3036, 11.9646, 12.9098, 13.6469, 14.2895, 15.5802, 16.3552, 16.8272, 17.7713, 18.061, 18.6404, 19.3881, 21.5982, 22.7477, 23.4739, 23.9693, 24.6734, 25.2862, 26.1034, 27.2465, 28.3897, 28.8609");
+            values ( \
+              "-0.000231758, -0.0098886, -0.0053004, -0.00257366, -0.00269212, -0.010249, -0.0171826, -0.0285281, -0.0610881, -0.0794624, -0.0948595, -0.102525, -0.111879, -0.117894, -0.122221, -0.128485, -0.130621, -0.131263, -0.130416, -0.129269, -0.124554, -0.111368, -0.0575253, -0.0368263, -0.0272505, -0.0220382, -0.0161656, -0.0122982, -0.0084648, -0.00495201, -0.0028775, -0.00237851" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.233057, 0.236154, 0.236881, 0.240874, 0.245875, 0.252917, 0.25721, 0.261235, 0.265123, 0.268978, 0.272832, 0.283087, 0.287445, 0.29233, 0.296226, 0.299675");
+            values ( \
+              "-0.00748346, -0.0215063, -0.0205196, -0.0324067, -0.0410625, -0.0502881, -0.054278, -0.0570869, -0.0584898, -0.0579892, -0.0511776, -0.0169841, -0.00916808, -0.00428141, -0.00245085, -0.00159669" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0046474");
+            index_3 ("0.251425, 0.280703, 0.286594, 0.304116, 0.313629, 0.322536, 0.331149, 0.339712, 0.348273, 0.364647, 0.371766, 0.381164, 0.386306, 0.394144, 0.404595, 0.421029, 0.440961");
+            values ( \
+              "-0.000969997, -0.0562081, -0.0651394, -0.084586, -0.0913596, -0.096307, -0.098246, -0.0972753, -0.0854167, -0.0421602, -0.027917, -0.0154072, -0.0109675, -0.00644583, -0.00309652, -0.000864309, -0.000231027" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173306");
+            index_3 ("0.327653, 0.356735, 0.41312, 0.439408, 0.453388, 0.481348, 0.512475, 0.527876, 0.541703, 0.567842, 0.593981, 0.619989, 0.658262, 0.684238, 0.705221, 0.720093, 0.732318, 0.744528, 0.760806, 0.793364, 0.844211, 0.9054");
+            values ( \
+              "-0.0198288, -0.0230991, -0.0652293, -0.081567, -0.0889789, -0.101641, -0.11184, -0.115581, -0.11807, -0.120741, -0.118872, -0.104584, -0.0627774, -0.0395119, -0.0261525, -0.0192461, -0.0148141, -0.0113901, -0.0079924, -0.00373899, -0.00104949, -0.000183893" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0646276");
+            index_3 ("0.114603, 0.154402, 0.19513, 0.21826, 0.363848, 0.478795, 0.519428, 0.571533, 0.637342, 0.664852, 0.897434, 1.04141, 1.13482, 1.19709, 1.25688, 1.35173, 1.44338, 1.53497, 1.62613, 1.75988, 1.86157, 1.91615, 2.01394, 2.08759, 2.18578, 2.32232");
+            values ( \
+              "-0.000277371, -0.00112032, -0.00561114, -0.00657684, -0.00323319, -0.00132902, -0.00125285, -0.0028226, -0.00996335, -0.0146904, -0.0670488, -0.093813, -0.107142, -0.114184, -0.1196, -0.125735, -0.128441, -0.126514, -0.11114, -0.0667323, -0.0397353, -0.0293121, -0.0164262, -0.0104515, -0.00561821, -0.00239152" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.241003");
+            index_3 ("0.111317, 0.233393, 1.1108, 1.48432, 1.69994, 3.227, 3.58786, 4.01854, 4.36545, 4.70129, 5.03693, 5.37105, 6.09851, 6.43672, 6.81341, 7.23021, 7.61744, 7.80932");
+            values ( \
+              "-0.000241904, -0.00892194, -0.00242774, -0.00286964, -0.00795221, -0.0951404, -0.10953, -0.121689, -0.127969, -0.130674, -0.128742, -0.113034, -0.0493638, -0.0296866, -0.0161478, -0.00809755, -0.00398266, -0.00316235" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.898722");
+            index_3 ("0.110538, 0.263439, 1.97815, 3.70201, 4.84513, 5.98826, 6.892, 9.41345, 10.5566, 12.2874, 12.9433, 14.0864, 14.8035, 15.6143, 16.7574, 17.0683, 17.4828, 18.0978, 19.2324, 22.0004, 22.9022, 24.145, 25.3388, 26.1714, 27.3145, 28.4576, 28.5244");
+            values ( \
+              "-0.000227535, -0.0098845, -0.00524673, -0.00252348, -0.00279815, -0.0107299, -0.0238296, -0.0674799, -0.084622, -0.105587, -0.111898, -0.120732, -0.124938, -0.128501, -0.131183, -0.131361, -0.13113, -0.129254, -0.115732, -0.0502087, -0.0351073, -0.0206795, -0.0122638, -0.00829186, -0.00493193, -0.00278321, -0.00271965" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.379568, 0.385172, 0.388734, 0.392297, 0.394344, 0.398437, 0.399086, 0.402981, 0.407402, 0.412418, 0.415129, 0.417549, 0.429449, 0.436463, 0.439575, 0.443951");
+            values ( \
+              "-0.0156214, -0.0252981, -0.0377108, -0.039397, -0.0457629, -0.0481878, -0.0507638, -0.05427, -0.0569824, -0.058657, -0.0578332, -0.054634, -0.0164547, -0.00579178, -0.00356171, -0.00235086" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0046474");
+            index_3 ("0.399405, 0.411004, 0.412733, 0.422629, 0.43463, 0.449481, 0.454285, 0.459665, 0.468579, 0.47719, 0.485754, 0.494315, 0.515128, 0.522207, 0.531053, 0.540151, 0.550548, 0.553286");
+            values ( \
+              "-0.000181078, -0.0286935, -0.029813, -0.0502097, -0.0677744, -0.0839739, -0.0877222, -0.0915639, -0.0960873, -0.098436, -0.0970819, -0.0855947, -0.0327777, -0.0212958, -0.0119739, -0.00647834, -0.00310117, -0.00273272" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173306");
+            index_3 ("0.473538, 0.502672, 0.559044, 0.59931, 0.627102, 0.660629, 0.687644, 0.713799, 0.739934, 0.765938, 0.830104, 0.851256, 0.87833, 0.906507, 0.93871, 0.942345");
+            values ( \
+              "-0.0197294, -0.0231223, -0.0652671, -0.0890131, -0.101548, -0.112462, -0.118129, -0.120734, -0.11892, -0.104563, -0.0395922, -0.0261202, -0.0148068, -0.0080246, -0.00380637, -0.00360944" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0646276");
+            index_3 ("0.534622, 0.818451, 1.04315, 1.18705, 1.30121, 1.40252, 1.49737, 1.58902, 1.68061, 1.77178, 1.99802, 2.07199, 2.16746, 2.2675, 2.36968");
+            values ( \
+              "-0.00016846, -0.0162318, -0.0671055, -0.0938514, -0.109643, -0.119635, -0.125693, -0.128477, -0.126475, -0.111176, -0.0417568, -0.0276363, -0.0156591, -0.00852882, -0.00444488" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.241003");
+            index_3 ("0.262831, 0.386864, 0.89292, 1.30541, 1.68764, 2.03512, 2.89952, 3.37779, 3.79783, 4.1702, 4.51731, 4.85327, 5.18886, 5.52295, 6.25038, 6.58863, 6.96531, 7.38201, 7.74403");
+            values ( \
+              "-0.000226148, -0.00892502, -0.00448923, -0.00225694, -0.00360515, -0.0162847, -0.0704692, -0.0951281, -0.111458, -0.121746, -0.127958, -0.130724, -0.128721, -0.113075, -0.0493997, -0.0296528, -0.0161142, -0.00813161, -0.00433039" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.898722");
+            index_3 ("0.258178, 0.396288, 2.19841, 3.9941, 5.13722, 5.96778, 6.89294, 9.09062, 10.5246, 12.4518, 13.0945, 14.2376, 14.9531, 15.7647, 16.9078, 17.2181, 17.6318, 18.2479, 19.3911, 21.415, 22.1923, 23.0529, 24.2304, 25.5104, 26.3983, 27.5415, 28.6846, 30.9708, 32.1139");
+            values ( \
+              "-0.000214437, -0.00985967, -0.0050914, -0.00238108, -0.00323176, -0.00889011, -0.0214583, -0.0597292, -0.0821069, -0.105772, -0.111947, -0.120767, -0.124959, -0.128527, -0.131198, -0.131374, -0.131148, -0.129263, -0.115572, -0.065678, -0.0494108, -0.0351005, -0.0212799, -0.0121548, -0.00799501, -0.00476331, -0.00267696, -0.000856652, -0.000584631" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.89641, 0.912917, 0.914966, 0.919064, 0.919736, 0.921079, 0.923766, 0.93198, 0.935857, 0.939716, 0.949994, 0.954239, 0.959301, 0.965281");
+            values ( \
+              "-0.00476348, -0.0391322, -0.0454387, -0.0479329, -0.0505247, -0.0509726, -0.0541684, -0.0583933, -0.0577406, -0.0511344, -0.0168656, -0.00935859, -0.00416398, -0.00225078" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0046474");
+            index_3 ("0.923528, 0.933071, 0.944351, 0.960243, 0.970846, 0.980363, 0.989272, 0.997886, 1.00645, 1.01501, 1.03585, 1.04798, 1.05525, 1.06794, 1.07292");
+            values ( \
+              "-0.0152264, -0.0293325, -0.0519135, -0.0733128, -0.0845471, -0.0913293, -0.0962903, -0.0982367, -0.0972664, -0.0854167, -0.0327169, -0.0153924, -0.00950917, -0.00395889, -0.00309202" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173306");
+            index_3 ("0.996105, 1.02327, 1.07922, 1.10523, 1.11962, 1.1484, 1.17072, 1.18099, 1.20153, 1.22111, 1.23417, 1.26014, 1.28625, 1.32639, 1.34505, 1.36702, 1.3791, 1.39599, 1.41341, 1.42673, 1.45337, 1.50414, 1.56387");
+            values ( \
+              "-0.0228308, -0.0231168, -0.0649469, -0.0810303, -0.0888359, -0.101727, -0.109477, -0.112328, -0.116938, -0.119736, -0.120615, -0.118911, -0.104577, -0.060988, -0.0438953, -0.028694, -0.0224254, -0.0156924, -0.0107966, -0.00805773, -0.00440027, -0.00121392, -0.000249156" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0646276");
+            index_3 ("1.06606, 1.33868, 1.56356, 1.70759, 1.82183, 1.92328, 2.018, 2.10966, 2.20125, 2.29242, 2.46927, 2.55325, 2.64848, 2.72983, 2.89253, 2.95341");
+            values ( \
+              "-0.000949869, -0.0161259, -0.0670173, -0.0938023, -0.109613, -0.119623, -0.125707, -0.128458, -0.12649, -0.111158, -0.0542282, -0.0345511, -0.0199902, -0.0121407, -0.00424555, -0.00321728" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.241003");
+            index_3 ("0.75736, 0.819924, 0.907675, 1.4526, 1.95925, 2.39189, 2.62946, 3.36083, 3.89361, 4.31415, 4.68632, 5.03358, 5.36964, 5.70532, 6.03946, 6.68813, 6.99689, 7.34932, 7.64441, 8.23477, 8.46109");
+            values ( \
+              "-0.000130829, -0.00128455, -0.00887662, -0.00422094, -0.00196045, -0.00871299, -0.0205425, -0.0671066, -0.0949882, -0.111415, -0.121658, -0.127942, -0.130666, -0.128727, -0.113034, -0.0551139, -0.0350772, -0.020224, -0.0123364, -0.00436422, -0.00329163" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.898722");
+            index_3 ("0.765793, 0.930399, 3.00606, 3.87298, 5.0161, 6.15922, 6.63782, 7.2029, 9.64635, 10.9953, 12.0694, 12.9846, 13.6232, 14.7663, 15.4823, 16.2936, 17.4368, 17.7472, 18.1612, 18.777, 19.9201, 21.9441, 22.7214, 23.582, 24.7597, 26.0395, 26.9273, 28.0705, 29.2136, 29.6792");
+            values ( \
+              "-0.0001261, -0.00985158, -0.0045654, -0.00315479, -0.00218353, -0.00586643, -0.0104339, -0.0180163, -0.0601662, -0.081262, -0.095546, -0.105807, -0.11192, -0.120765, -0.124961, -0.128508, -0.131203, -0.131379, -0.131132, -0.12927, -0.11556, -0.065689, -0.0494229, -0.0351119, -0.0212905, -0.0121432, -0.00800721, -0.00475181, -0.00268889, -0.00225693" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.7386, 2.7561, 2.75858, 2.76795, 2.77207, 2.77604, 2.77996, 2.78387, 2.7922, 2.79604, 2.80155, 2.80769, 2.81448, 2.81732");
+            values ( \
+              "-0.00120941, -0.0385775, -0.0424522, -0.0529665, -0.05575, -0.0574973, -0.0570785, -0.0506606, -0.0220014, -0.0131866, -0.00570505, -0.00217189, -0.000884845, -0.000651982" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0046474");
+            index_3 ("2.76493, 2.7737, 2.78035, 2.78352, 2.79213, 2.80509, 2.81575, 2.82529, 2.83422, 2.84285, 2.85142, 2.85999, 2.87302, 2.88145, 2.8872, 2.89093, 2.89612, 2.90255, 2.91112, 2.91302");
+            values ( \
+              "-0.0117106, -0.0230465, -0.0328373, -0.0416027, -0.0560618, -0.0733881, -0.0836829, -0.0915786, -0.0956474, -0.0985412, -0.0967696, -0.0857537, -0.0503502, -0.0315513, -0.0222483, -0.0175165, -0.0124806, -0.00811602, -0.0044434, -0.00406675" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173306");
+            index_3 ("2.84438, 2.87262, 2.91584, 2.94064, 2.96435, 2.99679, 3.02569, 3.05271, 3.07886, 3.10499, 3.13099, 3.18131, 3.20317, 3.23153, 3.24743, 3.27128, 3.30308, 3.32469");
+            values ( \
+              "-0.0262429, -0.0263069, -0.0598689, -0.0760645, -0.0888884, -0.103537, -0.112355, -0.118334, -0.120612, -0.119097, -0.104428, -0.0510642, -0.0338932, -0.0190139, -0.0135548, -0.00803968, -0.00390415, -0.00266883" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0646276");
+            index_3 ("2.91506, 3.1841, 3.40811, 3.55199, 3.6664, 3.76783, 3.86253, 3.95419, 4.04575, 4.1369, 4.37415, 4.52507, 4.67081");
+            values ( \
+              "-0.00093544, -0.0163736, -0.0670465, -0.0938016, -0.109711, -0.119647, -0.125796, -0.128454, -0.126554, -0.111135, -0.0394277, -0.0164442, -0.00719181" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.241003");
+            index_3 ("2.54251, 2.64449, 2.77374, 3.61803, 3.99346, 4.16733, 5.74405, 6.16436, 6.53638, 6.88351, 7.21948, 7.55508, 7.88918, 8.71264, 8.99875, 9.34733, 9.68766, 10.0023");
+            values ( \
+              "-7.14368e-05, -0.00079454, -0.00874169, -0.00247598, -0.00276856, -0.00629731, -0.0951243, -0.111452, -0.121743, -0.127946, -0.130726, -0.128712, -0.113079, -0.0428163, -0.0276992, -0.0157208, -0.00902984, -0.00528562" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.898722");
+            index_3 ("2.54028, 2.64007, 2.79816, 4.69863, 5.75755, 6.90067, 8.04379, 8.47819, 9.02974, 11.9328, 13.0759, 14.8034, 15.4594, 16.6026, 17.3188, 18.1299, 19.2731, 19.5836, 19.9976, 20.6133, 21.7565, 23.7805, 24.5577, 25.4183, 26.596, 27.4319, 27.8759, 28.7637, 29.9068, 31.0499, 32.193, 34.4793");
+            values ( \
+              "-7.09689e-05, -0.000811504, -0.00983793, -0.00484367, -0.00309281, -0.00219741, -0.00623921, -0.010483, -0.0178771, -0.0675649, -0.0847186, -0.105629, -0.111914, -0.120767, -0.124964, -0.128504, -0.131206, -0.131382, -0.131128, -0.129273, -0.115556, -0.065692, -0.0494259, -0.0351149, -0.0212934, -0.0147181, -0.0121403, -0.00801016, -0.00474892, -0.00269181, -0.00162544, -0.000569973" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.70041, 9.71128, 9.71765, 9.73023, 9.7396, 9.74385, 9.74801, 9.75217, 9.76293, 9.76573, 9.77026, 9.77616, 9.78291, 9.79139, 9.79208");
+            values ( \
+              "-3.23489e-05, -0.0155587, -0.0273357, -0.0434777, -0.0517318, -0.0538295, -0.0541632, -0.0486797, -0.0160971, -0.0109248, -0.00561693, -0.00234121, -0.000851599, -0.000306053, -0.000299775" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0046474");
+            index_3 ("9.73091, 9.74358, 9.74945, 9.7521, 9.76131, 9.77475, 9.78564, 9.79533, 9.80435, 9.81304, 9.82166, 9.83028, 9.84456, 9.85201, 9.85725, 9.86632, 9.87311, 9.88217, 9.89576");
+            values ( \
+              "-0.0028895, -0.0235297, -0.0309317, -0.0380439, -0.0533089, -0.0714225, -0.0822703, -0.0904795, -0.0948402, -0.0979007, -0.0963451, -0.0854733, -0.0471554, -0.0310491, -0.0225838, -0.0125559, -0.00796932, -0.00422012, -0.00165219" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173306");
+            index_3 ("9.81169, 9.84297, 9.88557, 9.91092, 9.93423, 9.96666, 9.99555, 10.0226, 10.0487, 10.0748, 10.1008, 10.1511, 10.173, 10.2015, 10.2173, 10.241, 10.2726, 10.2941");
+            values ( \
+              "-0.0212045, -0.0266962, -0.0598103, -0.0763456, -0.0889405, -0.10356, -0.112391, -0.118347, -0.120641, -0.119102, -0.104449, -0.051099, -0.033918, -0.0189925, -0.0135712, -0.00808045, -0.00390996, -0.00269036" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0646276");
+            index_3 ("9.26404, 9.55754, 9.73051, 9.95139, 9.9935, 10.0466, 10.1162, 10.1539, 10.3778, 10.5217, 10.6361, 10.7375, 10.8322, 10.9239, 11.0154, 11.1066, 11.2855, 11.3676, 11.4424, 11.5192, 11.6496, 11.746");
+            values ( \
+              "-1.39492e-05, -0.000437734, -0.00539736, -0.00144485, -0.00123818, -0.0025635, -0.00977071, -0.0164258, -0.067045, -0.0938117, -0.109742, -0.119642, -0.125819, -0.128445, -0.126573, -0.111123, -0.0536213, -0.0345444, -0.022522, -0.0142034, -0.00626442, -0.00370508" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.241003");
+            index_3 ("9.31617, 9.57477, 9.76246, 10.2124, 10.6492, 10.9274, 11.2079, 11.4298, 12.1794, 12.7107, 13.1306, 13.5025, 13.8495, 14.1855, 14.521, 14.8551, 15.3461, 15.7038, 15.9345, 16.2872, 16.5348, 17.0028, 17.405");
+            values ( \
+              "-2.58241e-05, -0.000812593, -0.00852462, -0.00459094, -0.00224137, -0.00247435, -0.00888258, -0.0198077, -0.067439, -0.0951823, -0.111511, -0.121759, -0.127982, -0.130727, -0.128737, -0.113071, -0.067744, -0.0412606, -0.0290565, -0.0164245, -0.0108507, -0.00507713, -0.00275652" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.898722");
+            index_3 ("9.32126, 9.51523, 9.56693, 9.77104, 10.9192, 11.9673, 13.7721, 14.9152, 15.4382, 16.0079, 18.8827, 20.0258, 21.7609, 22.4167, 23.5599, 24.2787, 25.0887, 26.2318, 26.5435, 26.959, 27.5727, 28.7158, 30.7401, 31.5171, 32.378, 33.5563, 34.8354, 35.7228, 36.8659, 38.009, 39.1521, 41.4384");
+            values ( \
+              "-2.83899e-05, -0.000239661, -0.000852764, -0.00979389, -0.00647396, -0.00426481, -0.00217957, -0.00556176, -0.0104572, -0.0181164, -0.067309, -0.0844829, -0.105527, -0.111832, -0.12069, -0.124912, -0.128465, -0.131167, -0.131346, -0.131104, -0.129245, -0.115553, -0.0656841, -0.0494236, -0.0351089, -0.0212826, -0.0121462, -0.00800744, -0.00475545, -0.00268759, -0.00163102, -0.000575215" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.00180695, 0.00180696, 0.00180696, 0.00180696, 0.00180696, 0.00180696", \
+            "0.00207649, 0.00207648, 0.00207648, 0.00207648, 0.00207648, 0.00207648", \
+            "0.00218112, 0.00218112, 0.00218112, 0.00218112, 0.00218112, 0.00218112", \
+            "0.00223187, 0.00223187, 0.00223187, 0.00223187, 0.00223187, 0.00223187", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00227069, 0.00227069, 0.00227069, 0.00227069, 0.00227069, 0.00227069" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.00230602, 0.00230602, 0.00230602, 0.00230602, 0.00230602, 0.00230602", \
+            "0.00242656, 0.00242655, 0.00242654, 0.00242653, 0.00242653, 0.00242653", \
+            "0.00263751, 0.00263751, 0.00263751, 0.0026375, 0.0026375, 0.00263749", \
+            "0.00325096, 0.00325083, 0.00325078, 0.0032508, 0.00325083, 0.00325083", \
+            "0.00368877, 0.00368817, 0.00368792, 0.00368873, 0.00368806, 0.00368778", \
+            "0.0036723, 0.00367247, 0.00367198, 0.00367317, 0.00367256, 0.00367091" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        timing_sense : positive_unate;
+        timing_type : clear;
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.217391, 0.278754, 0.498393, 1.30803, 4.32588, 15.5709", \
+            "0.228675, 0.290031, 0.509677, 1.31969, 4.33784, 15.5856", \
+            "0.277168, 0.338493, 0.558267, 1.36819, 4.38609, 15.6331", \
+            "0.407535, 0.46884, 0.688438, 1.49869, 4.51504, 15.7653", \
+            "0.689352, 0.751141, 0.970532, 1.78063, 4.79888, 16.047", \
+            "1.40692, 1.47224, 1.69117, 2.50037, 5.51715, 16.7644" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0248622, 0.0554281, 0.16822, 0.5915, 2.16864, 8.04233", \
+            "0.0248534, 0.0554262, 0.16854, 0.591766, 2.16974, 8.041", \
+            "0.0248792, 0.0554308, 0.168628, 0.591794, 2.16977, 8.04016", \
+            "0.0249746, 0.0554512, 0.168704, 0.59171, 2.17074, 8.04066", \
+            "0.0254864, 0.0555967, 0.168588, 0.591706, 2.16996, 8.04049", \
+            "0.0278994, 0.0564871, 0.168492, 0.591671, 2.17043, 8.03362" \
+          );
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195952", \
+            "0.00235269", \
+            "0.00254796", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253647", \
+            "0.0025603", \
+            "0.00289394", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.197923, 0.203363, 0.206896, 0.21043, 0.212476, 0.216569, 0.220999, 0.225499, 0.2312, 0.233206, 0.237065, 0.247321, 0.253653, 0.260406, 0.262318");
+            values ( \
+              "-0.0159531, -0.0255507, -0.0379885, -0.0395551, -0.0459837, -0.0483252, -0.0543382, -0.0571174, -0.0586694, -0.0578383, -0.051334, -0.0169685, -0.00666244, -0.00251379, -0.00200274" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0046474");
+            index_3 ("0.216381, 0.239975, 0.252827, 0.268291, 0.27782, 0.286736, 0.295358, 0.303929, 0.312497, 0.32889, 0.345327, 0.358471, 0.36905, 0.372168");
+            values ( \
+              "-6.62629e-06, -0.0486687, -0.06761, -0.084312, -0.0914321, -0.0960497, -0.0983028, -0.0970506, -0.0855007, -0.0421294, -0.01548, -0.00640738, -0.0030448, -0.00262737" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173306");
+            index_3 ("0.287934, 0.321325, 0.377801, 0.404081, 0.418102, 0.446145, 0.476807, 0.495057, 0.506448, 0.529228, 0.539374, 0.552902, 0.558494, 0.569679, 0.586318, 0.624632, 0.647821, 0.671622, 0.689129, 0.701231, 0.71959, 0.744069, 0.791839, 0.848034");
+            values ( \
+              "-0.0143605, -0.0230357, -0.065141, -0.0815031, -0.0889099, -0.10164, -0.111691, -0.116055, -0.118046, -0.120558, -0.120784, -0.119819, -0.118796, -0.11498, -0.102672, -0.0608926, -0.0402182, -0.0251753, -0.0174335, -0.01347, -0.00903566, -0.00522091, -0.00157866, -0.000377446" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0646276");
+            index_3 ("0.0775876, 0.110179, 0.12183, 0.160445, 0.176563, 0.201295, 0.324287, 0.436315, 0.477775, 0.530017, 0.599878, 0.627426, 0.690623, 0.860832, 1.00468, 1.11883, 1.22019, 1.31505, 1.40669, 1.49829, 1.58946, 1.72344, 1.77029, 1.85052, 1.92408, 1.97968, 2.04672, 2.13611, 2.29473, 2.48563");
+            values ( \
+              "-0.000278942, -0.000775268, -0.00135146, -0.00581368, -0.00660916, -0.00641448, -0.0032906, -0.00139587, -0.00122302, -0.00253923, -0.0097995, -0.0145099, -0.0276829, -0.0670391, -0.0937931, -0.109591, -0.119592, -0.125706, -0.128442, -0.126493, -0.111147, -0.0666466, -0.0531449, -0.0345076, -0.0227074, -0.0161891, -0.0107291, -0.00614034, -0.00197983, -0.000539155" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.241003");
+            index_3 ("0.0755169, 0.198336, 1.10402, 1.45638, 1.66665, 3.19213, 3.54008, 3.98275, 4.33036, 4.66625, 5.00189, 5.33602, 6.06348, 6.40168, 6.77837, 7.19518, 7.61153, 7.7694");
+            values ( \
+              "-0.000237897, -0.008923, -0.00231984, -0.00295984, -0.00802405, -0.0951606, -0.109077, -0.121681, -0.127955, -0.130684, -0.12873, -0.113045, -0.0493734, -0.0296772, -0.0161384, -0.00810666, -0.00375091, -0.00311865" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.898722");
+            index_3 ("0.0797113, 0.216029, 1.94751, 3.64773, 4.7907, 5.93367, 7.02408, 9.26048, 10.122, 11.3561, 12.234, 12.9109, 14.0538, 14.7721, 15.5822, 16.7251, 17.0367, 17.452, 18.0658, 19.2088, 21.2331, 22.01, 22.871, 24.0495, 24.8847, 25.3283, 26.2154, 27.3584, 28.5013, 29.6443, 31.9302");
+            values ( \
+              "-0.00022382, -0.00983943, -0.00525152, -0.0025519, -0.00273639, -0.0104486, -0.0265494, -0.0654924, -0.0788437, -0.0954671, -0.105372, -0.111865, -0.120737, -0.124951, -0.128476, -0.131196, -0.131374, -0.131106, -0.129268, -0.115549, -0.0656977, -0.0494386, -0.0351216, -0.0212925, -0.0147245, -0.0121345, -0.00802084, -0.00474432, -0.00270058, -0.00161947, -0.000563353" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.229349, 0.246125, 0.248173, 0.252269, 0.252896, 0.254151, 0.25666, 0.261191, 0.266993, 0.268897, 0.272705, 0.283642, 0.286431, 0.290917, 0.294461, 0.297805");
+            values ( \
+              "-0.00344589, -0.0396253, -0.0459842, -0.0483765, -0.050946, -0.0512878, -0.0543312, -0.0571534, -0.0586438, -0.0578607, -0.0514573, -0.015563, -0.0103942, -0.00523636, -0.00300972, -0.00228918" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0046474");
+            index_3 ("0.255179, 0.272101, 0.280558, 0.29338, 0.303986, 0.313509, 0.322424, 0.331046, 0.339617, 0.348185, 0.364571, 0.372314, 0.381052, 0.386228, 0.394114, 0.404628, 0.420869, 0.440407");
+            values ( \
+              "-0.00595058, -0.0423528, -0.0566415, -0.0736771, -0.0841624, -0.0915854, -0.0959107, -0.0984376, -0.0969265, -0.0856215, -0.0421563, -0.0268941, -0.0154521, -0.0109801, -0.00643796, -0.00305886, -0.000887261, -0.000228237" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173306");
+            index_3 ("0.325496, 0.356967, 0.41347, 0.439767, 0.453772, 0.481782, 0.512673, 0.529552, 0.542118, 0.568267, 0.594406, 0.620416, 0.658682, 0.684737, 0.70557, 0.720335, 0.732688, 0.745015, 0.761452, 0.794325, 0.844306, 0.904658");
+            values ( \
+              "-0.0166371, -0.0230158, -0.0651594, -0.0814933, -0.0889285, -0.101608, -0.111759, -0.115806, -0.118057, -0.120712, -0.11887, -0.104564, -0.0627961, -0.0394722, -0.0262106, -0.019304, -0.0148497, -0.0113927, -0.00793567, -0.00370819, -0.0010475, -0.00021167" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0646276");
+            index_3 ("0.388242, 0.672457, 0.868029, 1.04141, 1.15582, 1.25725, 1.35196, 1.44363, 1.53519, 1.62635, 1.80307, 1.88716, 1.98317, 2.11706, 2.2242, 2.28501");
+            values ( \
+              "-0.000256444, -0.0161233, -0.0607848, -0.0937337, -0.109741, -0.119589, -0.125833, -0.128402, -0.126595, -0.111086, -0.0542015, -0.0346126, -0.019844, -0.00874717, -0.00436305, -0.00325825" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.241003");
+            index_3 ("0.117492, 0.242616, 0.762513, 1.21184, 1.57985, 1.88111, 2.70247, 3.23346, 3.65358, 4.0255, 4.37258, 4.70852, 5.04408, 5.37816, 6.0262, 6.33552, 6.69179, 6.98176, 7.5622, 7.67973");
+            values ( \
+              "-0.000234831, -0.00893249, -0.00439681, -0.00210197, -0.00431515, -0.015853, -0.0674059, -0.0951409, -0.111517, -0.121733, -0.127995, -0.130705, -0.128753, -0.113051, -0.0551626, -0.0350831, -0.0200979, -0.0123656, -0.00445925, -0.00388626" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.898722");
+            index_3 ("0.122575, 0.261802, 1.58501, 2.85588, 3.96093, 5.1039, 6.04927, 6.34691, 9.43028, 10.5732, 11.4037, 12.3015, 12.9575, 14.1005, 14.8174, 15.6282, 16.7711, 17.0822, 17.4971, 18.1116, 19.2546, 21.2788, 22.0558, 22.9166, 24.0949, 24.9303, 25.374, 26.2613, 27.4043, 28.5472, 29.1417");
+            values ( \
+              "-0.000219434, -0.009845, -0.00617232, -0.00368537, -0.0023092, -0.00361327, -0.0113252, -0.0152271, -0.0675466, -0.084689, -0.0955336, -0.105613, -0.111911, -0.120752, -0.124955, -0.128505, -0.131196, -0.131373, -0.13113, -0.129264, -0.115565, -0.0656849, -0.0494236, -0.035108, -0.0212808, -0.0147107, -0.0121481, -0.00800591, -0.00475769, -0.00268631, -0.00213854" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.37699, 0.384372, 0.385101, 0.388596, 0.394172, 0.403186, 0.411085, 0.414935, 0.418784, 0.427007, 0.430906, 0.436327, 0.442149, 0.448437, 0.451395");
+            values ( \
+              "-0.00153818, -0.023721, -0.0285246, -0.0359909, -0.0446788, -0.0545726, -0.0586811, -0.057949, -0.0512818, -0.0222926, -0.0132251, -0.00575592, -0.00228859, -0.00100239, -0.000715339" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0046474");
+            index_3 ("0.401928, 0.413328, 0.426742, 0.439569, 0.450176, 0.4597, 0.468616, 0.477239, 0.48581, 0.494378, 0.510766, 0.517884, 0.527234, 0.540321, 0.550855, 0.554559");
+            values ( \
+              "-0.0145795, -0.0308158, -0.05657, -0.0736248, -0.0841862, -0.0915436, -0.0959378, -0.0984017, -0.0969542, -0.0855898, -0.0421373, -0.0279082, -0.0154509, -0.0064187, -0.00306409, -0.00256217" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173306");
+            index_3 ("0.475828, 0.503354, 0.551587, 0.576361, 0.600144, 0.632612, 0.661525, 0.688555, 0.714715, 0.740847, 0.766852, 0.818462, 0.843646, 0.859489, 0.876727, 0.906624, 0.932587, 0.952708");
+            values ( \
+              "-0.0223146, -0.0230358, -0.0599174, -0.0760709, -0.0886636, -0.103606, -0.112177, -0.118425, -0.120462, -0.119199, -0.104299, -0.0498654, -0.0309419, -0.0224391, -0.0156462, -0.00814735, -0.00454757, -0.00319084" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0646276");
+            index_3 ("0.537744, 0.818402, 1.04351, 1.18745, 1.30189, 1.40338, 1.4981, 1.58977, 1.68134, 1.77249, 1.95024, 2.11045, 2.18516, 2.30953, 2.37368");
+            values ( \
+              "-0.000492533, -0.0160942, -0.0669873, -0.0937629, -0.109668, -0.119636, -0.125772, -0.128453, -0.126538, -0.111139, -0.053963, -0.0222536, -0.0142072, -0.00652585, -0.00473353" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.241003");
+            index_3 ("0.262435, 0.39141, 0.91322, 1.37279, 1.7421, 2.10002, 2.84756, 3.3789, 3.79892, 4.17083, 4.51791, 4.85385, 5.18942, 5.5235, 6.18019, 6.76158, 7.19321, 7.50266, 7.65478");
+            values ( \
+              "-0.000213712, -0.00893898, -0.00437439, -0.00207445, -0.0046687, -0.0199015, -0.0674141, -0.0951696, -0.111491, -0.121757, -0.127968, -0.13073, -0.128727, -0.113076, -0.0545363, -0.0227481, -0.0110337, -0.00659957, -0.00538227" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.898722");
+            index_3 ("0.250362, 0.393887, 1.72948, 3.00019, 4.13288, 5.27584, 6.15839, 6.52981, 10.3263, 11.4692, 12.3414, 13.0848, 14.2278, 14.9446, 15.7555, 16.8984, 17.2096, 17.6245, 18.2389, 19.3819, 21.4061, 22.1831, 23.044, 24.2223, 25.5013, 26.3886, 27.5316, 28.6745, 29.8175, 32.1034");
+            values ( \
+              "-0.000201991, -0.00984092, -0.00612172, -0.00364794, -0.00229099, -0.00379213, -0.0111123, -0.0160204, -0.0793414, -0.0947771, -0.104711, -0.111898, -0.120758, -0.124961, -0.128493, -0.131204, -0.131381, -0.131118, -0.129273, -0.115554, -0.0656951, -0.0494341, -0.0351183, -0.0212909, -0.0121378, -0.0080165, -0.00474736, -0.00269679, -0.0016228, -0.000566787" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.900002, 0.901823, 0.902937, 0.904637, 0.905853, 0.909393, 0.915022, 0.919782, 0.924085, 0.928116, 0.932011, 0.935871, 0.93973, 0.947964, 0.951862, 0.954354, 0.957293, 0.96314, 0.96513");
+            values ( \
+              "-0.0153371, -0.0181674, -0.0214295, -0.0218994, -0.0282986, -0.0355832, -0.0443631, -0.0499501, -0.0543526, -0.0568334, -0.0585212, -0.0578097, -0.0512007, -0.0222484, -0.0132292, -0.00897908, -0.00573106, -0.00226098, -0.00186776" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0046474");
+            index_3 ("0.922588, 0.933963, 0.947405, 0.960248, 0.970864, 0.980393, 0.989311, 0.997936, 1.00651, 1.01508, 1.03594, 1.04344, 1.04988, 1.0548, 1.06356, 1.07122");
+            values ( \
+              "-0.0145601, -0.0306823, -0.0564885, -0.0735705, -0.0841196, -0.0915228, -0.0958952, -0.0983978, -0.0969257, -0.0855984, -0.0326851, -0.0207161, -0.0136573, -0.0098514, -0.00542873, -0.00340608" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173306");
+            index_3 ("0.995329, 1.02382, 1.0719, 1.09616, 1.12037, 1.15287, 1.1818, 1.20884, 1.235, 1.26114, 1.28715, 1.33754, 1.35937, 1.38728, 1.40355, 1.42795, 1.46047, 1.48231");
+            values ( \
+              "-0.020696, -0.023116, -0.0595788, -0.0754775, -0.0887532, -0.103336, -0.112314, -0.1182, -0.120613, -0.119002, -0.104458, -0.0510238, -0.0338923, -0.0192057, -0.0135871, -0.00796976, -0.00377048, -0.00256904" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0646276");
+            index_3 ("1.07146, 1.34043, 1.56451, 1.70845, 1.82284, 1.92427, 2.01897, 2.11063, 2.20219, 2.29334, 2.47004, 2.55415, 2.65033, 2.73042, 2.89068, 2.94668");
+            values ( \
+              "-0.000975326, -0.0163367, -0.0670731, -0.0938412, -0.109673, -0.119683, -0.125758, -0.12849, -0.126517, -0.11117, -0.0542703, -0.0345574, -0.0198767, -0.0121669, -0.00432913, -0.00335309" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.241003");
+            index_3 ("0.756784, 0.820028, 0.895357, 1.50169, 1.7336, 2.05078, 2.4333, 2.73589, 3.36122, 3.89379, 4.31417, 4.68628, 5.0335, 5.36953, 5.70518, 6.03932, 6.68784, 6.99673, 7.35005, 7.64395, 7.83997, 8.232, 8.55906");
+            values ( \
+              "-0.000124936, -0.00129562, -0.00881947, -0.00390372, -0.00264272, -0.00209576, -0.0104468, -0.0270731, -0.0671924, -0.0950513, -0.111412, -0.121701, -0.127928, -0.1307, -0.128708, -0.113063, -0.0551473, -0.0350572, -0.0202155, -0.0123227, -0.00898934, -0.0043658, -0.00282507" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.898722");
+            index_3 ("0.766177, 0.931059, 2.06313, 3.12616, 4.02886, 5.17183, 6.31479, 7.1139, 10.0957, 11.2386, 12.0708, 12.9686, 13.6245, 14.7675, 15.4842, 16.2951, 17.438, 17.749, 18.1637, 18.7785, 19.9214, 21.9456, 22.7226, 23.5834, 24.7617, 25.5971, 26.0408, 26.9281, 28.0711, 29.2141, 29.8392");
+            values ( \
+              "-0.000119915, -0.00982281, -0.00662478, -0.00434699, -0.00294686, -0.00224778, -0.00712451, -0.0166834, -0.0675277, -0.0846832, -0.0955399, -0.105629, -0.111913, -0.120764, -0.124965, -0.128504, -0.131205, -0.131381, -0.131127, -0.129272, -0.11556, -0.0656915, -0.0494298, -0.0351145, -0.0212877, -0.0147173, -0.0121416, -0.00801222, -0.0047511, -0.00269272, -0.00210963" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.74218, 2.74386, 2.74559, 2.74881, 2.75247, 2.75264, 2.75299, 2.75853, 2.76231, 2.76572, 2.77197, 2.77593, 2.77985, 2.78376, 2.79209, 2.79593, 2.79836, 2.80143, 2.80756, 2.8112");
+            values ( \
+              "-0.0128345, -0.0149605, -0.0189986, -0.0228511, -0.0352624, -0.0338868, -0.0338789, -0.0424569, -0.0474499, -0.0511118, -0.0559656, -0.0574442, -0.0572345, -0.0505902, -0.0220025, -0.0132022, -0.00908565, -0.00569772, -0.00216247, -0.0014671" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0046474");
+            index_3 ("2.76541, 2.77395, 2.77577, 2.7794, 2.78329, 2.78899, 2.7919, 2.79771, 2.80486, 2.81553, 2.82509, 2.83403, 2.84267, 2.85126, 2.85984, 2.86176, 2.86433, 2.86928, 2.87287, 2.87643, 2.88117, 2.88345, 2.88506, 2.8872, 2.89089, 2.89603, 2.89812, 2.90231, 2.91069, 2.91488");
+            values ( \
+              "-0.0126302, -0.0241065, -0.027788, -0.0317275, -0.041681, -0.0507306, -0.0560235, -0.0636866, -0.0732533, -0.0836245, -0.0913958, -0.0955689, -0.0983681, -0.0967125, -0.0856396, -0.0809133, -0.073918, -0.0599427, -0.0503072, -0.0416667, -0.0318101, -0.0277214, -0.0251307, -0.0220394, -0.0173868, -0.0124402, -0.0107874, -0.00817556, -0.00453095, -0.00367451" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173306");
+            index_3 ("2.84883, 2.89591, 2.93893, 2.96449, 2.99694, 3.02584, 3.05287, 3.07902, 3.10515, 3.13115, 3.18148, 3.20334, 3.23163, 3.24758, 3.27152, 3.30344, 3.33325");
+            values ( \
+              "-0.0217329, -0.0445374, -0.0748352, -0.088937, -0.103437, -0.112411, -0.118246, -0.120674, -0.119017, -0.104494, -0.0510824, -0.0339164, -0.0190621, -0.0135765, -0.00804462, -0.003867, -0.00218414" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0646276");
+            index_3 ("2.91637, 3.18453, 3.40855, 3.55239, 3.66679, 3.76827, 3.86297, 3.95463, 4.04619, 4.13734, 4.32205, 4.42826, 4.52594, 4.69473, 4.75416");
+            values ( \
+              "-0.00101216, -0.0163544, -0.0670784, -0.0938225, -0.109674, -0.119675, -0.125766, -0.128482, -0.126525, -0.111162, -0.052124, -0.0292527, -0.016418, -0.00568081, -0.00426988" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.241003");
+            index_3 ("2.54344, 2.65487, 2.76693, 3.64899, 3.98099, 4.16767, 5.74514, 6.16538, 6.53736, 6.88447, 7.22043, 7.55601, 7.89011, 8.53826, 8.84748, 9.20305, 9.49395, 10.0762, 10.1633");
+            values ( \
+              "-6.87624e-05, -0.00112777, -0.0086752, -0.00237068, -0.00262585, -0.00630981, -0.095144, -0.111465, -0.121752, -0.127952, -0.130731, -0.128715, -0.113081, -0.0551842, -0.0350522, -0.02015, -0.0123314, -0.00441312, -0.00399878" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.898722");
+            index_3 ("2.53906, 2.64043, 2.80153, 4.68343, 5.68186, 6.82483, 7.96779, 8.47424, 9.04271, 11.4681, 12.8574, 14.8175, 15.4581, 16.6011, 17.3174, 18.1285, 19.2715, 19.5824, 19.997, 20.6119, 21.7548, 23.779, 24.556, 25.4168, 26.595, 27.8742, 28.7616, 29.9045, 31.0475, 32.1905, 34.4764");
+            values ( \
+              "-6.80625e-05, -0.000861642, -0.00978858, -0.00487114, -0.00319566, -0.00218403, -0.00567656, -0.0104558, -0.0180948, -0.0599489, -0.0816561, -0.10579, -0.111923, -0.120766, -0.124964, -0.12851, -0.131204, -0.13138, -0.131132, -0.12927, -0.115563, -0.065689, -0.0494269, -0.0351119, -0.0212854, -0.0121442, -0.00800945, -0.00475365, -0.00269003, -0.00162927, -0.000573328" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.70131, 9.71148, 9.71629, 9.73056, 9.73999, 9.74426, 9.74844, 9.75262, 9.76341, 9.76618, 9.77076, 9.77677, 9.78363, 9.79203, 9.81719");
+            values ( \
+              "-6.93592e-05, -0.0155586, -0.0247933, -0.0432125, -0.0514784, -0.0535587, -0.0539201, -0.0484875, -0.0160408, -0.0109475, -0.00559679, -0.00229674, -0.000820405, -0.000302221, -7.69114e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0046474");
+            index_3 ("9.72989, 9.74354, 9.7445, 9.74641, 9.75324, 9.75927, 9.76262, 9.76933, 9.77625, 9.78726, 9.79702, 9.80609, 9.81483, 9.82349, 9.83214, 9.83276, 9.83649, 9.84147, 9.84643, 9.84889, 9.85383, 9.85634, 9.85919, 9.863, 9.86827, 9.87049, 9.87494, 9.88383, 9.88433");
+            values ( \
+              "-0.00760754, -0.0209431, -0.0224472, -0.0241596, -0.036334, -0.0473257, -0.0517505, -0.0618039, -0.0700344, -0.0820836, -0.0893581, -0.0947894, -0.0970257, -0.0964307, -0.0848685, -0.0838115, -0.074093, -0.0600655, -0.0470973, -0.0412929, -0.0310975, -0.0267487, -0.0224365, -0.0176835, -0.0124631, -0.010819, -0.00796483, -0.00433504, -0.00423527" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173306");
+            index_3 ("9.81876, 9.85069, 9.87613, 9.91205, 9.93603, 9.96836, 9.99732, 10.0243, 10.0505, 10.0766, 10.1026, 10.153, 10.1767, 10.2031, 10.2271, 10.2431, 10.2751, 10.3022");
+            values ( \
+              "-0.0266412, -0.0309408, -0.0514626, -0.0757431, -0.0890806, -0.103277, -0.112511, -0.118133, -0.120779, -0.118919, -0.104595, -0.0511067, -0.0326993, -0.019099, -0.011431, -0.00804504, -0.00384016, -0.00233604" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0646276");
+            index_3 ("9.26453, 9.56059, 9.74208, 9.9522, 9.99421, 10.0466, 10.1178, 10.156, 10.3797, 10.5236, 10.638, 10.7394, 10.8341, 10.9257, 11.0173, 11.1084, 11.2851, 11.3693, 11.4656, 11.5455, 11.7054, 11.7431");
+            values ( \
+              "-1.36356e-05, -0.000453407, -0.00531273, -0.00147109, -0.00122708, -0.00247188, -0.00969048, -0.0164226, -0.0671143, -0.0938776, -0.10967, -0.119708, -0.125749, -0.128511, -0.126506, -0.111187, -0.0542871, -0.034548, -0.0198702, -0.0121615, -0.00433213, -0.00367467" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.241003");
+            index_3 ("9.31846, 9.57482, 9.77099, 10.3178, 10.8222, 11.2042, 11.4079, 12.2605, 12.7111, 13.1316, 13.5036, 13.8508, 14.1868, 14.5225, 14.8566, 15.505, 15.814, 16.1681, 16.4609, 16.8645, 17.2339");
+            values ( \
+              "-2.52014e-05, -0.00076999, -0.00843193, -0.00390564, -0.00199591, -0.00858144, -0.0183741, -0.0720157, -0.0950966, -0.111412, -0.121739, -0.127918, -0.130731, -0.128691, -0.113089, -0.0551785, -0.0350387, -0.0202069, -0.01231, -0.00626744, -0.00363821" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.898722");
+            index_3 ("9.3196, 9.5119, 9.56412, 9.77508, 11.728, 13.3088, 14.4518, 15.2996, 16.2939, 19.1382, 20.6241, 21.3429, 22.6586, 23.7994, 25.0901, 25.8652, 26.3371, 27.281, 27.5709, 28.1508, 28.898, 30.734, 31.8564, 32.9839, 33.8138, 34.4129, 35.3188, 36.4618, 37.6048, 38.7477, 41.0336");
+            values ( \
+              "-2.73765e-05, -0.000224337, -0.000807573, -0.00970792, -0.00471631, -0.00241932, -0.00316344, -0.00895194, -0.0226102, -0.0713899, -0.0924914, -0.101072, -0.113953, -0.122225, -0.128489, -0.130626, -0.131256, -0.130421, -0.129263, -0.124554, -0.111361, -0.0657464, -0.0432434, -0.0272564, -0.0189982, -0.0145779, -0.00968646, -0.00568777, -0.00330116, -0.00192283, -0.000645455" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.00180706, 0.00180706, 0.00180707, 0.00180707, 0.00180707, 0.00180707", \
+            "0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651", \
+            "0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111", \
+            "0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00227069, 0.00227069, 0.00227069, 0.00227069, 0.00227069, 0.00227069" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.00230608, 0.00230607, 0.00230607, 0.00230607, 0.00230607, 0.00230607", \
+            "0.00242667, 0.00242667, 0.00242666, 0.00242665, 0.00242665, 0.00242665", \
+            "0.00263732, 0.00263731, 0.00263731, 0.0026373, 0.0026373, 0.0026373", \
+            "0.00325222, 0.0032521, 0.00325205, 0.00325209, 0.00325211, 0.00325212", \
+            "0.00368928, 0.00368793, 0.00368837, 0.00368856, 0.00368773, 0.00368749", \
+            "0.00367257, 0.00367433, 0.0036743, 0.00367208, 0.00367348, 0.00367192" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "CK";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "CK";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0141271, 0.0141665, 0.0141119, 0.0135618, 0.0114179, 0.00337732", \
+            "0.0139824, 0.0140265, 0.013973, 0.0134251, 0.0112762, 0.00325576", \
+            "0.0138721, 0.0139156, 0.0138622, 0.0133117, 0.011167, 0.00310938", \
+            "0.014166, 0.0141766, 0.0141314, 0.0136324, 0.0114725, 0.00342275", \
+            "0.0163907, 0.016462, 0.016412, 0.0158449, 0.0135356, 0.00564959", \
+            "0.027603, 0.0276415, 0.0275803, 0.0270144, 0.0249007, 0.0163468" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0139931, 0.0140259, 0.0139562, 0.0134534, 0.0114362, 0.00389273", \
+            "0.013713, 0.0137461, 0.0136762, 0.0131727, 0.0111612, 0.00361235", \
+            "0.013582, 0.0136142, 0.0135457, 0.013044, 0.0110438, 0.00347461", \
+            "0.0142831, 0.0142875, 0.0142254, 0.0137259, 0.0117153, 0.00417485", \
+            "0.0185731, 0.0186104, 0.0185196, 0.0180169, 0.0160862, 0.00849705", \
+            "0.0364327, 0.0364156, 0.0362401, 0.0357402, 0.033753, 0.026313" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * D)";
+        related_pg_pin : GND;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * D)";
+        related_pg_pin : VDD;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0164988, 0.0165269, 0.0164471, 0.0159328, 0.0139157, 0.00636814", \
+            "0.0164007, 0.0164291, 0.0163491, 0.0158355, 0.0138214, 0.00626308", \
+            "0.0162966, 0.0163237, 0.0162445, 0.0157321, 0.0137192, 0.0061534", \
+            "0.0165188, 0.016525, 0.0164525, 0.015951, 0.0139434, 0.00638959", \
+            "0.0186515, 0.0186532, 0.01857, 0.0180639, 0.016106, 0.0085333", \
+            "0.0301076, 0.0300861, 0.0299482, 0.0294056, 0.027419, 0.0199796" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * !D)";
+        related_pg_pin : GND;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * !D)";
+        related_pg_pin : VDD;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0128836, 0.0129119, 0.0128309, 0.012316, 0.0103006, 0.00275509", \
+            "0.012788, 0.0128164, 0.0127357, 0.01222, 0.0102045, 0.00265556", \
+            "0.0127457, 0.0127729, 0.0126934, 0.0121803, 0.0101671, 0.00260812", \
+            "0.0130612, 0.0130731, 0.0130057, 0.0125004, 0.0104815, 0.00293745", \
+            "0.0152369, 0.0152482, 0.0151578, 0.0146646, 0.0126943, 0.00512729", \
+            "0.0262196, 0.0262015, 0.0260762, 0.0255383, 0.0235519, 0.016131" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "!CK";
+        related_pg_pin : GND;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "!CK";
+        related_pg_pin : VDD;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0187708, 0.0187982, 0.0187182, 0.0182044, 0.0161865, 0.00863805", \
+            "0.0186739, 0.0187017, 0.0186218, 0.0181076, 0.0160921, 0.00854347", \
+            "0.0185669, 0.0185943, 0.0185144, 0.0180021, 0.0159891, 0.00842993", \
+            "0.0187699, 0.018772, 0.0187042, 0.0181947, 0.0161975, 0.00862692", \
+            "0.0208818, 0.0208817, 0.0207797, 0.0202795, 0.0183206, 0.0107456", \
+            "0.0323296, 0.0323247, 0.0321794, 0.0316322, 0.0296565, 0.0222671" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        related_pg_pin : GND;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965", \
+            "-0.00100947, -0.00376439, -0.0140378, -0.0523483, -0.195212, -0.727965" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        related_pg_pin : VDD;
+        rise_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.0046474, 0.0173306, 0.0646276, 0.241003, 0.898722");
+          values ( \
+            "0.0187708, 0.0187982, 0.0187182, 0.0182044, 0.0161865, 0.00863805", \
+            "0.0186739, 0.0187017, 0.0186218, 0.0181076, 0.0160921, 0.00854347", \
+            "0.0185669, 0.0185943, 0.0185144, 0.0180021, 0.0159891, 0.00842993", \
+            "0.0187699, 0.018772, 0.0187042, 0.0181947, 0.0161975, 0.00862692", \
+            "0.0208818, 0.0208817, 0.0207797, 0.0202795, 0.0183206, 0.0107456", \
+            "0.0323296, 0.0323247, 0.0321794, 0.0316322, 0.0296565, 0.0222671" \
+          );
+        }
+      }
+    }
+    pin (QN) {
+      direction : output;
+      function : "IQN";
+      power_down_function : "(!VDD) + (GND)";
+      related_ground_pin : GND;
+      related_power_pin : VDD;
+      max_capacitance : 0.896737;
+      timing () {
+        related_pin : "CK";
+        timing_sense : non_unate;
+        timing_type : falling_edge;
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.19453, 0.2222, 0.317782, 0.668137, 1.97112, 6.82708", \
+            "0.20229, 0.22996, 0.325541, 0.675894, 1.97889, 6.83483", \
+            "0.244683, 0.272354, 0.367958, 0.718347, 2.02128, 6.87728", \
+            "0.359102, 0.386749, 0.482147, 0.832555, 2.13569, 6.99152", \
+            "0.618192, 0.646611, 0.742275, 1.09269, 2.39564, 7.25158", \
+            "1.30668, 1.33795, 1.43421, 1.78483, 3.08789, 7.94354" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.0557296, 0.0892648, 0.225886, 0.746574, 2.68982, 9.9304", \
+            "0.0557281, 0.0893047, 0.225891, 0.746573, 2.6899, 9.93039", \
+            "0.0557754, 0.0893223, 0.225892, 0.746575, 2.68921, 9.93041", \
+            "0.0568601, 0.0898982, 0.225848, 0.746594, 2.68928, 9.93055", \
+            "0.0627243, 0.0934913, 0.226955, 0.746371, 2.68923, 9.93044", \
+            "0.0790663, 0.10457, 0.229846, 0.747421, 2.68911, 9.93068" \
+          );
+        }
+        cell_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.302631, 0.331643, 0.41287, 0.666189, 1.58922, 5.02858", \
+            "0.313683, 0.342682, 0.423912, 0.677238, 1.60024, 5.03963", \
+            "0.361743, 0.390732, 0.471958, 0.725309, 1.64831, 5.08769", \
+            "0.485413, 0.514966, 0.59579, 0.849273, 1.77269, 5.2116", \
+            "0.743277, 0.772209, 0.853417, 1.10703, 2.03121, 5.47079", \
+            "1.41049, 1.43938, 1.52032, 1.77297, 2.69598, 6.13638" \
+          );
+        }
+        fall_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.0572676, 0.0800442, 0.160211, 0.472477, 1.68354, 6.20822", \
+            "0.0572634, 0.080043, 0.160209, 0.472478, 1.68354, 6.20823", \
+            "0.0572695, 0.0800435, 0.160211, 0.47245, 1.68354, 6.20823", \
+            "0.0576019, 0.0802777, 0.160329, 0.472622, 1.68354, 6.20823", \
+            "0.0571713, 0.079905, 0.160236, 0.473028, 1.68677, 6.2094", \
+            "0.0574228, 0.0800295, 0.159658, 0.47168, 1.6836, 6.20898" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.151626, 0.157579, 0.168811, 0.171728, 0.174553, 0.178336, 0.182594, 0.18664, 0.193424, 0.196957, 0.201669, 0.211416, 0.21857, 0.226208, 0.234635, 0.24154, 0.247613, 0.253834, 0.2587, 0.269379, 0.277196, 0.290484, 0.296601, 0.298714, 0.302941, 0.311393, 0.34534, 0.373966");
+            values ( \
+              "0.00379393, 0.0112975, 0.0206377, 0.0226688, 0.0243236, 0.0258555, 0.0271461, 0.0279584, 0.0284336, 0.0281917, 0.0272555, 0.0242873, 0.0227837, 0.0180145, 0.0133391, 0.0104077, 0.00820559, 0.00655635, 0.00718741, 0.00601806, 0.00455475, 0.0026406, 0.00213717, 0.00183633, 0.001656, 0.00103719, 0.000169327, 0.000123406" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00464535");
+            index_3 ("0.151456, 0.165343, 0.174533, 0.186412, 0.191663, 0.198342, 0.204412, 0.215179, 0.220745, 0.230183, 0.248547, 0.255861, 0.279037, 0.292882, 0.308514, 0.325036, 0.333298, 0.386516, 0.413638, 0.441721, 0.483123, 0.535891");
+            values ( \
+              "0.00119621, 0.0365749, 0.0511667, 0.0613163, 0.0639743, 0.0660263, 0.0666736, 0.0658814, 0.0646624, 0.0616323, 0.0546727, 0.0510661, 0.034538, 0.0263905, 0.0189465, 0.0126566, 0.0112094, 0.00452753, 0.0023493, 0.00111432, 0.000355706, 5.90482e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173153");
+            index_3 ("0.162208, 0.184192, 0.195389, 0.210352, 0.220778, 0.230669, 0.245488, 0.260788, 0.303316, 0.362619, 0.393328, 0.46573, 0.517293, 0.564205, 0.585112, 0.613616, 0.637651, 0.702084, 0.787089, 0.837252, 0.897188");
+            values ( \
+              "0.0569809, 0.0867292, 0.0973269, 0.103918, 0.105273, 0.105154, 0.103761, 0.101475, 0.0938323, 0.0813701, 0.0722325, 0.0470148, 0.031949, 0.021569, 0.0177647, 0.0139187, 0.011615, 0.00696198, 0.00323889, 0.00199027, 0.00111256" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0645419");
+            index_3 ("0.177743, 0.177763, 0.317173, 0.564843, 0.671073, 0.749265, 0.797419, 0.893726, 1.13554, 1.29982, 1.37367, 1.45495, 1.56333, 1.61362, 1.7018, 1.7871, 1.90556, 2.04443, 2.16732, 2.3266, 2.51085, 2.7485, 3.07826");
+            values ( \
+              "1e-22, 0.13458, 0.122751, 0.107756, 0.100888, 0.0952517, 0.0913381, 0.0817878, 0.0541403, 0.037615, 0.0314315, 0.0255481, 0.0190853, 0.0166077, 0.0131625, 0.0104316, 0.00755712, 0.00510617, 0.00354404, 0.00222448, 0.00124451, 0.000610289, 0.000185968" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.240577");
+            index_3 ("0.199714, 0.199734, 0.657808, 1.5782, 1.88935, 2.16205, 2.416, 2.86129, 3.59902, 3.99927, 4.38587, 4.57984, 4.94829, 5.28551, 5.56775, 5.88211, 6.32743, 6.77761, 7.12032, 7.66039, 8.28297, 9.04093, 10.0136");
+            values ( \
+              "1e-22, 0.149386, 0.127384, 0.111444, 0.105767, 0.100289, 0.0943874, 0.0815239, 0.0574256, 0.0453423, 0.0352163, 0.0308146, 0.0236363, 0.0183261, 0.0147731, 0.0115818, 0.00812199, 0.00565561, 0.00428032, 0.00271821, 0.0016093, 0.000828289, 0.000354535" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.896737");
+            index_3 ("0.26752, 0.26754, 1.90701, 6.43623, 7.59633, 8.44431, 9.26916, 10.2741, 13.0903, 14.1252, 15.2117, 16.8568, 17.7978, 18.8843, 20.3143, 21.3731, 22.4595, 23.9596, 25.8831, 28.056, 30.2288, 32.4016, 36.7473");
+            values ( \
+              "1e-22, 0.152688, 0.12875, 0.107084, 0.100696, 0.0952295, 0.0890524, 0.0806593, 0.055623, 0.0470999, 0.0390356, 0.0287459, 0.0238842, 0.0191656, 0.0142293, 0.0113479, 0.00898042, 0.0064595, 0.00421033, 0.0025802, 0.00157463, 0.000958041, 0.000351724" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.18412, 0.189608, 0.204617, 0.21035, 0.214277, 0.218654, 0.225048, 0.226614, 0.229744, 0.234669, 0.241475, 0.247387, 0.252825, 0.257227, 0.265383, 0.276638, 0.283835, 0.288894, 0.290688, 0.299221, 0.310681, 0.31966, 0.33114, 0.337859, 0.351295, 0.3746, 0.401968");
+            values ( \
+              "0.00504573, 0.0113208, 0.0232523, 0.0258589, 0.0270667, 0.0279674, 0.0284386, 0.0284077, 0.0280831, 0.0269844, 0.0247614, 0.0235016, 0.0218976, 0.0186092, 0.0139716, 0.00925795, 0.0069329, 0.00681774, 0.00708674, 0.00633794, 0.00432796, 0.00302339, 0.00186258, 0.001411, 0.00076929, 0.000267236, 6.0862e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00464535");
+            index_3 ("0.184187, 0.197538, 0.205504, 0.20988, 0.219093, 0.223865, 0.230528, 0.236612, 0.247539, 0.252633, 0.276569, 0.29105, 0.301482, 0.321632, 0.337332, 0.348108, 0.353547, 0.363173, 0.422661, 0.445193, 0.475235, 0.513409, 0.566321");
+            values ( \
+              "0.00258113, 0.036619, 0.0497071, 0.0545159, 0.0616162, 0.0639725, 0.0660313, 0.0666685, 0.0658601, 0.0647527, 0.0562381, 0.0493947, 0.0412734, 0.0282984, 0.0204033, 0.0159565, 0.0138664, 0.0114023, 0.00412493, 0.00238784, 0.00107152, 0.000380716, 6.3116e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173153");
+            index_3 ("0.193697, 0.211627, 0.221843, 0.232689, 0.24222, 0.252712, 0.262665, 0.277484, 0.292784, 0.335676, 0.358017, 0.394616, 0.418886, 0.488614, 0.52314, 0.556809, 0.576724, 0.607348, 0.638812, 0.668929, 0.713566, 0.755051, 0.805856, 0.854148, 0.916173, 0.993727, 1.10592");
+            values ( \
+              "0.0507609, 0.0807121, 0.0928273, 0.100492, 0.103903, 0.105262, 0.105166, 0.103752, 0.101485, 0.0937526, 0.0892778, 0.0813752, 0.0743497, 0.0500301, 0.0391649, 0.0300739, 0.0255419, 0.0194927, 0.0146261, 0.0117012, 0.0082785, 0.00583248, 0.00367429, 0.00230494, 0.00124097, 0.000550016, 0.000159177" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0645419");
+            index_3 ("0.209756, 0.209776, 0.339384, 0.596959, 0.703188, 0.781395, 0.829535, 0.925815, 1.16766, 1.33194, 1.40579, 1.48707, 1.59544, 1.64574, 1.73392, 1.81922, 1.93768, 2.07655, 2.19949, 2.35885, 2.54321, 2.78102, 3.11107");
+            values ( \
+              "1e-22, 0.134655, 0.123332, 0.107759, 0.100885, 0.0952507, 0.091338, 0.0817909, 0.0541402, 0.0376147, 0.0314314, 0.0255482, 0.0190857, 0.0166077, 0.0131623, 0.0104315, 0.00755709, 0.00510627, 0.00354341, 0.00222358, 0.00124349, 0.000609519, 0.000185445" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.240577");
+            index_3 ("0.231276, 0.231296, 0.713714, 1.61032, 1.93817, 2.16087, 2.4481, 2.89183, 3.62921, 4.01628, 4.41442, 4.61768, 4.99948, 5.32315, 5.58946, 5.9075, 6.35575, 6.81654, 7.16852, 7.71064, 8.33786, 9.10063, 10.0858");
+            values ( \
+              "1e-22, 0.148374, 0.126967, 0.111445, 0.105448, 0.100997, 0.0943879, 0.0815786, 0.0574914, 0.0457755, 0.0353001, 0.0306905, 0.0233041, 0.018252, 0.0148943, 0.0116433, 0.00814756, 0.0056248, 0.00422368, 0.00267728, 0.00157812, 0.000808628, 0.000341718" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.896737");
+            index_3 ("0.297999, 0.298019, 1.94603, 6.46852, 7.62855, 8.47653, 9.30138, 10.3063, 13.1225, 14.1575, 15.2439, 16.889, 17.8301, 18.9165, 20.3466, 21.4053, 22.4918, 23.9918, 25.9154, 28.0882, 30.261, 33.5203, 36.7795");
+            values ( \
+              "1e-22, 0.152321, 0.128719, 0.107086, 0.100698, 0.0952272, 0.0890547, 0.080657, 0.0556206, 0.0471022, 0.0390333, 0.0287435, 0.0238866, 0.0191632, 0.014227, 0.0113503, 0.00897804, 0.00646188, 0.00421272, 0.00258259, 0.00157702, 0.000753746, 0.000354122" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.324269, 0.329551, 0.334607, 0.340843, 0.346502, 0.350397, 0.35482, 0.358717, 0.365361, 0.36669, 0.369347, 0.374757, 0.38142, 0.387777, 0.393218, 0.398198, 0.403982, 0.405939, 0.412376, 0.424696, 0.430817, 0.438133, 0.454656, 0.468158, 0.477463, 0.489869, 0.510939, 0.537955");
+            values ( \
+              "0.00575745, 0.0112544, 0.0155332, 0.0205374, 0.0242059, 0.0257976, 0.0271303, 0.0279156, 0.0283945, 0.0283742, 0.0281139, 0.0269642, 0.0247942, 0.0234249, 0.0217201, 0.0177428, 0.0149627, 0.0136676, 0.0108941, 0.00671958, 0.00707168, 0.00652055, 0.00369663, 0.00210104, 0.00140666, 0.000859585, 0.000288834, 0.000111192" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00464535");
+            index_3 ("0.324144, 0.334582, 0.345022, 0.349758, 0.358601, 0.363773, 0.370461, 0.376537, 0.387111, 0.393275, 0.421677, 0.431098, 0.448766, 0.461964, 0.488035, 0.493168, 0.50275, 0.562544, 0.585847, 0.616426, 0.658219, 0.710949");
+            values ( \
+              "0.000554241, 0.0315232, 0.0490563, 0.0543405, 0.061239, 0.0638866, 0.0659366, 0.0666257, 0.0658671, 0.064515, 0.0543165, 0.0490168, 0.0361708, 0.02805, 0.015957, 0.0139731, 0.011458, 0.00411674, 0.00233056, 0.00104723, 0.0003121, 6.63864e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173153");
+            index_3 ("0.337556, 0.356106, 0.361199, 0.372624, 0.382174, 0.392667, 0.402616, 0.417439, 0.432738, 0.475409, 0.534571, 0.564856, 0.637496, 0.688082, 0.711287, 0.737422, 0.765714, 0.787491, 0.825684, 0.850625, 0.894642, 0.950027, 1.00148, 1.063, 1.14332, 1.25749");
+            values ( \
+              "0.0815099, 0.0865946, 0.0921825, 0.10042, 0.103828, 0.105239, 0.105129, 0.103751, 0.101467, 0.0938035, 0.0813702, 0.0723764, 0.0470735, 0.0322456, 0.026703, 0.0213227, 0.0164117, 0.0137627, 0.0103168, 0.00847313, 0.00584455, 0.00352165, 0.00214779, 0.00114867, 0.000503418, 0.000131591" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0645419");
+            index_3 ("0.349751, 0.349771, 0.499419, 0.736989, 0.843218, 0.921422, 0.969565, 1.06585, 1.30769, 1.47197, 1.54582, 1.6271, 1.73547, 1.78577, 1.87395, 1.95925, 2.07771, 2.21658, 2.33891, 2.49747, 2.6807, 2.91693, 3.24409");
+            values ( \
+              "1e-22, 0.134115, 0.122139, 0.107761, 0.100883, 0.0952508, 0.0913381, 0.0817901, 0.0541403, 0.0376148, 0.0314314, 0.0255481, 0.0190856, 0.0166077, 0.0131624, 0.0104314, 0.00755713, 0.00510629, 0.0035499, 0.00223325, 0.00125364, 0.000617711, 0.0001905" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.240577");
+            index_3 ("0.370909, 0.370929, 0.862417, 1.75031, 2.10353, 2.41129, 2.58811, 2.94176, 3.99679, 4.38384, 4.65496, 4.95034, 5.34417, 5.62733, 6.09359, 6.51836, 7.04279, 7.48105, 8.03495, 8.66813, 9.47583, 10.5623");
+            values ( \
+              "1e-22, 0.147971, 0.126814, 0.111446, 0.104972, 0.0985949, 0.0943803, 0.0843569, 0.0504174, 0.0395405, 0.0329475, 0.0267491, 0.0199643, 0.0160896, 0.0112124, 0.00800989, 0.00524512, 0.00364297, 0.00229793, 0.00132991, 0.000667209, 0.000240662" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.896737");
+            index_3 ("0.44079, 0.44081, 2.02832, 5.52628, 6.61269, 7.77273, 8.62072, 9.44557, 10.4505, 13.2667, 14.3017, 15.3881, 17.0332, 17.9742, 19.0607, 20.4908, 21.5495, 22.6359, 24.136, 26.0595, 28.2324, 30.4052, 33.6645, 36.9237");
+            values ( \
+              "1e-22, 0.152681, 0.12902, 0.11247, 0.107089, 0.100701, 0.0952245, 0.0890574, 0.0806543, 0.0556178, 0.047105, 0.0390304, 0.0287406, 0.0238895, 0.0191603, 0.014224, 0.0113533, 0.00897506, 0.00646492, 0.00421577, 0.00258566, 0.0015801, 0.000750651, 0.000357236" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.819307, 0.850689, 0.854319, 0.862218, 0.868033, 0.871245, 0.877669, 0.888393, 0.895824, 0.90888, 0.913148, 0.92624, 0.931754, 0.935742, 0.946566, 0.951877, 0.967365, 0.97585, 0.989119, 1.00295, 1.01831");
+            values ( \
+              "0.000436013, 0.0227118, 0.0243777, 0.0267403, 0.0275579, 0.0276343, 0.0269212, 0.0239621, 0.0226861, 0.0146385, 0.0126454, 0.00765727, 0.00663518, 0.00728836, 0.00606438, 0.00494145, 0.00271612, 0.00188333, 0.00105627, 0.000601817, 0.000319615" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00464535");
+            index_3 ("0.825604, 0.836193, 0.852939, 0.862476, 0.867909, 0.874843, 0.880967, 0.891636, 0.897992, 0.906973, 0.926563, 0.936045, 0.956971, 0.969915, 0.983721, 0.996247, 1.00357, 1.06308, 1.07566, 1.09242, 1.123, 1.1644, 1.21775");
+            values ( \
+              "0.00543105, 0.0261829, 0.0506191, 0.0587617, 0.0619578, 0.0645297, 0.0655864, 0.0652692, 0.0640571, 0.0613327, 0.0541988, 0.0488067, 0.0339182, 0.0262959, 0.0196877, 0.0145735, 0.0122782, 0.00455073, 0.00337162, 0.002222, 0.000992533, 0.000306647, 5.96162e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173153");
+            index_3 ("0.839652, 0.859717, 0.87678, 0.886312, 0.897064, 0.907076, 0.921976, 0.937247, 0.962034, 1.00243, 1.03898, 1.06387, 1.15235, 1.19085, 1.21609, 1.25011, 1.28528, 1.32994, 1.35526, 1.399, 1.45453, 1.50554, 1.56805, 1.64763, 1.7637");
+            values ( \
+              "0.0728793, 0.0824951, 0.0984157, 0.102559, 0.104527, 0.10475, 0.1036, 0.101471, 0.0972477, 0.0894015, 0.081473, 0.0740991, 0.0437282, 0.0326712, 0.0266137, 0.019772, 0.0143427, 0.0102959, 0.00845516, 0.00585439, 0.00353064, 0.0021391, 0.00115514, 0.000488774, 0.000143239" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0645419");
+            index_3 ("0.849477, 0.896772, 0.912378, 0.92791, 0.968147, 1.24155, 1.35299, 1.42598, 1.47413, 1.57042, 1.81225, 1.97653, 2.05038, 2.13166, 2.24004, 2.29033, 2.37851, 2.46381, 2.58227, 2.72114, 2.84295, 3.00084, 3.1831, 3.41799, 3.74271");
+            values ( \
+              "0.122346, 0.123412, 0.125653, 0.126005, 0.12439, 0.107767, 0.100533, 0.0952514, 0.0913376, 0.0817897, 0.0541401, 0.0376147, 0.0314313, 0.025548, 0.0190857, 0.0166076, 0.0131626, 0.0104315, 0.00755728, 0.00510639, 0.00355548, 0.00224152, 0.00126233, 0.000624751, 0.000194881" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.240577");
+            index_3 ("0.880831, 0.880851, 1.3645, 2.25485, 2.65641, 2.92671, 3.09293, 3.42537, 4.30827, 4.89054, 5.15974, 5.45299, 5.84399, 6.1338, 6.60207, 7.02509, 7.5439, 7.98029, 8.53236, 9.16276, 9.66088");
+            values ( \
+              "1e-22, 0.151042, 0.126853, 0.111443, 0.104036, 0.0983572, 0.094379, 0.0850028, 0.0563729, 0.0394888, 0.0329458, 0.0267887, 0.0200389, 0.0160685, 0.01118, 0.00799791, 0.00526132, 0.00365984, 0.00231262, 0.00134159, 0.00105213" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.896737");
+            index_3 ("0.957463, 0.957483, 3.16351, 6.7209, 7.5134, 8.59981, 9.95722, 10.9534, 13.7686, 14.8018, 15.8882, 16.6247, 17.5388, 18.4789, 19.5653, 20.99, 22.05, 23.1364, 24.6398, 26.5655, 28.7384, 30.9112, 33.084, 37.4297");
+            values ( \
+              "1e-22, 0.149848, 0.125994, 0.109082, 0.105003, 0.0987015, 0.0889807, 0.0806598, 0.0556311, 0.0471196, 0.0390545, 0.0341403, 0.0287302, 0.0238752, 0.0191586, 0.0142403, 0.0113535, 0.008985, 0.00645827, 0.00420753, 0.0025786, 0.00157379, 0.000957694, 0.000351905" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.62425, 2.63057, 2.6371, 2.63921, 2.65737, 2.66737, 2.67123, 2.67736, 2.68203, 2.68718, 2.69157, 2.70081, 2.70358, 2.70688, 2.71036, 2.71708, 2.73217, 2.73539, 2.74063, 2.75169, 2.75622, 2.76404, 2.783, 2.79135, 2.79708, 2.80304, 2.811, 2.82371, 2.84075");
+            values ( \
+              "0.0021685, 0.00320315, 0.00495133, 0.00571012, 0.0132292, 0.0175782, 0.0190327, 0.0210607, 0.0224462, 0.023676, 0.0243458, 0.0242058, 0.0238241, 0.0232598, 0.0229723, 0.0220456, 0.0140569, 0.0125927, 0.0104845, 0.00684455, 0.00736041, 0.00682198, 0.0036132, 0.00260168, 0.00201061, 0.00156245, 0.00114481, 0.00063188, 0.000346529" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00464535");
+            index_3 ("2.63044, 2.64486, 2.66915, 2.68711, 2.69461, 2.7017, 2.71538, 2.73035, 2.73893, 2.75114, 2.77923, 2.78944, 2.79903, 2.81177, 2.81975, 2.82513, 2.83281, 2.84091, 2.87313, 2.89015, 2.91553, 2.94426, 2.98656, 3.0387");
+            values ( \
+              "0.00685536, 0.016349, 0.039152, 0.0534999, 0.057795, 0.0604082, 0.0619433, 0.0593666, 0.0569229, 0.052798, 0.0340599, 0.02798, 0.023033, 0.0175178, 0.0142837, 0.0126355, 0.0113014, 0.0104131, 0.00604759, 0.00411565, 0.00220259, 0.00106197, 0.000296123, 8.0882e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173153");
+            index_3 ("2.6472, 2.66447, 2.67313, 2.68867, 2.69646, 2.70676, 2.71843, 2.72847, 2.74388, 2.75918, 2.7818, 2.791, 2.82478, 2.85755, 2.86144, 2.86922, 2.88476, 2.95551, 2.98602, 3.01629, 3.0383, 3.06161, 3.09269, 3.1141, 3.13127, 3.15416, 3.18937, 3.22245, 3.26682, 3.31154, 3.37117, 3.44449, 3.54797");
+            values ( \
+              "0.048559, 0.0497584, 0.0620581, 0.0815867, 0.0891985, 0.0961474, 0.10046, 0.101909, 0.101935, 0.100383, 0.0970282, 0.095435, 0.0890558, 0.0819213, 0.0812601, 0.0792382, 0.0746175, 0.0500013, 0.040364, 0.0319088, 0.0266701, 0.0218354, 0.0164318, 0.0137611, 0.0120855, 0.0102104, 0.00766926, 0.00577872, 0.00385841, 0.00255103, 0.00137703, 0.00067533, 0.000190209" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0645419");
+            index_3 ("2.6637, 2.70035, 2.71744, 2.73266, 2.74901, 2.76471, 2.78735, 2.81695, 3.0091, 3.16903, 3.25149, 3.29605, 3.38518, 3.63416, 3.79902, 3.87216, 3.95267, 4.06001, 4.15051, 4.25565, 4.31903, 4.4217, 4.49799, 4.59971, 4.74624, 4.91748, 5.12396, 5.39441, 5.79942");
+            values ( \
+              "0.103538, 0.107594, 0.118561, 0.122871, 0.124351, 0.124504, 0.123766, 0.122364, 0.111162, 0.100986, 0.0950179, 0.0913586, 0.0825855, 0.054135, 0.0375509, 0.0314284, 0.0255954, 0.0191845, 0.0149866, 0.0113699, 0.00957222, 0.00721248, 0.00581995, 0.00433995, 0.0028186, 0.00167582, 0.000887882, 0.000370769, 9.1542e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.240577");
+            index_3 ("2.69842, 2.69844, 3.31376, 4.32403, 4.72504, 4.9141, 5.29221, 6.34296, 6.75677, 7.2276, 7.55452, 7.80753, 8.24016, 8.7346, 9.436, 9.94251, 10.5141, 11.3109");
+            values ( \
+              "1e-22, 0.146006, 0.124656, 0.106966, 0.0988733, 0.094378, 0.0836099, 0.0498208, 0.0383287, 0.0276982, 0.0217965, 0.0179813, 0.0129223, 0.00871559, 0.00494942, 0.00326828, 0.00200122, 0.00123224" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.896737");
+            index_3 ("2.77516, 2.77518, 5.05614, 8.93532, 10.0954, 10.9434, 11.7682, 12.7732, 15.5893, 16.6243, 17.7107, 19.3559, 20.2969, 21.3833, 22.8134, 23.8722, 24.9586, 26.4587, 28.3822, 30.555, 32.7279, 35.9871, 39.2464");
+            values ( \
+              "1e-22, 0.149149, 0.125643, 0.107088, 0.1007, 0.0952257, 0.0890562, 0.0806554, 0.0556188, 0.0471041, 0.0390314, 0.0287415, 0.0238886, 0.0191611, 0.0142249, 0.0113524, 0.00897591, 0.00646412, 0.00421498, 0.00258486, 0.0015793, 0.000751447, 0.000356447" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.51836, 9.54541, 9.55014, 9.56268, 9.59888, 9.61896, 9.62779, 9.64003, 9.64966, 9.65179, 9.65604, 9.6633, 9.67693, 9.69116, 9.69617, 9.70092, 9.70756, 9.72785, 9.7382, 9.74728, 9.76643, 9.78772");
+            values ( \
+              "0.000715317, 0.00260377, 0.00320099, 0.00545914, 0.0130638, 0.0165453, 0.0178643, 0.018827, 0.0191848, 0.0197205, 0.0202065, 0.0182144, 0.0127051, 0.00795919, 0.0074382, 0.00782226, 0.00698152, 0.00349474, 0.00231347, 0.00157253, 0.000694527, 0.000305014" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00464535");
+            index_3 ("9.53529, 9.55465, 9.56713, 9.58827, 9.60114, 9.61346, 9.63951, 9.65405, 9.65976, 9.66561, 9.67133, 9.68277, 9.69473, 9.7121, 9.7228, 9.73654, 9.7452, 9.76015, 9.7666, 9.77579, 9.78424, 9.81778, 9.8307, 9.84255, 9.85834, 9.8887, 9.92603, 9.97885");
+            values ( \
+              "0.00851287, 0.00877592, 0.0134893, 0.0231821, 0.0294399, 0.0350122, 0.0479057, 0.0531618, 0.0542997, 0.0547809, 0.0547297, 0.053468, 0.0512278, 0.0407703, 0.0337835, 0.0261766, 0.0219471, 0.0156869, 0.0133814, 0.0115111, 0.0106229, 0.00599774, 0.00450906, 0.0033828, 0.0023158, 0.00101962, 0.000390558, 5.48113e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173153");
+            index_3 ("9.5484, 9.5763, 9.61241, 9.64661, 9.65949, 9.66905, 9.68611, 9.70138, 9.72455, 9.73371, 9.75008, 9.76773, 9.80054, 9.80459, 9.82818, 9.8822, 9.91324, 9.92999, 9.96059, 9.98198, 10.0045, 10.0346, 10.0574, 10.0757, 10.1001, 10.1355, 10.1665, 10.2074, 10.2508, 10.3095, 10.3814, 10.4815");
+            values ( \
+              "0.019437, 0.0252538, 0.0515614, 0.0808538, 0.0893565, 0.0935621, 0.0974315, 0.0978855, 0.0959096, 0.0945635, 0.0918283, 0.0885325, 0.0815571, 0.0808841, 0.0742686, 0.0556027, 0.0452549, 0.0401437, 0.0316911, 0.0266345, 0.0219616, 0.0166686, 0.013803, 0.0120287, 0.0100335, 0.00752583, 0.00577715, 0.00398742, 0.00265241, 0.00146991, 0.000711935, 0.000230453" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0645419");
+            index_3 ("9.58491, 9.63418, 9.65612, 9.67323, 9.70216, 9.71472, 9.73145, 9.76114, 9.85016, 9.98468, 10.1182, 10.2169, 10.2393, 10.2841, 10.3765, 10.5499, 10.6844, 10.7896, 10.8731, 10.9375, 11.0394, 11.0692, 11.1288, 11.2164, 11.3415, 11.4973, 11.6183, 11.7744, 11.9555, 12.1912, 12.52");
+            values ( \
+              "0.0694901, 0.0827886, 0.10423, 0.11471, 0.122142, 0.122717, 0.12268, 0.121608, 0.116733, 0.108962, 0.100496, 0.0931397, 0.0913585, 0.0870594, 0.0772651, 0.0571942, 0.0430014, 0.0335337, 0.0271879, 0.0229548, 0.0173608, 0.0160503, 0.0136647, 0.0108197, 0.00764991, 0.00491928, 0.00347291, 0.0021616, 0.00125818, 0.000586637, 0.00020925" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.240577");
+            index_3 ("9.62671, 9.62673, 10.1782, 11.2392, 11.785, 12.0025, 12.2926, 13.1523, 13.3911, 13.8144, 14.0386, 14.4272, 14.8087, 15.2283, 15.73, 16.0703, 16.6656, 17.1674, 17.9965, 18.9276, 20.014");
+            values ( \
+              "1e-22, 0.140399, 0.125974, 0.107482, 0.0961558, 0.090471, 0.0818335, 0.0538628, 0.0467446, 0.0354775, 0.0303995, 0.0229535, 0.017205, 0.0124532, 0.00837253, 0.0063637, 0.0039097, 0.00262974, 0.00130759, 0.000574773, 0.000213982" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.896737");
+            index_3 ("9.71335, 9.71337, 11.6105, 15.4105, 17.5241, 18.6197, 19.7061, 23.8191, 25.6964, 27.8064, 29.1359, 30.9033, 32.8026, 34.1277, 36.3005, 38.4734, 40.3626");
+            values ( \
+              "1e-22, 0.150798, 0.127525, 0.109475, 0.0976817, 0.0897922, 0.0807591, 0.0451627, 0.0322438, 0.0213184, 0.0162135, 0.0111482, 0.00737789, 0.00551205, 0.00339083, 0.00207603, 0.00144496" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00532637", \
+            "0.00709603", \
+            "0.00812364", \
+            "0.00877504", \
+            "0.00937347", \
+            "0.00978109" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00731672", \
+            "0.00835062", \
+            "0.00954651", \
+            "0.00955276", \
+            "0.00838691", \
+            "0.00780041" \
+          );
+        }
+        output_current_fall () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.237582, 0.261539, 0.2803, 0.291417, 0.301429, 0.319812, 0.328562, 0.336706, 0.356181, 0.361805, 0.36962, 0.376511, 0.410059, 0.426146");
+            values ( \
+              "-0.000195676, -0.0126043, -0.0189331, -0.0215623, -0.0233484, -0.0254004, -0.0259171, -0.0239845, -0.00882895, -0.00587968, -0.00286779, -0.00191396, -0.000697643, -0.00036676" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00464535");
+            index_3 ("0.241559, 0.261578, 0.265105, 0.27948, 0.297694, 0.312918, 0.326725, 0.339723, 0.352292, 0.364667, 0.377037, 0.398676, 0.409901, 0.415991, 0.424111, 0.434549, 0.441679, 0.449829, 0.466128, 0.487734, 0.552917, 0.578728");
+            values ( \
+              "-0.00033023, -0.024842, -0.0281521, -0.0399773, -0.0516285, -0.0583017, -0.0628496, -0.0657196, -0.0675137, -0.06774, -0.0604006, -0.0307052, -0.019087, -0.0144872, -0.00986212, -0.00584979, -0.0039282, -0.00236299, -0.00108024, -0.0010974, -0.000298547, -0.000184606" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173153");
+            index_3 ("0.261136, 0.279725, 0.308435, 0.324163, 0.340863, 0.368983, 0.395271, 0.420875, 0.446355, 0.471832, 0.480438, 0.489617, 0.501117, 0.542332, 0.569202, 0.5937, 0.612034, 0.624505, 0.637895, 0.655749, 0.691457, 0.740744, 0.782664");
+            values ( \
+              "-0.0564009, -0.0570726, -0.08517, -0.0965683, -0.105738, -0.116048, -0.120947, -0.122846, -0.121946, -0.117451, -0.11344, -0.107397, -0.0969676, -0.0555041, -0.0352067, -0.0224815, -0.0158036, -0.0123903, -0.00951777, -0.00664444, -0.00306976, -0.000804968, -0.000694462" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0645419");
+            index_3 ("0.27572, 0.330687, 0.357679, 0.375311, 0.410575, 0.452462, 0.490071, 0.525725, 0.582969, 0.674428, 0.749956, 0.778504, 0.80503, 0.833036, 0.889048, 0.996994, 1.08917, 1.15, 1.19369, 1.25973, 1.31603, 1.38314, 1.47261, 1.6444, 1.82834, 2.05312");
+            values ( \
+              "-0.0947844, -0.11677, -0.133626, -0.141326, -0.151618, -0.15729, -0.159051, -0.15897, -0.157403, -0.152678, -0.147564, -0.145037, -0.142097, -0.137975, -0.124472, -0.0846501, -0.0547339, -0.0397012, -0.0312112, -0.0214108, -0.0153676, -0.0102888, -0.00590393, -0.00179913, -0.000436557, -0.000199978" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.240577");
+            index_3 ("0.302212, 0.43036, 0.465701, 0.502014, 0.561828, 0.713252, 1.32449, 1.59761, 1.86806, 1.97015, 2.06534, 2.16593, 2.36712, 2.75439, 2.92204, 3.08632, 3.30535, 3.3916, 3.55274, 3.69663, 3.97746, 4.13601, 4.45312, 5.06882, 5.74618");
+            values ( \
+              "-0.1598, -0.165235, -0.169938, -0.172347, -0.173945, -0.172936, -0.163749, -0.159052, -0.153491, -0.150805, -0.147693, -0.143368, -0.129183, -0.0878338, -0.0710556, -0.0567764, -0.0411943, -0.0361361, -0.0281512, -0.0223729, -0.0141304, -0.0108648, -0.00629962, -0.00197524, -0.000501499" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.896737");
+            index_3 ("0.254901, 0.324428, 0.399183, 0.48335, 0.541394, 0.601115, 0.682362, 3.08102, 4.04518, 5.03517, 6.05726, 6.83098, 7.13141, 7.68187, 8.34664, 9.76269, 10.4849, 10.9262, 11.5687, 12.1048, 12.6671, 13.4408, 13.9716, 14.8813, 15.655, 16.4287, 17.9761, 19.5236, 20.2973");
+            values ( \
+              "-0.0388891, -0.121734, -0.161683, -0.175343, -0.177903, -0.178887, -0.178998, -0.169543, -0.165452, -0.160817, -0.155008, -0.148508, -0.14491, -0.135017, -0.117324, -0.0759098, -0.0583113, -0.049087, -0.0378881, -0.0302921, -0.0237442, -0.0169286, -0.0133194, -0.00882198, -0.00623226, -0.00430882, -0.00207919, -0.000991594, -0.000738863" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.275806, 0.286189, 0.302032, 0.315589, 0.32463, 0.336716, 0.346095, 0.363849, 0.371995, 0.391481, 0.404896, 0.411781, 0.457236, 0.460604");
+            values ( \
+              "-0.000783177, -0.00787157, -0.0143965, -0.0188421, -0.0210707, -0.0232935, -0.0245385, -0.0259639, -0.023943, -0.0088497, -0.00290075, -0.00188425, -0.000390088, -0.000354997" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00464535");
+            index_3 ("0.275715, 0.302341, 0.321613, 0.333112, 0.348334, 0.36214, 0.375138, 0.387707, 0.400083, 0.412452, 0.434092, 0.445661, 0.456803, 0.470877, 0.479604, 0.491241, 0.512027, 0.574058");
+            values ( \
+              "-4.82047e-06, -0.0298693, -0.0446794, -0.0515424, -0.0583891, -0.0627692, -0.0657988, -0.0674394, -0.0678127, -0.060331, -0.0307017, -0.018796, -0.0112522, -0.00556971, -0.00337014, -0.0016331, -0.00100143, -0.000534736" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173153");
+            index_3 ("0.296441, 0.318506, 0.343911, 0.363266, 0.376342, 0.404458, 0.43075, 0.456355, 0.481834, 0.507311, 0.515921, 0.525105, 0.536596, 0.577811, 0.60468, 0.62918, 0.647516, 0.659985, 0.673373, 0.691225, 0.726927, 0.776228, 0.807916");
+            values ( \
+              "-0.0523438, -0.0608565, -0.0851832, -0.0988291, -0.105724, -0.116064, -0.120935, -0.122858, -0.121936, -0.11746, -0.11344, -0.107389, -0.0969692, -0.0555028, -0.0352061, -0.0224801, -0.0158036, -0.0123893, -0.00951722, -0.00664566, -0.00306969, -0.00080549, -0.000721771" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0645419");
+            index_3 ("0.314164, 0.366097, 0.392311, 0.410779, 0.447714, 0.487867, 0.525444, 0.561152, 0.619131, 0.709857, 0.785366, 0.814188, 0.84059, 0.868465, 0.924214, 1.03293, 1.12437, 1.18475, 1.22937, 1.29569, 1.35174, 1.41807, 1.50651, 1.67815, 1.86183, 2.08612");
+            values ( \
+              "-0.107113, -0.116738, -0.133211, -0.141335, -0.151979, -0.157278, -0.159044, -0.158969, -0.157372, -0.152682, -0.147562, -0.145011, -0.142081, -0.137974, -0.124555, -0.0844627, -0.0547954, -0.0398475, -0.031169, -0.0213456, -0.0153436, -0.0103208, -0.00596244, -0.00182128, -0.000442218, -0.000201315" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.240577");
+            index_3 ("0.331342, 0.432011, 0.47083, 0.514318, 0.556848, 0.597289, 0.663797, 0.847687, 1.36459, 1.63309, 1.90354, 2.00563, 2.10082, 2.20142, 2.40261, 2.78988, 2.95752, 3.1218, 3.34083, 3.42708, 3.58822, 3.73212, 4.01294, 4.1715, 4.48861, 5.1043, 5.78165");
+            values ( \
+              "-0.148785, -0.15696, -0.166033, -0.17107, -0.17316, -0.173864, -0.17381, -0.1715, -0.163638, -0.159052, -0.153491, -0.150805, -0.147693, -0.143368, -0.129183, -0.0878338, -0.0710556, -0.0567764, -0.0411943, -0.0361362, -0.0281511, -0.0223729, -0.0141304, -0.0108648, -0.00629964, -0.00197526, -0.000501527" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.896737");
+            index_3 ("0.290702, 0.347151, 0.416686, 0.458728, 0.527442, 0.57806, 0.639037, 0.718581, 3.11684, 4.081, 5.50356, 6.09309, 6.86681, 7.16724, 7.7177, 8.38247, 9.79852, 10.5207, 10.9972, 11.6114, 12.1276, 12.6946, 13.4683, 14.0115, 14.3432, 15.0067, 15.7804, 16.5541, 18.1016, 20.4227");
+            values ( \
+              "-0.0387973, -0.109732, -0.155352, -0.167533, -0.175829, -0.177989, -0.178898, -0.179019, -0.169523, -0.165431, -0.158521, -0.154988, -0.148528, -0.144889, -0.135037, -0.117303, -0.0758886, -0.05829, -0.0484271, -0.0377613, -0.0304405, -0.0238532, -0.0169706, -0.0133179, -0.011489, -0.00848624, -0.00595797, -0.0041529, -0.0020138, -0.000687867" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.422634, 0.431803, 0.444714, 0.461214, 0.472317, 0.482358, 0.491723, 0.509478, 0.517631, 0.537136, 0.54272, 0.550507, 0.557384, 0.602891, 0.611926");
+            values ( \
+              "-0.00189269, -0.00790361, -0.0133038, -0.0188531, -0.0215006, -0.0232638, -0.0245737, -0.0259904, -0.0239172, -0.00882794, -0.00590358, -0.0028992, -0.00189185, -0.000395667, -0.000334074" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00464535");
+            index_3 ("0.42246, 0.442616, 0.446129, 0.460494, 0.478707, 0.493932, 0.507738, 0.520736, 0.533304, 0.54568, 0.55805, 0.579689, 0.590913, 0.597004, 0.605124, 0.615561, 0.622692, 0.630841, 0.64714, 0.668746, 0.733929, 0.75974");
+            values ( \
+              "-0.000190979, -0.0248663, -0.0281571, -0.0399656, -0.0516151, -0.0583174, -0.0628352, -0.0657352, -0.0674986, -0.0677556, -0.0603851, -0.0307051, -0.0190868, -0.0144869, -0.00986232, -0.00585007, -0.00392794, -0.00236334, -0.00107996, -0.00109769, -0.000298266, -0.000184898" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173153");
+            index_3 ("0.44014, 0.457413, 0.489338, 0.507901, 0.521768, 0.5499, 0.576177, 0.601782, 0.627261, 0.652738, 0.66135, 0.670536, 0.682023, 0.723238, 0.750106, 0.774608, 0.792944, 0.805412, 0.8188, 0.836651, 0.872352, 0.921651, 0.983737");
+            values ( \
+              "-0.0485066, -0.0530621, -0.0851157, -0.0984044, -0.105668, -0.116118, -0.120882, -0.122908, -0.121886, -0.117508, -0.113434, -0.10739, -0.0969645, -0.0555066, -0.03521, -0.0224824, -0.0158008, -0.0123916, -0.00951939, -0.00664373, -0.00307193, -0.000803568, -0.000640716" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0645419");
+            index_3 ("0.456389, 0.511704, 0.547634, 0.574092, 0.605527, 0.633421, 0.670771, 0.706758, 0.780279, 0.855477, 0.932907, 0.960788, 1.01408, 1.0556, 1.10584, 1.22462, 1.26998, 1.33045, 1.37488, 1.44114, 1.49724, 1.56373, 1.65239, 1.82406, 2.00779, 2.23218");
+            values ( \
+              "-0.093439, -0.116769, -0.137961, -0.147319, -0.154005, -0.157226, -0.158923, -0.158996, -0.156706, -0.152734, -0.147393, -0.144918, -0.137977, -0.128741, -0.111952, -0.0684782, -0.0547921, -0.0398069, -0.0311845, -0.0213655, -0.0153425, -0.0103084, -0.00595579, -0.00181089, -0.000446625, -0.000195502" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.240577");
+            index_3 ("0.482036, 0.611213, 0.64693, 0.687748, 0.742719, 0.894472, 1.5054, 1.77851, 2.04896, 2.15105, 2.24624, 2.34684, 2.54803, 2.9353, 3.10295, 3.26722, 3.48625, 3.5725, 3.73364, 3.87754, 4.15837, 4.31692, 4.63402, 5.24972, 5.92708");
+            values ( \
+              "-0.157056, -0.165252, -0.169951, -0.172598, -0.173908, -0.17291, -0.163729, -0.159052, -0.153491, -0.150805, -0.147693, -0.143368, -0.129183, -0.0878337, -0.0710556, -0.0567764, -0.0411943, -0.0361362, -0.0281511, -0.0223728, -0.0141304, -0.0108649, -0.00629965, -0.00197526, -0.000501509" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.896737");
+            index_3 ("0.435961, 0.503573, 0.57752, 0.661512, 0.739579, 0.812584, 0.944127, 3.59881, 4.99992, 5.64877, 6.2383, 7.01202, 7.31245, 7.86291, 8.52767, 9.94373, 10.6659, 11.1424, 11.7566, 12.2728, 12.8398, 13.6135, 14.1567, 15.1519, 15.9256, 16.6993, 17.4731, 19.0205, 20.5679");
+            values ( \
+              "-0.0389361, -0.120114, -0.160844, -0.175104, -0.178316, -0.179027, -0.17881, -0.168127, -0.161863, -0.158535, -0.154974, -0.148543, -0.144875, -0.135052, -0.117288, -0.075874, -0.0582756, -0.0484415, -0.0377469, -0.0304261, -0.0238676, -0.0169562, -0.0133321, -0.00850043, -0.00594377, -0.00416707, -0.00289425, -0.00139826, -0.000673738" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.930746, 0.961018, 0.97509, 0.981378, 0.996355, 1.00577, 1.02363, 1.0318, 1.05056, 1.05964, 1.06775, 1.0763, 1.11076, 1.11775");
+            values ( \
+              "-0.000158857, -0.0141549, -0.0187093, -0.020331, -0.023157, -0.024407, -0.0258233, -0.0238247, -0.00948223, -0.0048154, -0.00237345, -0.00175636, -0.000551269, -0.000402797" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00464535");
+            index_3 ("0.94818, 0.9628, 0.981174, 0.993072, 1.00838, 1.02226, 1.03533, 1.04793, 1.05756, 1.06593, 1.07335, 1.09481, 1.10628, 1.11466, 1.12423, 1.13096, 1.14342, 1.15982, 1.27166");
+            values ( \
+              "-0.0271642, -0.0300858, -0.0441967, -0.0512532, -0.0580725, -0.0624246, -0.0654409, -0.0670824, -0.0678567, -0.0655983, -0.0592949, -0.0300846, -0.0184483, -0.0126427, -0.00790835, -0.00559581, -0.0026613, -0.00112582, -0.000160032" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173153");
+            index_3 ("0.953288, 0.971215, 0.976734, 0.982898, 0.995226, 1.00352, 1.0201, 1.03611, 1.0407, 1.04989, 1.06033, 1.06414, 1.07176, 1.087, 1.09057, 1.09771, 1.11199, 1.11616, 1.12451, 1.14165, 1.16718, 1.17566, 1.18471, 1.19648, 1.21938, 1.23771, 1.24668, 1.2646, 1.27534, 1.27992, 1.28907, 1.30739, 1.31989, 1.32436, 1.33331, 1.3512, 1.38699, 1.43627, 1.49303, 1.64216");
+            values ( \
+              "-0.0441507, -0.0524923, -0.0591943, -0.0657437, -0.0778678, -0.0846826, -0.0966344, -0.105141, -0.107352, -0.110774, -0.114228, -0.115353, -0.117276, -0.120071, -0.120605, -0.121375, -0.122361, -0.122478, -0.122548, -0.121729, -0.117267, -0.113393, -0.107514, -0.0969149, -0.0730422, -0.0554872, -0.0479718, -0.0351889, -0.0290046, -0.0266704, -0.0224843, -0.0158152, -0.0123909, -0.0113526, -0.0095129, -0.00663724, -0.00305988, -0.000802822, -0.000438074, -0.000261636" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0645419");
+            index_3 ("0.970491, 1.02558, 1.04049, 1.05381, 1.06953, 1.08829, 1.10765, 1.14741, 1.18509, 1.22079, 1.27817, 1.2943, 1.36953, 1.44697, 1.47359, 1.50013, 1.52815, 1.58418, 1.69206, 1.73865, 1.7843, 1.84517, 1.88878, 1.91471, 1.9548, 2.01112, 2.03351, 2.07829, 2.16785, 2.33963, 2.52354, 2.7483");
+            values ( \
+              "-0.0948677, -0.116223, -0.126195, -0.132996, -0.140249, -0.146647, -0.151381, -0.156971, -0.158819, -0.158902, -0.157319, -0.156653, -0.152704, -0.147389, -0.145038, -0.142096, -0.137975, -0.124464, -0.0846656, -0.0685002, -0.0547293, -0.0396876, -0.0312155, -0.0269761, -0.0214171, -0.015369, -0.0134539, -0.0102854, -0.00589936, -0.00179713, -0.00043697, -0.000199384" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.240577");
+            index_3 ("0.997406, 1.11243, 1.1678, 1.21382, 1.25743, 1.40593, 2.02014, 2.29325, 2.5637, 2.66579, 2.76098, 2.86158, 3.06277, 3.45004, 3.61769, 3.78196, 4.001, 4.08724, 4.24838, 4.39228, 4.67311, 4.83166, 5.14877, 5.76436, 6.4416");
+            values ( \
+              "-0.161907, -0.162154, -0.170359, -0.172931, -0.173861, -0.172966, -0.163748, -0.159051, -0.153492, -0.150804, -0.147694, -0.143368, -0.129183, -0.0878341, -0.0710556, -0.0567762, -0.0411941, -0.0361361, -0.0281513, -0.0223729, -0.0141304, -0.0108648, -0.00629951, -0.00197576, -0.000501774" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.896737");
+            index_3 ("0.949194, 1.01939, 1.08355, 1.11497, 1.18629, 1.23825, 1.29819, 1.37635, 2.83369, 4.73993, 6.1625, 6.75203, 7.52574, 7.82618, 8.37663, 9.0414, 9.68374, 10.4575, 11.1796, 11.6561, 12.2704, 12.7865, 13.3535, 14.1273, 14.6704, 15.0022, 15.6656, 16.4394, 17.2131, 17.9868, 18.7605, 21.0817");
+            values ( \
+              "-0.0387985, -0.121445, -0.157367, -0.166305, -0.175609, -0.177933, -0.178864, -0.179009, -0.17333, -0.165432, -0.15852, -0.154989, -0.148527, -0.14489, -0.135036, -0.117304, -0.0979163, -0.0758901, -0.0582917, -0.0484253, -0.0377631, -0.0304424, -0.0238513, -0.0169725, -0.0133158, -0.0114911, -0.00848409, -0.00596006, -0.00415075, -0.00291056, -0.00201164, -0.000690037" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.75285, 2.77671, 2.79045, 2.8037, 2.81176, 2.82584, 2.84355, 2.85169, 2.87118, 2.87676, 2.88454, 2.89139, 2.92509, 2.94003");
+            values ( \
+              "-0.000250854, -0.0126333, -0.0174329, -0.0210155, -0.0225925, -0.0246384, -0.0260538, -0.0239261, -0.00882509, -0.00590085, -0.00289549, -0.00189999, -0.000711367, -0.000405107" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00464535");
+            index_3 ("2.75568, 2.77976, 2.81061, 2.82754, 2.84132, 2.8543, 2.86685, 2.87922, 2.89158, 2.92445, 2.93867, 2.9491, 2.96436, 2.98064, 3.02673");
+            values ( \
+              "-0.000581688, -0.0280259, -0.0506803, -0.0584359, -0.0629129, -0.065842, -0.0674803, -0.0678235, -0.0603491, -0.0190849, -0.00986166, -0.0058522, -0.00236741, -0.00107898, -0.000713392" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173153");
+            index_3 ("2.77664, 2.79762, 2.82348, 2.84269, 2.85586, 2.88395, 2.91024, 2.93585, 2.96134, 2.98683, 2.99553, 3.00481, 3.01614, 3.05738, 3.08458, 3.10841, 3.12626, 3.14398, 3.17193, 3.2092, 3.25868, 3.285");
+            values ( \
+              "-0.0558466, -0.0603186, -0.0853416, -0.0989179, -0.105862, -0.11613, -0.12094, -0.122808, -0.121854, -0.117362, -0.113305, -0.10718, -0.0968995, -0.0554724, -0.0349891, -0.0226232, -0.0160652, -0.0113669, -0.00649644, -0.00288225, -0.000740246, -0.00067982" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0645419");
+            index_3 ("2.79364, 2.84566, 2.87206, 2.8903, 2.92677, 2.96741, 3.00501, 3.04075, 3.09875, 3.18964, 3.26525, 3.2941, 3.32054, 3.34845, 3.40428, 3.51305, 3.60457, 3.68974, 3.73613, 3.77598, 3.85418, 3.89843, 3.98693, 4.17703, 4.26294, 4.47386");
+            values ( \
+              "-0.106476, -0.11697, -0.133419, -0.141436, -0.151823, -0.157198, -0.158861, -0.158818, -0.157151, -0.152509, -0.147346, -0.144829, -0.141903, -0.137811, -0.124427, -0.084412, -0.0547637, -0.0347841, -0.0268344, -0.0213366, -0.0134467, -0.0103146, -0.00595992, -0.00159234, -0.00091714, -0.000282556" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.240577");
+            index_3 ("2.82517, 3.07707, 3.14375, 3.32778, 3.85458, 4.2144, 4.5049, 4.68275, 4.97792, 5.44219, 5.73273, 6.02217, 6.17846, 6.39832, 6.62707, 6.80234, 7.1529, 7.55068");
+            values ( \
+              "-0.170523, -0.17363, -0.173612, -0.171302, -0.163278, -0.157057, -0.150264, -0.14338, -0.120084, -0.0709804, -0.0472939, -0.0304087, -0.0238007, -0.0165693, -0.0113564, -0.00851692, -0.00458501, -0.00261604" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.896737");
+            index_3 ("2.76894, 2.85022, 2.92718, 3.0055, 3.05526, 3.11528, 3.1931, 4.40077, 5.5965, 7.55056, 8.57289, 9.07012, 9.64634, 10.4201, 12.2947, 12.9836, 13.4463, 14.0835, 14.6246, 15.1858, 15.9595, 16.4872, 16.8083, 17.4505, 18.2242, 18.9979, 19.7716, 21.319, 22.8665");
+            values ( \
+              "-0.0389761, -0.131291, -0.165396, -0.175698, -0.177759, -0.178682, -0.178789, -0.174341, -0.169516, -0.160791, -0.154982, -0.151192, -0.144892, -0.129729, -0.0754613, -0.0586695, -0.0490526, -0.0378771, -0.0302126, -0.0237593, -0.0168756, -0.0133621, -0.0115544, -0.00864608, -0.00604504, -0.00423992, -0.00294372, -0.00142187, -0.000684611" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.67502, 9.68264, 9.69933, 9.71626, 9.72418, 9.73913, 9.74365, 9.7614, 9.76949, 9.78884, 9.80249, 9.80936, 9.84271, 9.86791, 9.90653");
+            values ( \
+              "-0.00472457, -0.00705247, -0.0141898, -0.0195141, -0.0213536, -0.0239251, -0.024531, -0.0260151, -0.0239699, -0.00893787, -0.00286473, -0.00189254, -0.000719263, -0.00019665, -3.12417e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00464535");
+            index_3 ("9.67148, 9.70418, 9.7187, 9.73049, 9.74579, 9.75961, 9.7726, 9.78514, 9.79749, 9.80983, 9.83142, 9.84297, 9.85408, 9.86814, 9.87685, 9.88847, 9.90923, 10.0272");
+            values ( \
+              "-0.000155727, -0.0331305, -0.0439159, -0.051284, -0.0581572, -0.0628438, -0.0658086, -0.0676719, -0.067934, -0.0605546, -0.0307574, -0.0188282, -0.0112715, -0.00558281, -0.00338187, -0.001635, -0.000996208, -0.000113815" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173153");
+            index_3 ("9.69308, 9.71619, 9.74139, 9.76093, 9.77384, 9.80189, 9.8281, 9.8536, 9.87898, 9.90435, 9.91298, 9.92218, 9.93354, 9.97467, 10.0017, 10.0257, 10.0437, 10.0611, 10.0887, 10.1253, 10.1748, 10.1969");
+            values ( \
+              "-0.0497317, -0.0604912, -0.0848589, -0.098951, -0.105842, -0.116443, -0.121362, -0.123385, -0.122416, -0.11793, -0.11381, -0.107643, -0.0972171, -0.0556009, -0.0351235, -0.0226133, -0.0160025, -0.0113796, -0.00655567, -0.00295067, -0.000759582, -0.000706406" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0645419");
+            index_3 ("9.70869, 9.80886, 9.84386, 9.88571, 9.92317, 9.95869, 10.0156, 10.107, 10.2116, 10.2655, 10.3209, 10.5211, 10.6063, 10.7082, 10.7983, 10.859, 10.9803, 10.9851");
+            values ( \
+              "-0.0919579, -0.141852, -0.152176, -0.157959, -0.159648, -0.159555, -0.157817, -0.152927, -0.145061, -0.138013, -0.124668, -0.0548691, -0.0348381, -0.0194833, -0.0114239, -0.00789668, -0.00363152, -0.00356117" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.240577");
+            index_3 ("9.7349, 9.86147, 9.90836, 9.95204, 9.99411, 10.1464, 10.702, 11.0296, 11.3496, 11.4996, 11.5981, 11.7471, 11.9276, 12.3545, 12.5177, 12.7353, 12.8233, 12.9876, 13.1307, 13.4098, 13.5666, 13.8803, 14.4965, 15.1741");
+            values ( \
+              "-0.159112, -0.165609, -0.171454, -0.173666, -0.174385, -0.173074, -0.164568, -0.158989, -0.152211, -0.147572, -0.143327, -0.133646, -0.11611, -0.071018, -0.0568377, -0.0413221, -0.0361701, -0.0280232, -0.0223142, -0.0141357, -0.0108873, -0.00636479, -0.00198428, -0.000512958" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.896737");
+            index_3 ("9.68771, 9.75626, 9.82561, 9.90856, 9.95673, 10.0134, 10.0905, 13.2877, 14.4687, 15.4914, 15.9893, 16.5652, 16.8594, 17.2766, 19.3192, 20.0818, 20.6836, 21.1721, 21.7142, 22.4369, 23.0172, 23.6015, 24.3752, 25.149, 25.9227, 27.4701, 29.7913");
+            values ( \
+              "-0.0372414, -0.120263, -0.160038, -0.175355, -0.177997, -0.179127, -0.179336, -0.166195, -0.160747, -0.154941, -0.15113, -0.144858, -0.140252, -0.131247, -0.0726497, -0.0547388, -0.0431256, -0.0352954, -0.0280903, -0.0205159, -0.0158744, -0.0122294, -0.00859796, -0.00602656, -0.00421142, -0.00204557, -0.000690483" \
+            );
+          }
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.00489383, 0.00489415, 0.00489448, 0.00489464, 0.00489469, 0.0048947", \
+            "0.00607829, 0.00607826, 0.00607826, 0.00607827, 0.00607828, 0.00607828", \
+            "0.00656503, 0.00656503, 0.00656503, 0.00656503, 0.00656503, 0.00656503", \
+            "0.00677022, 0.00676979, 0.00677023, 0.00677023, 0.00676979, 0.00677023", \
+            "0.00689134, 0.00689229, 0.00689134, 0.00689134, 0.00689134, 0.00689134", \
+            "0.00693647, 0.00693647, 0.00693647, 0.00693647, 0.00693648, 0.00693648" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.00656113, 0.00656106, 0.00656111, 0.00656117, 0.0065612, 0.0065612", \
+            "0.00721511, 0.0072151, 0.00721505, 0.00721503, 0.00721503, 0.00721502", \
+            "0.00818292, 0.00818292, 0.00818291, 0.0081829, 0.0081829, 0.0081829", \
+            "0.00770606, 0.0077118, 0.00770537, 0.00770523, 0.00771132, 0.00770518", \
+            "0.00823838, 0.00823642, 0.00823604, 0.00823013, 0.00823707, 0.00823723", \
+            "0.00805087, 0.00805055, 0.00805114, 0.00805085, 0.0080442, 0.00804753" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "(CK & D)";
+        timing_sense : negative_unate;
+        timing_type : preset;
+        when : "(CK * D)";
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.181751, 0.20968, 0.306285, 0.656523, 1.95942, 6.8153", \
+            "0.193033, 0.220952, 0.317562, 0.667827, 1.97071, 6.82661", \
+            "0.241529, 0.26942, 0.366033, 0.71633, 2.01917, 6.8751", \
+            "0.371825, 0.399703, 0.496278, 0.846739, 2.14978, 7.00554", \
+            "0.653263, 0.681863, 0.778194, 1.12861, 2.43181, 7.2877", \
+            "1.3687, 1.40201, 1.49908, 1.84862, 3.15176, 8.00753" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.0558511, 0.0903371, 0.227142, 0.746367, 2.68903, 9.92991", \
+            "0.0558604, 0.0903345, 0.227095, 0.746284, 2.68903, 9.93011", \
+            "0.0558915, 0.0903495, 0.227137, 0.746375, 2.68895, 9.93011", \
+            "0.056996, 0.0909267, 0.227273, 0.746378, 2.68892, 9.92991", \
+            "0.0631678, 0.0944191, 0.227732, 0.746362, 2.68902, 9.93008", \
+            "0.084785, 0.109861, 0.230731, 0.746449, 2.68881, 9.93058" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.135255, 0.149326, 0.160229, 0.165636, 0.173464, 0.179154, 0.181766, 0.18569, 0.189821, 0.19679, 0.202353, 0.208088, 0.2118, 0.22052, 0.227675, 0.238626, 0.246508, 0.252522, 0.257577, 0.268186, 0.274529, 0.283399, 0.293024, 0.313345, 0.336691, 0.361779");
+            values ( \
+              "0.000476171, 0.0148349, 0.0231116, 0.025923, 0.0282679, 0.0286523, 0.0285369, 0.0279972, 0.0269221, 0.0245409, 0.0233681, 0.0217226, 0.018897, 0.0139297, 0.0107662, 0.00683935, 0.00708188, 0.00657901, 0.00588189, 0.00400524, 0.00315274, 0.00215357, 0.00145013, 0.000603509, 0.000204939, 6.64394e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00464535");
+            index_3 ("0.140416, 0.149554, 0.156454, 0.165057, 0.171759, 0.177265, 0.18242, 0.189293, 0.191737, 0.196623, 0.204454, 0.217791, 0.244714, 0.266293, 0.275607, 0.285919, 0.299788, 0.309696, 0.31513, 0.361921, 0.375164, 0.386803, 0.402322, 0.432259, 0.471844, 0.525423");
+            values ( \
+              "0.00617539, 0.0304594, 0.0423867, 0.0544074, 0.0604467, 0.0636783, 0.0654173, 0.066332, 0.0663755, 0.0660289, 0.0646952, 0.0606046, 0.0500281, 0.0347897, 0.029275, 0.0239285, 0.017746, 0.0138621, 0.012343, 0.00606616, 0.00452958, 0.00343442, 0.0023385, 0.00106519, 0.000349802, 6.65697e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173153");
+            index_3 ("0.146993, 0.16408, 0.175303, 0.180067, 0.18747, 0.197846, 0.207997, 0.217795, 0.232834, 0.265741, 0.280448, 0.314347, 0.331574, 0.350978, 0.377556, 0.441787, 0.484335, 0.518595, 0.549207, 0.571432, 0.600902, 0.627497, 0.691417, 0.737781, 0.771516, 0.822302, 0.889041, 0.973736, 1.09841");
+            values ( \
+              "0.0396954, 0.0763434, 0.0918992, 0.0959858, 0.100209, 0.103114, 0.103813, 0.103428, 0.101784, 0.0973034, 0.09497, 0.0888809, 0.0854371, 0.0811203, 0.0738685, 0.0510166, 0.0377626, 0.0288214, 0.022208, 0.0181235, 0.0139845, 0.0114387, 0.00686589, 0.00457959, 0.00337213, 0.00203556, 0.00105631, 0.000412455, 0.000113068" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0645419");
+            index_3 ("0.161477, 0.161497, 0.339464, 0.396816, 0.582767, 0.71048, 0.785551, 0.908794, 1.09557, 1.22256, 1.39046, 1.49756, 1.58931, 1.66754, 1.7578, 1.88497, 2.04811, 2.1717, 2.33387, 2.51997, 2.76806, 3.1133");
+            values ( \
+              "1e-22, 0.127687, 0.120318, 0.117242, 0.105992, 0.0973099, 0.0914034, 0.0788906, 0.0573104, 0.0438243, 0.0292654, 0.0221162, 0.0171793, 0.0139304, 0.01097, 0.00769816, 0.0048418, 0.00340664, 0.00206418, 0.00119676, 0.00051977, 0.000187257" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.240577");
+            index_3 ("0.190043, 0.190063, 0.722434, 1.77471, 2.35343, 2.68761, 3.89033, 4.31293, 4.85992, 5.24971, 5.89523, 6.3286, 6.86496, 7.30574, 8.50479, 8.69797");
+            values ( \
+              "1e-22, 0.148706, 0.126071, 0.1077, 0.0956369, 0.0864922, 0.0480967, 0.0366703, 0.0249711, 0.0186399, 0.0113301, 0.00803333, 0.00520826, 0.00361482, 0.00130747, 0.00119899" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.896737");
+            index_3 ("0.271846, 0.271866, 2.12544, 6.42678, 7.58524, 8.43316, 9.25797, 10.2629, 13.0761, 14.1052, 15.1931, 16.0645, 16.8538, 17.7451, 18.8329, 20.3814, 21.4124, 22.5002, 23.5326, 24.7143, 26.8899, 29.0655, 33.4168, 36.6803");
+            values ( \
+              "1e-22, 0.152966, 0.127675, 0.107099, 0.100718, 0.0952161, 0.0890738, 0.0806451, 0.0556319, 0.0471866, 0.0390695, 0.0333174, 0.028682, 0.0241006, 0.0193074, 0.0139776, 0.0112418, 0.00886187, 0.0070699, 0.00544476, 0.00334173, 0.00203928, 0.000749439, 0.000373311" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.170499, 0.185281, 0.196329, 0.201286, 0.209152, 0.214656, 0.217407, 0.22291, 0.234269, 0.241853, 0.254438, 0.273246, 0.278398, 0.282119, 0.288244, 0.309732, 0.32207, 0.336968, 0.359776");
+            values ( \
+              "9.16042e-05, 0.0150995, 0.0233976, 0.025934, 0.0282761, 0.02863, 0.0285638, 0.0276169, 0.0240692, 0.0225108, 0.0147843, 0.0071219, 0.00676274, 0.007131, 0.00655081, 0.00316912, 0.00188907, 0.000991412, 0.000501218" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00464535");
+            index_3 ("0.175723, 0.185224, 0.192112, 0.200708, 0.207407, 0.212899, 0.218089, 0.225008, 0.22738, 0.232124, 0.240097, 0.253434, 0.267882, 0.280354, 0.284073, 0.29151, 0.301938, 0.311247, 0.32156, 0.33543, 0.34534, 0.350773, 0.358928, 0.36639, 0.376211, 0.397562, 0.4108, 0.422456, 0.437996, 0.467941, 0.507534, 0.56111");
+            values ( \
+              "0.00472363, 0.0305055, 0.0424162, 0.05442, 0.0604839, 0.0636709, 0.0654249, 0.066348, 0.0663767, 0.0660514, 0.0646953, 0.0606083, 0.0552382, 0.0500285, 0.0468391, 0.0420659, 0.0347892, 0.0292777, 0.023929, 0.017747, 0.0138617, 0.012343, 0.0111088, 0.0102734, 0.0089951, 0.00606648, 0.0045302, 0.00343357, 0.00233667, 0.00106406, 0.000349365, 6.64607e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173153");
+            index_3 ("0.182113, 0.194515, 0.20664, 0.214306, 0.222058, 0.229395, 0.23778, 0.253413, 0.268478, 0.297538, 0.316088, 0.349982, 0.386544, 0.401965, 0.418386, 0.490198, 0.541973, 0.563267, 0.586704, 0.617953, 0.639067, 0.678602, 0.714234, 0.747274, 0.791818, 0.838005, 0.89868, 0.974027, 1.08022");
+            values ( \
+              "0.0351262, 0.0654862, 0.0871271, 0.0949496, 0.0997663, 0.102267, 0.103523, 0.103492, 0.101799, 0.0978539, 0.0949897, 0.088929, 0.081261, 0.0771322, 0.0718538, 0.0469014, 0.031783, 0.0267121, 0.0218412, 0.0163803, 0.0137747, 0.0102347, 0.00768401, 0.00579402, 0.00386522, 0.00250102, 0.00134956, 0.000631962, 0.000182699" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0645419");
+            index_3 ("0.196619, 0.196639, 0.374779, 0.433501, 0.624395, 0.700345, 0.807422, 0.900152, 1.17661, 1.34952, 1.44819, 1.51486, 1.61922, 1.714, 1.80038, 1.92444, 2.07651, 2.19863, 2.35945, 2.54294, 2.78597, 3.11765");
+            values ( \
+              "1e-22, 0.126845, 0.120335, 0.117192, 0.105622, 0.10059, 0.0925301, 0.0837096, 0.0522923, 0.0353663, 0.027651, 0.0232487, 0.0174361, 0.0135186, 0.0107724, 0.00760673, 0.004936, 0.00350509, 0.00211964, 0.00125382, 0.00054201, 0.000217205" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.240577");
+            index_3 ("0.220176, 0.220196, 0.760341, 1.81038, 2.38913, 2.72327, 3.92595, 4.34847, 4.89596, 5.28549, 5.9308, 6.36423, 6.90077, 7.34143, 8.54014, 8.73199");
+            values ( \
+              "1e-22, 0.145823, 0.126036, 0.1077, 0.0956364, 0.0864928, 0.0480987, 0.0366739, 0.0249646, 0.0186385, 0.0113312, 0.0080337, 0.00520772, 0.00361484, 0.0013079, 0.00120012" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.896737");
+            index_3 ("0.311437, 0.311457, 2.54304, 5.99098, 6.86075, 7.94857, 9.3046, 10.3007, 13.1129, 14.1403, 15.2282, 15.9701, 16.8945, 17.8314, 18.9192, 20.3236, 21.3884, 22.4762, 23.9901, 25.9248, 28.1005, 30.2761, 32.4517, 36.803");
+            values ( \
+              "1e-22, 0.150417, 0.125863, 0.10946, 0.105021, 0.098685, 0.0889715, 0.0806759, 0.0556703, 0.0471764, 0.0391185, 0.0341389, 0.0286963, 0.023837, 0.0191445, 0.0142937, 0.0113621, 0.00901202, 0.00644083, 0.00418322, 0.00255703, 0.0015546, 0.000940377, 0.000336985" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.315484, 0.33769, 0.34242, 0.347372, 0.355289, 0.360686, 0.363524, 0.3692, 0.380394, 0.387949, 0.400593, 0.408494, 0.419199, 0.423088, 0.427489, 0.432874, 0.453904, 0.467501, 0.478342, 0.490731, 0.513678, 0.516648");
+            values ( \
+              "8.73869e-05, 0.0201299, 0.0232603, 0.0259291, 0.0281949, 0.02864, 0.028508, 0.0275976, 0.0240278, 0.0225576, 0.0147294, 0.011154, 0.0072141, 0.00671721, 0.00712878, 0.00675475, 0.00344649, 0.00196786, 0.00125108, 0.000726431, 0.000256179, 0.000243138" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00464535");
+            index_3 ("0.320402, 0.330906, 0.346482, 0.353327, 0.358721, 0.363848, 0.373192, 0.378205, 0.385911, 0.398715, 0.426047, 0.456578, 0.481103, 0.496951, 0.556458, 0.584532, 0.614848, 0.629075");
+            values ( \
+              "0.00180317, 0.0300674, 0.054216, 0.0605016, 0.0635729, 0.0653363, 0.0663281, 0.0660132, 0.0646665, 0.0607747, 0.0500978, 0.0295622, 0.0178075, 0.0122658, 0.00454553, 0.00229447, 0.00103291, 0.000783979" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173153");
+            index_3 ("0.330373, 0.340461, 0.351225, 0.360317, 0.367899, 0.371507, 0.376317, 0.385939, 0.399413, 0.41447, 0.45539, 0.495945, 0.51314, 0.532607, 0.558644, 0.623562, 0.664221, 0.69811, 0.720956, 0.756456, 0.784007, 0.80334, 0.829118, 0.863858, 0.893627, 0.932279, 0.98057, 1.03739, 1.11246, 1.2109");
+            values ( \
+              "0.0584262, 0.065255, 0.0851113, 0.0948644, 0.0996555, 0.101096, 0.102415, 0.10368, 0.103419, 0.10181, 0.0960739, 0.0888867, 0.0854519, 0.0811193, 0.0740416, 0.0509735, 0.0382754, 0.029315, 0.0242157, 0.0175367, 0.0138774, 0.0119962, 0.00990243, 0.00745595, 0.00578059, 0.00407577, 0.00258338, 0.00145794, 0.000680629, 0.000224434" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0645419");
+            index_3 ("0.343988, 0.344008, 0.573632, 0.734841, 0.846348, 0.903511, 0.967212, 1.09099, 1.29902, 1.41935, 1.51881, 1.59621, 1.69941, 1.77357, 1.83494, 1.91676, 2.05759, 2.21048, 2.331, 2.49083, 2.68283, 2.91826, 3.24554");
+            values ( \
+              "1e-22, 0.128924, 0.117518, 0.107849, 0.100622, 0.0964491, 0.091429, 0.0788005, 0.0548789, 0.0423312, 0.0334295, 0.0275253, 0.0209202, 0.0170512, 0.0144705, 0.0116539, 0.00788804, 0.00513216, 0.00362637, 0.00223763, 0.0012533, 0.000588847, 0.000207503" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.240577");
+            index_3 ("0.368534, 0.368554, 0.969093, 1.64779, 2.14979, 2.63832, 2.95752, 4.00505, 4.40636, 4.6532, 4.92179, 5.27991, 5.49158, 5.85282, 6.26566, 6.67398, 7.28978, 7.80764, 8.42313, 9.14967, 10.1175, 11.2054");
+            values ( \
+              "1e-22, 0.145579, 0.124928, 0.11323, 0.104033, 0.0930291, 0.083811, 0.0501029, 0.0388938, 0.0329277, 0.027252, 0.0209266, 0.0178082, 0.0135239, 0.0097581, 0.00704361, 0.00425874, 0.00278441, 0.00163247, 0.000890882, 0.000359134, 0.000150234" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.896737");
+            index_3 ("0.460927, 0.460947, 2.69809, 6.13708, 7.00678, 8.0946, 9.45064, 10.4467, 13.2589, 14.2864, 15.3742, 16.1162, 17.0405, 17.9774, 19.0653, 20.4697, 21.5344, 22.6223, 24.1362, 26.0709, 28.2465, 30.4221, 32.5978, 36.9491");
+            values ( \
+              "1e-22, 0.150791, 0.125815, 0.109464, 0.105017, 0.0986891, 0.0889756, 0.0806719, 0.0556663, 0.0471803, 0.0391146, 0.0341428, 0.0286924, 0.0238408, 0.0191407, 0.0142899, 0.0113659, 0.00900821, 0.0064446, 0.00418698, 0.00256078, 0.00155835, 0.000944117, 0.000340711" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.834523, 0.856572, 0.867168, 0.87482, 0.877991, 0.884019, 0.88762, 0.892241, 0.899198, 0.905163, 0.910712, 0.91278, 0.922445, 0.927745, 0.941819, 0.946995, 0.949156, 0.953478, 0.958364, 0.974748, 0.988397, 0.999133, 1.01006, 1.03127, 1.03146");
+            values ( \
+              "0.00265852, 0.0178246, 0.0242296, 0.0269522, 0.0275031, 0.0278219, 0.027446, 0.0264702, 0.0242787, 0.0231447, 0.0215988, 0.0198183, 0.0142531, 0.0117776, 0.00684994, 0.00687579, 0.00709261, 0.00673855, 0.00618257, 0.00343397, 0.00195261, 0.001262, 0.000774541, 0.000306354, 0.000305106" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00464535");
+            index_3 ("0.840316, 0.848305, 0.861207, 0.873746, 0.88239, 0.889096, 0.893728, 0.902994, 0.913111, 0.938859, 0.988583, 1.018, 1.06331, 1.09326, 1.11468, 1.1399");
+            values ( \
+              "0.0172817, 0.0246239, 0.0440179, 0.0581015, 0.0630255, 0.0648537, 0.0653054, 0.0647758, 0.0625428, 0.0537302, 0.0237017, 0.0122036, 0.00618966, 0.00310511, 0.0018094, 0.000997491" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173153");
+            index_3 ("0.847559, 0.859157, 0.868753, 0.878541, 0.889327, 0.899094, 0.909805, 0.919949, 0.935084, 0.950679, 0.972064, 1.01659, 1.05331, 1.07702, 1.1473, 1.18128, 1.21682, 1.23018, 1.26306, 1.29539, 1.33018, 1.3546, 1.38694, 1.40885, 1.44825, 1.49181, 1.54806, 1.61889, 1.71276");
+            values ( \
+              "0.0494751, 0.0581011, 0.0771371, 0.0901994, 0.0982404, 0.101738, 0.103092, 0.102942, 0.101564, 0.099673, 0.0966649, 0.0889328, 0.0813055, 0.0745445, 0.0500792, 0.0393625, 0.0297529, 0.0266598, 0.0200241, 0.0148688, 0.0114564, 0.00951516, 0.00731774, 0.00607595, 0.00426737, 0.00282242, 0.00162412, 0.000780796, 0.000288405" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0645419");
+            index_3 ("0.862955, 0.862975, 1.04077, 1.12323, 1.25586, 1.36737, 1.42458, 1.48823, 1.61201, 1.799, 1.94038, 2.03983, 2.11723, 2.22042, 2.29459, 2.35597, 2.4378, 2.57862, 2.73149, 2.85199, 3.01054, 3.20131, 3.43478, 3.75915");
+            values ( \
+              "1e-22, 0.126026, 0.120435, 0.115854, 0.107837, 0.10061, 0.0964577, 0.0914169, 0.0788123, 0.0572246, 0.0423417, 0.0334181, 0.0275147, 0.0209321, 0.0170405, 0.0144598, 0.0116641, 0.00787735, 0.00512201, 0.00363709, 0.00223653, 0.00127386, 0.000587493, 0.000223278" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.240577");
+            index_3 ("0.889509, 0.889529, 1.40213, 2.26902, 2.67051, 2.93097, 3.10693, 3.45886, 4.32193, 4.90259, 5.17352, 5.4687, 5.86228, 6.14596, 6.61237, 7.03698, 7.56093, 7.99765, 8.55035, 9.18109, 9.66679");
+            values ( \
+              "1e-22, 0.147243, 0.126475, 0.111462, 0.104049, 0.0986003, 0.0943903, 0.0844258, 0.0563831, 0.0395348, 0.0329456, 0.0267499, 0.0199752, 0.0160854, 0.0112071, 0.00801239, 0.00524967, 0.00364568, 0.00230695, 0.00133338, 0.00105256" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.896737");
+            index_3 ("0.977614, 0.977634, 2.81989, 7.12866, 8.28715, 9.13507, 9.95988, 10.9648, 13.778, 14.8071, 15.895, 17.5558, 18.4937, 19.5816, 20.9914, 22.0549, 23.1427, 24.6533, 26.5858, 28.7614, 30.937, 34.2005, 37.464");
+            values ( \
+              "1e-22, 0.153635, 0.127696, 0.107086, 0.100705, 0.0952298, 0.0890602, 0.0806586, 0.0556451, 0.0471735, 0.0390826, 0.0286948, 0.0238632, 0.0191344, 0.0142654, 0.0113737, 0.00899011, 0.00645947, 0.00420347, 0.0025761, 0.00157292, 0.000747616, 0.000354333" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.65503, 2.67019, 2.67388, 2.71487, 2.72072, 2.72639, 2.73567, 2.74327, 2.74528, 2.7552, 2.75855, 2.7711, 2.77753, 2.78364, 2.78797, 2.79246, 2.79412, 2.79745, 2.8063, 2.81426, 2.82355, 2.83486, 2.85428, 2.87423, 2.89863, 2.92547");
+            values ( \
+              "0.0014012, 0.00452273, 0.00573734, 0.0217595, 0.0234026, 0.0243026, 0.0241246, 0.0228153, 0.0229786, 0.0208863, 0.0184319, 0.0121476, 0.00966863, 0.0077159, 0.00680298, 0.00745536, 0.00715089, 0.00705381, 0.00567536, 0.00421675, 0.00291044, 0.00180435, 0.000757954, 0.000364775, 6.12699e-05, 7.33687e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00464535");
+            index_3 ("2.66406, 2.67769, 2.69036, 2.71223, 2.72201, 2.726, 2.73002, 2.73669, 2.75049, 2.76527, 2.77317, 2.79014, 2.79551, 2.80412, 2.81446, 2.82905, 2.83736, 2.85167, 2.85768, 2.86713, 2.87557, 2.90944, 2.92228, 2.93356, 2.9486, 2.97807, 3.01394, 3.06616");
+            values ( \
+              "0.0099032, 0.014652, 0.0252598, 0.0453145, 0.0532359, 0.0556951, 0.0577227, 0.0600204, 0.0612542, 0.0585895, 0.0563321, 0.0506606, 0.04656, 0.0412199, 0.0341012, 0.0259511, 0.0218452, 0.0158313, 0.0136201, 0.0114568, 0.0106333, 0.00597891, 0.00453756, 0.00344723, 0.00238752, 0.00108876, 0.000418079, 7.15995e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173153");
+            index_3 ("2.68079, 2.70058, 2.71849, 2.73108, 2.74147, 2.75325, 2.76347, 2.77848, 2.79118, 2.80156, 2.82485, 2.86065, 2.87573, 2.89726, 2.92501, 2.93873, 2.96073, 2.98703, 3.03932, 3.07432, 3.08802, 3.11214, 3.14298, 3.1839, 3.21073, 3.24304, 3.2911, 3.33127, 3.38368, 3.44897, 3.53708, 3.67488");
+            values ( \
+              "0.0468873, 0.0500247, 0.0751655, 0.0884712, 0.0952548, 0.0990928, 0.100389, 0.100193, 0.0994271, 0.0984214, 0.0952432, 0.0889173, 0.0858968, 0.0810985, 0.0735358, 0.0682974, 0.0609392, 0.0513309, 0.0352981, 0.0266227, 0.023747, 0.0190851, 0.0144518, 0.01065, 0.00864226, 0.0066106, 0.00430606, 0.00295331, 0.00176139, 0.000911435, 0.000352953, 6.90002e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0645419");
+            index_3 ("2.70159, 2.75231, 2.76748, 2.78216, 2.79475, 2.81149, 2.83888, 2.87616, 2.92201, 3.0118, 3.21112, 3.33199, 3.45576, 3.66379, 3.7809, 3.88298, 3.96212, 4.06764, 4.1392, 4.25042, 4.32441, 4.44337, 4.57318, 4.69382, 4.84971, 5.03029, 5.26, 5.57631");
+            values ( \
+              "0.112023, 0.117065, 0.120841, 0.12219, 0.12286, 0.123056, 0.122393, 0.120828, 0.118448, 0.113206, 0.100618, 0.0914241, 0.0788052, 0.0548846, 0.0426502, 0.0334713, 0.0274375, 0.0207286, 0.0170038, 0.012662, 0.0103776, 0.00747402, 0.00517847, 0.00364796, 0.00228834, 0.0013215, 0.000641629, 0.000228665" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.240577");
+            index_3 ("2.72764, 2.72766, 3.26591, 4.37437, 4.79486, 4.95046, 5.44627, 6.26307, 6.52525, 6.91757, 7.24264, 7.49579, 7.82255, 8.09424, 8.40835, 8.85756, 9.31722, 9.66848, 10.203, 10.8234, 12.5403");
+            values ( \
+              "1e-22, 0.144129, 0.126125, 0.106723, 0.0981305, 0.0943974, 0.0798949, 0.0533363, 0.0455627, 0.0352589, 0.0281254, 0.0233896, 0.0182796, 0.0148554, 0.0116455, 0.00814542, 0.00562931, 0.004226, 0.00270002, 0.00159782, 0.000354845" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.896737");
+            index_3 ("2.8166, 2.81662, 5.02875, 8.55213, 9.37173, 10.4595, 11.8155, 12.8116, 15.6238, 16.6513, 17.7391, 18.481, 19.4054, 20.3423, 21.4301, 22.8345, 23.8993, 24.9871, 26.501, 28.4357, 30.6114, 32.787, 36.0505, 39.3139");
+            values ( \
+              "1e-22, 0.149869, 0.125967, 0.109226, 0.105005, 0.0987, 0.0889869, 0.0806605, 0.055655, 0.0471917, 0.0391033, 0.0341542, 0.0286811, 0.0238521, 0.0191294, 0.0142786, 0.0113772, 0.0089969, 0.00645591, 0.00419828, 0.00257208, 0.00156964, 0.000749167, 0.000351984" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.57067, 9.59818, 9.60463, 9.6128, 9.64735, 9.6714, 9.68056, 9.68873, 9.70197, 9.71189, 9.71468, 9.72025, 9.72699, 9.73327, 9.74569, 9.752, 9.75766, 9.76223, 9.76743, 9.76971, 9.78313, 9.7941, 9.80262, 9.80897, 9.8182, 9.83051, 9.85435, 9.87974");
+            values ( \
+              "0.00109277, 0.00216925, 0.00279005, 0.00386888, 0.010275, 0.0142564, 0.0156252, 0.0166108, 0.0173174, 0.0177412, 0.0185038, 0.018709, 0.0170727, 0.0150909, 0.0106249, 0.00876882, 0.0074362, 0.00809967, 0.00756843, 0.00745983, 0.00501559, 0.00338888, 0.00237699, 0.00183304, 0.00124571, 0.00076524, 0.00023068, 9.46265e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00464535");
+            index_3 ("9.58846, 9.61777, 9.62655, 9.70118, 9.71836, 9.72023, 9.72272, 9.7277, 9.73542, 9.74888, 9.75177, 9.75755, 9.76828, 9.78523, 9.80005, 9.81603, 9.82537, 9.82991, 9.83869, 9.84716, 9.88154, 9.89516, 9.90664, 9.92194, 9.95255, 9.99441, 10.0465");
+            values ( \
+              "0.00704289, 0.0102427, 0.0135025, 0.044225, 0.0495865, 0.0500064, 0.0504849, 0.0511293, 0.0514696, 0.050867, 0.0508927, 0.0505413, 0.0459789, 0.0357045, 0.0272117, 0.0196886, 0.0158104, 0.0140815, 0.0119634, 0.0109861, 0.00621796, 0.00455825, 0.00346409, 0.00240318, 0.00103929, 0.000334876, 3.9124e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173153");
+            index_3 ("9.60281, 9.63094, 9.66086, 9.72173, 9.73218, 9.74995, 9.76243, 9.7727, 9.78258, 9.79837, 9.81472, 9.869, 9.89523, 9.98105, 10.0333, 10.0742, 10.1017, 10.1223, 10.1567, 10.2175, 10.2868, 10.3381, 10.3991, 10.4154");
+            values ( \
+              "0.0220331, 0.0223146, 0.0406946, 0.0830225, 0.0879263, 0.0933927, 0.0958332, 0.096541, 0.0962331, 0.0945519, 0.0919685, 0.0810964, 0.0739721, 0.0442375, 0.0296047, 0.0208848, 0.0161564, 0.0137403, 0.0105589, 0.00644834, 0.00344853, 0.00208362, 0.00113883, 0.00100461" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0645419");
+            index_3 ("9.64168, 9.69928, 9.71824, 9.73846, 9.75507, 9.77512, 9.78388, 9.79773, 9.81664, 9.86175, 9.92403, 10.0114, 10.182, 10.3028, 10.4266, 10.6136, 10.6767, 10.755, 10.8544, 10.9318, 11.035, 11.1092, 11.1705, 11.2524, 11.3932, 11.4557, 11.5461, 11.6666, 11.824, 12.013, 12.2439, 12.5643");
+            values ( \
+              "0.0632955, 0.0803169, 0.0965706, 0.109429, 0.116332, 0.12119, 0.121968, 0.122292, 0.121924, 0.120006, 0.116613, 0.111438, 0.100604, 0.0914135, 0.078815, 0.0572232, 0.0502966, 0.0423464, 0.0334166, 0.0275122, 0.0209358, 0.0170376, 0.0144568, 0.0116688, 0.00787411, 0.00661676, 0.00511822, 0.00364137, 0.00224027, 0.00128971, 0.000594328, 0.000234572" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.240577");
+            index_3 ("9.69086, 9.69088, 10.2469, 11.0838, 11.465, 11.758, 11.9218, 12.2493, 13.137, 13.7196, 13.9883, 14.2811, 14.6714, 14.8399, 15.1265, 15.4315, 15.854, 16.3716, 16.6666, 17.1494, 17.9086, 18.7869, 19.8747");
+            values ( \
+              "1e-22, 0.139986, 0.125942, 0.111459, 0.104449, 0.0983251, 0.0943885, 0.0851689, 0.0563725, 0.0394749, 0.0329431, 0.0267949, 0.020062, 0.0176348, 0.0141847, 0.0111683, 0.0079996, 0.00526949, 0.00411947, 0.00281536, 0.0014884, 0.000688984, 0.000256804" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.896737");
+            index_3 ("9.60171, 9.74826, 9.79085, 9.89335, 15.5699, 16.6654, 17.4764, 18.7885, 19.7832, 22.5953, 23.6225, 24.7103, 25.4526, 26.3775, 27.3142, 28.8699, 29.8056, 30.8706, 31.9584, 33.4728, 35.4079, 37.5835, 39.7592, 43.0226, 46.2861");
+            values ( \
+              "0.0133139, 0.12324, 0.134203, 0.135961, 0.108997, 0.103243, 0.0984154, 0.0889787, 0.0806578, 0.0556536, 0.0471974, 0.0391037, 0.0341572, 0.0286763, 0.023853, 0.0173665, 0.0142781, 0.0113803, 0.00899543, 0.00645782, 0.0041999, 0.00257387, 0.00157152, 0.000747041, 0.000353961" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195952", \
+            "0.00235269", \
+            "0.00254796", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253647", \
+            "0.0025603", \
+            "0.00289394", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.00180706, 0.00180706, 0.00180707, 0.00180707, 0.00180707, 0.00180707", \
+            "0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651", \
+            "0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111", \
+            "0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00227095, 0.00227095, 0.00227095, 0.00227095, 0.00227095, 0.00227095" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.00230608, 0.00230607, 0.00230607, 0.00230607, 0.00230607, 0.00230607", \
+            "0.00242667, 0.00242667, 0.00242666, 0.00242665, 0.00242665, 0.00242665", \
+            "0.00263732, 0.00263731, 0.00263731, 0.0026373, 0.0026373, 0.0026373", \
+            "0.00325222, 0.0032521, 0.00325205, 0.00325209, 0.00325211, 0.00325212", \
+            "0.00368874, 0.00368844, 0.00368825, 0.00368858, 0.00368754, 0.00368757", \
+            "0.00366922, 0.00367258, 0.00367272, 0.0036712, 0.00367217, 0.00367302" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "(CK & ~D)";
+        timing_sense : negative_unate;
+        timing_type : preset;
+        when : "(CK * !D)";
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.1749, 0.202726, 0.299279, 0.649516, 1.95239, 6.80829", \
+            "0.186131, 0.213975, 0.310548, 0.660781, 1.96365, 6.81953", \
+            "0.234065, 0.261877, 0.358459, 0.708731, 2.0116, 6.8675", \
+            "0.356106, 0.383871, 0.480422, 0.830861, 2.1339, 6.98966", \
+            "0.619139, 0.647323, 0.743747, 1.09422, 2.39745, 7.25344", \
+            "1.29887, 1.33049, 1.42715, 1.77692, 3.07997, 7.93596" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.0554336, 0.0900459, 0.227061, 0.74637, 2.68903, 9.93011", \
+            "0.0554173, 0.0900462, 0.227063, 0.74637, 2.68903, 9.9301", \
+            "0.0554727, 0.0900653, 0.227059, 0.746369, 2.68903, 9.92993", \
+            "0.056394, 0.0905613, 0.227136, 0.746307, 2.68891, 9.93008", \
+            "0.0609317, 0.0930385, 0.227472, 0.746361, 2.68899, 9.93009", \
+            "0.0785803, 0.104875, 0.229607, 0.746431, 2.68896, 9.93081" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.130908, 0.138698, 0.149716, 0.153786, 0.159091, 0.166293, 0.172005, 0.174969, 0.180897, 0.191718, 0.199284, 0.211731, 0.219934, 0.23072, 0.235819, 0.239499, 0.245571, 0.250736, 0.256374, 0.267502, 0.276383, 0.286064, 0.293581, 0.308613, 0.332053, 0.357394");
+            values ( \
+              "0.000174185, 0.0113511, 0.0209382, 0.0237643, 0.0265433, 0.028586, 0.0289846, 0.0288166, 0.0277438, 0.0241387, 0.0225975, 0.0148291, 0.0110868, 0.00712841, 0.00673109, 0.00714748, 0.00653119, 0.00589555, 0.00477218, 0.00314927, 0.00217, 0.00145276, 0.00104954, 0.000547166, 0.000181267, 6.18057e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00464535");
+            index_3 ("0.139471, 0.147471, 0.158444, 0.165705, 0.174701, 0.179811, 0.184761, 0.194661, 0.202995, 0.229746, 0.238431, 0.256566, 0.271822, 0.281258, 0.305989, 0.313681, 0.368002, 0.395397, 0.425885, 0.449692");
+            values ( \
+              "0.0377394, 0.0394012, 0.0557017, 0.0619821, 0.065853, 0.0666686, 0.0668042, 0.0655613, 0.0633965, 0.0537555, 0.0493572, 0.0365907, 0.0275242, 0.022667, 0.0127865, 0.0114322, 0.00450375, 0.0023582, 0.00101006, 0.000766048" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173153");
+            index_3 ("0.145517, 0.180737, 0.191654, 0.201436, 0.225925, 0.273533, 0.307412, 0.344039, 0.370695, 0.434822, 0.47729, 0.530149, 0.564526, 0.593975, 0.620525, 0.684172, 0.765296, 0.879751");
+            values ( \
+              "0.0762455, 0.100981, 0.103645, 0.10418, 0.101952, 0.0950529, 0.0889465, 0.0811743, 0.0737989, 0.0510704, 0.0378395, 0.0246424, 0.0180681, 0.0140318, 0.0114903, 0.00693307, 0.0033006, 0.00105824" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0645419");
+            index_3 ("0.15434, 0.15436, 0.342225, 0.384723, 0.546201, 0.714867, 0.778571, 0.902347, 1.11038, 1.23071, 1.40757, 1.51077, 1.60539, 1.72812, 1.86895, 1.96158, 2.14236, 2.49323, 2.55433");
+            values ( \
+              "1e-22, 0.127536, 0.11988, 0.117559, 0.107871, 0.0964277, 0.0914504, 0.0787791, 0.0548584, 0.0423108, 0.0275455, 0.0209, 0.0161655, 0.011634, 0.00790785, 0.00609065, 0.00360706, 0.00123786, 0.0011237" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.240577");
+            index_3 ("0.184741, 0.184761, 0.718724, 1.76777, 2.34653, 2.68066, 3.88333, 4.30583, 4.85344, 5.24291, 5.88817, 6.32161, 6.85819, 7.29496, 8.48184, 8.68454");
+            values ( \
+              "1e-22, 0.149436, 0.126036, 0.1077, 0.0956363, 0.086493, 0.0480992, 0.0366748, 0.024963, 0.0186382, 0.0113314, 0.00803381, 0.0052076, 0.00362671, 0.0013262, 0.00121035" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.896737");
+            index_3 ("0.267189, 0.267209, 2.49623, 5.95132, 6.81804, 7.90432, 9.26185, 10.2579, 13.0733, 14.1069, 15.1931, 15.9292, 16.8427, 17.7829, 18.8692, 20.2952, 21.3549, 23.3148, 25.869, 28.0416, 30.2141, 32.3867, 36.7318");
+            values ( \
+              "1e-22, 0.150238, 0.125896, 0.109431, 0.105035, 0.098681, 0.0889581, 0.0806896, 0.0556578, 0.0470899, 0.0390786, 0.0341138, 0.0287603, 0.0238506, 0.0191873, 0.0142642, 0.0113255, 0.00738855, 0.00418164, 0.00255255, 0.00154757, 0.000931329, 0.000325335" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.166579, 0.174336, 0.183518, 0.189007, 0.193926, 0.199512, 0.202811, 0.208598, 0.210605, 0.214486, 0.218586, 0.225539, 0.230999, 0.236765, 0.238985, 0.250197, 0.256138, 0.266958, 0.27188, 0.275143, 0.28167, 0.301103, 0.314529, 0.324413, 0.334935, 0.355603, 0.379702, 0.406664");
+            values ( \
+              "0.000207118, 0.0113678, 0.019464, 0.0234947, 0.0262006, 0.0280377, 0.0286744, 0.0289247, 0.0288491, 0.0282044, 0.027143, 0.0246274, 0.0234303, 0.0218072, 0.0198768, 0.0134726, 0.0108272, 0.00690653, 0.00686254, 0.00707658, 0.0065302, 0.00339564, 0.0019437, 0.00131197, 0.000798908, 0.000343554, 8.35874e-05, 5.535e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00464535");
+            index_3 ("0.175097, 0.183008, 0.19407, 0.201333, 0.210311, 0.215405, 0.220386, 0.230349, 0.238496, 0.265416, 0.274122, 0.292031, 0.307763, 0.31709, 0.341344, 0.349009, 0.403667, 0.430843, 0.461486, 0.486086");
+            values ( \
+              "0.037891, 0.0392402, 0.0557039, 0.0619831, 0.0658504, 0.0666651, 0.0668054, 0.0655482, 0.0634355, 0.0537411, 0.0493122, 0.0366977, 0.027353, 0.0225712, 0.01286, 0.0114687, 0.00450042, 0.00236854, 0.00101023, 0.000758065" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173153");
+            index_3 ("0.179997, 0.208542, 0.216644, 0.226937, 0.237034, 0.261829, 0.298238, 0.343315, 0.379941, 0.406639, 0.470713, 0.516421, 0.575131, 0.628322, 0.659381, 0.729027, 0.79947, 0.887984");
+            values ( \
+              "0.0680076, 0.0964681, 0.100975, 0.103609, 0.104146, 0.10191, 0.096824, 0.0889032, 0.0811312, 0.0738287, 0.0510309, 0.0368727, 0.0228203, 0.0141323, 0.0111697, 0.00636161, 0.00341479, 0.00163323" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0645419");
+            index_3 ("0.188467, 0.250597, 0.279631, 0.311984, 0.348706, 0.418733, 0.581709, 0.750373, 0.814079, 0.937855, 1.12485, 1.26621, 1.36567, 1.44308, 1.54628, 1.62044, 1.68181, 1.76363, 1.90446, 2.05735, 2.17786, 2.33708, 2.52874, 2.76357, 3.09003");
+            values ( \
+              "0.12317, 0.123967, 0.123644, 0.122794, 0.121271, 0.117615, 0.107841, 0.0964585, 0.0914197, 0.0788098, 0.0572254, 0.0423423, 0.0334183, 0.0275138, 0.0209317, 0.0170395, 0.0144584, 0.0116661, 0.00787574, 0.00511892, 0.0036398, 0.00222862, 0.00127055, 0.000578471, 0.000222693" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.240577");
+            index_3 ("0.217538, 0.217558, 0.753096, 1.80326, 2.382, 2.71616, 3.91885, 4.3414, 4.8887, 5.27833, 5.92372, 6.35713, 6.8936, 7.33083, 8.51912, 8.73288");
+            values ( \
+              "1e-22, 0.148114, 0.126026, 0.1077, 0.0956365, 0.0864926, 0.0480979, 0.0366726, 0.024967, 0.0186391, 0.0113307, 0.00803362, 0.00520798, 0.00362544, 0.00132402, 0.00120208" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.896737");
+            index_3 ("0.300364, 0.300384, 2.5177, 6.00529, 6.85362, 7.9399, 9.2974, 10.2935, 13.1088, 14.1424, 15.2287, 15.9648, 16.8782, 17.8184, 18.9047, 20.3308, 21.3905, 22.4768, 23.9794, 25.9046, 28.0771, 30.2497, 32.4222, 36.7674");
+            values ( \
+              "1e-22, 0.150053, 0.125938, 0.109365, 0.10501, 0.098706, 0.0889832, 0.0806646, 0.0556328, 0.0471149, 0.0390536, 0.0341388, 0.0287354, 0.0238756, 0.0191623, 0.0142393, 0.0113504, 0.00898584, 0.00645684, 0.00420655, 0.00257745, 0.00157247, 0.000956224, 0.000350217" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.311167, 0.32123, 0.330712, 0.340122, 0.347441, 0.35296, 0.356028, 0.362164, 0.372784, 0.380308, 0.392902, 0.411416, 0.415328, 0.419692, 0.425931, 0.453717, 0.473017, 0.492857");
+            values ( \
+              "0.000128293, 0.0127012, 0.0208524, 0.026495, 0.028543, 0.0289445, 0.0288062, 0.0276557, 0.0241392, 0.0226013, 0.0148024, 0.00721894, 0.00673419, 0.00708225, 0.00671443, 0.00250601, 0.00109257, 0.000617067" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00464535");
+            index_3 ("0.316126, 0.324349, 0.339377, 0.346678, 0.351862, 0.355581, 0.36054, 0.365734, 0.376123, 0.383015, 0.411371, 0.420473, 0.441879, 0.451505, 0.474263, 0.488203, 0.496005, 0.535772, 0.552834, 0.577688, 0.606599, 0.648918, 0.701086");
+            values ( \
+              "0.00904162, 0.0316651, 0.055485, 0.061903, 0.0645282, 0.0657353, 0.0666202, 0.0667414, 0.0654701, 0.0637122, 0.0535628, 0.0485935, 0.0338473, 0.028212, 0.0175611, 0.0125185, 0.01123, 0.00605278, 0.0041176, 0.0022342, 0.0010707, 0.00029853, 8.00885e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173153");
+            index_3 ("0.325535, 0.338728, 0.346288, 0.356682, 0.361806, 0.372054, 0.382186, 0.392001, 0.40701, 0.44382, 0.470873, 0.488491, 0.525117, 0.551668, 0.615928, 0.658105, 0.692654, 0.711336, 0.745775, 0.775128, 0.801456, 0.830614, 0.864987, 0.912511, 0.947056, 0.997825, 1.06408, 1.14811, 1.27216");
+            values ( \
+              "0.072721, 0.0780248, 0.0893525, 0.0983523, 0.100868, 0.103538, 0.104119, 0.103623, 0.101909, 0.0967582, 0.0921944, 0.0889136, 0.0811404, 0.0738671, 0.051028, 0.0378827, 0.0288232, 0.0246501, 0.0180692, 0.0139943, 0.0114736, 0.00924136, 0.00691889, 0.00457351, 0.00330924, 0.00202652, 0.00102885, 0.000430796, 9.53997e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0645419");
+            index_3 ("0.334116, 0.396175, 0.424971, 0.458087, 0.494807, 0.561727, 0.727228, 0.89588, 0.959606, 1.08338, 1.29141, 1.41174, 1.5112, 1.58861, 1.69181, 1.76597, 1.82733, 1.90915, 2.04998, 2.20288, 2.3234, 2.48185, 2.67232, 2.90565, 3.22954");
+            values ( \
+              "0.123644, 0.123965, 0.12366, 0.122786, 0.121256, 0.117766, 0.107849, 0.0964527, 0.0914269, 0.0788028, 0.0548831, 0.0423358, 0.0334252, 0.0275204, 0.0209246, 0.0170461, 0.0144651, 0.0116596, 0.00788246, 0.00512548, 0.00363304, 0.00224051, 0.0012718, 0.000592004, 0.000220553" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.240577");
+            index_3 ("0.362998, 0.363018, 0.898831, 1.94881, 2.52755, 2.86171, 4.0644, 4.48695, 5.03426, 5.42388, 6.06927, 6.50267, 7.03915, 7.47398, 8.65496, 8.87625");
+            values ( \
+              "1e-22, 0.148055, 0.126031, 0.1077, 0.0956365, 0.0864927, 0.0480979, 0.0366727, 0.0249669, 0.0186391, 0.0113307, 0.00803365, 0.005208, 0.00363282, 0.00133542, 0.00120787" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.896737");
+            index_3 ("0.446915, 0.446935, 2.19374, 5.51627, 6.60255, 7.76271, 8.61065, 9.43546, 10.4404, 13.2566, 14.2919, 15.3782, 17.0223, 17.9635, 19.0498, 20.4813, 21.5397, 22.626, 24.1254, 26.0483, 28.2208, 30.3934, 33.6522, 36.9111");
+            values ( \
+              "1e-22, 0.153614, 0.128189, 0.112472, 0.107099, 0.10071, 0.0952248, 0.0890653, 0.0806535, 0.0556138, 0.047106, 0.0390239, 0.0287399, 0.0238957, 0.0191581, 0.0142171, 0.0113561, 0.00896997, 0.0064695, 0.00422083, 0.00259056, 0.00158484, 0.00074673, 0.000361651" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.82318, 0.828721, 0.841699, 0.851869, 0.85968, 0.864471, 0.868358, 0.87613, 0.885367, 0.892339, 0.906252, 0.911912, 0.92204, 0.928044, 0.93252, 0.937698, 0.962586, 0.974217, 0.981026, 0.994645, 1.01714");
+            values ( \
+              "0.00720511, 0.00900817, 0.0187942, 0.025078, 0.0275706, 0.0282086, 0.0281775, 0.0268568, 0.0240475, 0.0226522, 0.0144292, 0.0117779, 0.00802145, 0.00652674, 0.00721507, 0.00683977, 0.00294747, 0.00181019, 0.00140167, 0.000736093, 0.000296009" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00464535");
+            index_3 ("0.823016, 0.833952, 0.850806, 0.85862, 0.864759, 0.87027, 0.877997, 0.881693, 0.889086, 0.894128, 0.904143, 0.918602, 0.930513, 0.953485, 0.969401, 0.993214, 0.998091, 1.00509, 1.05663, 1.0669, 1.08744, 1.11087, 1.1441, 1.19187");
+            values ( \
+              "0.00436246, 0.0262466, 0.0521017, 0.0596426, 0.063195, 0.0650109, 0.0658872, 0.0657716, 0.0648141, 0.0636833, 0.0605049, 0.0552061, 0.0503164, 0.0343064, 0.0253574, 0.0149294, 0.0131892, 0.011677, 0.00512582, 0.00405418, 0.00245002, 0.00134251, 0.000543918, 0.000133211" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173153");
+            index_3 ("0.83733, 0.855707, 0.864994, 0.872179, 0.877198, 0.883891, 0.89425, 0.904286, 0.919369, 0.939502, 0.961183, 1.00076, 1.03746, 1.06368, 1.14044, 1.1933, 1.21416, 1.23797, 1.26972, 1.29018, 1.30656, 1.3631, 1.398, 1.44512, 1.49321, 1.55353, 1.62929, 1.73589");
+            values ( \
+              "0.0742429, 0.0826984, 0.0934633, 0.0984406, 0.100644, 0.102468, 0.10349, 0.103246, 0.101707, 0.0991795, 0.0959873, 0.0889803, 0.0812475, 0.0737011, 0.0471234, 0.0316711, 0.026711, 0.0217669, 0.0162489, 0.013758, 0.0121503, 0.00781645, 0.00580461, 0.0037807, 0.00239477, 0.00129853, 0.000602109, 0.000175381" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0645419");
+            index_3 ("0.847028, 0.847048, 1.01223, 1.03585, 1.2182, 1.35126, 1.47237, 1.54764, 1.82265, 1.9796, 2.12091, 2.21739, 2.33326, 2.53262, 2.62362, 2.76014, 2.89286, 3.06128, 3.25951, 3.28506");
+            values ( \
+              "1e-22, 0.125983, 0.121035, 0.119856, 0.109174, 0.100603, 0.0914176, 0.0840789, 0.0528249, 0.037193, 0.0261477, 0.0201982, 0.0147306, 0.00857188, 0.00665396, 0.00450223, 0.00308162, 0.0018233, 0.0010201, 0.000984406" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.240577");
+            index_3 ("0.879535, 0.879555, 1.3942, 2.25317, 2.63604, 2.91517, 3.09108, 3.4429, 4.30608, 4.88675, 5.15766, 5.45283, 5.8464, 6.13012, 6.59654, 7.02113, 7.54505, 7.97933, 8.52895, 9.15536, 9.65027");
+            values ( \
+              "1e-22, 0.150186, 0.126338, 0.111461, 0.104411, 0.098599, 0.0943903, 0.0844292, 0.056383, 0.0395345, 0.0329455, 0.0267501, 0.0199756, 0.0160852, 0.0112069, 0.00801231, 0.00524974, 0.00365334, 0.002318, 0.00134514, 0.00105555" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.896737");
+            index_3 ("0.959994, 0.960014, 3.17035, 6.68502, 7.51161, 8.59789, 9.95536, 10.9515, 13.7668, 14.8004, 15.8866, 17.5362, 18.4764, 19.5627, 20.9887, 22.0484, 23.1347, 24.6374, 26.5625, 28.7351, 30.9076, 34.1665, 37.4253");
+            values ( \
+              "1e-22, 0.150298, 0.125958, 0.109261, 0.105005, 0.0987103, 0.0889877, 0.0806601, 0.0556284, 0.0471193, 0.0390493, 0.028731, 0.0238799, 0.019158, 0.0142349, 0.0113548, 0.00898151, 0.00646118, 0.00421089, 0.00258179, 0.00157681, 0.000753033, 0.000354563" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.6295, 2.64097, 2.64786, 2.65039, 2.65544, 2.67351, 2.68211, 2.68372, 2.68693, 2.69277, 2.70164, 2.70718, 2.71797, 2.73262, 2.73497, 2.74176, 2.74813, 2.75262, 2.75711, 2.76437, 2.76901, 2.77452, 2.78496, 2.7938, 2.79847, 2.80004, 2.80319, 2.82, 2.84079, 2.86522, 2.89276");
+            values ( \
+              "0.00259611, 0.005233, 0.00798083, 0.00931836, 0.0114134, 0.0200412, 0.0233148, 0.0238711, 0.0246355, 0.0255346, 0.0249873, 0.0238192, 0.0221777, 0.0140542, 0.0129903, 0.0102067, 0.00800882, 0.00675922, 0.00728872, 0.00680643, 0.0061234, 0.00511179, 0.00342725, 0.00244762, 0.00194559, 0.00189614, 0.00159108, 0.000756901, 0.000340597, 6.4499e-05, 6.26571e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00464535");
+            index_3 ("2.63742, 2.64788, 2.65932, 2.67933, 2.68861, 2.69748, 2.70266, 2.71301, 2.71609, 2.72227, 2.72979, 2.74448, 2.74918, 2.75813, 2.77575, 2.78608, 2.80114, 2.82028, 2.82486, 2.83238, 2.85278, 2.8744, 2.88715, 2.89933, 2.91557, 2.94632, 2.9887, 3.04181");
+            values ( \
+              "0.0155179, 0.0164867, 0.0276004, 0.0487744, 0.0562471, 0.0605885, 0.0619113, 0.0625942, 0.0623348, 0.0613198, 0.0593339, 0.0544804, 0.0533886, 0.0489016, 0.0367701, 0.0304171, 0.0224999, 0.0145219, 0.0129783, 0.0115395, 0.00903314, 0.00605048, 0.00454684, 0.00339742, 0.00229019, 0.000998658, 0.000313031, 4.58931e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173153");
+            index_3 ("2.65106, 2.66951, 2.68522, 2.69753, 2.70773, 2.71908, 2.72924, 2.74415, 2.75668, 2.77654, 2.80161, 2.82617, 2.8628, 2.88867, 2.95376, 2.99784, 3.0306, 3.04836, 3.08244, 3.11234, 3.13997, 3.20301, 3.24963, 3.28342, 3.33382, 3.39913, 3.48264, 3.60379");
+            values ( \
+              "0.0514786, 0.0555858, 0.0796216, 0.0919523, 0.0976688, 0.100656, 0.10138, 0.1008, 0.0997499, 0.0973812, 0.0934226, 0.088941, 0.0812698, 0.0739775, 0.051043, 0.0372957, 0.0287518, 0.0247856, 0.0182432, 0.0140323, 0.0113919, 0.00689319, 0.00459868, 0.0033485, 0.00206295, 0.00105523, 0.000448051, 0.000101302" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0645419");
+            index_3 ("2.67057, 2.7367, 2.76208, 2.77375, 2.80096, 2.83989, 2.88746, 2.97807, 3.17673, 3.29758, 3.42124, 3.6292, 3.74935, 3.84905, 3.92686, 4.0306, 4.10415, 4.16473, 4.24862, 4.37706, 4.48171, 4.64961, 4.80441, 4.98846, 5.21298, 5.51751");
+            values ( \
+              "0.120783, 0.122062, 0.123222, 0.123245, 0.122578, 0.120942, 0.118465, 0.113166, 0.100616, 0.0914203, 0.0788238, 0.054909, 0.0423753, 0.033433, 0.0274986, 0.0208813, 0.017034, 0.0144858, 0.0116559, 0.00821757, 0.00614342, 0.0037886, 0.00238498, 0.00136464, 0.00067307, 0.000252437" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.240577");
+            index_3 ("2.69587, 2.69589, 3.23486, 4.32191, 4.57345, 4.91606, 5.27423, 6.3244, 6.71118, 7.27847, 7.83586, 8.42044, 8.84556, 9.37116, 9.80423, 10.9764, 11.1422");
+            values ( \
+              "1e-22, 0.14535, 0.126051, 0.107062, 0.102171, 0.0943925, 0.0842336, 0.0504315, 0.0395513, 0.0267393, 0.0176153, 0.0112173, 0.00801515, 0.00524422, 0.00365443, 0.00135062, 0.00125305" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.896737");
+            index_3 ("2.78439, 2.78441, 4.78486, 8.47105, 9.33761, 10.4239, 11.7814, 12.7775, 15.5928, 16.6264, 17.7127, 19.3622, 20.3025, 21.3887, 22.8148, 23.8745, 24.9608, 26.4634, 28.3886, 30.5611, 32.7337, 35.9925, 39.2514");
+            values ( \
+              "1e-22, 0.151529, 0.126966, 0.10946, 0.105006, 0.0987103, 0.0889874, 0.0806604, 0.0556286, 0.0471191, 0.0390494, 0.0287311, 0.0238798, 0.0191581, 0.014235, 0.0113547, 0.0089816, 0.0064611, 0.00421081, 0.00258171, 0.00157673, 0.000753114, 0.000354481" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.52121, 9.54537, 9.55514, 9.56729, 9.58705, 9.60704, 9.6201, 9.63217, 9.64277, 9.64628, 9.65076, 9.65548, 9.67352, 9.68609, 9.69062, 9.69571, 9.70308, 9.71612, 9.72577, 9.73656, 9.75426, 9.77499, 9.78317");
+            values ( \
+              "0.00198432, 0.00343052, 0.00525923, 0.00804567, 0.0122147, 0.0161327, 0.0182283, 0.0189582, 0.0191762, 0.0200676, 0.0195305, 0.0180618, 0.0109728, 0.0072902, 0.00784962, 0.00746082, 0.00658464, 0.00415224, 0.00283982, 0.00182109, 0.000850833, 0.000360757, 0.000270817" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00464535");
+            index_3 ("9.52117, 9.54774, 9.55226, 9.5613, 9.57778, 9.62625, 9.63181, 9.64073, 9.64825, 9.66329, 9.67219, 9.67963, 9.69116, 9.69642, 9.7052, 9.71576, 9.72896, 9.73745, 9.75281, 9.75944, 9.76572, 9.78836, 9.81371, 9.82704, 9.84477, 9.86739, 9.9057, 9.95352");
+            values ( \
+              "0.00404364, 0.00855508, 0.0101366, 0.0139261, 0.0218061, 0.0457121, 0.0481423, 0.0513703, 0.0531573, 0.0538981, 0.0531714, 0.0519936, 0.0495841, 0.0456986, 0.0408723, 0.0337572, 0.0265452, 0.0223207, 0.0157973, 0.0134596, 0.0120346, 0.00916586, 0.00558579, 0.00411042, 0.0026653, 0.00152586, 0.00049535, 0.000141829" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173153");
+            index_3 ("9.54743, 9.57716, 9.64977, 9.6609, 9.67754, 9.69369, 9.71128, 9.726, 9.75995, 9.79659, 9.82277, 9.90858, 9.96061, 10.0025, 10.0302, 10.0501, 10.0831, 10.1442, 10.2249, 10.3417, 10.354");
+            values ( \
+              "0.0274435, 0.0313345, 0.0875172, 0.0919808, 0.09579, 0.0973541, 0.0965595, 0.094672, 0.0888179, 0.0810936, 0.0740091, 0.0442653, 0.0296794, 0.0207566, 0.016033, 0.013715, 0.0106548, 0.0065029, 0.00312033, 0.00097542, 0.000924623" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0645419");
+            index_3 ("9.57537, 9.61947, 9.64815, 9.66622, 9.68175, 9.69454, 9.7078, 9.72011, 9.73753, 9.75727, 9.79457, 9.93822, 10.1101, 10.1673, 10.2309, 10.3547, 10.5417, 10.6048, 10.6831, 10.7825, 10.8599, 10.9631, 11.0373, 11.0986, 11.1805, 11.3213, 11.3838, 11.4741, 11.5946, 11.7517, 11.9404, 12.1709, 12.4905");
+            values ( \
+              "0.0703463, 0.0760754, 0.10291, 0.113027, 0.118201, 0.120957, 0.122244, 0.122536, 0.122227, 0.121529, 0.119797, 0.111518, 0.100606, 0.0964545, 0.0914158, 0.0788121, 0.0572261, 0.0502975, 0.0423429, 0.033419, 0.0275156, 0.0209347, 0.0170407, 0.0144592, 0.0116655, 0.00787659, 0.00661936, 0.00512104, 0.00363951, 0.00224571, 0.00128994, 0.000599096, 0.000233542" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.240577");
+            index_3 ("9.61909, 9.61911, 10.236, 11.1998, 11.4136, 11.8222, 12.2237, 13.2724, 13.6783, 14.1772, 14.5239, 14.7508, 15.1385, 15.5815, 15.9421, 16.4886, 17.0575, 17.659, 18.4609, 19.5472");
+            values ( \
+              "1e-22, 0.139702, 0.124872, 0.108093, 0.104034, 0.0950838, 0.0837371, 0.0499983, 0.0386882, 0.027418, 0.0212425, 0.0178731, 0.0132966, 0.00936095, 0.00701893, 0.00449624, 0.00284909, 0.00172412, 0.00085938, 0.000321118" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.896737");
+            index_3 ("9.72358, 9.7236, 11.6405, 15.4046, 16.6653, 17.5652, 18.5196, 19.6059, 22.0043, 24.005, 25.0912, 26.3834, 28.3528, 29.6869, 31.8545, 33.4131, 35.3763, 37.5488, 39.7214, 41.2885");
+            values ( \
+              "1e-22, 0.152956, 0.127349, 0.109471, 0.102849, 0.0973769, 0.0905269, 0.0815805, 0.0601578, 0.0436998, 0.0360296, 0.0282431, 0.019035, 0.0144191, 0.00905807, 0.00643829, 0.00416024, 0.0025507, 0.00155797, 0.00126771" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195952", \
+            "0.00235269", \
+            "0.00254796", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253647", \
+            "0.0025603", \
+            "0.00289394", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.00180532, 0.00180532, 0.00180533, 0.00180533, 0.00180533, 0.00180533", \
+            "0.00207553, 0.00207553, 0.00207553, 0.00207553, 0.00207553, 0.00207553", \
+            "0.00218142, 0.00218142, 0.00218142, 0.00218142, 0.00218142, 0.00218142", \
+            "0.002233, 0.002233, 0.002233, 0.002233, 0.002233, 0.002233", \
+            "0.00225805, 0.00225805, 0.00225805, 0.00225805, 0.00225805, 0.00225805", \
+            "0.00227153, 0.00227153, 0.00227153, 0.00227153, 0.00227153, 0.00227153" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.00230296, 0.00230296, 0.00230296, 0.00230296, 0.00230296, 0.00230296", \
+            "0.00242895, 0.00242894, 0.00242894, 0.00242893, 0.00242893, 0.00242893", \
+            "0.00265935, 0.00265935, 0.00265934, 0.00265933, 0.00265933, 0.00265933", \
+            "0.00340785, 0.00340709, 0.00340657, 0.0034064, 0.00340637, 0.00340636", \
+            "0.00370157, 0.00370123, 0.00370125, 0.00370173, 0.00370081, 0.00370089", \
+            "0.00368487, 0.00368356, 0.00368613, 0.003686, 0.00368599, 0.00368555" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "~CK";
+        timing_sense : negative_unate;
+        timing_type : preset;
+        when : "!CK";
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.181847, 0.209822, 0.305985, 0.656036, 1.95893, 6.81479", \
+            "0.193098, 0.221083, 0.317241, 0.667302, 1.97016, 6.82605", \
+            "0.241617, 0.26958, 0.365734, 0.715822, 2.01871, 6.87458", \
+            "0.37196, 0.399928, 0.495995, 0.846267, 2.14917, 7.00505", \
+            "0.653484, 0.682137, 0.777993, 1.12818, 2.43137, 7.28737", \
+            "1.3684, 1.40135, 1.49713, 1.84653, 3.14955, 8.00549" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.0563851, 0.090412, 0.226532, 0.746129, 2.68902, 9.93007", \
+            "0.0564002, 0.0904122, 0.22653, 0.746089, 2.68899, 9.93007", \
+            "0.056437, 0.0904246, 0.226533, 0.746151, 2.68902, 9.9299", \
+            "0.0576286, 0.0910288, 0.22655, 0.746279, 2.68902, 9.9299", \
+            "0.0640932, 0.094529, 0.227003, 0.746132, 2.68887, 9.92996", \
+            "0.0851354, 0.108725, 0.229237, 0.746338, 2.68875, 9.93069" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.133948, 0.155661, 0.160809, 0.165373, 0.171744, 0.173768, 0.177815, 0.181786, 0.189727, 0.197675, 0.201257, 0.206032, 0.213816, 0.223293, 0.235413, 0.241559, 0.246095, 0.247831, 0.250145, 0.258209, 0.272238, 0.281653, 0.290334, 0.296216, 0.307981, 0.329009");
+            values ( \
+              "0.000340329, 0.0203363, 0.023408, 0.0256009, 0.0273516, 0.0277314, 0.0280807, 0.0280856, 0.0266673, 0.0242461, 0.0236538, 0.0225358, 0.0177962, 0.0127404, 0.00811612, 0.00649745, 0.00725201, 0.0069819, 0.00690262, 0.00576924, 0.00346813, 0.00235533, 0.00163648, 0.00128689, 0.000762824, 0.000315258" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00464535");
+            index_3 ("0.138868, 0.149119, 0.160121, 0.170831, 0.175131, 0.178736, 0.185437, 0.19165, 0.204497, 0.208202, 0.217821, 0.237879, 0.247589, 0.267793, 0.277888, 0.287513, 0.303547, 0.313606, 0.321579, 0.361864, 0.378704, 0.39998, 0.427838, 0.469606, 0.521611");
+            values ( \
+              "0.00189492, 0.0307571, 0.0485352, 0.0590523, 0.0615724, 0.0632858, 0.0651012, 0.0657497, 0.0645133, 0.0637045, 0.0607, 0.0535426, 0.048014, 0.0339992, 0.028078, 0.0230704, 0.0161802, 0.0126351, 0.0112852, 0.00604224, 0.00413679, 0.00246782, 0.00117744, 0.000371844, 6.26948e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173153");
+            index_3 ("0.15026, 0.16365, 0.175231, 0.18745, 0.19887, 0.2122, 0.217928, 0.229385, 0.255036, 0.276186, 0.314021, 0.35057, 0.375841, 0.441637, 0.483915, 0.517889, 0.536746, 0.571204, 0.600522, 0.626781, 0.691428, 0.72029, 0.77, 0.822632, 0.890357, 0.9777, 1.10479");
+            values ( \
+              "0.07242, 0.0753571, 0.0904183, 0.099002, 0.102688, 0.103762, 0.103632, 0.102598, 0.0993746, 0.0961908, 0.0892486, 0.081408, 0.0741888, 0.0510258, 0.0377884, 0.0288283, 0.0246226, 0.0180393, 0.0140113, 0.0114975, 0.00687678, 0.00533354, 0.00336425, 0.00205826, 0.000995047, 0.000431307, 6.36227e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0645419");
+            index_3 ("0.158703, 0.222442, 0.238302, 0.283149, 0.379573, 0.587774, 0.664189, 0.742915, 0.785111, 0.869504, 1.14821, 1.21901, 1.33181, 1.42958, 1.49923, 1.61475, 1.66507, 1.75597, 1.88365, 2.04866, 2.17426, 2.33954, 2.52945, 2.78426, 3.14011");
+            values ( \
+              "0.118252, 0.124087, 0.124354, 0.123383, 0.118285, 0.10564, 0.100608, 0.0948018, 0.0914317, 0.083059, 0.0514291, 0.044115, 0.0337939, 0.0264283, 0.0219728, 0.0160586, 0.0140024, 0.0110009, 0.00771587, 0.00482807, 0.00337032, 0.00202719, 0.00115583, 0.000493851, 0.000167525" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.240577");
+            index_3 ("0.18857, 0.18859, 0.723567, 1.77418, 2.35288, 2.68708, 3.88984, 4.31249, 4.85913, 5.2491, 5.89476, 6.3281, 6.86434, 7.31299, 8.53601, 8.69546");
+            values ( \
+              "1e-22, 0.148186, 0.126035, 0.1077, 0.0956373, 0.0864922, 0.0480952, 0.036668, 0.0249754, 0.018641, 0.0113292, 0.00803325, 0.0052088, 0.00359078, 0.00127093, 0.00118438" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.896737");
+            index_3 ("0.276776, 0.276796, 2.48044, 6.009, 6.82444, 7.91223, 9.26822, 10.2643, 13.0766, 14.1041, 15.1919, 15.9338, 16.8579, 17.6381, 18.7259, 19.9283, 21.5603, 22.648, 23.5449, 24.6327, 25.7205, 27.8961, 31.1595, 33.335, 37.6862");
+            values ( \
+              "1e-22, 0.150802, 0.125981, 0.109197, 0.105014, 0.0986919, 0.0889787, 0.0806688, 0.0556625, 0.0471823, 0.0391103, 0.034146, 0.0286898, 0.0246045, 0.0197664, 0.015414, 0.0109003, 0.00859196, 0.00707947, 0.00554729, 0.00437113, 0.00268377, 0.00126471, 0.000766069, 0.000276898" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.169979, 0.18842, 0.191296, 0.196433, 0.201004, 0.207342, 0.209398, 0.213473, 0.217415, 0.225301, 0.234493, 0.241685, 0.249458, 0.255552, 0.257953, 0.264946, 0.271103, 0.277222, 0.281752, 0.283447, 0.285707, 0.293679, 0.303521, 0.308981, 0.318707, 0.329697, 0.33848, 0.356046, 0.380545, 0.407491");
+            values ( \
+              "0.000292527, 0.0180657, 0.0203405, 0.0234164, 0.0255993, 0.0273527, 0.0277275, 0.0280882, 0.0280813, 0.0266877, 0.023937, 0.0226192, 0.0178373, 0.0143353, 0.013208, 0.0102594, 0.00807133, 0.00652771, 0.00722643, 0.00695586, 0.00693861, 0.00582737, 0.00407229, 0.00334942, 0.00219008, 0.00138204, 0.00098418, 0.000419825, 0.000158666, 1.97659e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00464535");
+            index_3 ("0.175385, 0.184731, 0.196742, 0.206471, 0.210753, 0.214343, 0.221042, 0.227256, 0.240103, 0.243835, 0.273704, 0.317053, 0.332175, 0.349151, 0.357493, 0.397455, 0.414306, 0.435588, 0.46338, 0.505008, 0.557018");
+            values ( \
+              "0.00497605, 0.0308289, 0.0498034, 0.0590542, 0.061614, 0.0632666, 0.0651255, 0.0657313, 0.0645269, 0.0636975, 0.0534489, 0.0261471, 0.0190199, 0.0126372, 0.0112202, 0.00602509, 0.00411667, 0.00244641, 0.00120089, 0.000352897, 8.47038e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173153");
+            index_3 ("0.186226, 0.199371, 0.211277, 0.216837, 0.22313, 0.235277, 0.248415, 0.253602, 0.263975, 0.27978, 0.315135, 0.3497, 0.38623, 0.414551, 0.475933, 0.528222, 0.556375, 0.576926, 0.601043, 0.633649, 0.6673, 0.736299, 0.765406, 0.802837, 0.85382, 0.916315, 0.999235, 1.11674");
+            values ( \
+              "0.075418, 0.075507, 0.0907839, 0.0953984, 0.0989972, 0.102833, 0.103763, 0.103634, 0.102713, 0.100845, 0.095654, 0.0892551, 0.0812946, 0.0733186, 0.0513938, 0.0353354, 0.0282136, 0.0237151, 0.0191172, 0.0142033, 0.0109937, 0.00627443, 0.00485447, 0.00353345, 0.00206324, 0.00118363, 0.000418811, 0.000175902" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0645419");
+            index_3 ("0.19488, 0.242649, 0.258767, 0.274293, 0.289509, 0.304042, 0.332108, 0.431117, 0.620655, 0.699864, 0.787914, 0.820757, 0.883427, 0.958001, 1.19073, 1.25878, 1.3691, 1.46012, 1.53131, 1.62384, 1.65034, 1.70334, 1.7933, 1.92025, 2.08253, 2.2085, 2.37261, 2.56298, 2.81552, 3.17219");
+            values ( \
+              "0.121065, 0.122256, 0.124175, 0.124274, 0.124179, 0.123849, 0.122816, 0.117357, 0.105812, 0.100592, 0.0940996, 0.0913944, 0.08535, 0.0772488, 0.0507073, 0.0436863, 0.0336765, 0.0267762, 0.0221891, 0.0171996, 0.0160614, 0.0138995, 0.0109524, 0.00769267, 0.00485117, 0.00338645, 0.00204167, 0.00116516, 0.000499625, 0.000170963" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.240577");
+            index_3 ("0.224955, 0.224975, 0.84862, 1.79372, 2.41145, 2.75449, 4.0162, 4.60315, 4.97156, 5.30783, 5.90339, 6.34894, 7.14345, 7.69558, 8.33236, 8.63239");
+            values ( \
+              "1e-22, 0.146622, 0.124491, 0.108009, 0.0950844, 0.0855281, 0.045483, 0.0307968, 0.0236241, 0.0183098, 0.0115986, 0.00811289, 0.00428853, 0.00267408, 0.00158353, 0.00137456" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.896737");
+            index_3 ("0.312848, 0.312868, 2.51644, 6.04318, 6.86008, 7.94787, 9.30386, 10.2999, 13.1122, 14.1398, 15.2276, 16.1014, 16.8935, 17.6786, 18.7664, 19.9586, 21.5906, 22.6784, 23.5803, 25.7559, 27.9314, 30.107, 33.3704, 37.7215");
+            values ( \
+              "1e-22, 0.150867, 0.125966, 0.109218, 0.105, 0.0987054, 0.0889922, 0.0806553, 0.0556491, 0.0471957, 0.0390969, 0.0333276, 0.0286765, 0.024594, 0.0197334, 0.0154183, 0.0108994, 0.00861492, 0.00706663, 0.00435818, 0.00267075, 0.00162992, 0.000779227, 0.000290008" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.319454, 0.32575, 0.329175, 0.334521, 0.342233, 0.347022, 0.353327, 0.355432, 0.359513, 0.36346, 0.371661, 0.380545, 0.387691, 0.395509, 0.404018, 0.411161, 0.41727, 0.423303, 0.427791, 0.429538, 0.431868, 0.440012, 0.449042, 0.461986, 0.468993, 0.479688, 0.493949, 0.518026, 0.543836");
+            values ( \
+              "0.00355775, 0.010708, 0.0136912, 0.0181516, 0.023284, 0.0254848, 0.0273328, 0.0276653, 0.028066, 0.0280563, 0.0266145, 0.0239401, 0.0226262, 0.0178293, 0.013203, 0.010196, 0.00803092, 0.00653172, 0.00723359, 0.00695918, 0.00693114, 0.00577986, 0.00416049, 0.0024675, 0.00184202, 0.0011654, 0.000657419, 0.000183669, 8.24673e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00464535");
+            index_3 ("0.319348, 0.334099, 0.342435, 0.346261, 0.356829, 0.360442, 0.367152, 0.373366, 0.38616, 0.389727, 0.418385, 0.427066, 0.446317, 0.458747, 0.467678, 0.48152, 0.490718, 0.497126, 0.556884, 0.581643, 0.609939, 0.651642, 0.703982");
+            values ( \
+              "0.00156966, 0.0365216, 0.0490734, 0.0535551, 0.0615762, 0.0631247, 0.0651434, 0.0656364, 0.0645681, 0.0637494, 0.0539887, 0.0495835, 0.0360184, 0.0285625, 0.023826, 0.0177689, 0.0140794, 0.0122084, 0.00446619, 0.00247619, 0.00116004, 0.000372595, 5.71274e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173153");
+            index_3 ("0.333015, 0.351385, 0.359087, 0.367481, 0.372744, 0.379762, 0.389901, 0.399673, 0.414725, 0.453637, 0.477008, 0.495787, 0.532341, 0.558361, 0.623204, 0.668138, 0.70028, 0.728565, 0.750627, 0.781429, 0.810204, 0.84857, 0.880977, 0.913091, 0.953312, 1.00642, 1.07253, 1.15808, 1.28757");
+            values ( \
+              "0.0756108, 0.0840035, 0.0922526, 0.09813, 0.100444, 0.102396, 0.103622, 0.103549, 0.10225, 0.096869, 0.0928343, 0.0892212, 0.0813787, 0.0739822, 0.0510598, 0.0370611, 0.028714, 0.022604, 0.0184728, 0.0140629, 0.0113151, 0.00849203, 0.00639694, 0.00482179, 0.00335472, 0.00196534, 0.00103418, 0.000392946, 0.000105657" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0645419");
+            index_3 ("0.341984, 0.412292, 0.428775, 0.449782, 0.475489, 0.511153, 0.622547, 0.758009, 0.846049, 0.967028, 1.10425, 1.27746, 1.40612, 1.51563, 1.60545, 1.67555, 1.76958, 1.85064, 1.94024, 2.06689, 2.22813, 2.35406, 2.51751, 2.70778, 2.95909, 3.31482");
+            values ( \
+              "0.123348, 0.124283, 0.124247, 0.123873, 0.122956, 0.121143, 0.114679, 0.106389, 0.100621, 0.0914487, 0.0773041, 0.0572055, 0.043558, 0.0336794, 0.0268207, 0.0223332, 0.0172033, 0.0138399, 0.0109541, 0.00766348, 0.00483953, 0.0034151, 0.00202902, 0.00119201, 0.0004828, 0.000194507" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.240577");
+            index_3 ("0.372442, 0.372462, 0.898722, 1.9561, 2.53472, 2.86904, 4.07193, 4.49479, 5.04007, 5.43074, 6.07697, 6.51016, 7.04591, 7.49453, 8.71745, 8.86087");
+            values ( \
+              "1e-22, 0.14934, 0.12619, 0.1077, 0.0956388, 0.0864903, 0.04809, 0.036658, 0.0249932, 0.0186444, 0.0113266, 0.00803199, 0.00521001, 0.00359199, 0.00127159, 0.00119371" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.896737");
+            index_3 ("0.45855, 0.45857, 2.30994, 6.60894, 7.76742, 8.61534, 9.44015, 10.4451, 13.2583, 14.2876, 15.3754, 16.2467, 17.0359, 17.8514, 18.9392, 20.6178, 21.6296, 22.7174, 23.7167, 24.8488, 27.0244, 29.2, 31.3755, 33.5511, 36.8145");
+            values ( \
+              "1e-22, 0.153608, 0.127686, 0.107121, 0.10074, 0.0951943, 0.0890958, 0.0806231, 0.0556091, 0.0472076, 0.0390461, 0.0332948, 0.0286603, 0.0244911, 0.0195893, 0.0137949, 0.0111801, 0.00877195, 0.00704434, 0.00548022, 0.00335525, 0.00203892, 0.00122988, 0.000735039, 0.000400127" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.832848, 0.86137, 0.866456, 0.873657, 0.878709, 0.883648, 0.891906, 0.901038, 0.908053, 0.925647, 0.937874, 0.94384, 0.948333, 0.953123, 0.978418, 0.989828, 0.996409, 1.00957, 1.03338, 1.06207");
+            values ( \
+              "0.00143785, 0.0213488, 0.0237726, 0.0262235, 0.0270904, 0.0272647, 0.0261932, 0.0237177, 0.0225845, 0.0126971, 0.00802964, 0.00657003, 0.00728264, 0.00689172, 0.00294526, 0.00182914, 0.00141921, 0.000770856, 0.000276574, 8.00753e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00464535");
+            index_3 ("0.83971, 0.847915, 0.85969, 0.872636, 0.884267, 0.891704, 0.897935, 0.906757, 0.919763, 0.941442, 0.982063, 1.00999, 1.02227, 1.07725, 1.1038, 1.13415, 1.14935");
+            values ( \
+              "0.015886, 0.0249215, 0.0424194, 0.056235, 0.0626062, 0.0644602, 0.0647769, 0.0638934, 0.0605675, 0.0530542, 0.0271069, 0.014585, 0.0114712, 0.00451927, 0.00237549, 0.00106291, 0.000796056" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173153");
+            index_3 ("0.846263, 0.858779, 0.870507, 0.881369, 0.889422, 0.900993, 0.914328, 0.920202, 0.931951, 0.950776, 0.969455, 0.992911, 1.01637, 1.04917, 1.07514, 1.16017, 1.21345, 1.2473, 1.27059, 1.30155, 1.33151, 1.40221, 1.43289, 1.47321, 1.52578, 1.59188, 1.67675, 1.80514");
+            values ( \
+              "0.0415936, 0.0580906, 0.0787444, 0.0912128, 0.0969887, 0.101526, 0.103204, 0.103144, 0.102343, 0.100239, 0.0976524, 0.093744, 0.0892672, 0.0822332, 0.0750738, 0.0457034, 0.0304656, 0.0229534, 0.0185868, 0.0140872, 0.0112301, 0.006344, 0.0048441, 0.00338382, 0.00197977, 0.00105519, 0.000392903, 0.000117602" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0645419");
+            index_3 ("0.860971, 0.907196, 0.922744, 0.93778, 0.951685, 0.976129, 1.00702, 1.09385, 1.19771, 1.36675, 1.48761, 1.61138, 1.79838, 1.93974, 2.0392, 2.1166, 2.21981, 2.29396, 2.35533, 2.43714, 2.57798, 2.64048, 2.73088, 2.85141, 3.01522, 3.21209, 3.45504, 3.79387");
+            values ( \
+              "0.118795, 0.121012, 0.123447, 0.124091, 0.124162, 0.123773, 0.122466, 0.117599, 0.11138, 0.100612, 0.0914182, 0.0788134, 0.0572252, 0.0423427, 0.0334188, 0.0275144, 0.0209306, 0.0170404, 0.0144602, 0.0116647, 0.00787765, 0.00662041, 0.00512179, 0.00363645, 0.00219954, 0.00122843, 0.000546819, 0.000198255" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.240577");
+            index_3 ("0.88625, 0.88627, 1.42096, 2.47673, 3.05538, 3.38966, 4.59249, 5.01527, 5.56109, 5.95148, 6.59748, 7.03073, 7.56668, 8.01543, 9.23877, 9.39809");
+            values ( \
+              "1e-22, 0.145816, 0.126124, 0.1077, 0.0956383, 0.0864909, 0.0480922, 0.0366619, 0.0249862, 0.0186429, 0.0113278, 0.00803239, 0.00520942, 0.00359127, 0.00127088, 0.00118443" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.896737");
+            index_3 ("0.979727, 0.979747, 2.81223, 7.12814, 8.28668, 9.1346, 9.95941, 10.9643, 13.7776, 14.8069, 15.8946, 17.5551, 18.4932, 19.5809, 20.9912, 22.0546, 23.1424, 24.6528, 26.585, 28.7606, 30.9362, 34.1996, 37.4629");
+            values ( \
+              "1e-22, 0.154096, 0.127731, 0.107086, 0.100705, 0.0952295, 0.0890606, 0.0806582, 0.0556443, 0.0471724, 0.0390812, 0.0286954, 0.023864, 0.0191346, 0.0142643, 0.0113736, 0.00898948, 0.0064599, 0.00420404, 0.00257661, 0.00157338, 0.000747359, 0.000354713" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.66172, 2.67531, 2.69074, 2.71152, 2.71661, 2.72649, 2.73595, 2.74468, 2.74564, 2.74755, 2.75138, 2.76254, 2.76948, 2.7773, 2.78343, 2.78789, 2.79244, 2.79441, 2.79837, 2.80837, 2.81397, 2.8164, 2.82049, 2.83322, 2.83471, 2.83771, 2.84369, 2.85405, 2.87366, 2.89928, 2.92822");
+            values ( \
+              "0.00468247, 0.0065063, 0.0126982, 0.0201075, 0.0216791, 0.0237862, 0.0237529, 0.02253, 0.0227454, 0.0223288, 0.0224849, 0.0165438, 0.0130991, 0.0099617, 0.00792533, 0.00685952, 0.00748541, 0.00719562, 0.00701887, 0.00537211, 0.00430668, 0.00401897, 0.00332308, 0.00194223, 0.00193554, 0.00159275, 0.00134405, 0.000756106, 0.000397075, 3.49026e-05, 8.51984e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00464535");
+            index_3 ("2.66155, 2.67681, 2.72163, 2.72998, 2.73659, 2.7505, 2.76566, 2.78667, 2.82559, 2.84742, 2.85996, 2.86773, 2.91204, 2.94409, 2.96849, 3.00873, 3.05978");
+            values ( \
+              "0.00717739, 0.0147483, 0.0518261, 0.0567962, 0.0592377, 0.0611068, 0.0586584, 0.0525376, 0.0277158, 0.0175207, 0.0128503, 0.0113944, 0.00560074, 0.00261572, 0.0014207, 0.000436398, 0.000111425" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173153");
+            index_3 ("2.67995, 2.70275, 2.71986, 2.73131, 2.74408, 2.75905, 2.7639, 2.7736, 2.78422, 2.7949, 2.82271, 2.8605, 2.89705, 2.92241, 2.98808, 3.03071, 3.06444, 3.08308, 3.11746, 3.1469, 3.17343, 3.23812, 3.26667, 3.31584, 3.36828, 3.43594, 3.52333, 3.64997");
+            values ( \
+              "0.0432614, 0.0527939, 0.0750123, 0.0870917, 0.0956107, 0.100147, 0.100624, 0.100911, 0.100607, 0.0998628, 0.0962103, 0.0892658, 0.0814115, 0.0741745, 0.0510295, 0.0376896, 0.0288161, 0.0246606, 0.0180859, 0.0140089, 0.0114698, 0.00685276, 0.00534787, 0.00339477, 0.00206503, 0.00101457, 0.000424792, 7.51569e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0645419");
+            index_3 ("2.69992, 2.74789, 2.76175, 2.77649, 2.80027, 2.82606, 2.86232, 3.08614, 3.21055, 3.33151, 3.46876, 3.76956, 3.87986, 3.97086, 4.04202, 4.16459, 4.28583, 4.39884, 4.59883, 4.74816, 4.91807, 5.09754");
+            values ( \
+              "0.105253, 0.114749, 0.119921, 0.122416, 0.123864, 0.123543, 0.121884, 0.108657, 0.100617, 0.0914449, 0.077295, 0.0436661, 0.0336941, 0.0267634, 0.0222069, 0.0158704, 0.0114955, 0.00844299, 0.0048071, 0.00309947, 0.00185609, 0.00109875" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.240577");
+            index_3 ("2.72795, 2.72797, 3.22506, 4.34441, 4.61642, 4.95009, 5.29895, 6.35875, 6.74603, 7.31154, 7.86937, 8.45608, 8.88044, 9.40358, 9.85478, 11.0815, 11.1559");
+            values ( \
+              "1e-22, 0.145094, 0.126839, 0.107278, 0.101989, 0.0943923, 0.0845178, 0.0504198, 0.0395289, 0.0267571, 0.017622, 0.011203, 0.00800944, 0.00525102, 0.00360358, 0.00126794, 0.00122749" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.896737");
+            index_3 ("2.81229, 2.81231, 5.09044, 8.97258, 10.1312, 10.9792, 11.804, 12.8089, 15.6221, 16.6514, 17.7392, 19.3997, 20.3377, 21.4255, 22.8358, 23.8992, 24.987, 26.4974, 28.4296, 30.6052, 32.7808, 36.0441, 39.3075");
+            values ( \
+              "1e-22, 0.149274, 0.125663, 0.107086, 0.100705, 0.0952301, 0.08906, 0.0806589, 0.0556449, 0.0471718, 0.0390819, 0.0286962, 0.0238633, 0.0191353, 0.014265, 0.0113729, 0.00899021, 0.00645917, 0.00420331, 0.00257588, 0.00157265, 0.000748101, 0.000353971" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.56946, 9.59711, 9.60544, 9.61374, 9.63051, 9.6415, 9.67396, 9.68853, 9.71319, 9.71442, 9.71688, 9.72159, 9.72655, 9.74072, 9.75543, 9.76008, 9.76513, 9.7706, 9.78509, 9.79159, 9.80177, 9.8152, 9.82617, 9.84809, 9.87484");
+            values ( \
+              "0.0010596, 0.00218625, 0.00304238, 0.00427846, 0.00751239, 0.00937749, 0.0143708, 0.0164468, 0.0180721, 0.0187111, 0.0190306, 0.0186074, 0.0173563, 0.0122699, 0.00786213, 0.00796325, 0.00792188, 0.00722096, 0.00452874, 0.00355721, 0.00231674, 0.00132058, 0.00084278, 0.000289681, 9.28214e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00464535");
+            index_3 ("9.58442, 9.61659, 9.66238, 9.70084, 9.71853, 9.73476, 9.75075, 9.76402, 9.76746, 9.77433, 9.78638, 9.80135, 9.82349, 9.82927, 9.8387, 9.84708, 9.88371, 9.89741, 9.91562, 9.93608, 9.9663, 9.97204");
+            values ( \
+              "0.0054613, 0.0104119, 0.0277033, 0.0442623, 0.0503781, 0.0525875, 0.0520926, 0.0492416, 0.0460742, 0.0423536, 0.0341416, 0.0259121, 0.0158734, 0.013791, 0.0116174, 0.010785, 0.0056306, 0.00413534, 0.0026592, 0.00157513, 0.000699711, 0.000635621" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173153");
+            index_3 ("9.6018, 9.62985, 9.67117, 9.72164, 9.73174, 9.74809, 9.76471, 9.77279, 9.78415, 9.79682, 9.8306, 9.86716, 9.88602, 9.95877, 9.9936, 10.0487, 10.0772, 10.1123, 10.1404, 10.1848, 10.2182, 10.2792, 10.3308, 10.3938, 10.4189");
+            values ( \
+              "0.0219445, 0.0225376, 0.0468614, 0.084247, 0.0894439, 0.0954043, 0.0980155, 0.0979657, 0.0970469, 0.0952954, 0.0892076, 0.0813858, 0.0761921, 0.0508957, 0.0397965, 0.0256424, 0.0199482, 0.0144732, 0.0117661, 0.00835451, 0.00628677, 0.00364725, 0.00218453, 0.0011869, 0.000967233" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0645419");
+            index_3 ("9.63501, 9.68508, 9.71778, 9.73685, 9.75281, 9.76935, 9.78418, 9.7988, 9.82127, 9.86448, 10.0091, 10.1799, 10.237, 10.3008, 10.4245, 10.6115, 10.7529, 10.8523, 10.9297, 11.0329, 11.1071, 11.1685, 11.2503, 11.3911, 11.4536, 11.544, 11.6645, 11.8266, 12.0213, 12.2611, 12.5948");
+            values ( \
+              "0.05765, 0.0668561, 0.0977193, 0.110986, 0.11857, 0.122403, 0.1233, 0.123152, 0.122317, 0.120025, 0.111482, 0.100591, 0.0964774, 0.0913998, 0.0788307, 0.0572078, 0.0423623, 0.0334008, 0.0274967, 0.0209519, 0.0170221, 0.0144412, 0.0116842, 0.00785853, 0.00660133, 0.0051029, 0.00365682, 0.00219193, 0.00126342, 0.000540728, 0.000226082" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.240577");
+            index_3 ("9.6819, 9.68192, 11.2003, 11.7458, 11.9208, 12.2708, 13.1358, 13.7147, 13.9873, 14.284, 14.841, 15.1152, 15.4235, 15.8493, 16.3774, 16.6678, 17.1726, 17.9636, 18.298");
+            values ( \
+              "1e-22, 0.13615, 0.109376, 0.0985812, 0.0943869, 0.0844889, 0.0563783, 0.0395771, 0.0329426, 0.0267165, 0.0176032, 0.0143015, 0.0112258, 0.00802639, 0.00524306, 0.00410793, 0.0027632, 0.00142093, 0.00113371" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.896737");
+            index_3 ("9.606, 9.75831, 9.7874, 9.86832, 14.8621, 16.3462, 17.2363, 17.9579, 18.7931, 22.5987, 23.6258, 24.7136, 26.3811, 27.3178, 28.8734, 29.8089, 30.8739, 31.9617, 33.4764, 35.4115, 37.5871, 39.7626, 43.026, 46.2894");
+            values ( \
+              "0.0134508, 0.12859, 0.134895, 0.136155, 0.112485, 0.105013, 0.0999409, 0.0952224, 0.0889703, 0.055654, 0.0471985, 0.0391048, 0.0286753, 0.0238526, 0.0173666, 0.0142785, 0.0113806, 0.00899563, 0.0064578, 0.00419983, 0.00257388, 0.00157157, 0.000746994, 0.000354032" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195952", \
+            "0.00235269", \
+            "0.00254796", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253647", \
+            "0.0025603", \
+            "0.00289394", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.00180695, 0.00180696, 0.00180696, 0.00180696, 0.00180696, 0.00180696", \
+            "0.00207649, 0.00207648, 0.00207648, 0.00207648, 0.00207648, 0.00207648", \
+            "0.00218112, 0.00218112, 0.00218112, 0.00218112, 0.00218112, 0.00218112", \
+            "0.00223187, 0.00223187, 0.00223187, 0.00223187, 0.00223187, 0.00223187", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00227095, 0.00227095, 0.00227095, 0.00227095, 0.00227095, 0.00227095" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.00230602, 0.00230602, 0.00230602, 0.00230602, 0.00230602, 0.00230602", \
+            "0.00242656, 0.00242655, 0.00242654, 0.00242653, 0.00242653, 0.00242653", \
+            "0.00263751, 0.00263751, 0.00263751, 0.0026375, 0.0026375, 0.00263749", \
+            "0.00325096, 0.00325083, 0.00325078, 0.0032508, 0.00325083, 0.00325083", \
+            "0.00368858, 0.00368797, 0.00368781, 0.00368848, 0.00368764, 0.00368783", \
+            "0.00367362, 0.00367286, 0.00367267, 0.00367104, 0.00367203, 0.00367157" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        timing_sense : negative_unate;
+        timing_type : preset;
+        cell_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.181751, 0.20968, 0.306285, 0.656523, 1.95942, 6.8153", \
+            "0.193033, 0.220952, 0.317562, 0.667827, 1.97071, 6.82661", \
+            "0.241529, 0.26942, 0.366033, 0.71633, 2.01917, 6.8751", \
+            "0.371825, 0.399703, 0.496278, 0.846739, 2.14978, 7.00554", \
+            "0.653263, 0.681863, 0.778194, 1.12861, 2.43181, 7.2877", \
+            "1.3687, 1.40201, 1.49908, 1.84862, 3.15176, 8.00753" \
+          );
+        }
+        rise_transition (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.0558511, 0.0903371, 0.227142, 0.746367, 2.68903, 9.92991", \
+            "0.0558604, 0.0903345, 0.227095, 0.746284, 2.68903, 9.93011", \
+            "0.0558915, 0.0903495, 0.227137, 0.746375, 2.68895, 9.93011", \
+            "0.056996, 0.0909267, 0.227273, 0.746378, 2.68892, 9.92991", \
+            "0.0631678, 0.0944191, 0.227732, 0.746362, 2.68902, 9.93008", \
+            "0.084785, 0.109861, 0.230731, 0.746449, 2.68881, 9.93058" \
+          );
+        }
+        output_current_rise () {
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00124625");
+            index_3 ("0.135255, 0.149326, 0.160229, 0.165636, 0.173464, 0.179154, 0.181766, 0.18569, 0.189821, 0.19679, 0.202353, 0.208088, 0.2118, 0.22052, 0.227675, 0.238626, 0.246508, 0.252522, 0.257577, 0.268186, 0.274529, 0.283399, 0.293024, 0.313345, 0.336691, 0.361779");
+            values ( \
+              "0.000476171, 0.0148349, 0.0231116, 0.025923, 0.0282679, 0.0286523, 0.0285369, 0.0279972, 0.0269221, 0.0245409, 0.0233681, 0.0217226, 0.018897, 0.0139297, 0.0107662, 0.00683935, 0.00708188, 0.00657901, 0.00588189, 0.00400524, 0.00315274, 0.00215357, 0.00145013, 0.000603509, 0.000204939, 6.64394e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.00464535");
+            index_3 ("0.140416, 0.149554, 0.156454, 0.165057, 0.171759, 0.177265, 0.18242, 0.189293, 0.191737, 0.196623, 0.204454, 0.217791, 0.244714, 0.266293, 0.275607, 0.285919, 0.299788, 0.309696, 0.31513, 0.361921, 0.375164, 0.386803, 0.402322, 0.432259, 0.471844, 0.525423");
+            values ( \
+              "0.00617539, 0.0304594, 0.0423867, 0.0544074, 0.0604467, 0.0636783, 0.0654173, 0.066332, 0.0663755, 0.0660289, 0.0646952, 0.0606046, 0.0500281, 0.0347897, 0.029275, 0.0239285, 0.017746, 0.0138621, 0.012343, 0.00606616, 0.00452958, 0.00343442, 0.0023385, 0.00106519, 0.000349802, 6.65697e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0173153");
+            index_3 ("0.146993, 0.16408, 0.175303, 0.180067, 0.18747, 0.197846, 0.207997, 0.217795, 0.232834, 0.265741, 0.280448, 0.314347, 0.331574, 0.350978, 0.377556, 0.441787, 0.484335, 0.518595, 0.549207, 0.571432, 0.600902, 0.627497, 0.691417, 0.737781, 0.771516, 0.822302, 0.889041, 0.973736, 1.09841");
+            values ( \
+              "0.0396954, 0.0763434, 0.0918992, 0.0959858, 0.100209, 0.103114, 0.103813, 0.103428, 0.101784, 0.0973034, 0.09497, 0.0888809, 0.0854371, 0.0811203, 0.0738685, 0.0510166, 0.0377626, 0.0288214, 0.022208, 0.0181235, 0.0139845, 0.0114387, 0.00686589, 0.00457959, 0.00337213, 0.00203556, 0.00105631, 0.000412455, 0.000113068" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.0645419");
+            index_3 ("0.161477, 0.161497, 0.339464, 0.396816, 0.582767, 0.71048, 0.785551, 0.908794, 1.09557, 1.22256, 1.39046, 1.49756, 1.58931, 1.66754, 1.7578, 1.88497, 2.04811, 2.1717, 2.33387, 2.51997, 2.76806, 3.1133");
+            values ( \
+              "1e-22, 0.127687, 0.120318, 0.117242, 0.105992, 0.0973099, 0.0914034, 0.0788906, 0.0573104, 0.0438243, 0.0292654, 0.0221162, 0.0171793, 0.0139304, 0.01097, 0.00769816, 0.0048418, 0.00340664, 0.00206418, 0.00119676, 0.00051977, 0.000187257" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.240577");
+            index_3 ("0.190043, 0.190063, 0.722434, 1.77471, 2.35343, 2.68761, 3.89033, 4.31293, 4.85992, 5.24971, 5.89523, 6.3286, 6.86496, 7.30574, 8.50479, 8.69797");
+            values ( \
+              "1e-22, 0.148706, 0.126071, 0.1077, 0.0956369, 0.0864922, 0.0480967, 0.0366703, 0.0249711, 0.0186399, 0.0113301, 0.00803333, 0.00520826, 0.00361482, 0.00130747, 0.00119899" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.00812174;
+            index_1 ("0.00974609");
+            index_2 ("0.896737");
+            index_3 ("0.271846, 0.271866, 2.12544, 6.42678, 7.58524, 8.43316, 9.25797, 10.2629, 13.0761, 14.1052, 15.1931, 16.0645, 16.8538, 17.7451, 18.8329, 20.3814, 21.4124, 22.5002, 23.5326, 24.7143, 26.8899, 29.0655, 33.4168, 36.6803");
+            values ( \
+              "1e-22, 0.152966, 0.127675, 0.107099, 0.100718, 0.0952161, 0.0890738, 0.0806451, 0.0556319, 0.0471866, 0.0390695, 0.0333174, 0.028682, 0.0241006, 0.0193074, 0.0139776, 0.0112418, 0.00886187, 0.0070699, 0.00544476, 0.00334173, 0.00203928, 0.000749439, 0.000373311" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00124625");
+            index_3 ("0.170499, 0.185281, 0.196329, 0.201286, 0.209152, 0.214656, 0.217407, 0.22291, 0.234269, 0.241853, 0.254438, 0.273246, 0.278398, 0.282119, 0.288244, 0.309732, 0.32207, 0.336968, 0.359776");
+            values ( \
+              "9.16042e-05, 0.0150995, 0.0233976, 0.025934, 0.0282761, 0.02863, 0.0285638, 0.0276169, 0.0240692, 0.0225108, 0.0147843, 0.0071219, 0.00676274, 0.007131, 0.00655081, 0.00316912, 0.00188907, 0.000991412, 0.000501218" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.00464535");
+            index_3 ("0.175723, 0.185224, 0.192112, 0.200708, 0.207407, 0.212899, 0.218089, 0.225008, 0.22738, 0.232124, 0.240097, 0.253434, 0.267882, 0.280354, 0.284073, 0.29151, 0.301938, 0.311247, 0.32156, 0.33543, 0.34534, 0.350773, 0.358928, 0.36639, 0.376211, 0.397562, 0.4108, 0.422456, 0.437996, 0.467941, 0.507534, 0.56111");
+            values ( \
+              "0.00472363, 0.0305055, 0.0424162, 0.05442, 0.0604839, 0.0636709, 0.0654249, 0.066348, 0.0663767, 0.0660514, 0.0646953, 0.0606083, 0.0552382, 0.0500285, 0.0468391, 0.0420659, 0.0347892, 0.0292777, 0.023929, 0.017747, 0.0138617, 0.012343, 0.0111088, 0.0102734, 0.0089951, 0.00606648, 0.0045302, 0.00343357, 0.00233667, 0.00106406, 0.000349365, 6.64607e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0173153");
+            index_3 ("0.182113, 0.194515, 0.20664, 0.214306, 0.222058, 0.229395, 0.23778, 0.253413, 0.268478, 0.297538, 0.316088, 0.349982, 0.386544, 0.401965, 0.418386, 0.490198, 0.541973, 0.563267, 0.586704, 0.617953, 0.639067, 0.678602, 0.714234, 0.747274, 0.791818, 0.838005, 0.89868, 0.974027, 1.08022");
+            values ( \
+              "0.0351262, 0.0654862, 0.0871271, 0.0949496, 0.0997663, 0.102267, 0.103523, 0.103492, 0.101799, 0.0978539, 0.0949897, 0.088929, 0.081261, 0.0771322, 0.0718538, 0.0469014, 0.031783, 0.0267121, 0.0218412, 0.0163803, 0.0137747, 0.0102347, 0.00768401, 0.00579402, 0.00386522, 0.00250102, 0.00134956, 0.000631962, 0.000182699" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.0645419");
+            index_3 ("0.196619, 0.196639, 0.374779, 0.433501, 0.624395, 0.700345, 0.807422, 0.900152, 1.17661, 1.34952, 1.44819, 1.51486, 1.61922, 1.714, 1.80038, 1.92444, 2.07651, 2.19863, 2.35945, 2.54294, 2.78597, 3.11765");
+            values ( \
+              "1e-22, 0.126845, 0.120335, 0.117192, 0.105622, 0.10059, 0.0925301, 0.0837096, 0.0522923, 0.0353663, 0.027651, 0.0232487, 0.0174361, 0.0135186, 0.0107724, 0.00760673, 0.004936, 0.00350509, 0.00211964, 0.00125382, 0.00054201, 0.000217205" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.240577");
+            index_3 ("0.220176, 0.220196, 0.760341, 1.81038, 2.38913, 2.72327, 3.92595, 4.34847, 4.89596, 5.28549, 5.9308, 6.36423, 6.90077, 7.34143, 8.54014, 8.73199");
+            values ( \
+              "1e-22, 0.145823, 0.126036, 0.1077, 0.0956364, 0.0864928, 0.0480987, 0.0366739, 0.0249646, 0.0186385, 0.0113312, 0.0080337, 0.00520772, 0.00361484, 0.0013079, 0.00120012" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.0325;
+            index_1 ("0.039");
+            index_2 ("0.896737");
+            index_3 ("0.311437, 0.311457, 2.54304, 5.99098, 6.86075, 7.94857, 9.3046, 10.3007, 13.1129, 14.1403, 15.2282, 15.9701, 16.8945, 17.8314, 18.9192, 20.3236, 21.3884, 22.4762, 23.9901, 25.9248, 28.1005, 30.2761, 32.4517, 36.803");
+            values ( \
+              "1e-22, 0.150417, 0.125863, 0.10946, 0.105021, 0.098685, 0.0889715, 0.0806759, 0.0556703, 0.0471764, 0.0391185, 0.0341389, 0.0286963, 0.023837, 0.0191445, 0.0142937, 0.0113621, 0.00901202, 0.00644083, 0.00418322, 0.00255703, 0.0015546, 0.000940377, 0.000336985" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00124625");
+            index_3 ("0.315484, 0.33769, 0.34242, 0.347372, 0.355289, 0.360686, 0.363524, 0.3692, 0.380394, 0.387949, 0.400593, 0.408494, 0.419199, 0.423088, 0.427489, 0.432874, 0.453904, 0.467501, 0.478342, 0.490731, 0.513678, 0.516648");
+            values ( \
+              "8.73869e-05, 0.0201299, 0.0232603, 0.0259291, 0.0281949, 0.02864, 0.028508, 0.0275976, 0.0240278, 0.0225576, 0.0147294, 0.011154, 0.0072141, 0.00671721, 0.00712878, 0.00675475, 0.00344649, 0.00196786, 0.00125108, 0.000726431, 0.000256179, 0.000243138" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.00464535");
+            index_3 ("0.320402, 0.330906, 0.346482, 0.353327, 0.358721, 0.363848, 0.373192, 0.378205, 0.385911, 0.398715, 0.426047, 0.456578, 0.481103, 0.496951, 0.556458, 0.584532, 0.614848, 0.629075");
+            values ( \
+              "0.00180317, 0.0300674, 0.054216, 0.0605016, 0.0635729, 0.0653363, 0.0663281, 0.0660132, 0.0646665, 0.0607747, 0.0500978, 0.0295622, 0.0178075, 0.0122658, 0.00454553, 0.00229447, 0.00103291, 0.000783979" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0173153");
+            index_3 ("0.330373, 0.340461, 0.351225, 0.360317, 0.367899, 0.371507, 0.376317, 0.385939, 0.399413, 0.41447, 0.45539, 0.495945, 0.51314, 0.532607, 0.558644, 0.623562, 0.664221, 0.69811, 0.720956, 0.756456, 0.784007, 0.80334, 0.829118, 0.863858, 0.893627, 0.932279, 0.98057, 1.03739, 1.11246, 1.2109");
+            values ( \
+              "0.0584262, 0.065255, 0.0851113, 0.0948644, 0.0996555, 0.101096, 0.102415, 0.10368, 0.103419, 0.10181, 0.0960739, 0.0888867, 0.0854519, 0.0811193, 0.0740416, 0.0509735, 0.0382754, 0.029315, 0.0242157, 0.0175367, 0.0138774, 0.0119962, 0.00990243, 0.00745595, 0.00578059, 0.00407577, 0.00258338, 0.00145794, 0.000680629, 0.000224434" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.0645419");
+            index_3 ("0.343988, 0.344008, 0.573632, 0.734841, 0.846348, 0.903511, 0.967212, 1.09099, 1.29902, 1.41935, 1.51881, 1.59621, 1.69941, 1.77357, 1.83494, 1.91676, 2.05759, 2.21048, 2.331, 2.49083, 2.68283, 2.91826, 3.24554");
+            values ( \
+              "1e-22, 0.128924, 0.117518, 0.107849, 0.100622, 0.0964491, 0.091429, 0.0788005, 0.0548789, 0.0423312, 0.0334295, 0.0275253, 0.0209202, 0.0170512, 0.0144705, 0.0116539, 0.00788804, 0.00513216, 0.00362637, 0.00223763, 0.0012533, 0.000588847, 0.000207503" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.240577");
+            index_3 ("0.368534, 0.368554, 0.969093, 1.64779, 2.14979, 2.63832, 2.95752, 4.00505, 4.40636, 4.6532, 4.92179, 5.27991, 5.49158, 5.85282, 6.26566, 6.67398, 7.28978, 7.80764, 8.42313, 9.14967, 10.1175, 11.2054");
+            values ( \
+              "1e-22, 0.145579, 0.124928, 0.11323, 0.104033, 0.0930291, 0.083811, 0.0501029, 0.0388938, 0.0329277, 0.027252, 0.0209266, 0.0178082, 0.0135239, 0.0097581, 0.00704361, 0.00425874, 0.00278441, 0.00163247, 0.000890882, 0.000359134, 0.000150234" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.130052;
+            index_1 ("0.156062");
+            index_2 ("0.896737");
+            index_3 ("0.460927, 0.460947, 2.69809, 6.13708, 7.00678, 8.0946, 9.45064, 10.4467, 13.2589, 14.2864, 15.3742, 16.1162, 17.0405, 17.9774, 19.0653, 20.4697, 21.5344, 22.6223, 24.1362, 26.0709, 28.2465, 30.4221, 32.5978, 36.9491");
+            values ( \
+              "1e-22, 0.150791, 0.125815, 0.109464, 0.105017, 0.0986891, 0.0889756, 0.0806719, 0.0556663, 0.0471803, 0.0391146, 0.0341428, 0.0286924, 0.0238408, 0.0191407, 0.0142899, 0.0113659, 0.00900821, 0.0064446, 0.00418698, 0.00256078, 0.00155835, 0.000944117, 0.000340711" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00124625");
+            index_3 ("0.834523, 0.856572, 0.867168, 0.87482, 0.877991, 0.884019, 0.88762, 0.892241, 0.899198, 0.905163, 0.910712, 0.91278, 0.922445, 0.927745, 0.941819, 0.946995, 0.949156, 0.953478, 0.958364, 0.974748, 0.988397, 0.999133, 1.01006, 1.03127, 1.03146");
+            values ( \
+              "0.00265852, 0.0178246, 0.0242296, 0.0269522, 0.0275031, 0.0278219, 0.027446, 0.0264702, 0.0242787, 0.0231447, 0.0215988, 0.0198183, 0.0142531, 0.0117776, 0.00684994, 0.00687579, 0.00709261, 0.00673855, 0.00618257, 0.00343397, 0.00195261, 0.001262, 0.000774541, 0.000306354, 0.000305106" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.00464535");
+            index_3 ("0.840316, 0.848305, 0.861207, 0.873746, 0.88239, 0.889096, 0.893728, 0.902994, 0.913111, 0.938859, 0.988583, 1.018, 1.06331, 1.09326, 1.11468, 1.1399");
+            values ( \
+              "0.0172817, 0.0246239, 0.0440179, 0.0581015, 0.0630255, 0.0648537, 0.0653054, 0.0647758, 0.0625428, 0.0537302, 0.0237017, 0.0122036, 0.00618966, 0.00310511, 0.0018094, 0.000997491" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0173153");
+            index_3 ("0.847559, 0.859157, 0.868753, 0.878541, 0.889327, 0.899094, 0.909805, 0.919949, 0.935084, 0.950679, 0.972064, 1.01659, 1.05331, 1.07702, 1.1473, 1.18128, 1.21682, 1.23018, 1.26306, 1.29539, 1.33018, 1.3546, 1.38694, 1.40885, 1.44825, 1.49181, 1.54806, 1.61889, 1.71276");
+            values ( \
+              "0.0494751, 0.0581011, 0.0771371, 0.0901994, 0.0982404, 0.101738, 0.103092, 0.102942, 0.101564, 0.099673, 0.0966649, 0.0889328, 0.0813055, 0.0745445, 0.0500792, 0.0393625, 0.0297529, 0.0266598, 0.0200241, 0.0148688, 0.0114564, 0.00951516, 0.00731774, 0.00607595, 0.00426737, 0.00282242, 0.00162412, 0.000780796, 0.000288405" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.0645419");
+            index_3 ("0.862955, 0.862975, 1.04077, 1.12323, 1.25586, 1.36737, 1.42458, 1.48823, 1.61201, 1.799, 1.94038, 2.03983, 2.11723, 2.22042, 2.29459, 2.35597, 2.4378, 2.57862, 2.73149, 2.85199, 3.01054, 3.20131, 3.43478, 3.75915");
+            values ( \
+              "1e-22, 0.126026, 0.120435, 0.115854, 0.107837, 0.10061, 0.0964577, 0.0914169, 0.0788123, 0.0572246, 0.0423417, 0.0334181, 0.0275147, 0.0209321, 0.0170405, 0.0144598, 0.0116641, 0.00787735, 0.00512201, 0.00363709, 0.00223653, 0.00127386, 0.000587493, 0.000223278" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.240577");
+            index_3 ("0.889509, 0.889529, 1.40213, 2.26902, 2.67051, 2.93097, 3.10693, 3.45886, 4.32193, 4.90259, 5.17352, 5.4687, 5.86228, 6.14596, 6.61237, 7.03698, 7.56093, 7.99765, 8.55035, 9.18109, 9.66679");
+            values ( \
+              "1e-22, 0.147243, 0.126475, 0.111462, 0.104049, 0.0986003, 0.0943903, 0.0844258, 0.0563831, 0.0395348, 0.0329456, 0.0267499, 0.0199752, 0.0160854, 0.0112071, 0.00801239, 0.00524967, 0.00364568, 0.00230695, 0.00133338, 0.00105256" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 0.520416;
+            index_1 ("0.624499");
+            index_2 ("0.896737");
+            index_3 ("0.977614, 0.977634, 2.81989, 7.12866, 8.28715, 9.13507, 9.95988, 10.9648, 13.778, 14.8071, 15.895, 17.5558, 18.4937, 19.5816, 20.9914, 22.0549, 23.1427, 24.6533, 26.5858, 28.7614, 30.937, 34.2005, 37.464");
+            values ( \
+              "1e-22, 0.153635, 0.127696, 0.107086, 0.100705, 0.0952298, 0.0890602, 0.0806586, 0.0556451, 0.0471735, 0.0390826, 0.0286948, 0.0238632, 0.0191344, 0.0142654, 0.0113737, 0.00899011, 0.00645947, 0.00420347, 0.0025761, 0.00157292, 0.000747616, 0.000354333" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00124625");
+            index_3 ("2.65503, 2.67019, 2.67388, 2.71487, 2.72072, 2.72639, 2.73567, 2.74327, 2.74528, 2.7552, 2.75855, 2.7711, 2.77753, 2.78364, 2.78797, 2.79246, 2.79412, 2.79745, 2.8063, 2.81426, 2.82355, 2.83486, 2.85428, 2.87423, 2.89863, 2.92547");
+            values ( \
+              "0.0014012, 0.00452273, 0.00573734, 0.0217595, 0.0234026, 0.0243026, 0.0241246, 0.0228153, 0.0229786, 0.0208863, 0.0184319, 0.0121476, 0.00966863, 0.0077159, 0.00680298, 0.00745536, 0.00715089, 0.00705381, 0.00567536, 0.00421675, 0.00291044, 0.00180435, 0.000757954, 0.000364775, 6.12699e-05, 7.33687e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.00464535");
+            index_3 ("2.66406, 2.67769, 2.69036, 2.71223, 2.72201, 2.726, 2.73002, 2.73669, 2.75049, 2.76527, 2.77317, 2.79014, 2.79551, 2.80412, 2.81446, 2.82905, 2.83736, 2.85167, 2.85768, 2.86713, 2.87557, 2.90944, 2.92228, 2.93356, 2.9486, 2.97807, 3.01394, 3.06616");
+            values ( \
+              "0.0099032, 0.014652, 0.0252598, 0.0453145, 0.0532359, 0.0556951, 0.0577227, 0.0600204, 0.0612542, 0.0585895, 0.0563321, 0.0506606, 0.04656, 0.0412199, 0.0341012, 0.0259511, 0.0218452, 0.0158313, 0.0136201, 0.0114568, 0.0106333, 0.00597891, 0.00453756, 0.00344723, 0.00238752, 0.00108876, 0.000418079, 7.15995e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0173153");
+            index_3 ("2.68079, 2.70058, 2.71849, 2.73108, 2.74147, 2.75325, 2.76347, 2.77848, 2.79118, 2.80156, 2.82485, 2.86065, 2.87573, 2.89726, 2.92501, 2.93873, 2.96073, 2.98703, 3.03932, 3.07432, 3.08802, 3.11214, 3.14298, 3.1839, 3.21073, 3.24304, 3.2911, 3.33127, 3.38368, 3.44897, 3.53708, 3.67488");
+            values ( \
+              "0.0468873, 0.0500247, 0.0751655, 0.0884712, 0.0952548, 0.0990928, 0.100389, 0.100193, 0.0994271, 0.0984214, 0.0952432, 0.0889173, 0.0858968, 0.0810985, 0.0735358, 0.0682974, 0.0609392, 0.0513309, 0.0352981, 0.0266227, 0.023747, 0.0190851, 0.0144518, 0.01065, 0.00864226, 0.0066106, 0.00430606, 0.00295331, 0.00176139, 0.000911435, 0.000352953, 6.90002e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.0645419");
+            index_3 ("2.70159, 2.75231, 2.76748, 2.78216, 2.79475, 2.81149, 2.83888, 2.87616, 2.92201, 3.0118, 3.21112, 3.33199, 3.45576, 3.66379, 3.7809, 3.88298, 3.96212, 4.06764, 4.1392, 4.25042, 4.32441, 4.44337, 4.57318, 4.69382, 4.84971, 5.03029, 5.26, 5.57631");
+            values ( \
+              "0.112023, 0.117065, 0.120841, 0.12219, 0.12286, 0.123056, 0.122393, 0.120828, 0.118448, 0.113206, 0.100618, 0.0914241, 0.0788052, 0.0548846, 0.0426502, 0.0334713, 0.0274375, 0.0207286, 0.0170038, 0.012662, 0.0103776, 0.00747402, 0.00517847, 0.00364796, 0.00228834, 0.0013215, 0.000641629, 0.000228665" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.240577");
+            index_3 ("2.72764, 2.72766, 3.26591, 4.37437, 4.79486, 4.95046, 5.44627, 6.26307, 6.52525, 6.91757, 7.24264, 7.49579, 7.82255, 8.09424, 8.40835, 8.85756, 9.31722, 9.66848, 10.203, 10.8234, 12.5403");
+            values ( \
+              "1e-22, 0.144129, 0.126125, 0.106723, 0.0981305, 0.0943974, 0.0798949, 0.0533363, 0.0455627, 0.0352589, 0.0281254, 0.0233896, 0.0182796, 0.0148554, 0.0116455, 0.00814542, 0.00562931, 0.004226, 0.00270002, 0.00159782, 0.000354845" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 2.0825;
+            index_1 ("2.499");
+            index_2 ("0.896737");
+            index_3 ("2.8166, 2.81662, 5.02875, 8.55213, 9.37173, 10.4595, 11.8155, 12.8116, 15.6238, 16.6513, 17.7391, 18.481, 19.4054, 20.3423, 21.4301, 22.8345, 23.8993, 24.9871, 26.501, 28.4357, 30.6114, 32.787, 36.0505, 39.3139");
+            values ( \
+              "1e-22, 0.149869, 0.125967, 0.109226, 0.105005, 0.0987, 0.0889869, 0.0806605, 0.055655, 0.0471917, 0.0391033, 0.0341542, 0.0286811, 0.0238521, 0.0191294, 0.0142786, 0.0113772, 0.0089969, 0.00645591, 0.00419828, 0.00257208, 0.00156964, 0.000749167, 0.000351984" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00124625");
+            index_3 ("9.57067, 9.59818, 9.60463, 9.6128, 9.64735, 9.6714, 9.68056, 9.68873, 9.70197, 9.71189, 9.71468, 9.72025, 9.72699, 9.73327, 9.74569, 9.752, 9.75766, 9.76223, 9.76743, 9.76971, 9.78313, 9.7941, 9.80262, 9.80897, 9.8182, 9.83051, 9.85435, 9.87974");
+            values ( \
+              "0.00109277, 0.00216925, 0.00279005, 0.00386888, 0.010275, 0.0142564, 0.0156252, 0.0166108, 0.0173174, 0.0177412, 0.0185038, 0.018709, 0.0170727, 0.0150909, 0.0106249, 0.00876882, 0.0074362, 0.00809967, 0.00756843, 0.00745983, 0.00501559, 0.00338888, 0.00237699, 0.00183304, 0.00124571, 0.00076524, 0.00023068, 9.46265e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.00464535");
+            index_3 ("9.58846, 9.61777, 9.62655, 9.70118, 9.71836, 9.72023, 9.72272, 9.7277, 9.73542, 9.74888, 9.75177, 9.75755, 9.76828, 9.78523, 9.80005, 9.81603, 9.82537, 9.82991, 9.83869, 9.84716, 9.88154, 9.89516, 9.90664, 9.92194, 9.95255, 9.99441, 10.0465");
+            values ( \
+              "0.00704289, 0.0102427, 0.0135025, 0.044225, 0.0495865, 0.0500064, 0.0504849, 0.0511293, 0.0514696, 0.050867, 0.0508927, 0.0505413, 0.0459789, 0.0357045, 0.0272117, 0.0196886, 0.0158104, 0.0140815, 0.0119634, 0.0109861, 0.00621796, 0.00455825, 0.00346409, 0.00240318, 0.00103929, 0.000334876, 3.9124e-05" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0173153");
+            index_3 ("9.60281, 9.63094, 9.66086, 9.72173, 9.73218, 9.74995, 9.76243, 9.7727, 9.78258, 9.79837, 9.81472, 9.869, 9.89523, 9.98105, 10.0333, 10.0742, 10.1017, 10.1223, 10.1567, 10.2175, 10.2868, 10.3381, 10.3991, 10.4154");
+            values ( \
+              "0.0220331, 0.0223146, 0.0406946, 0.0830225, 0.0879263, 0.0933927, 0.0958332, 0.096541, 0.0962331, 0.0945519, 0.0919685, 0.0810964, 0.0739721, 0.0442375, 0.0296047, 0.0208848, 0.0161564, 0.0137403, 0.0105589, 0.00644834, 0.00344853, 0.00208362, 0.00113883, 0.00100461" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.0645419");
+            index_3 ("9.64168, 9.69928, 9.71824, 9.73846, 9.75507, 9.77512, 9.78388, 9.79773, 9.81664, 9.86175, 9.92403, 10.0114, 10.182, 10.3028, 10.4266, 10.6136, 10.6767, 10.755, 10.8544, 10.9318, 11.035, 11.1092, 11.1705, 11.2524, 11.3932, 11.4557, 11.5461, 11.6666, 11.824, 12.013, 12.2439, 12.5643");
+            values ( \
+              "0.0632955, 0.0803169, 0.0965706, 0.109429, 0.116332, 0.12119, 0.121968, 0.122292, 0.121924, 0.120006, 0.116613, 0.111438, 0.100604, 0.0914135, 0.078815, 0.0572232, 0.0502966, 0.0423464, 0.0334166, 0.0275122, 0.0209358, 0.0170376, 0.0144568, 0.0116688, 0.00787411, 0.00661676, 0.00511822, 0.00364137, 0.00224027, 0.00128971, 0.000594328, 0.000234572" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.240577");
+            index_3 ("9.69086, 9.69088, 10.2469, 11.0838, 11.465, 11.758, 11.9218, 12.2493, 13.137, 13.7196, 13.9883, 14.2811, 14.6714, 14.8399, 15.1265, 15.4315, 15.854, 16.3716, 16.6666, 17.1494, 17.9086, 18.7869, 19.8747");
+            values ( \
+              "1e-22, 0.139986, 0.125942, 0.111459, 0.104449, 0.0983251, 0.0943885, 0.0851689, 0.0563725, 0.0394749, 0.0329431, 0.0267949, 0.020062, 0.0176348, 0.0141847, 0.0111683, 0.0079996, 0.00526949, 0.00411947, 0.00281536, 0.0014884, 0.000688984, 0.000256804" \
+            );
+          }
+          vector (ccs_template) {
+            reference_time : 8.33333;
+            index_1 ("10");
+            index_2 ("0.896737");
+            index_3 ("9.60171, 9.74826, 9.79085, 9.89335, 15.5699, 16.6654, 17.4764, 18.7885, 19.7832, 22.5953, 23.6225, 24.7103, 25.4526, 26.3775, 27.3142, 28.8699, 29.8056, 30.8706, 31.9584, 33.4728, 35.4079, 37.5835, 39.7592, 43.0226, 46.2861");
+            values ( \
+              "0.0133139, 0.12324, 0.134203, 0.135961, 0.108997, 0.103243, 0.0984154, 0.0889787, 0.0806578, 0.0556536, 0.0471974, 0.0391037, 0.0341572, 0.0286763, 0.023853, 0.0173665, 0.0142781, 0.0113803, 0.00899543, 0.00645782, 0.0041999, 0.00257387, 0.00157152, 0.000747041, 0.000353961" \
+            );
+          }
+        }
+        receiver_capacitance1_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00195952", \
+            "0.00235269", \
+            "0.00254796", \
+            "0.00270446", \
+            "0.00286125", \
+            "0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0");
+          values ( \
+            "0.00253647", \
+            "0.0025603", \
+            "0.00289394", \
+            "0.00326773", \
+            "0.00302723", \
+            "0.00266774" \
+          );
+        }
+        receiver_capacitance1_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.00180706, 0.00180706, 0.00180707, 0.00180707, 0.00180707, 0.00180707", \
+            "0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651, 0.00207651", \
+            "0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111, 0.00218111", \
+            "0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319, 0.0022319", \
+            "0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706, 0.00225706", \
+            "0.00227095, 0.00227095, 0.00227095, 0.00227095, 0.00227095, 0.00227095" \
+          );
+        }
+        receiver_capacitance2_fall (delay_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.00230608, 0.00230607, 0.00230607, 0.00230607, 0.00230607, 0.00230607", \
+            "0.00242667, 0.00242667, 0.00242666, 0.00242665, 0.00242665, 0.00242665", \
+            "0.00263732, 0.00263731, 0.00263731, 0.0026373, 0.0026373, 0.0026373", \
+            "0.00325222, 0.0032521, 0.00325205, 0.00325209, 0.00325211, 0.00325212", \
+            "0.00368874, 0.00368844, 0.00368825, 0.00368858, 0.00368754, 0.00368757", \
+            "0.00366922, 0.00367258, 0.00367272, 0.0036712, 0.00367217, 0.00367302" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "CK";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0", \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "CK";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.013991, 0.0140237, 0.0139527, 0.0134477, 0.0114339, 0.00388933", \
+            "0.0137105, 0.0137435, 0.0136729, 0.0131676, 0.0111536, 0.00360773", \
+            "0.0135796, 0.0136112, 0.0135425, 0.0130401, 0.0110263, 0.00347919", \
+            "0.0142817, 0.0142829, 0.0142124, 0.0137206, 0.0117295, 0.0041643", \
+            "0.0185972, 0.0186036, 0.0185176, 0.0180156, 0.016044, 0.00849809", \
+            "0.0364532, 0.0364052, 0.0362988, 0.03579, 0.0338127, 0.0263438" \
+          );
+        }
+        fall_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.01409, 0.014131, 0.0140787, 0.0135296, 0.0113738, 0.00335848", \
+            "0.0139505, 0.013992, 0.0139396, 0.0133906, 0.0112348, 0.00322164", \
+            "0.0138397, 0.0138797, 0.0138274, 0.0132789, 0.011123, 0.0031069", \
+            "0.014106, 0.0141708, 0.0141012, 0.0135649, 0.0114143, 0.00339767", \
+            "0.0163645, 0.0164203, 0.016356, 0.0157804, 0.0136246, 0.00562763", \
+            "0.0274211, 0.0274678, 0.0274142, 0.0268192, 0.0249841, 0.0164669" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * D)";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "-0.00100946, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100946, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100946, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726356" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * D)";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.0164977, 0.0165255, 0.0164453, 0.0159315, 0.0139132, 0.00636712", \
+            "0.0163991, 0.0164271, 0.0163471, 0.0158292, 0.0138151, 0.0062615", \
+            "0.016295, 0.016322, 0.0162424, 0.0157321, 0.0137044, 0.00615789", \
+            "0.0165125, 0.0165227, 0.0164539, 0.015954, 0.0139396, 0.00638929", \
+            "0.018645, 0.018658, 0.0185521, 0.0180633, 0.0160787, 0.00852562", \
+            "0.0300393, 0.0300105, 0.0298825, 0.0293537, 0.0273926, 0.0199026" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * !D)";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "-0.00100946, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100946, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100946, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726356" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "(CK * !D)";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.0128824, 0.01291, 0.0128298, 0.0123157, 0.0102967, 0.00274243", \
+            "0.0127863, 0.0128145, 0.012735, 0.0122208, 0.0102009, 0.00264708", \
+            "0.0127449, 0.0127712, 0.0126926, 0.0121811, 0.0101613, 0.0026178", \
+            "0.0130632, 0.0130799, 0.012999, 0.0124935, 0.0104813, 0.00292722", \
+            "0.0152313, 0.0152432, 0.0151572, 0.0146756, 0.0126812, 0.00512694", \
+            "0.0262413, 0.0262172, 0.0260935, 0.0255681, 0.0235813, 0.0161451" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "!CK";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100946, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726356" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        when : "!CK";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.0187698, 0.0187957, 0.0187163, 0.0182008, 0.0161849, 0.00863072", \
+            "0.0186726, 0.0186986, 0.0186201, 0.0181053, 0.0160789, 0.00853442", \
+            "0.0185655, 0.0185906, 0.0185123, 0.0179978, 0.0159815, 0.00843564", \
+            "0.0187608, 0.0187706, 0.0186884, 0.0182008, 0.0161883, 0.00863444", \
+            "0.0208737, 0.0208731, 0.0207708, 0.0202731, 0.0182895, 0.010759", \
+            "0.0322746, 0.0322398, 0.0321166, 0.0315848, 0.0296294, 0.0221448" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        related_pg_pin : GND;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100946, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726357", \
+            "-0.00100947, -0.00376273, -0.0140254, -0.0522789, -0.194867, -0.726356" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        related_pin : "RN";
+        related_pg_pin : VDD;
+        rise_power (power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          index_2 ("0.00124625, 0.00464535, 0.0173153, 0.0645419, 0.240577, 0.896737");
+          values ( \
+            "0.0187698, 0.0187957, 0.0187163, 0.0182008, 0.0161849, 0.00863072", \
+            "0.0186726, 0.0186986, 0.0186201, 0.0181053, 0.0160789, 0.00853442", \
+            "0.0185655, 0.0185906, 0.0185123, 0.0179978, 0.0159815, 0.00843564", \
+            "0.0187608, 0.0187706, 0.0186884, 0.0182008, 0.0161883, 0.00863444", \
+            "0.0208737, 0.0208731, 0.0207708, 0.0202731, 0.0182895, 0.010759", \
+            "0.0322746, 0.0322398, 0.0321166, 0.0315848, 0.0296294, 0.0221448" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+    }
+    pin (CK) {
+      clock : true;
+      direction : input;
+      related_ground_pin : GND;
+      related_power_pin : VDD;
+      max_transition : 10;
+      capacitance : 0.0088889;
+      rise_capacitance : 0.0088889;
+      rise_capacitance_range (0.00643056, 0.0088889);
+      fall_capacitance : 0.00886997;
+      fall_capacitance_range (0.00582712, 0.00886997);
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "adacond0";
+        timing_type : min_pulse_width;
+        when : "(D * RN)";
+        rise_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.158195, 0.41626, 13.3337" \
+          );
+        }
+        fall_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.148754, 0.41626, 13.3337" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "adacond1";
+        timing_type : min_pulse_width;
+        when : "(!D * RN)";
+        rise_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.208549, 0.41626, 13.3337" \
+          );
+        }
+        fall_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.110989, 0.41626, 13.3337" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(D * RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00532637, 0.00709603, 0.00812364, 0.00877504, 0.00937347, 0.00978109" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00731672, 0.00835062, 0.00954651, 0.00955276, 0.00838691, 0.00780041" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00532637, 0.00709603, 0.00812364, 0.00877504, 0.00937347, 0.00978109" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00731672, 0.00835062, 0.00954651, 0.00955276, 0.00838691, 0.00780041" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(D * RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0049159, 0.00599757, 0.00652538, 0.00686362, 0.00725269, 0.00786013" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00650476, 0.00726181, 0.00836312, 0.00931789, 0.0087252, 0.00772502" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00509673, 0.00632144, 0.00679839, 0.00698119, 0.00705579, 0.00709206" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00663214, 0.00687053, 0.00750661, 0.00910433, 0.00937088, 0.00933136" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(D * !RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00533896, 0.00710966, 0.00812434, 0.00877316, 0.00938767, 0.0101119" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00733714, 0.00834205, 0.00956706, 0.0104107, 0.00943745, 0.00824464" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00492228, 0.00623926, 0.00683592, 0.00708612, 0.00717651, 0.00722343" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00680027, 0.00781665, 0.00876797, 0.0112455, 0.0117823, 0.0117545" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(!D * RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00491224, 0.00597077, 0.00648576, 0.00684021, 0.00721674, 0.00742479" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00645346, 0.00720347, 0.00835787, 0.00854922, 0.00779127, 0.00743496" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00491224, 0.00597077, 0.00648576, 0.00684021, 0.00721674, 0.00742479" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00645346, 0.00720347, 0.00835787, 0.00854922, 0.00779127, 0.00743496" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "!D";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0053121, 0.00702964, 0.00798186, 0.00852723, 0.00901268, 0.00954514" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00720549, 0.00794826, 0.00881634, 0.00940608, 0.00862641, 0.00775008" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00478334, 0.00589133, 0.00640999, 0.00663304, 0.00672195, 0.00676125" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00648755, 0.00762253, 0.00872674, 0.0104923, 0.0108267, 0.0108093" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * RN * Q * !QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * RN * Q * !QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "-0.000407453, -0.000672917, -0.00095897, -0.000606631, 0.00364476, 0.0244506" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.012195, 0.0118804, 0.0118071, 0.0126572, 0.0173313, 0.0384405" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * RN * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * RN * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0103983, 0.00992748, 0.00918916, 0.00997684, 0.0175682, 0.0481833" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * !RN * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(D * !RN * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00277649, 0.00233988, 0.00183146, 0.00269433, 0.0108226, 0.0497918" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0159, 0.0154278, 0.0152978, 0.0168654, 0.0254546, 0.0644773" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(!D * RN * Q * !QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(!D * RN * Q * !QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0126542, 0.0123238, 0.0117411, 0.0120574, 0.0163159, 0.046004" \
+          );
+        }
+        fall_power (scalar) {
+          values ( \
+            "0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(!D * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(!D * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "-0.000332405, -0.000662267, -0.000929555, -0.000555097, 0.00359885, 0.0244363" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0131254, 0.012813, 0.0127205, 0.0134361, 0.0179866, 0.0388311" \
+          );
+        }
+      }
+    }
+    pin (D) {
+      direction : input;
+      related_ground_pin : GND;
+      related_power_pin : VDD;
+      max_transition : 10;
+      capacitance : 0.00277483;
+      rise_capacitance : 0.00277483;
+      rise_capacitance_range (0.00237914, 0.00277483);
+      fall_capacitance : 0.00277255;
+      fall_capacitance_range (0.00218873, 0.00277255);
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "RN";
+        timing_type : hold_falling;
+        when : "RN";
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0987195, -0.160564, -0.076044", \
+            "-0.164285, -0.207512, -0.105354", \
+            "0.0202376, -0.0219286, -0.557113" \
+          );
+        }
+        fall_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0720622, -0.0011479, 0.673247", \
+            "-0.172057, -0.0917153, 0.584794", \
+            "-1.26609, -1.11984, -0.260874" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        timing_type : hold_falling;
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0987195, -0.160564, -0.076044", \
+            "-0.164285, -0.207512, -0.105354", \
+            "0.0202376, -0.0219286, -0.557113" \
+          );
+        }
+        fall_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0720622, -0.0011479, 0.673247", \
+            "-0.172057, -0.0917153, 0.584794", \
+            "-1.26609, -1.11984, -0.260874" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "RN";
+        timing_type : setup_falling;
+        when : "RN";
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.162744, 0.172806, 0.111219", \
+            "0.228306, 0.220106, 0.140662", \
+            "0.0772435, 0.0587378, 0.596065" \
+          );
+        }
+        fall_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.173041, 0.110017, -0.459768", \
+            "0.272998, 0.206974, -0.371804", \
+            "1.41128, 1.32003, 0.530555" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        timing_type : setup_falling;
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.162744, 0.172806, 0.111219", \
+            "0.228306, 0.220106, 0.140662", \
+            "0.0772435, 0.0587378, 0.596065" \
+          );
+        }
+        fall_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.173041, 0.110017, -0.459768", \
+            "0.272998, 0.206974, -0.371804", \
+            "1.41128, 1.32003, 0.530555" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(CK * RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00205767, 0.00249523, 0.00269052, 0.0028163, 0.00289997, 0.0030333" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00270918, 0.00272634, 0.00276442, 0.00295857, 0.00279176, 0.00258037" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00191218, 0.00218283, 0.00228043, 0.00232469, 0.00235662, 0.00236543" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00243988, 0.00255724, 0.00267812, 0.00310837, 0.00333857, 0.00334194" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(CK * !RN)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00205811, 0.00249562, 0.00269076, 0.00281633, 0.00290025, 0.00305471" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00270979, 0.00272521, 0.00276276, 0.00304397, 0.00292043, 0.00267769" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00191306, 0.00218334, 0.00228029, 0.0023249, 0.00235675, 0.00236543" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00244064, 0.0025572, 0.00267886, 0.00317823, 0.0034717, 0.00347529" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "!CK";
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00201722, 0.00245825, 0.0026355, 0.00269806, 0.00271678, 0.00272162" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00254749, 0.002536, 0.00245551, 0.00242466, 0.002423, 0.00242782" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00190427, 0.00216325, 0.00224621, 0.002281, 0.00230273, 0.00230784" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0023603, 0.00255416, 0.00272729, 0.00277373, 0.00277542, 0.00277108" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * RN * Q * !QN) + (CK * RN * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * RN * Q * !QN) + (CK * RN * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00963515, 0.00948876, 0.0092651, 0.00929615, 0.0116391, 0.0245678" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0151641, 0.0150727, 0.0149389, 0.0150555, 0.0176108, 0.0308325" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * !RN * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * !RN * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00312267, 0.00298946, 0.00278978, 0.0028785, 0.0052942, 0.018147" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00821764, 0.00812133, 0.00800844, 0.00811197, 0.0106516, 0.0235163" \
+          );
+        }
+      }
+      internal_power () {
+        when : "!CK";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "!CK";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "-0.00213199, -0.00234186, -0.00245875, -0.00250301, -0.0025202, -0.00251724" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0025139, 0.00252775, 0.00252812, 0.00254111, 0.00255027, 0.00253248" \
+          );
+        }
+      }
+    }
+    pin (RN) {
+      direction : input;
+      related_ground_pin : GND;
+      related_power_pin : VDD;
+      max_transition : 10;
+      capacitance : 0.00276523;
+      rise_capacitance : 0.00276523;
+      rise_capacitance_range (0.00222222, 0.00276523);
+      fall_capacitance : 0.00276337;
+      fall_capacitance_range (0.00206337, 0.00276337);
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "D";
+        timing_type : recovery_falling;
+        when : "D";
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.132468, 0.0538457, -0.716065", \
+            "0.200508, 0.120708, -0.65507", \
+            "0.0565842, -0.0657747, -0.887896" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        timing_type : recovery_falling;
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.132468, 0.0538457, -0.716065", \
+            "0.200508, 0.120708, -0.65507", \
+            "0.0565842, -0.0657747, -0.887896" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        sdf_cond : "D";
+        timing_type : removal_falling;
+        when : "D";
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0955255, -0.0322858, 0.726872", \
+            "-0.160325, -0.0995667, 0.665818", \
+            "0.0711084, 0.129995, 0.901501" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "CK";
+        timing_type : removal_falling;
+        rise_constraint (constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          index_2 ("0.00974609, 0.312187, 10");
+          values ( \
+            "-0.0955255, -0.0322858, 0.726872", \
+            "-0.160325, -0.0995667, 0.665818", \
+            "0.0711084, 0.129995, 0.901501" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "CK";
+        timing_type : min_pulse_width;
+        when : "CK";
+        fall_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.129871, 0.41626, 13.3337" \
+          );
+        }
+      }
+      timing () {
+        related_pin : "RN";
+        sdf_cond : "~CK";
+        timing_type : min_pulse_width;
+        when : "!CK";
+        fall_constraint (mpw_constraint_template) {
+          index_1 ("0.00974609, 0.312187, 10");
+          values ( \
+            "0.126724, 0.41626, 13.3337" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(CK * D)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00195952, 0.00235269, 0.00254796, 0.00270446, 0.00286125, 0.00307848" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00253647, 0.0025603, 0.00289394, 0.00326773, 0.00302723, 0.00266774" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00180619, 0.00207481, 0.00218016, 0.00223065, 0.00225525, 0.0022698" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00230545, 0.00242679, 0.00263501, 0.00329228, 0.00369166, 0.00367829" \
+          );
+        }
+      }
+      receiver_capacitance () {
+        when : "(CK * !D) + (!CK)";
+        is_propagating : true;
+        receiver_capacitance1_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0019596, 0.00235254, 0.00254793, 0.00270499, 0.00286698, 0.00310841" \
+          );
+        }
+        receiver_capacitance2_rise (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00253633, 0.00256045, 0.00291636, 0.00329148, 0.00302757, 0.00263004" \
+          );
+        }
+        receiver_capacitance1_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00180473, 0.00207501, 0.00218145, 0.00222997, 0.00225636, 0.00227048" \
+          );
+        }
+        receiver_capacitance2_fall (receiver_cap_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00230232, 0.00243014, 0.00265687, 0.00344161, 0.00370443, 0.00368483" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * D * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * D * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00969765, 0.00950462, 0.00916329, 0.00953841, 0.0140562, 0.0357725" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.0150581, 0.0148802, 0.0147002, 0.0154048, 0.0197614, 0.041263" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * !D * !Q * QN) + (!CK * !Q * QN)";
+        related_pg_pin : GND;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0, 0, 0, 0, 0, 0" \
+          );
+        }
+      }
+      internal_power () {
+        when : "(CK * !D * !Q * QN) + (!CK * !Q * QN)";
+        related_pg_pin : VDD;
+        rise_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00301893, 0.00285148, 0.00263585, 0.0030377, 0.00739016, 0.0281189" \
+          );
+        }
+        fall_power (passive_power_template) {
+          index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
+          values ( \
+            "0.00790029, 0.00773686, 0.00769143, 0.00843144, 0.0130299, 0.0339391" \
+          );
+        }
+      }
+    }
+    ff (IQ,IQN) {
+      clear : "!RN";
+      clocked_on : "!CK";
+      next_state : "D";
+      power_down_function : "(!VDD) + (GND)";
+    }
+  }
   cell (sky130_osu_sc_12T_ms__dffr_1) {
     area : 42.4908;
     cell_footprint : "sky130_osu_sc_12T_ms__dffr";
@@ -114496,7 +129880,7 @@
             "0.291882, 0.347686, 0.536105, 1.2307, 3.98192, 14.9642", \
             "0.318929, 0.374661, 0.563135, 1.25746, 4.00718, 14.9906", \
             "0.363776, 0.419276, 0.607054, 1.3012, 4.05008, 15.0347", \
-            "0.38112, 0.437051, 0.625806, 1.31997, 4.06869, 15.0533", \
+            "0.381121, 0.437073, 0.625918, 1.3202, 4.06869, 15.0533", \
             "0.0847053, 0.143938, 0.33712, 1.03352, 3.78334, 14.7542" \
           );
         }
@@ -114508,7 +129892,7 @@
             "0.0275886, 0.0573778, 0.178645, 0.668446, 2.6292, 10.4519", \
             "0.027625, 0.0573687, 0.178638, 0.668858, 2.62863, 10.4515", \
             "0.02754, 0.0573617, 0.178517, 0.668399, 2.62874, 10.4522", \
-            "0.0278699, 0.0575729, 0.178798, 0.668444, 2.6292, 10.4512", \
+            "0.0279048, 0.0575699, 0.17874, 0.668365, 2.6292, 10.4512", \
             "0.0299068, 0.0588253, 0.179133, 0.668395, 2.62846, 10.4549" \
           );
         }
@@ -114518,22 +129902,22 @@
           values ( \
             "0.290033, 0.338944, 0.523789, 1.25384, 4.16418, 15.7786", \
             "0.299173, 0.3481, 0.532999, 1.26315, 4.1735, 15.7905", \
-            "0.331374, 0.380297, 0.565075, 1.29509, 4.20551, 15.8208", \
+            "0.331374, 0.380297, 0.565075, 1.29509, 4.20551, 15.8217", \
             "0.38769, 0.436662, 0.621516, 1.35204, 4.26222, 15.8759", \
             "0.423712, 0.472676, 0.657475, 1.3877, 4.29809, 15.9127", \
-            "0.183437, 0.232466, 0.417192, 1.14747, 4.05896, 15.6739" \
+            "0.183475, 0.232469, 0.417264, 1.1475, 4.05814, 15.6703" \
           );
         }
         fall_transition (delay_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           index_2 ("0.00124625, 0.00497602, 0.0198682, 0.0793292, 0.316744, 1.26469");
           values ( \
-            "0.0207713, 0.0449601, 0.141915, 0.528407, 2.07105, 8.23177", \
-            "0.0207743, 0.0449496, 0.141974, 0.52831, 2.07092, 8.22861", \
-            "0.0207555, 0.0449511, 0.14203, 0.528067, 2.07267, 8.22593", \
-            "0.0207832, 0.0449866, 0.1422, 0.528057, 2.07259, 8.23267", \
-            "0.0208018, 0.0449716, 0.141993, 0.528013, 2.07321, 8.23269", \
-            "0.0209011, 0.0450393, 0.141929, 0.528353, 2.07098, 8.23081" \
+            "0.0207713, 0.0449601, 0.141915, 0.528407, 2.07105, 8.23181", \
+            "0.0207743, 0.0449496, 0.141974, 0.52831, 2.07092, 8.22865", \
+            "0.0207555, 0.0449511, 0.14203, 0.528067, 2.07267, 8.23058", \
+            "0.0207832, 0.0449866, 0.1422, 0.528057, 2.07259, 8.23271", \
+            "0.0208018, 0.0449716, 0.141993, 0.528013, 2.07321, 8.23274", \
+            "0.0208985, 0.0450233, 0.141983, 0.528347, 2.07246, 8.23503" \
           );
         }
         output_current_rise () {
@@ -114687,7 +130071,7 @@
             index_2 ("0.316744");
             index_3 ("0, 0.263839, 0.310484, 0.439094, 0.475809, 0.52024, 0.564492, 0.91087, 1.02902, 1.21525, 1.28781, 1.35207, 1.43775, 1.60911, 1.95183, 2.45804, 2.77251, 2.95227, 3.3118, 3.45997, 3.65752, 3.74843, 3.93025, 4.13805, 4.54846, 4.79904, 5.00813, 5.70883, 6.21569, 6.72076, 7.06652, 7.3743, 7.81968, 8.20213, 8.65649, 9.26231, 10.4278, 11.7026");
             values ( \
-              "4.65043e-08, 9.45412e-05, 0.000509897, 0.0179073, 0.0198376, 0.0203413, 0.0196998, 0.00989976, 0.00752779, 0.00501378, 0.00466912, 0.0048588, 0.00606505, 0.0123436, 0.0402545, 0.0953407, 0.121966, 0.132466, 0.144897, 0.147091, 0.148125, 0.148014, 0.146746, 0.143915, 0.133483, 0.123606, 0.113887, 0.0785467, 0.0559466, 0.0383813, 0.0291634, 0.0226631, 0.0155777, 0.0112046, 0.00753981, 0.00436246, 0.0013946, 0.000376423" \
+              "4.65031e-08, 9.45412e-05, 0.000509897, 0.0179073, 0.0198376, 0.0203413, 0.0196998, 0.00989976, 0.00752779, 0.00501378, 0.00466912, 0.0048588, 0.00606505, 0.0123436, 0.0402545, 0.0953407, 0.121966, 0.132466, 0.144897, 0.147091, 0.148125, 0.148014, 0.146746, 0.143915, 0.133483, 0.123606, 0.113887, 0.0785467, 0.0559466, 0.0383813, 0.0291634, 0.0226631, 0.0155777, 0.0112046, 0.00753981, 0.00436246, 0.0013946, 0.000376423" \
             );
           }
           vector (ccs_template) {
@@ -114739,7 +130123,7 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.316744");
-            index_3 ("0.0018804, 0.747429, 0.863175, 0.937831, 1.02791, 1.39983, 1.69813, 1.84038, 2.03004, 2.38528, 2.89281, 3.21111, 3.38752, 3.74033, 3.90857, 4.1329, 4.28517, 4.57336, 4.98325, 5.40003, 6.23628, 6.81781, 7.39702, 7.85176, 8.57569, 9.08948, 9.49721, 10.3127, 10.5805");
+            index_3 ("0.00188039, 0.747429, 0.863175, 0.937831, 1.02791, 1.39983, 1.69813, 1.84038, 2.03004, 2.38528, 2.89281, 3.21111, 3.38752, 3.74033, 3.90857, 4.1329, 4.28517, 4.57336, 4.98325, 5.40003, 6.23628, 6.81781, 7.39702, 7.85176, 8.57569, 9.08948, 9.49721, 10.3127, 10.5805");
             values ( \
               "1e-22, 0.000493373, 0.0168367, 0.0204512, 0.0191801, 0.00875524, 0.00472676, 0.00544692, 0.0115667, 0.0399961, 0.0952288, 0.12215, 0.132429, 0.14473, 0.147201, 0.148103, 0.147437, 0.143876, 0.133412, 0.116031, 0.074117, 0.0495656, 0.0317332, 0.0218718, 0.0117764, 0.00753081, 0.00526577, 0.00245798, 0.00208653" \
             );
@@ -114757,36 +130141,36 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.42965, 2.43096, 2.43515, 2.44784, 2.45456, 2.45945, 2.46266, 2.46359, 2.46545, 2.46769, 2.47182, 2.47676, 2.47886, 2.48245, 2.48661, 2.49338, 2.49767, 2.50314, 2.50831, 2.51195, 2.51898, 2.52836, 2.54368, 2.56225");
+            index_3 ("2.42922, 2.43519, 2.44604, 2.45515, 2.45955, 2.46369, 2.46781, 2.47192, 2.47692, 2.47892, 2.48232, 2.48665, 2.49119, 2.49489, 2.49881, 2.50545, 2.51064, 2.51876, 2.52959, 2.53218");
             values ( \
-              "0.00580084, 0.00677532, 0.0122525, 0.0371086, 0.0480981, 0.0532342, 0.0549037, 0.055026, 0.0549873, 0.0538303, 0.0474951, 0.0339406, 0.0294032, 0.0229343, 0.017162, 0.0107034, 0.00787203, 0.00530001, 0.00365507, 0.00280036, 0.00169022, 0.000869199, 0.000256968, 7.38476e-05" \
+              "0.00576631, 0.0119539, 0.0337115, 0.0488467, 0.053194, 0.0551741, 0.0538819, 0.0475507, 0.0337895, 0.0294734, 0.0232758, 0.0172596, 0.0125803, 0.00969921, 0.00728532, 0.00449467, 0.00308519, 0.00171003, 0.00080871, 0.000708042" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00497602");
-            index_3 ("2.43827, 2.45867, 2.46661, 2.48811, 2.49285, 2.50246, 2.51119, 2.5197, 2.52821, 2.53135, 2.55211, 2.56831, 2.58174, 2.59185, 2.60844, 2.63033, 2.64372");
+            index_3 ("2.43819, 2.45857, 2.46699, 2.48688, 2.49292, 2.50252, 2.51124, 2.51976, 2.52826, 2.5314, 2.55217, 2.56837, 2.58179, 2.59191, 2.6085, 2.63038, 2.64218");
             values ( \
-              "1e-22, 0.0123853, 0.0275046, 0.0777011, 0.0868069, 0.0997865, 0.105319, 0.105437, 0.100229, 0.096223, 0.0576023, 0.0344788, 0.0216667, 0.0150393, 0.00806435, 0.00348985, 0.00218502" \
+              "1e-22, 0.0121742, 0.0282291, 0.0750556, 0.0867802, 0.0998465, 0.105276, 0.105492, 0.10018, 0.0962221, 0.0576018, 0.0344778, 0.0216697, 0.0150384, 0.00806365, 0.00349112, 0.00234033" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0198682");
-            index_3 ("0.000136231, 2.27652, 2.31994, 2.38679, 2.39981, 2.41245, 2.43447, 2.46645, 2.50114, 2.53582, 2.55018, 2.59335, 2.62741, 2.65558, 2.68205, 2.70853, 2.73497, 2.75282, 2.81512, 2.85051, 2.88413, 2.90719, 2.93037, 2.96944, 2.99637, 3.03721, 3.09167, 3.1281");
+            index_3 ("4.20517e-06, 2.00558, 2.28673, 2.30588, 2.32407, 2.38197, 2.40055, 2.41301, 2.43714, 2.46962, 2.50455, 2.53197, 2.54088, 2.55657, 2.59349, 2.60727, 2.62744, 2.65558, 2.68204, 2.7085, 2.73494, 2.75287, 2.81521, 2.85106, 2.88351, 2.90576, 2.93082, 2.9449, 2.97308, 2.99665, 3.00864, 3.03262, 3.08059, 3.14565");
             values ( \
-              "1e-22, 4.81659e-05, 0.000353128, 0.00339801, 0.00371605, 0.00377564, 0.00331794, 0.00158836, 0.00032287, 0.0157923, 0.030462, 0.0876071, 0.120476, 0.133472, 0.136816, 0.133679, 0.125071, 0.115146, 0.0716417, 0.0505238, 0.035087, 0.02691, 0.0204486, 0.0126653, 0.00904668, 0.00538123, 0.00260728, 0.00181128" \
+              "1e-22, 1.21764e-06, 7.15167e-05, 0.000134983, 0.000466083, 0.00322463, 0.00372812, 0.00377341, 0.00321632, 0.00135144, 0.000739325, 0.0129946, 0.020914, 0.0387092, 0.088028, 0.103467, 0.120632, 0.13358, 0.136841, 0.13373, 0.125068, 0.115109, 0.0715692, 0.0502228, 0.0353218, 0.0273563, 0.0203343, 0.0171507, 0.012095, 0.00900876, 0.00774793, 0.00570767, 0.00303593, 0.00137328" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0793292");
-            index_3 ("2.49767, 2.76009, 2.83102, 2.97555, 3.05664, 3.10085, 3.18928, 3.20482, 3.23589, 3.30331, 3.40465, 3.43348, 3.46643, 3.50664, 3.58706, 3.62349, 3.79287, 3.83892, 3.93103, 3.99399, 4.06299, 4.155, 4.23059, 4.27976, 4.3781, 4.44962, 4.47382, 4.52222, 4.61901, 4.81261, 5.11925, 5.48706");
+            index_3 ("2.4688, 2.7584, 2.80335, 2.97661, 3.05894, 3.10185, 3.18769, 3.2419, 3.30424, 3.40568, 3.4707, 3.50757, 3.58131, 3.81738, 3.9716, 4.10636, 4.16558, 4.25781, 4.32257, 4.43305, 4.5448, 4.63141, 4.80463, 4.94176");
             values ( \
-              "0.011523, 0.0129144, 0.0348621, 0.0948065, 0.120663, 0.130522, 0.142342, 0.143485, 0.144997, 0.145833, 0.141801, 0.139578, 0.136426, 0.131584, 0.118902, 0.112098, 0.0787932, 0.0703178, 0.0548919, 0.0458508, 0.0373152, 0.0280188, 0.0220196, 0.018753, 0.0134966, 0.0105999, 0.00976401, 0.00828045, 0.00592571, 0.00295722, 0.000895724, 0.000196019" \
+              "0.00950178, 0.0124121, 0.0247545, 0.0949544, 0.121019, 0.130613, 0.142103, 0.145141, 0.14586, 0.141792, 0.136066, 0.131579, 0.120077, 0.0743976, 0.0490676, 0.0327568, 0.0271853, 0.0203209, 0.0164125, 0.0112976, 0.00772639, 0.00572938, 0.0030903, 0.00213164" \
             );
           }
           vector (ccs_template) {
@@ -114822,16 +130206,16 @@
             index_2 ("0.00497602");
             index_3 ("8.40689, 8.41895, 8.44947, 8.45956, 8.46858, 8.47728, 8.48598, 8.49065, 8.51054, 8.5263, 8.54997, 8.56663, 8.58777, 8.59819");
             values ( \
-              "0.0176088, 0.0205318, 0.0817485, 0.0959909, 0.102515, 0.103597, 0.0989759, 0.0930919, 0.0564398, 0.0343067, 0.0149257, 0.00799093, 0.00356879, 0.00251899" \
+              "0.0176087, 0.0205318, 0.0817485, 0.0959909, 0.102515, 0.103597, 0.0989759, 0.0930919, 0.0564398, 0.0343067, 0.0149257, 0.00799093, 0.00356879, 0.00251899" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0198682");
-            index_3 ("3.62313e-05, 7.58622, 8.17299, 8.19737, 8.21593, 8.23047, 8.2492, 8.33754, 8.34886, 8.37149, 8.40179, 8.43236, 8.46728, 8.48937, 8.50641, 8.51961, 8.55489, 8.56811, 8.58919, 8.6175, 8.64405, 8.67061, 8.69709, 8.71444, 8.77652, 8.80361, 8.82924, 8.85637, 8.87931, 8.90037, 8.92844, 8.95012, 8.97411, 9.00609, 9.07007, 9.11528");
+            index_3 ("3.62407e-05, 7.58622, 8.17299, 8.19737, 8.21593, 8.23047, 8.2492, 8.33754, 8.34886, 8.37149, 8.40179, 8.43236, 8.46728, 8.48937, 8.50641, 8.51961, 8.55489, 8.56811, 8.58919, 8.6175, 8.64405, 8.67061, 8.69709, 8.71444, 8.77652, 8.80361, 8.82924, 8.85637, 8.87931, 8.90037, 8.92844, 8.95012, 8.97411, 9.00609, 9.07007, 9.11528");
             values ( \
-              "1e-22, 2.97371e-07, 1.85733e-05, 5.32613e-05, 0.000109412, 0.000229119, 0.000483124, 0.00239131, 0.00259017, 0.002786, 0.0023316, 0.000889827, 0.00187899, 0.0115117, 0.0260997, 0.0412409, 0.086923, 0.101602, 0.119781, 0.13296, 0.136504, 0.133477, 0.124959, 0.115371, 0.0720952, 0.0554633, 0.0423518, 0.0312662, 0.0239162, 0.0185911, 0.0131518, 0.0100368, 0.00742241, 0.00492056, 0.00206759, 0.00128919" \
+              "1e-22, 2.97371e-07, 1.85733e-05, 5.32613e-05, 0.000109412, 0.000229119, 0.000483124, 0.00239131, 0.00259017, 0.002786, 0.0023316, 0.000889826, 0.001879, 0.0115117, 0.0260997, 0.0412409, 0.086923, 0.101602, 0.119781, 0.13296, 0.136504, 0.133477, 0.124959, 0.115371, 0.0720952, 0.0554633, 0.0423518, 0.0312662, 0.0239162, 0.0185911, 0.0131518, 0.0100368, 0.00742241, 0.00492056, 0.00206759, 0.0012892" \
             );
           }
           vector (ccs_template) {
@@ -114840,7 +130224,7 @@
             index_2 ("0.0793292");
             index_3 ("8.43678, 8.74059, 8.79452, 8.94013, 9.02325, 9.06531, 9.14943, 9.16919, 9.20871, 9.26768, 9.36921, 9.40058, 9.43471, 9.47103, 9.54368, 9.77942, 9.84032, 9.93427, 10.014, 10.0734, 10.1499, 10.2123, 10.2877, 10.3941, 10.5053, 10.5906, 10.7612, 11.0706, 11.4368");
             values ( \
-              "0.00541716, 0.0170997, 0.034783, 0.0950358, 0.12131, 0.130595, 0.141979, 0.143473, 0.145261, 0.145804, 0.141767, 0.139324, 0.13599, 0.131567, 0.120255, 0.0746552, 0.0638387, 0.0491727, 0.0388678, 0.0323926, 0.0254236, 0.0207815, 0.0162028, 0.0113118, 0.00775002, 0.00577456, 0.00314542, 0.00094378, 0.000206544" \
+              "0.00541718, 0.0170997, 0.0347829, 0.0950358, 0.12131, 0.130595, 0.141979, 0.143473, 0.145261, 0.145804, 0.141767, 0.139324, 0.13599, 0.131567, 0.120255, 0.0746552, 0.0638388, 0.0491727, 0.0388678, 0.0323925, 0.0254236, 0.0207815, 0.0162028, 0.0113118, 0.00775001, 0.00577455, 0.00314541, 0.000943778, 0.000206543" \
             );
           }
           vector (ccs_template) {
@@ -114849,7 +130233,7 @@
             index_2 ("0.316744");
             index_3 ("8.61359, 9.82111, 10.4389, 10.7489, 10.9326, 11.3, 11.5777, 11.7288, 12.031, 12.2931, 12.5282, 12.945, 13.7817, 14.3628, 14.941, 15.3968, 16.1208, 16.9375, 17.8992");
             values ( \
-              "0.00133694, 0.0294842, 0.0955512, 0.121777, 0.132574, 0.145093, 0.148062, 0.148016, 0.145349, 0.140324, 0.133436, 0.116029, 0.0740917, 0.0495647, 0.0317517, 0.0218717, 0.0117781, 0.0057911, 0.00285088" \
+              "0.00133697, 0.0294842, 0.0955512, 0.121777, 0.132574, 0.145093, 0.148062, 0.148016, 0.145349, 0.140324, 0.133436, 0.116029, 0.0740917, 0.0495647, 0.0317517, 0.0218717, 0.0117781, 0.00579111, 0.00285088" \
             );
           }
           vector (ccs_template) {
@@ -114858,7 +130242,7 @@
             index_2 ("1.26469");
             index_3 ("9.22016, 11.7597, 12.0098, 12.3432, 13.01, 14.2848, 16.4116, 17.113, 17.8148, 18.3657, 19.2501, 19.9898, 21.2647, 22.0779, 23.085, 24.3598, 24.718, 25.4344, 26.5428, 29.5979, 31.9089, 33.1843, 34.1078, 35.2976, 36.2682, 37.4408, 38.7156, 40.2848, 42.4917, 45.0414, 47.591, 48.8392");
             values ( \
-              "0.030797, 0.00507122, 0.00517363, 0.00620644, 0.0120229, 0.0373127, 0.0957292, 0.111856, 0.124873, 0.132791, 0.141715, 0.146075, 0.148661, 0.147696, 0.144416, 0.136841, 0.133907, 0.127036, 0.114364, 0.0756652, 0.0507362, 0.0398544, 0.0332122, 0.026071, 0.0213112, 0.0166119, 0.0126176, 0.00895905, 0.00549499, 0.00310379, 0.00174663, 0.00148584" \
+              "0.030797, 0.00507122, 0.00517363, 0.00620643, 0.0120229, 0.0373126, 0.0957292, 0.111856, 0.124873, 0.132791, 0.141715, 0.146075, 0.148661, 0.147696, 0.144416, 0.136841, 0.133907, 0.127036, 0.114364, 0.0756652, 0.0507362, 0.0398544, 0.0332122, 0.026071, 0.0213112, 0.0166119, 0.0126176, 0.00895905, 0.00549499, 0.00310379, 0.00174663, 0.00148584" \
             );
           }
         }
@@ -114870,7 +130254,7 @@
             "0.00696438, 0.00696424, 0.00696517, 0.00696517, 0.00696518, 0.00696519", \
             "0.00760002, 0.0076, 0.00760002, 0.00760001, 0.00760001, 0.00760001", \
             "0.00810779, 0.00810778, 0.00810778, 0.00810777, 0.00810777, 0.00810777", \
-            "0.00875671, 0.00875758, 0.00875672, 0.00875673, 0.00875759, 0.00875759", \
+            "0.00875757, 0.00875671, 0.00875758, 0.00875759, 0.00875759, 0.00875759", \
             "0.00926889, 0.0092626, 0.009257, 0.00925332, 0.00925182, 0.0092514" \
           );
         }
@@ -114882,7 +130266,7 @@
             "0.00824629, 0.00824619, 0.00824519, 0.00824503, 0.00824497, 0.00824495", \
             "0.00981846, 0.00981853, 0.00981846, 0.00981841, 0.00981837, 0.00981835", \
             "0.00995815, 0.00993621, 0.00991576, 0.00990704, 0.0099042, 0.00990329", \
-            "0.00862521, 0.00862314, 0.00862504, 0.00863417, 0.0086252, 0.00862345", \
+            "0.00862306, 0.00862491, 0.00862326, 0.00863174, 0.0086252, 0.00862345", \
             "0.00783586, 0.00784833, 0.00785693, 0.00786319, 0.00787021, 0.00786496" \
           );
         }
@@ -114936,9 +130320,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("1.26469");
-            index_3 ("0.0700948, 0.168563, 0.196842, 0.305976, 1.33792, 2.53283, 3.58807, 4.66692, 5.16058, 6.1479, 7.27979, 9.44972, 10.5816, 11.4858, 12.4097, 13.0693, 14.2012, 15.0212, 15.7857, 17.0574, 17.3369, 17.8268, 18.3265, 19.3259, 21.3562, 22.4501, 23.4685, 23.9213, 24.827, 25.4486, 26.1338, 27.0473, 28.1792, 29.3111, 30.443, 32.7068");
+            index_3 ("0.0700991, 0.168567, 0.196846, 0.30598, 1.33793, 2.53284, 3.58807, 4.66692, 5.16058, 6.14791, 7.28049, 9.44972, 10.5823, 11.4858, 12.4096, 13.0693, 14.2019, 15.0198, 15.7857, 17.0574, 17.3356, 17.8261, 18.3265, 19.3273, 21.3563, 22.45, 23.4685, 23.9214, 24.8273, 25.4488, 26.1337, 27.047, 28.1796, 29.3122, 30.4448, 32.7099");
             values ( \
-              "-0.00010126, -0.000428163, -0.00174197, -0.0189807, -0.0132872, -0.00839115, -0.00533874, -0.00408089, -0.00538915, -0.0156119, -0.0386867, -0.0908647, -0.114898, -0.131536, -0.146044, -0.154793, -0.166869, -0.173292, -0.177561, -0.180743, -0.180636, -0.179383, -0.176164, -0.158707, -0.0949503, -0.0659316, -0.0453802, -0.0381341, -0.0266771, -0.0207213, -0.0156577, -0.0107206, -0.00658481, -0.0040784, -0.00246564, -0.000907839" \
+              "-0.00010126, -0.000428163, -0.00174197, -0.0189807, -0.0132872, -0.00839115, -0.00533874, -0.00408089, -0.00538915, -0.0156119, -0.038703, -0.0908643, -0.114912, -0.131536, -0.146043, -0.154793, -0.166876, -0.173283, -0.177561, -0.180743, -0.180638, -0.179386, -0.176164, -0.158671, -0.0949483, -0.065936, -0.0453805, -0.0381326, -0.0266745, -0.0207203, -0.015658, -0.0107223, -0.00658344, -0.00407669, -0.00246342, -0.000906265" \
             );
           }
           vector (ccs_template) {
@@ -114990,9 +130374,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("1.26469");
-            index_3 ("0.113985, 0.226962, 0.357953, 1.68888, 3.12093, 4.25283, 5.05218, 5.48652, 6.06564, 6.99433, 9.12263, 10.242, 11.3739, 12.446, 13.1098, 14.2417, 15.0593, 15.8252, 17.0966, 17.3758, 17.8658, 18.3656, 19.3653, 22.0523, 23.0806, 23.6525, 24.4315, 25.3498, 25.9468, 26.9439, 28.0758, 29.2077, 30.1534");
+            index_3 ("0.114009, 0.226986, 0.357976, 1.6889, 3.12096, 4.25354, 5.05235, 5.48626, 6.0648, 6.99255, 9.12293, 10.2415, 11.374, 12.4452, 13.1098, 14.2424, 15.058, 15.8252, 17.0967, 17.3744, 17.8651, 18.3656, 19.3667, 22.0523, 23.0803, 23.6527, 24.4322, 25.3512, 25.9463, 26.9401, 28.0727, 29.2053, 30.1498");
             values ( \
-              "-0.000113246, -0.00116392, -0.0190035, -0.0118094, -0.00665992, -0.00420131, -0.00479411, -0.00725076, -0.0138193, -0.0313377, -0.0825312, -0.107315, -0.128986, -0.146063, -0.154856, -0.166927, -0.173325, -0.177588, -0.180761, -0.180661, -0.179397, -0.176182, -0.158702, -0.076641, -0.0532036, -0.0429836, -0.0317959, -0.021943, -0.0172441, -0.0114203, -0.0070288, -0.00434669, -0.00291878" \
+              "-0.000113246, -0.00116392, -0.0190035, -0.0118094, -0.00665992, -0.00420049, -0.00479462, -0.00724845, -0.0138066, -0.0312977, -0.0825379, -0.107304, -0.128989, -0.146052, -0.154856, -0.166933, -0.173316, -0.177588, -0.180761, -0.180663, -0.1794, -0.176182, -0.158666, -0.0766428, -0.0532092, -0.0429822, -0.0317877, -0.0219306, -0.0172482, -0.0114387, -0.00703787, -0.0043513, -0.00292352" \
             );
           }
           vector (ccs_template) {
@@ -115044,9 +130428,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.26469");
-            index_3 ("0.257833, 0.351442, 0.479622, 1.26865, 2.21127, 2.96907, 4.09183, 5.02573, 5.32072, 5.91071, 7.0426, 9.1707, 10.5085, 11.6517, 12.3548, 13.5445, 14.6373, 15.6782, 15.9496, 16.4924, 17.2187, 18.3506, 18.7712, 19.2842, 19.8783, 21.6402, 22.2661, 23.1006, 23.6191, 24.2651, 25.1264, 25.6717, 26.2223, 26.9564, 28.0883, 29.2202, 30.3521, 31.484, 33.7478");
+            index_3 ("0.259069, 0.352678, 0.480858, 1.26988, 2.21251, 2.9703, 4.09307, 5.02696, 5.32196, 5.91195, 7.04453, 9.17262, 10.5083, 11.653, 12.403, 13.2388, 14.6404, 15.1785, 15.9516, 17.2232, 17.9919, 18.4923, 19.4931, 21.5221, 22.6158, 23.6343, 24.0872, 24.9931, 25.6146, 26.2995, 27.2128, 28.3454, 29.478, 30.6106, 32.8757");
             values ( \
-              "-0.000155532, -0.00109074, -0.0190038, -0.0144468, -0.0101489, -0.0074942, -0.00463182, -0.00436715, -0.00538546, -0.010145, -0.0296038, -0.0806546, -0.110159, -0.131576, -0.142833, -0.158479, -0.169178, -0.176235, -0.17756, -0.179564, -0.180721, -0.177363, -0.173025, -0.163653, -0.147307, -0.0913645, -0.0743012, -0.0551969, -0.0455208, -0.0355355, -0.0252369, -0.0202325, -0.0161588, -0.0119235, -0.00735911, -0.00453608, -0.00276971, -0.00170457, -0.000639437" \
+              "-0.000155532, -0.00109074, -0.0190038, -0.0144468, -0.0101489, -0.0074942, -0.00463182, -0.00436715, -0.00538546, -0.010145, -0.0296188, -0.0806705, -0.11013, -0.131577, -0.143532, -0.154852, -0.169218, -0.173233, -0.177573, -0.180753, -0.179396, -0.176159, -0.158679, -0.094941, -0.0659439, -0.0453884, -0.0381248, -0.0266824, -0.0207125, -0.0156502, -0.0107301, -0.00657555, -0.00408458, -0.00245552, -0.000898358" \
             );
           }
           vector (ccs_template) {
@@ -115098,9 +130482,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.26469");
-            index_3 ("0.661136, 0.79888, 0.927042, 2.62886, 4.04706, 5.17896, 5.77212, 6.90401, 11.1913, 12.0966, 13.0208, 13.6804, 14.8123, 15.6329, 16.3972, 17.669, 18.4385, 18.9381, 19.9373, 21.9679, 23.0618, 24.0802, 25.4387, 26.0603, 26.7455, 27.659, 28.7909, 29.9228, 31.0547, 33.3184");
+            index_3 ("0.661074, 0.798817, 0.92698, 2.62879, 4.047, 5.17959, 5.77202, 6.90461, 11.1919, 12.0965, 13.0207, 13.6803, 14.8129, 15.6315, 16.3971, 17.6689, 18.4377, 18.938, 19.9387, 21.9679, 23.0616, 24.0801, 25.4389, 26.0604, 26.7453, 27.6586, 28.7912, 29.9238, 31.0564, 33.3215");
             values ( \
-              "-9.09485e-05, -0.00100167, -0.0189545, -0.0102833, -0.00573083, -0.00401491, -0.00540283, -0.0179727, -0.114829, -0.131492, -0.146014, -0.154764, -0.166848, -0.173278, -0.177547, -0.180733, -0.179374, -0.176158, -0.158708, -0.0949491, -0.0659325, -0.0453813, -0.0266784, -0.0207198, -0.0156561, -0.0107221, -0.00658324, -0.00408001, -0.00246404, -0.000906197" \
+              "-9.09485e-05, -0.00100167, -0.0189545, -0.0102833, -0.00573083, -0.00401504, -0.00540266, -0.017984, -0.114842, -0.131492, -0.146012, -0.154764, -0.166854, -0.173269, -0.177547, -0.180733, -0.179377, -0.176158, -0.158672, -0.0949469, -0.0659369, -0.0453817, -0.0266758, -0.0207187, -0.0156564, -0.0107239, -0.00658178, -0.00407838, -0.00246173, -0.000904538" \
             );
           }
           vector (ccs_template) {
@@ -115127,7 +130511,7 @@
             index_2 ("0.0198682");
             index_3 ("2.55317, 2.58234, 2.63216, 2.66612, 2.69326, 2.71741, 2.74007, 2.76202, 2.78396, 2.806, 2.85315, 2.87892, 2.90391, 2.93297, 2.95843, 2.96045");
             values ( \
-              "-0.0253554, -0.0258462, -0.0883983, -0.121988, -0.141794, -0.154293, -0.161406, -0.164829, -0.160393, -0.138286, -0.0628248, -0.0360051, -0.0200803, -0.00994725, -0.00519946, -0.00503029" \
+              "-0.0253554, -0.0258462, -0.0883983, -0.121988, -0.141794, -0.154293, -0.161406, -0.164829, -0.160393, -0.138286, -0.0628248, -0.0360051, -0.0200803, -0.00994725, -0.00519946, -0.00503028" \
             );
           }
           vector (ccs_template) {
@@ -115136,7 +130520,7 @@
             index_2 ("0.0793292");
             index_3 ("1.69892, 2.30092, 2.38366, 2.41308, 2.45327, 2.47753, 2.49873, 2.58073, 2.67707, 2.75074, 2.7992, 2.84957, 2.88854, 3.02353, 3.14959, 3.19485, 3.28537, 3.31878, 3.38645, 3.47068, 3.5101, 3.55214, 3.63404, 3.65737, 3.68226, 3.87593, 3.96252, 4.00378, 4.05878, 4.1056, 4.16528, 4.24769, 4.29286, 4.37215");
             values ( \
-              "-8.48838e-06, -2.7274e-05, -0.000550225, -0.00211672, -0.0107306, -0.0125167, -0.0120369, -0.00749532, -0.00351156, -0.00249169, -0.00577248, -0.0153216, -0.0266423, -0.0759714, -0.117031, -0.128999, -0.149561, -0.155567, -0.165467, -0.173595, -0.175537, -0.176698, -0.172129, -0.167882, -0.160867, -0.0727898, -0.0446254, -0.0348576, -0.0247592, -0.0184118, -0.0125371, -0.00752941, -0.00558379, -0.00332813" \
+              "-8.48838e-06, -2.7274e-05, -0.000550225, -0.00211672, -0.0107306, -0.0125167, -0.0120369, -0.00749532, -0.00351156, -0.00249169, -0.00577248, -0.0153216, -0.0266423, -0.0759714, -0.117031, -0.128999, -0.149561, -0.155567, -0.165467, -0.173595, -0.175537, -0.176698, -0.172129, -0.167882, -0.160867, -0.0727898, -0.0446254, -0.0348576, -0.0247592, -0.0184118, -0.0125371, -0.00752941, -0.00558379, -0.00332812" \
             );
           }
           vector (ccs_template) {
@@ -115152,63 +130536,63 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.26469");
-            index_3 ("1.96082, 2.29775, 2.39594, 2.52841, 3.99894, 5.31052, 6.45847, 7.37377, 8.50567, 9.63756, 11.6575, 12.7893, 13.6947, 14.619, 15.2785, 16.684, 17.2311, 17.9953, 19.2671, 20.0366, 20.5362, 21.5355, 23.566, 24.6599, 25.6783, 26.1311, 27.0368, 27.6585, 28.3436, 29.2571, 30.389, 31.5209, 32.6528, 34.9166");
+            index_3 ("1.96083, 2.29775, 2.39595, 2.52841, 3.99894, 5.31053, 6.45847, 7.37378, 8.50636, 9.63895, 11.6574, 12.79, 13.6947, 14.6189, 15.2785, 16.684, 17.2297, 17.9953, 19.2671, 20.0359, 20.5362, 21.5369, 23.5661, 24.6598, 25.6783, 26.1312, 27.0371, 27.6586, 28.3435, 29.2568, 30.3894, 31.522, 32.6546, 34.9197");
             values ( \
-              "-2.38589e-05, -6.29398e-05, -0.00103195, -0.0189921, -0.0112113, -0.0066256, -0.004183, -0.00541469, -0.0180371, -0.0421936, -0.0907868, -0.114823, -0.131495, -0.146008, -0.154768, -0.169188, -0.173274, -0.177551, -0.180737, -0.179378, -0.176154, -0.158712, -0.0949451, -0.0659359, -0.0453848, -0.0381291, -0.0266818, -0.0207163, -0.0156528, -0.0107255, -0.00657989, -0.00408335, -0.0024607, -0.000902875" \
+              "-2.38589e-05, -6.29398e-05, -0.00103195, -0.0189921, -0.0112113, -0.0066256, -0.004183, -0.00541469, -0.018049, -0.0422267, -0.0907862, -0.114836, -0.131495, -0.146007, -0.154768, -0.169188, -0.173264, -0.177551, -0.180737, -0.179381, -0.176154, -0.158676, -0.094943, -0.0659404, -0.0453852, -0.0381275, -0.0266793, -0.0207153, -0.015653, -0.0107273, -0.00657842, -0.00408173, -0.00245839, -0.000901214" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("8.49357, 8.49823, 8.50019, 8.5033, 8.50988, 8.51683, 8.52007, 8.52332, 8.52655, 8.53562, 8.53984, 8.54348, 8.54669");
+            index_3 ("8.49045, 8.50184, 8.50379, 8.50986, 8.51344, 8.5168, 8.52004, 8.52329, 8.52652, 8.53575, 8.54224, 8.54398, 8.5467");
             values ( \
-              "-0.0180904, -0.0300065, -0.0409805, -0.0479285, -0.0605632, -0.0686295, -0.0697688, -0.0690185, -0.0592509, -0.0190122, -0.00973566, -0.00507612, -0.00341387" \
+              "-0.000288884, -0.043944, -0.0485763, -0.0602256, -0.0651038, -0.0684071, -0.0699495, -0.0688819, -0.0593536, -0.0186172, -0.00629532, -0.00465791, -0.00332723" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00497602");
-            index_3 ("8.5084, 8.53204, 8.53837, 8.55088, 8.55856, 8.56577, 8.57275, 8.57973, 8.58673, 8.60171, 8.6094, 8.61661, 8.63078, 8.63754");
+            index_3 ("8.51053, 8.51948, 8.52532, 8.52995, 8.53214, 8.53651, 8.54931, 8.55427, 8.56585, 8.57283, 8.57981, 8.58749, 8.60256, 8.60991, 8.6182, 8.63043, 8.63487");
             values ( \
-              "-0.0005064, -0.0752988, -0.0899661, -0.112078, -0.121301, -0.127142, -0.129616, -0.126729, -0.109012, -0.0495886, -0.0290879, -0.0169599, -0.00565616, -0.00362436" \
+              "-0.00219073, -0.0378759, -0.0527763, -0.0719885, -0.0739176, -0.0868343, -0.110485, -0.11681, -0.127402, -0.129342, -0.126937, -0.106757, -0.0470686, -0.0282899, -0.0152129, -0.00571603, -0.00437774" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0198682");
-            index_3 ("8.55894, 8.59223, 8.64287, 8.67676, 8.70387, 8.728, 8.75066, 8.7726, 8.79453, 8.81657, 8.86553, 8.9067, 8.92819, 8.95719");
+            index_3 ("8.55809, 8.59227, 8.6078, 8.63621, 8.65614, 8.67676, 8.7039, 8.72808, 8.7507, 8.77265, 8.79457, 8.81879, 8.8456, 8.86596, 8.88291, 8.90479, 8.9163, 8.92887, 8.94562, 8.97382, 8.99522");
             values ( \
-              "-0.0178304, -0.0251611, -0.0886687, -0.122156, -0.14192, -0.154392, -0.161437, -0.164893, -0.160395, -0.138324, -0.0605241, -0.0241167, -0.0144786, -0.00791534" \
+              "-0.0166515, -0.0252258, -0.0435439, -0.0812299, -0.103013, -0.121373, -0.142124, -0.153831, -0.162117, -0.164337, -0.161004, -0.134928, -0.0890157, -0.0601158, -0.0417819, -0.0253276, -0.0192226, -0.0142145, -0.0094504, -0.00454653, -0.00300851" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0793292");
-            index_3 ("5.51557, 8.24325, 8.36124, 8.38215, 8.417, 8.46532, 8.47667, 8.49406, 8.52052, 8.61699, 8.72068, 8.79643, 8.83881, 8.88752, 9.07571, 9.20509, 9.30684, 9.3969, 9.48106, 9.56271, 9.64427, 9.68279, 9.72161, 9.88451, 9.96478, 10.0201, 10.0834, 10.1168, 10.1679, 10.236, 10.3586");
+            index_3 ("5.51712, 8.24448, 8.36167, 8.3829, 8.41801, 8.46556, 8.47639, 8.49351, 8.51936, 8.61301, 8.71436, 8.78758, 8.83435, 8.8762, 9.07636, 9.20573, 9.30748, 9.39754, 9.4817, 9.56335, 9.64522, 9.68332, 9.72203, 9.88497, 9.96529, 10.0214, 10.1175, 10.1666, 10.232, 10.3252");
             values ( \
-              "-3.14671e-07, -7.12535e-06, -0.000228337, -0.000387843, -0.0016287, -0.0106269, -0.0118543, -0.0123731, -0.0114509, -0.00626959, -0.00263303, -0.00435322, -0.0105373, -0.0230901, -0.0904269, -0.129085, -0.151694, -0.165357, -0.173705, -0.176617, -0.172412, -0.164073, -0.149527, -0.0734909, -0.0468105, -0.0336175, -0.022606, -0.0182897, -0.0131711, -0.00840323, -0.00371814" \
+              "-3.13738e-07, -7.15322e-06, -0.000226686, -0.000389954, -0.00166491, -0.0105685, -0.0117848, -0.0123795, -0.0115448, -0.00648425, -0.00278395, -0.00360798, -0.00956252, -0.0195609, -0.0906532, -0.129299, -0.151489, -0.165565, -0.173503, -0.176818, -0.172202, -0.164102, -0.149628, -0.0735628, -0.0468481, -0.0334969, -0.0182823, -0.0133368, -0.00866235, -0.00495157" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.316744");
-            index_3 ("5.75661, 8.24316, 8.36103, 8.38227, 8.41737, 8.47579, 8.49294, 8.51886, 8.89914, 9.10723, 9.25413, 9.54794, 9.69872, 9.75926, 9.88033, 10.1225, 10.7994, 11.1842, 11.5359, 11.7089, 12.0631, 12.3928, 12.7129, 13.0326, 13.1082, 13.1851, 13.3388, 13.8272, 13.9779, 14.1788, 14.3221, 14.4998, 14.7369, 14.8872, 15.1158, 15.4206, 16.0303, 16.6667");
+            index_3 ("5.75579, 8.35997, 8.41581, 8.47377, 8.51464, 8.87325, 9.21392, 9.47393, 9.673, 9.758, 9.928, 10.2678, 10.8723, 11.3072, 11.7078, 12.061, 12.3909, 12.711, 13.0307, 13.1832, 13.3368, 13.976, 14.3202, 14.4982, 14.7355, 15.1133, 15.4173, 15.8404");
             values ( \
-              "-2.06189e-06, -2.28101e-05, -0.000220022, -0.000381587, -0.00176577, -0.0148301, -0.0165364, -0.0171137, -0.0100084, -0.00713818, -0.00552335, -0.00364788, -0.00485558, -0.00620434, -0.0108408, -0.0273465, -0.0909758, -0.122216, -0.14505, -0.154216, -0.168479, -0.176873, -0.179962, -0.175542, -0.172049, -0.166994, -0.151863, -0.0907284, -0.0744423, -0.0560753, -0.0453923, -0.0346028, -0.0237293, -0.0186255, -0.0128052, -0.00764746, -0.00246411, -0.000740406" \
+              "-2.0602e-06, -0.00022086, -0.00171341, -0.0146843, -0.0171138, -0.0104023, -0.00592479, -0.00381629, -0.00446719, -0.00620124, -0.0134372, -0.0404187, -0.0974303, -0.130999, -0.154205, -0.16852, -0.176825, -0.18001, -0.175495, -0.166993, -0.151874, -0.0744511, -0.0453898, -0.0345899, -0.0237149, -0.0128207, -0.00766364, -0.00405834" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("1.26469");
-            index_3 ("6.32438, 8.23145, 8.37037, 8.52048, 9.90673, 11.3421, 12.4173, 13.2327, 13.6398, 14.1826, 15.1501, 18.1392, 19.0847, 20.6023, 21.2825, 22.6876, 23.2341, 23.9987, 25.1306, 25.2703, 25.5497, 26.0396, 26.5393, 27.5388, 29.569, 30.6629, 31.6812, 32.1341, 33.0398, 33.6614, 34.3465, 35.26, 36.3919, 37.5238, 38.6557, 40.9195");
+            index_3 ("6.331, 8.37709, 8.54275, 9.40134, 10.4298, 11.2359, 12.2669, 13.17, 13.7775, 14.5875, 18.3224, 19.6984, 20.5985, 21.2838, 22.6899, 23.237, 24.0016, 25.2736, 25.5524, 26.0428, 26.5429, 27.5432, 29.573, 30.6666, 31.6852, 32.1381, 33.044, 33.6655, 34.3504, 35.2637, 36.3963, 37.5289, 38.6615, 40.9266");
             values ( \
-              "-6.43445e-06, -3.84115e-05, -0.000384505, -0.0189864, -0.0116198, -0.00652999, -0.00420373, -0.00481802, -0.00708429, -0.0129776, -0.0309092, -0.101414, -0.120523, -0.145768, -0.154828, -0.169233, -0.173297, -0.177584, -0.180693, -0.180762, -0.180635, -0.1794, -0.17616, -0.158717, -0.0949411, -0.0659421, -0.0453906, -0.0381241, -0.0266874, -0.0207111, -0.0156475, -0.0107308, -0.0065746, -0.00408861, -0.00245542, -0.000897611" \
+              "-6.43687e-06, -0.000386772, -0.018999, -0.0140511, -0.0095231, -0.00684022, -0.00443432, -0.0045819, -0.00818452, -0.019382, -0.105077, -0.131377, -0.145543, -0.154698, -0.169143, -0.173218, -0.177521, -0.180718, -0.180591, -0.179367, -0.176128, -0.158685, -0.0949331, -0.0659463, -0.0453915, -0.0381202, -0.026686, -0.0207082, -0.0156461, -0.010734, -0.00657161, -0.00408853, -0.00245161, -0.000894448" \
             );
           }
         }
@@ -115272,8 +130656,8 @@
             "0.0217174, 0.0380425, 0.10051, 0.347461, 1.33237, 5.26479", \
             "0.0320442, 0.0486703, 0.111486, 0.358632, 1.34367, 5.27618", \
             "0.0553153, 0.0857683, 0.157034, 0.403215, 1.38875, 5.32119", \
-            "0.0886927, 0.152255, 0.294026, 0.590087, 1.57202, 5.5039", \
-            "0.111113, 0.235996, 0.528858, 1.13134, 2.31921, 6.23805", \
+            "0.0886927, 0.151961, 0.294026, 0.590087, 1.57202, 5.5039", \
+            "0.110968, 0.236061, 0.528858, 1.13134, 2.31921, 6.23805", \
             "0.020077, 0.243288, 0.809221, 2.03045, 4.4754, 9.26101" \
           );
         }
@@ -115284,8 +130668,8 @@
             "0.0145883, 0.0355763, 0.118951, 0.45124, 1.77918, 7.08297", \
             "0.0168582, 0.0356232, 0.118894, 0.451228, 1.78105, 7.08312", \
             "0.0377943, 0.0582341, 0.123602, 0.451217, 1.77918, 7.08294", \
-            "0.0900208, 0.130346, 0.223854, 0.478698, 1.77922, 7.08296", \
-            "0.238707, 0.315787, 0.497633, 0.878744, 1.89937, 7.08295", \
+            "0.0900208, 0.130676, 0.223854, 0.478698, 1.77922, 7.08296", \
+            "0.238882, 0.315677, 0.497633, 0.878744, 1.89937, 7.08295", \
             "0.761201, 0.871469, 1.21023, 1.96479, 3.49856, 7.55081" \
           );
         }
@@ -115384,9 +130768,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.316744");
-            index_3 ("0.0890321, 0.0890521, 0.736088, 1.40671, 1.87301, 2.12359, 2.30306, 2.66201, 3.61114, 3.84449, 4.32249, 4.5515, 4.79308, 5.1087, 5.36454, 5.67396, 6.10992, 6.30335, 6.56491, 6.88666, 7.5195, 8.73433, 10.0311, 11.3279, 12.6247, 64.8392");
+            index_3 ("0.0890321, 0.0890521, 0.736088, 1.40671, 1.87301, 2.12359, 2.30306, 2.66201, 3.61114, 3.84449, 4.32249, 4.5515, 4.79308, 5.1087, 5.36454, 5.67396, 6.10992, 6.30335, 6.56491, 6.88666, 7.5195, 8.73432, 10.0311, 11.3279, 12.6247, 64.8392");
             values ( \
-              "1e-22, 0.182939, 0.155639, 0.142751, 0.13289, 0.126672, 0.121415, 0.108573, 0.0681553, 0.0590142, 0.0427269, 0.0362382, 0.0302957, 0.0237519, 0.0194266, 0.0151685, 0.010578, 0.00901526, 0.00725129, 0.00554901, 0.00319767, 0.0010324, 0.000284056, 9.33277e-05, 1.47663e-05, 9.19639e-06" \
+              "1e-22, 0.182939, 0.155639, 0.142751, 0.13289, 0.126672, 0.121415, 0.108573, 0.0681553, 0.0590142, 0.0427269, 0.0362382, 0.0302957, 0.0237519, 0.0194266, 0.0151685, 0.010578, 0.00901526, 0.00725129, 0.00554901, 0.00319767, 0.0010324, 0.000284056, 9.33278e-05, 1.47663e-05, 9.19639e-06" \
             );
           }
           vector (ccs_template) {
@@ -115431,7 +130815,7 @@
             index_2 ("0.0793292");
             index_3 ("0.180736, 0.223056, 0.259633, 0.27022, 0.275897, 0.431575, 0.597619, 0.668442, 0.732124, 0.777616, 0.8686, 1.11021, 1.21962, 1.35062, 1.41203, 1.49234, 1.55719, 1.63629, 1.74768, 1.79719, 1.8642, 1.95355, 2.13226, 2.45988, 2.84795, 3.34439, 4.00854, 64.8393");
             values ( \
-              "0.074175, 0.0991272, 0.154404, 0.162391, 0.161206, 0.150128, 0.137391, 0.131378, 0.125192, 0.119972, 0.107174, 0.0669428, 0.0509387, 0.0354988, 0.0296843, 0.0232969, 0.0190815, 0.0148828, 0.0103857, 0.00884982, 0.00711456, 0.00530157, 0.00288278, 0.000849034, 0.00017852, 1.78401e-05, 1e-22, 2.48385e-07" \
+              "0.074175, 0.0991272, 0.154404, 0.162391, 0.161206, 0.150128, 0.137391, 0.131378, 0.125192, 0.119972, 0.107174, 0.0669428, 0.0509387, 0.0354988, 0.0296843, 0.0232969, 0.0190815, 0.0148828, 0.0103857, 0.00884982, 0.00711456, 0.00530157, 0.00288278, 0.000849034, 0.00017852, 1.78401e-05, 1e-22, 2.48383e-07" \
             );
           }
           vector (ccs_template) {
@@ -115440,7 +130824,7 @@
             index_2 ("0.316744");
             index_3 ("0.1804, 0.277848, 1.0861, 1.62294, 1.97713, 2.27214, 2.45213, 2.8121, 3.76254, 4.18375, 4.55119, 4.71115, 5.03105, 5.27607, 5.45431, 5.69197, 6.1673, 6.44627, 6.87483, 7.44626, 8.5891, 9.88589, 11.1827, 13.7763, 64.839");
             values ( \
-              "0.070529, 0.166869, 0.151849, 0.141406, 0.133909, 0.126683, 0.121419, 0.108529, 0.0680642, 0.0520945, 0.040391, 0.035962, 0.0283037, 0.0234192, 0.0203651, 0.0168602, 0.0114072, 0.00906116, 0.00633415, 0.00388972, 0.00134277, 0.000392255, 0.000105647, 3.45507e-06, 1e-22" \
+              "0.070529, 0.166869, 0.151849, 0.141406, 0.133909, 0.126683, 0.121419, 0.108529, 0.0680642, 0.0520945, 0.040391, 0.035962, 0.0283037, 0.0234192, 0.0203651, 0.0168602, 0.0114072, 0.00906116, 0.00633415, 0.00388972, 0.00134277, 0.000392255, 0.000105647, 3.45506e-06, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -115575,7 +130959,7 @@
             index_2 ("0.00497602");
             index_3 ("7.13794, 8.25843, 8.49712, 8.61483, 8.67442, 8.7936, 9.05764, 9.19843, 9.30198, 9.37386, 9.51763, 9.68446, 9.83914, 9.97921, 10.1181, 10.1637, 10.2458, 10.3561, 10.4189, 10.4711, 10.5193, 10.602, 10.7121, 10.8718, 11.362, 11.8193, 12.5099, 16.6672, 16.9914, 17.4414, 17.8272, 18.5989, 19.8957, 21.1925, 22.4893, 23.7861, 25.0829, 26.3797, 27.6765, 28.9733, 30.2701, 31.5669, 64.8403");
             values ( \
-              "3.23168e-05, 0.000370149, 0.000620178, 0.000858168, 0.00104067, 0.00153344, 0.00328269, 0.00409563, 0.00458707, 0.00487032, 0.00536892, 0.00578936, 0.00606034, 0.00620349, 0.00619339, 0.00611036, 0.00550045, 0.00314224, 0.0020494, 0.00136966, 0.000957757, 0.000541559, 0.000299204, 0.000155821, 3.4555e-05, 1.01735e-05, 1e-22, 2e-22, 5.75223e-06, 1e-22, 3.20144e-06, 1e-22, 2.44222e-06, 1e-22, 1.97459e-06, 1e-22, 1.57613e-06, 1e-22, 1.23685e-06, 1e-22, 9.48103e-07, 1e-22, 4.91365e-07" \
+              "3.23168e-05, 0.000370149, 0.000620178, 0.000858168, 0.00104067, 0.00153344, 0.00328269, 0.00409563, 0.00458707, 0.00487032, 0.00536892, 0.00578936, 0.00606034, 0.00620349, 0.00619339, 0.00611036, 0.00550045, 0.00314224, 0.0020494, 0.00136966, 0.000957757, 0.000541559, 0.000299204, 0.000155821, 3.4555e-05, 1.01735e-05, 1e-22, 2e-22, 5.75223e-06, 1e-22, 3.20144e-06, 1e-22, 2.44222e-06, 1e-22, 1.97459e-06, 1e-22, 1.57613e-06, 1e-22, 1.23685e-06, 1e-22, 9.48104e-07, 1e-22, 4.91365e-07" \
             );
           }
           vector (ccs_template) {
@@ -115593,7 +130977,7 @@
             index_2 ("0.0793292");
             index_3 ("7.13684, 8.59018, 8.92791, 9.1386, 9.9654, 10.3749, 10.8813, 11.2501, 11.4353, 11.7717, 12.0526, 12.324, 12.3914, 12.526, 12.6802, 12.8227, 12.9939, 13.3663, 13.4956, 13.659, 13.792, 13.8997, 14.0434, 14.3307, 14.7739, 15.2937, 64.8392");
             values ( \
-              "0.00041632, 0.00424411, 0.00667681, 0.00877499, 0.0194022, 0.0242725, 0.0297816, 0.0334254, 0.0351049, 0.037849, 0.0397356, 0.0409965, 0.0411764, 0.0411558, 0.0399603, 0.0371145, 0.0318467, 0.0187276, 0.0147895, 0.0106625, 0.00800485, 0.00627177, 0.00444182, 0.0020805, 0.000456336, 1e-22, 5.62123e-07" \
+              "0.00041632, 0.00424411, 0.00667681, 0.00877499, 0.0194022, 0.0242725, 0.0297816, 0.0334254, 0.0351049, 0.037849, 0.0397356, 0.0409965, 0.0411764, 0.0411558, 0.0399603, 0.0371145, 0.0318467, 0.0187276, 0.0147895, 0.0106625, 0.00800485, 0.00627177, 0.00444182, 0.0020805, 0.000456336, 1e-22, 5.62122e-07" \
             );
           }
           vector (ccs_template) {
@@ -115602,7 +130986,7 @@
             index_2 ("0.316744");
             index_3 ("8.34002, 9.76437, 10.9958, 13.0687, 13.7015, 14.0146, 14.4593, 14.8311, 15.0623, 15.5247, 15.6545, 15.9142, 16.3151, 17.0897, 17.5597, 17.9705, 18.2972, 18.5311, 18.999, 19.3432, 19.5692, 20.0211, 20.9251, 22.2219, 23.5187, 24.8155, 28.7059, 64.8423");
             values ( \
-              "0.00992561, 0.0189516, 0.03682, 0.0689673, 0.0783138, 0.0825774, 0.0879642, 0.0915241, 0.0929832, 0.0920686, 0.0904376, 0.08548, 0.0743525, 0.0479004, 0.0342312, 0.0250352, 0.0193845, 0.0160772, 0.0109414, 0.00823345, 0.00681653, 0.00464653, 0.00207616, 0.000596756, 0.000172098, 4.86906e-05, 1.07573e-06, 1e-22" \
+              "0.00992561, 0.0189516, 0.03682, 0.0689673, 0.0783138, 0.0825774, 0.0879642, 0.0915241, 0.0929832, 0.0920686, 0.0904376, 0.08548, 0.0743525, 0.0479004, 0.0342312, 0.0250352, 0.0193845, 0.0160772, 0.0109414, 0.00823345, 0.00681653, 0.00464653, 0.00207616, 0.000596756, 0.000172098, 4.86906e-05, 1.07574e-06, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -115649,7 +131033,7 @@
             index_2 ("0.0793292");
             index_3 ("0.0201405, 0.0226908, 0.0400543, 0.0735366, 0.145788, 0.217649, 0.309979, 0.355589, 0.415187, 0.486401, 0.510308, 0.53763, 0.682418, 0.737796, 0.780202, 0.815308, 0.854346, 0.906395, 0.960191, 0.987268, 1.04142, 1.14973, 1.32209, 1.52966, 1.794, 2.16152, 47.1017");
             values ( \
-              "-0.221986, -0.223193, -0.222757, -0.221185, -0.216625, -0.211299, -0.203546, -0.19913, -0.192139, -0.178957, -0.171775, -0.160911, -0.0886587, -0.0662956, -0.0522851, -0.0426658, -0.0338288, -0.0245823, -0.0175738, -0.0148176, -0.0104732, -0.00507433, -0.00143123, -0.000280014, -1.69034e-05, -1.58466e-06, -1e-22" \
+              "-0.221986, -0.223193, -0.222757, -0.221185, -0.216625, -0.211299, -0.203546, -0.19913, -0.192139, -0.178957, -0.171775, -0.160911, -0.0886587, -0.0662956, -0.0522851, -0.0426658, -0.0338288, -0.0245823, -0.0175738, -0.0148176, -0.0104732, -0.00507433, -0.00143123, -0.000280014, -1.69034e-05, -1.58465e-06, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -115766,7 +131150,7 @@
             index_2 ("0.316744");
             index_3 ("0.184471, 0.269926, 0.276511, 0.287831, 0.300772, 0.319212, 0.351769, 0.39657, 0.441784, 0.532213, 0.945253, 1.27765, 1.51791, 1.60425, 1.75294, 2.0339, 2.12759, 2.23467, 2.34492, 2.61665, 2.79053, 2.95508, 3.05754, 3.26248, 3.35726, 3.50852, 3.71019, 3.85654, 3.99761, 4.1857, 4.56188, 5.21689, 5.99345, 6.93548, 7.87752, 47.1006");
             values ( \
-              "-0.152483, -0.227639, -0.226852, -0.227166, -0.226695, -0.22668, -0.225976, -0.225389, -0.224402, -0.22285, -0.214582, -0.207406, -0.201506, -0.19912, -0.194438, -0.181247, -0.174042, -0.163142, -0.149495, -0.113133, -0.0916437, -0.0738684, -0.0641516, -0.0477354, -0.0414779, -0.0329869, -0.0240869, -0.0191078, -0.0152595, -0.0112552, -0.00598609, -0.00179841, -0.000392818, -4.82849e-05, -6.28308e-06, -2.04085e-07" \
+              "-0.152483, -0.227639, -0.226852, -0.227166, -0.226695, -0.22668, -0.225976, -0.225389, -0.224402, -0.22285, -0.214582, -0.207406, -0.201506, -0.19912, -0.194438, -0.181247, -0.174042, -0.163142, -0.149495, -0.113133, -0.0916437, -0.0738684, -0.0641516, -0.0477354, -0.0414779, -0.0329869, -0.0240869, -0.0191078, -0.0152595, -0.0112552, -0.00598609, -0.00179841, -0.000392818, -4.82849e-05, -6.28308e-06, -2.04083e-07" \
             );
           }
           vector (ccs_template) {
@@ -115784,16 +131168,16 @@
             index_2 ("0.00124625");
             index_3 ("0.464765, 0.495384, 0.501738, 0.508092, 0.514561, 0.519761, 0.536463, 0.553347, 0.569113, 0.580439, 0.588557, 0.596233, 0.607086, 0.620423, 0.623583, 0.626743, 0.629903, 0.633063, 0.636149, 0.639234, 0.64232, 0.645405, 0.646973, 0.648542, 0.65011, 0.653247, 0.65576, 0.658594, 0.669804, 0.672208, 0.67532, 0.677631, 0.683326, 0.686092, 0.68978, 0.693468, 0.696663, 0.699859, 0.703055, 0.706251, 0.710012, 0.717535, 0.721297, 0.740589, 0.797908, 0.873, 0.928985, 0.984971, 1.04095, 1.05705");
             values ( \
-              "-0.0022133, -0.00243894, -0.0028799, -0.00336749, -0.00410197, -0.00478523, -0.00727429, -0.00959909, -0.0116497, -0.0130072, -0.0138969, -0.0146902, -0.0157427, -0.0169785, -0.0172142, -0.0174129, -0.0175746, -0.0176992, -0.0177458, -0.0177416, -0.0176866, -0.0175809, -0.0174489, -0.0172905, -0.0170191, -0.0162238, -0.0153156, -0.0140148, -0.00750553, -0.00633921, -0.0050172, -0.00417254, -0.00246158, -0.00183013, -0.00128837, -0.000856703, -0.000651381, -0.000475603, -0.000329367, -0.000212674, -0.000164912, -8.87014e-05, -6.02539e-05, -1.55401e-05, -6.61402e-06, -1.33337e-05, -3.19337e-05, -6.21426e-05, -0.000103961, -1.25259e-05" \
+              "-0.0022133, -0.00243894, -0.0028799, -0.00336749, -0.00410197, -0.00478523, -0.00727428, -0.00959909, -0.0116497, -0.0130072, -0.0138969, -0.0146902, -0.0157427, -0.0169785, -0.0172142, -0.0174129, -0.0175746, -0.0176992, -0.0177458, -0.0177416, -0.0176866, -0.0175809, -0.0174489, -0.0172905, -0.0170191, -0.0162238, -0.0153156, -0.0140148, -0.00750553, -0.00633921, -0.0050172, -0.00417254, -0.00246158, -0.00183013, -0.00128837, -0.000856703, -0.000651381, -0.000475603, -0.000329367, -0.000212674, -0.000164912, -8.87014e-05, -6.02539e-05, -1.55401e-05, -6.61402e-06, -1.33337e-05, -3.19337e-05, -6.21426e-05, -0.000103961, -1.25259e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00497602");
-            index_3 ("0.475756, 0.509716, 0.516316, 0.520848, 0.525892, 0.535978, 0.546064, 0.560137, 0.578196, 0.614604, 0.631074, 0.648752, 0.664489, 0.679213, 0.68902, 0.693574, 0.698129, 0.702683, 0.707238, 0.711698, 0.716158, 0.720619, 0.725079, 0.729606, 0.73187, 0.736397, 0.741422, 0.744934, 0.753947, 0.761907, 0.76518, 0.77009, 0.778886, 0.782732, 0.785808, 0.787347, 0.790423, 0.796576, 0.799652, 0.809899, 0.820145, 0.879686, 0.939227, 0.998768, 1.05831, 1.07318, 1.08805, 1.16782, 2.09941, 41.5766");
+            index_3 ("0.495961, 0.537969, 0.571931, 0.584629, 0.610535, 0.62475, 0.636572, 0.648395, 0.664168, 0.678923, 0.688746, 0.693308, 0.697869, 0.70243, 0.706992, 0.711458, 0.715924, 0.72039, 0.724856, 0.727122, 0.729388, 0.731655, 0.736188, 0.741216, 0.744729, 0.753735, 0.761715, 0.765, 0.769926, 0.778699, 0.782529, 0.785594, 0.787126, 0.79019, 0.793255, 0.799384, 0.809615, 0.819847, 0.87689, 0.933933, 0.990977, 1.04802, 1.06207, 1.07498, 1.08789, 1.10081, 1.11372, 1.18629, 1.25886, 40.4757");
             values ( \
-              "-0.00691164, -0.00729154, -0.00837376, -0.00918515, -0.0102273, -0.0125221, -0.0150155, -0.0187287, -0.0232722, -0.0320529, -0.0357443, -0.0393905, -0.042418, -0.0451311, -0.0471029, -0.0474842, -0.0477945, -0.0480337, -0.0482019, -0.0482977, -0.0483254, -0.048285, -0.0481764, -0.0468974, -0.0457326, -0.0429372, -0.0391081, -0.0359578, -0.0268569, -0.0195352, -0.0168698, -0.0135467, -0.00871297, -0.00691819, -0.00570022, -0.0051563, -0.00434419, -0.00294936, -0.00236666, -0.00136378, -0.0004364, -0.000506419, -0.000481976, -0.000363071, -0.000149704, -8.16741e-05, -7.75282e-06, -1.64995e-06, -1.38278e-10, -1e-22" \
+              "-0.0125732, -0.0129734, -0.0216972, -0.0248335, -0.0310716, -0.0343483, -0.0368734, -0.0392868, -0.0423261, -0.0450487, -0.0470243, -0.0474085, -0.0477217, -0.047964, -0.0481353, -0.0482343, -0.0482652, -0.0482281, -0.048123, -0.0475503, -0.046849, -0.0456877, -0.0428982, -0.039075, -0.0359307, -0.0268526, -0.0195202, -0.0168513, -0.0135239, -0.00871017, -0.00692336, -0.00570949, -0.00516718, -0.004356, -0.00362103, -0.00237976, -0.00137213, -0.000443696, -0.00110912, -0.00130957, -0.00104507, -0.000315612, -6.45937e-05, -4.73252e-05, -3.18883e-05, -1.82832e-05, -6.50983e-06, -2.9148e-06, -1.73991e-07, -1.4168e-10" \
             );
           }
           vector (ccs_template) {
@@ -115809,9 +131193,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0793292");
-            index_3 ("0.519871, 0.616289, 0.912806, 1.0306, 1.04003, 1.05011, 1.1081, 1.16929, 1.20654, 1.24389, 1.31858, 1.47503, 1.55657, 1.59815, 1.65359, 1.69752, 1.74563, 1.80977, 1.93805, 2.1107, 2.323, 2.59167, 2.98362, 47.101");
+            index_3 ("0.519871, 0.616289, 0.912806, 1.0306, 1.04003, 1.05011, 1.1081, 1.16929, 1.20654, 1.24389, 1.31858, 1.47503, 1.55657, 1.59815, 1.65359, 1.69752, 1.74563, 1.80977, 1.93805, 2.1107, 2.323, 2.59167, 2.98361, 47.101");
             values ( \
-              "-0.0243798, -0.0459347, -0.160197, -0.201232, -0.204258, -0.204546, -0.199241, -0.192096, -0.186277, -0.17802, -0.148269, -0.072777, -0.0460699, -0.0360458, -0.0257128, -0.0195315, -0.0144271, -0.00956812, -0.00396246, -0.00112589, -0.000194631, -2.16659e-05, -1e-22, -2e-22" \
+              "-0.0243798, -0.0459347, -0.160197, -0.201232, -0.204258, -0.204546, -0.199241, -0.192096, -0.186277, -0.17802, -0.148269, -0.072777, -0.0460699, -0.0360458, -0.0257128, -0.0195315, -0.0144271, -0.00956813, -0.00396247, -0.00112589, -0.000194632, -2.1666e-05, -1e-22, -2e-22" \
             );
           }
           vector (ccs_template) {
@@ -115836,18 +131220,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("1.71252, 1.82728, 1.85343, 1.89042, 1.90711, 1.92021, 1.95077, 1.97654, 2.05136, 2.08465, 2.10911, 2.13015, 2.15119, 2.17959, 2.20345, 2.22447, 2.24156, 2.2501, 2.25865, 2.26711, 2.27558, 2.28404, 2.29251, 2.29682, 2.30543, 2.31836, 2.3281, 2.33566, 2.35849, 2.37151, 2.38939, 2.39729, 2.40915, 2.4131, 2.42099, 2.43677, 2.44465, 2.49795, 2.53987, 2.58238, 2.6249, 2.70992, 2.79494, 3.13774, 3.48053, 3.82332, 4.16611, 4.22136, 4.41276, 44.1831");
+            index_3 ("1.6727, 1.80473, 1.83294, 1.8665, 1.89465, 1.91409, 1.93354, 1.94632, 1.98466, 2.05842, 2.09798, 2.13166, 2.15128, 2.17973, 2.20374, 2.2247, 2.2418, 2.25035, 2.2589, 2.26737, 2.27584, 2.28431, 2.29278, 2.29709, 2.30571, 2.31865, 2.32839, 2.33595, 2.3588, 2.37182, 2.38971, 2.39762, 2.40948, 2.41344, 2.42133, 2.42922, 2.44501, 2.49834, 2.54029, 2.58285, 2.62541, 2.71052, 2.79563, 3.13834, 3.48105, 3.82375, 4.16646, 4.22161, 4.41271, 44.1812");
             values ( \
-              "-0.000470888, -0.000483869, -0.00060498, -0.000810942, -0.000922002, -0.00105055, -0.00145049, -0.00189863, -0.0036473, -0.00437793, -0.00486046, -0.00520324, -0.00551148, -0.00587153, -0.00613267, -0.00633438, -0.00637452, -0.00638729, -0.00639522, -0.00639827, -0.00639656, -0.00639008, -0.00637882, -0.00634335, -0.00623187, -0.00590953, -0.00541249, -0.00483004, -0.0025619, -0.00156388, -0.000748823, -0.000528173, -0.000356668, -0.00031169, -0.000267527, -0.000197289, -0.000171215, -0.00011813, -8.27838e-05, -5.70115e-05, -3.62343e-05, -1.8718e-05, -3.07716e-06, -4.79945e-05, -6.4097e-05, -5.13848e-05, -9.85782e-06, -4.67339e-07, -9.9841e-08, -2.96069e-10" \
+              "-0.000292158, -0.000390173, -0.000500154, -0.000664227, -0.000831733, -0.000998128, -0.00123309, -0.00143122, -0.00212402, -0.00379993, -0.00461157, -0.00521622, -0.00550292, -0.00586321, -0.00612777, -0.00632941, -0.00637004, -0.00638305, -0.0063912, -0.00639446, -0.00639295, -0.00638666, -0.00637559, -0.00634023, -0.00622899, -0.00590703, -0.00541043, -0.00482851, -0.002561, -0.00156305, -0.000748245, -0.000527803, -0.000356402, -0.000311456, -0.000267362, -0.000229285, -0.000171184, -0.000118088, -8.273e-05, -5.69526e-05, -3.6175e-05, -1.86808e-05, -3.06226e-06, -4.81419e-05, -6.4304e-05, -5.15487e-05, -9.87587e-06, -4.68709e-07, -1.00129e-07, -3.0494e-10" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00497602");
-            index_3 ("1.60178, 1.83217, 1.90412, 1.94486, 2.01401, 2.14934, 2.26005, 2.31063, 2.35723, 2.40142, 2.44447, 2.45542, 2.47731, 2.48909, 2.54672, 2.57601, 2.60198, 2.64098, 2.68832, 2.74918, 2.84043, 3.01629, 4.16463, 4.20948, 4.29919, 4.42511, 4.6746, 5.0483, 5.79571, 6.73775, 7.67978, 8.62182, 9.56385, 10.5059, 11.4479, 12.39, 13.332, 14.274, 15.2161, 47.1014");
+            index_3 ("1.60197, 1.84371, 1.92849, 1.97727, 2.01982, 2.16912, 2.26031, 2.3185, 2.35747, 2.40166, 2.4447, 2.45564, 2.47753, 2.54693, 2.57624, 2.60222, 2.6414, 2.68869, 2.74968, 2.84087, 3.01829, 4.16481, 4.20938, 4.29853, 4.42391, 4.67184, 5.04413, 5.78872, 6.73076, 7.67279, 8.61483, 9.55686, 10.4989, 11.4409, 12.383, 13.325, 14.267, 15.2091, 16.1511, 17.0931, 44.4122, 45.3542, 46.2963, 47.1016");
             values ( \
-              "-0.000129035, -0.00144165, -0.00242669, -0.0032618, -0.00543466, -0.0118706, -0.0163146, -0.0180128, -0.0192035, -0.020082, -0.0202994, -0.0201753, -0.0191099, -0.0175936, -0.00563202, -0.00240766, -0.00107123, -0.000329588, -0.000154747, -8.30034e-05, -4.3671e-05, -1e-22, -2e-22, -4.34392e-05, -1e-22, -1.20819e-05, -1e-22, -7.71187e-06, -1e-22, -6.45326e-06, -1e-22, -5.45335e-06, -1e-22, -4.55283e-06, -1e-22, -3.74221e-06, -1e-22, -3.01285e-06, -1e-22, -2.36327e-06" \
+              "-0.000136369, -0.00152477, -0.00286277, -0.00411582, -0.00574285, -0.0127265, -0.0163525, -0.0182237, -0.019234, -0.0200591, -0.020328, -0.0201535, -0.0191359, -0.00561991, -0.00241301, -0.00106433, -0.000333122, -0.000148697, -8.85352e-05, -3.78329e-05, -1e-22, -2e-22, -4.36645e-05, -1e-22, -1.2054e-05, -1e-22, -7.6604e-06, -1e-22, -6.40074e-06, -1e-22, -5.40229e-06, -1e-22, -4.50313e-06, -1e-22, -3.69379e-06, -1e-22, -2.96561e-06, -1e-22, -2.31077e-06, -1e-22, -2e-22, -2.29333e-06, -1e-22, -2.39886e-06" \
             );
           }
           vector (ccs_template) {
@@ -115901,7 +131285,7 @@
             index_2 ("0.00497602");
             index_3 ("6.67501, 7.15309, 7.24671, 7.43395, 7.5115, 7.6666, 7.81536, 8.12757, 8.25821, 8.33729, 8.45514, 8.55569, 8.6198, 8.68582, 8.81302, 8.94022, 8.97308, 9.03882, 9.07586, 9.13318, 9.22543, 9.28637, 9.33001, 9.36803, 9.41343, 9.47396, 9.59502, 9.83714, 10.2413, 10.8344, 11.6094, 12.5514, 13.4935, 16.6665, 16.8399, 17.1523, 17.4112, 17.929, 18.871, 19.813, 47.1016");
             values ( \
-              "-0.000410729, -0.000435288, -0.00052541, -0.0007708, -0.000928299, -0.00135409, -0.00211857, -0.00453206, -0.00534675, -0.00575237, -0.00623756, -0.00654117, -0.00668307, -0.00678493, -0.00687094, -0.00674452, -0.00665287, -0.00629457, -0.0058373, -0.00442407, -0.0016865, -0.000787003, -0.000534783, -0.000410702, -0.000337471, -0.000287546, -0.000216142, -0.000145259, -6.5079e-05, -2.56815e-05, -1e-22, -2.26957e-06, -1e-22, -2e-22, -3.56918e-06, -1e-22, -2.05383e-06, -1e-22, -1.69338e-06, -1e-22, -9.54329e-08" \
+              "-0.000410729, -0.000435288, -0.00052541, -0.0007708, -0.000928299, -0.00135409, -0.00211857, -0.00453206, -0.00534675, -0.00575237, -0.00623756, -0.00654117, -0.00668307, -0.00678493, -0.00687094, -0.00674452, -0.00665287, -0.00629457, -0.0058373, -0.00442407, -0.0016865, -0.000787003, -0.000534783, -0.000410702, -0.000337471, -0.000287546, -0.000216142, -0.000145259, -6.5079e-05, -2.56815e-05, -1e-22, -2.26958e-06, -1e-22, -2e-22, -3.56918e-06, -1e-22, -2.05382e-06, -1e-22, -1.69338e-06, -1e-22, -9.54329e-08" \
             );
           }
           vector (ccs_template) {
@@ -116039,7 +131423,7 @@
             index_2 ("0.316744");
             index_3 ("0.0771968, 0.126201, 0.172921, 0.208506, 0.557087, 0.912555, 1.19844, 1.38636, 1.46514, 1.6227, 1.93784, 2.50263, 3.0138, 3.41366, 3.76683, 4.09667, 4.41671, 4.73638, 4.89026, 5.04536, 5.68414, 5.98069, 6.28146, 6.59496, 6.78288, 7.15872, 7.62939");
             values ( \
-              "-0.000313468, -0.00204243, -0.0142119, -0.0170743, -0.0107715, -0.00600784, -0.00374725, -0.00457789, -0.00624023, -0.0128154, -0.0371186, -0.0908528, -0.131105, -0.154245, -0.168562, -0.176848, -0.180037, -0.175505, -0.166892, -0.151551, -0.0742004, -0.0485686, -0.0306419, -0.0185199, -0.0135984, -0.00714814, -0.00345113" \
+              "-0.000313468, -0.00204243, -0.0142119, -0.0170743, -0.0107715, -0.00600784, -0.00374725, -0.00457788, -0.00624023, -0.0128154, -0.0371186, -0.0908528, -0.131105, -0.154245, -0.168562, -0.176848, -0.180037, -0.175505, -0.166892, -0.151551, -0.0742004, -0.0485686, -0.0306419, -0.0185199, -0.0135984, -0.00714814, -0.00345113" \
             );
           }
           vector (ccs_template) {
@@ -116066,7 +131450,7 @@
             index_2 ("0.00497602");
             index_3 ("0.249886, 0.279961, 0.285084, 0.293662, 0.301368, 0.308598, 0.315607, 0.322609, 0.329623, 0.344684, 0.351061, 0.358763, 0.364579, 0.376129, 0.382044");
             values ( \
-              "-0.000666748, -0.0871109, -0.0973548, -0.11165, -0.120835, -0.126742, -0.129237, -0.126438, -0.108821, -0.0492507, -0.0317745, -0.0180631, -0.0114852, -0.00454438, -0.00318966" \
+              "-0.00066674, -0.0871108, -0.0973548, -0.11165, -0.120835, -0.126742, -0.129237, -0.126438, -0.108821, -0.0492507, -0.0317745, -0.0180631, -0.0114852, -0.00454438, -0.00318966" \
             );
           }
           vector (ccs_template) {
@@ -116093,7 +131477,7 @@
             index_2 ("0.316744");
             index_3 ("0.116103, 0.168043, 0.250413, 0.696664, 1.01067, 1.32753, 1.45324, 1.59811, 1.79126, 2.54265, 2.90564, 3.2804, 3.45197, 3.80628, 4.13583, 4.45591, 4.77562, 4.92829, 5.08215, 5.72118, 6.0651, 6.24188, 6.47758, 6.86189, 7.171, 7.51717");
             values ( \
-              "-0.000310823, -0.00248378, -0.017132, -0.00917851, -0.00536668, -0.00373962, -0.00501867, -0.00959772, -0.0214195, -0.0909871, -0.120589, -0.145106, -0.154245, -0.168462, -0.176905, -0.179942, -0.175573, -0.166984, -0.151824, -0.0744131, -0.0453903, -0.0346554, -0.0238181, -0.0127401, -0.00755125, -0.00465798" \
+              "-0.000310823, -0.00248378, -0.017132, -0.00917851, -0.00536668, -0.00373962, -0.00501867, -0.00959773, -0.0214195, -0.0909871, -0.120589, -0.145106, -0.154245, -0.168462, -0.176905, -0.179942, -0.175573, -0.166984, -0.151824, -0.0744131, -0.0453903, -0.0346554, -0.0238181, -0.0127401, -0.00755125, -0.00465798" \
             );
           }
           vector (ccs_template) {
@@ -116201,7 +131585,7 @@
             index_2 ("0.316744");
             index_3 ("0.760725, 0.79797, 0.82953, 0.883422, 0.929405, 1.21785, 1.48108, 1.6846, 1.98841, 2.12018, 2.27465, 2.48062, 3.2116, 3.56433, 3.72255, 4.03901, 4.28585, 4.47397, 4.80426, 5.12424, 5.44399, 5.59533, 5.74787, 6.3874, 6.70252, 6.91743, 7.16305, 7.29933, 7.50718, 7.78431, 8.20222");
             values ( \
-              "-0.000168181, -0.000466553, -0.00179605, -0.0144317, -0.0170612, -0.01157, -0.00762839, -0.0053484, -0.00368802, -0.00502157, -0.00998984, -0.0229783, -0.0909806, -0.119918, -0.131174, -0.150079, -0.161566, -0.168486, -0.176804, -0.180001, -0.175484, -0.167074, -0.152129, -0.0746346, -0.0475377, -0.03427, -0.0231682, -0.0185994, -0.0132368, -0.00830039, -0.00432959" \
+              "-0.000168181, -0.000466553, -0.00179605, -0.0144317, -0.0170612, -0.01157, -0.00762839, -0.0053484, -0.00368802, -0.00502157, -0.00998985, -0.0229783, -0.0909806, -0.119918, -0.131174, -0.150079, -0.161566, -0.168486, -0.176804, -0.180001, -0.175484, -0.167074, -0.152129, -0.0746346, -0.0475377, -0.03427, -0.0231682, -0.0185994, -0.0132368, -0.00830039, -0.00432959" \
             );
           }
           vector (ccs_template) {
@@ -116318,7 +131702,7 @@
             index_2 ("1.26469");
             index_3 ("9.32594, 9.53559, 9.5944, 9.79577, 11.1117, 12.3163, 13.0284, 14.188, 14.6057, 15.4411, 16.6006, 18.9008, 20.0603, 21.8584, 22.5201, 23.6797, 24.417, 25.2366, 26.5082, 27.1802, 27.7777, 28.9373, 30.6084, 31.725, 32.8417, 33.9929, 35.1765, 35.8665, 37.0261, 39.3452, 42.8239");
             values ( \
-              "-3.89903e-05, -0.000305029, -0.00146449, -0.0188015, -0.011788, -0.00732591, -0.00536149, -0.00416261, -0.00535795, -0.0132696, -0.0356784, -0.0908883, -0.115443, -0.146011, -0.154811, -0.167114, -0.172916, -0.177581, -0.180763, -0.179771, -0.176162, -0.154472, -0.101052, -0.070138, -0.0467194, -0.0299147, -0.0185183, -0.0140468, -0.00848978, -0.00308602, -0.000758873" \
+              "-3.89903e-05, -0.000305029, -0.00146449, -0.0188015, -0.011788, -0.0073259, -0.00536149, -0.00416261, -0.00535795, -0.0132696, -0.0356784, -0.0908883, -0.115443, -0.146011, -0.154811, -0.167114, -0.172916, -0.177581, -0.180763, -0.179771, -0.176162, -0.154472, -0.101052, -0.070138, -0.0467194, -0.0299147, -0.0185183, -0.0140468, -0.00848978, -0.00308602, -0.000758873" \
             );
           }
         }
@@ -116408,7 +131792,7 @@
             index_2 ("0.00124625");
             index_3 ("0.198737, 0.206624, 0.216256, 0.223162, 0.226401, 0.229636, 0.23286, 0.240235, 0.243594, 0.245696, 0.248585, 0.254427, 0.260329, 0.267894, 0.290789");
             values ( \
-              "-0.00341214, -0.0409978, -0.0607922, -0.0687348, -0.0700252, -0.0690405, -0.0593835, -0.0247295, -0.014807, -0.0103311, -0.00631437, -0.00226109, -0.000946925, -0.000247615, -4.10607e-06" \
+              "-0.00341214, -0.0409978, -0.0607922, -0.0687348, -0.0700252, -0.0690405, -0.0593835, -0.0247295, -0.014807, -0.0103311, -0.00631437, -0.00226109, -0.000946925, -0.000247615, -4.10606e-06" \
             );
           }
           vector (ccs_template) {
@@ -116442,7 +131826,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.316744");
-            index_3 ("0.0780026, 0.127896, 0.172126, 0.216289, 0.67938, 1.01922, 1.34905, 1.4565, 1.67142, 2.02933, 2.50183, 2.86074, 3.32049, 3.5981, 3.76598, 4.09654, 4.41645, 4.73628, 4.88459, 5.03407, 5.54484, 5.79365, 6.02915, 6.35388, 6.58277, 6.94884, 7.43694, 8.11048, 8.93613");
+            index_3 ("0.0780027, 0.127896, 0.172126, 0.216289, 0.67938, 1.01922, 1.34905, 1.4565, 1.67142, 2.02933, 2.50183, 2.86074, 3.32049, 3.5981, 3.76598, 4.09654, 4.41645, 4.73628, 4.88459, 5.03407, 5.54484, 5.79365, 6.02915, 6.35388, 6.58277, 6.94884, 7.43694, 8.11048, 8.93613");
             values ( \
               "-0.000334007, -0.00218, -0.0141808, -0.0169358, -0.0089121, -0.00496038, -0.00407998, -0.00596666, -0.0156467, -0.0456373, -0.0906569, -0.120104, -0.149416, -0.162295, -0.168405, -0.17675, -0.179959, -0.175457, -0.167286, -0.152816, -0.0891428, -0.0637445, -0.0451745, -0.0272817, -0.0188846, -0.0103046, -0.00434455, -0.00118259, -0.000216025" \
             );
@@ -116471,7 +131855,7 @@
             index_2 ("0.00497602");
             index_3 ("0.251213, 0.272131, 0.279102, 0.293416, 0.301135, 0.308375, 0.315392, 0.322401, 0.329421, 0.344513, 0.350836, 0.358202, 0.364503, 0.377001, 0.381076");
             values ( \
-              "-0.000394299, -0.0696831, -0.0860397, -0.111722, -0.120435, -0.126785, -0.128928, -0.126508, -0.108613, -0.0491619, -0.0318491, -0.0185443, -0.0114031, -0.00417697, -0.00332288" \
+              "-0.000394301, -0.0696831, -0.0860397, -0.111722, -0.120435, -0.126785, -0.128928, -0.126508, -0.108613, -0.0491619, -0.0318491, -0.0185443, -0.0114031, -0.00417697, -0.00332288" \
             );
           }
           vector (ccs_template) {
@@ -116496,7 +131880,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.316744");
-            index_3 ("0.118005, 0.16562, 0.21062, 0.253125, 0.811784, 1.01671, 1.32507, 1.45469, 1.60586, 1.80742, 2.54495, 2.89637, 3.05588, 3.37488, 3.61414, 3.8073, 4.13759, 4.45758, 4.77732, 4.9287, 5.08127, 5.72079, 6.03589, 6.25059, 6.49595, 6.63264, 6.8411, 7.11904, 7.50665");
+            index_3 ("0.118005, 0.16562, 0.21062, 0.253125, 0.811785, 1.01671, 1.32507, 1.45469, 1.60586, 1.80742, 2.54495, 2.89637, 3.05588, 3.37488, 3.61414, 3.8073, 4.13759, 4.45758, 4.77732, 4.9287, 5.08127, 5.72079, 6.03589, 6.25059, 6.49595, 6.63264, 6.8411, 7.11904, 7.50665");
             values ( \
               "-0.000325639, -0.0019337, -0.0138998, -0.0169344, -0.00766778, -0.00535985, -0.00369982, -0.0050419, -0.0098907, -0.0224748, -0.0909801, -0.119824, -0.131172, -0.150215, -0.161362, -0.168485, -0.176807, -0.18, -0.175486, -0.167072, -0.152121, -0.0746285, -0.0475343, -0.0342801, -0.0231837, -0.0185996, -0.0132235, -0.00828121, -0.00460941" \
             );
@@ -116561,7 +131945,7 @@
             index_2 ("1.26469");
             index_3 ("0.269248, 0.447134, 1.39591, 2.31319, 3.05401, 4.19764, 5.0627, 5.71446, 6.58349, 9.57572, 10.7355, 11.6103, 12.5315, 13.1932, 14.3529, 15.0887, 15.9092, 17.1806, 17.8505, 18.4501, 19.6099, 21.279, 22.3989, 23.4949, 24.7315, 25.8521, 26.478, 27.6377, 28.7975, 29.9573, 30.1547");
             values ( \
-              "-0.000284167, -0.0187205, -0.0135736, -0.00958735, -0.00711397, -0.00437641, -0.00457611, -0.00853703, -0.0211104, -0.0909947, -0.115548, -0.131614, -0.146059, -0.154849, -0.167152, -0.172938, -0.177598, -0.180774, -0.179786, -0.176175, -0.154465, -0.101107, -0.0701032, -0.0470813, -0.0291588, -0.0185156, -0.0144154, -0.00872727, -0.00540563, -0.00317789, -0.00300275" \
+              "-0.000284167, -0.0187205, -0.0135736, -0.00958735, -0.00711397, -0.00437641, -0.00457611, -0.00853704, -0.0211104, -0.0909947, -0.115548, -0.131614, -0.146059, -0.154849, -0.167152, -0.172938, -0.177598, -0.180774, -0.179786, -0.176175, -0.154465, -0.101107, -0.0701032, -0.0470813, -0.0291588, -0.0185156, -0.0144154, -0.00872727, -0.00540563, -0.00317789, -0.00300275" \
             );
           }
           vector (ccs_template) {
@@ -116579,7 +131963,7 @@
             index_2 ("0.00497602");
             index_3 ("0.918157, 0.938453, 0.952449, 0.961045, 0.968777, 0.976021, 0.983042, 0.990056, 0.997076, 1.01218, 1.01848, 1.02571, 1.03221, 1.04087, 1.04738");
             values ( \
-              "-0.000330052, -0.0649586, -0.0972465, -0.11116, -0.120726, -0.126367, -0.129189, -0.12619, -0.108848, -0.0491423, -0.0319, -0.018717, -0.0113942, -0.00577754, -0.00425202" \
+              "-0.000330053, -0.0649586, -0.0972465, -0.11116, -0.120726, -0.126367, -0.129189, -0.12619, -0.108848, -0.0491423, -0.0319, -0.018717, -0.0113942, -0.00577754, -0.00425202" \
             );
           }
           vector (ccs_template) {
@@ -116649,9 +132033,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0793292");
-            index_3 ("2.81953, 3.10052, 3.16105, 3.33456, 3.41727, 3.46369, 3.55654, 3.65517, 3.73941, 3.78986, 3.82098, 3.88323, 3.94017, 3.99138, 4.10423, 4.16488, 4.27395, 4.34332, 4.43651, 4.51365, 4.5924");
+            index_3 ("2.81953, 3.10052, 3.16105, 3.33456, 3.41727, 3.46369, 3.55654, 3.65517, 3.73941, 3.78986, 3.82098, 3.88322, 3.94017, 3.99138, 4.10423, 4.16488, 4.27395, 4.34332, 4.43651, 4.51365, 4.5924");
             values ( \
-              "-0.00912432, -0.0112042, -0.0278474, -0.0905402, -0.116816, -0.129121, -0.15013, -0.165556, -0.173677, -0.176203, -0.176572, -0.174466, -0.164309, -0.144093, -0.0894954, -0.0652727, -0.0353932, -0.0229104, -0.0126168, -0.00755491, -0.00495102" \
+              "-0.00912432, -0.0112042, -0.0278474, -0.0905402, -0.116816, -0.129121, -0.15013, -0.165556, -0.173677, -0.176203, -0.176572, -0.174466, -0.164308, -0.144093, -0.0894954, -0.0652727, -0.0353932, -0.0229104, -0.0126168, -0.00755492, -0.004951" \
             );
           }
           vector (ccs_template) {
@@ -116669,7 +132053,7 @@
             index_2 ("1.26469");
             index_3 ("2.53569, 2.64846, 2.81211, 4.43293, 6.10186, 7.26165, 7.63346, 8.37709, 9.53688, 11.9267, 13.0441, 14.8859, 15.5445, 16.7043, 17.4406, 18.2608, 19.5324, 20.2028, 20.8018, 21.9616, 23.6311, 24.7506, 25.8665, 27.0144, 28.2005, 28.8931, 30.0529, 32.3724, 35.8518");
             values ( \
-              "-9.63531e-05, -0.00126132, -0.0186682, -0.0105209, -0.00527463, -0.00421687, -0.00539439, -0.0120413, -0.0336748, -0.0909518, -0.114654, -0.146061, -0.154833, -0.167119, -0.172912, -0.177595, -0.180776, -0.179788, -0.176157, -0.154475, -0.101088, -0.0700953, -0.0466986, -0.0299374, -0.0185113, -0.0140396, -0.00847235, -0.00307419, -0.000765106" \
+              "-9.63531e-05, -0.00126132, -0.0186682, -0.0105209, -0.00527463, -0.00421687, -0.00539439, -0.0120413, -0.0336748, -0.0909518, -0.114654, -0.146061, -0.154833, -0.167119, -0.172912, -0.177595, -0.180776, -0.179788, -0.176157, -0.154475, -0.101088, -0.0700953, -0.0466986, -0.0299374, -0.0185113, -0.0140396, -0.00847236, -0.00307419, -0.000765106" \
             );
           }
           vector (ccs_template) {
@@ -116678,7 +132062,7 @@
             index_2 ("0.00124625");
             index_3 ("9.7181, 9.72133, 9.72234, 9.72437, 9.73028, 9.741, 9.74516, 9.74897, 9.75258, 9.75613, 9.75967, 9.76505, 9.76917, 9.77311, 9.77606, 9.78211, 9.78839, 9.79134");
             values ( \
-              "-0.00997942, -0.0135783, -0.0156931, -0.0163262, -0.0321012, -0.0510273, -0.056798, -0.0609326, -0.0633387, -0.0634422, -0.055939, -0.0322571, -0.0182634, -0.00972777, -0.00596596, -0.00212529, -0.000869763, -0.00063599" \
+              "-0.00997941, -0.0135783, -0.0156931, -0.0163262, -0.0321012, -0.0510273, -0.056798, -0.0609326, -0.0633387, -0.0634422, -0.055939, -0.0322571, -0.0182634, -0.00972777, -0.00596596, -0.00212529, -0.000869763, -0.000635991" \
             );
           }
           vector (ccs_template) {
@@ -116687,7 +132071,7 @@
             index_2 ("0.00497602");
             index_3 ("9.73814, 9.7478, 9.75985, 9.76778, 9.7757, 9.7791, 9.78815, 9.80365, 9.81082, 9.81796, 9.82572, 9.84155, 9.84801, 9.85622, 9.8641");
             values ( \
-              "-0.00875662, -0.018009, -0.0444998, -0.0685675, -0.0827212, -0.09206, -0.107085, -0.123976, -0.126155, -0.12506, -0.105712, -0.044881, -0.028744, -0.0156021, -0.00871153" \
+              "-0.00875662, -0.018009, -0.0444998, -0.0685675, -0.0827212, -0.09206, -0.107085, -0.123976, -0.126155, -0.12506, -0.105712, -0.0448811, -0.028744, -0.0156021, -0.00871153" \
             );
           }
           vector (ccs_template) {
@@ -116705,7 +132089,7 @@
             index_2 ("0.0793292");
             index_3 ("9.79479, 10.0818, 10.1303, 10.3674, 10.443, 10.5447, 10.6347, 10.7188, 10.8004, 10.8819, 10.9215, 10.9614, 11.1257, 11.2001, 11.274, 11.3305, 11.3883, 11.4324, 11.5207, 11.6218");
             values ( \
-              "-0.00819754, -0.0117048, -0.0247263, -0.108217, -0.129234, -0.151838, -0.16545, -0.173802, -0.176672, -0.172472, -0.163796, -0.148601, -0.0721372, -0.0474692, -0.0304362, -0.021328, -0.0147491, -0.0110655, -0.00611289, -0.00345919" \
+              "-0.00819754, -0.0117048, -0.0247263, -0.108217, -0.129234, -0.151838, -0.16545, -0.173802, -0.176672, -0.172472, -0.163796, -0.148601, -0.0721372, -0.0474692, -0.0304362, -0.021328, -0.0147491, -0.0110655, -0.00611289, -0.00345918" \
             );
           }
           vector (ccs_template) {
@@ -116858,7 +132242,7 @@
             index_2 ("1.26469");
             index_3 ("0.0785276, 0.231078, 1.53415, 2.06435, 2.79109, 3.89217, 4.81564, 5.07907, 5.60593, 6.65965, 8.95437, 10.2122, 11.9069, 12.9911, 14.0679, 14.3951, 15.0495, 15.7064, 16.8643, 17.2051, 17.6596, 18.2474, 19.4054, 21.0876, 22.185, 23.2972, 23.9272, 24.5123, 25.6486, 26.2904, 27.4483, 28.6063, 29.7642, 30.9221, 33.238");
             values ( \
-              "-0.000309786, -0.0187256, -0.0119546, -0.00980891, -0.00726428, -0.00458771, -0.00441532, -0.00542793, -0.00943893, -0.0266656, -0.0813291, -0.109116, -0.139669, -0.154767, -0.166423, -0.16916, -0.17404, -0.177517, -0.180757, -0.180731, -0.179678, -0.17621, -0.154462, -0.10081, -0.0704256, -0.0470336, -0.0370154, -0.029393, -0.0185627, -0.0142767, -0.00872141, -0.00533364, -0.00320926, -0.00196565, -0.000725791" \
+              "-0.000309786, -0.0187256, -0.0119546, -0.00980891, -0.00726428, -0.00458771, -0.00441532, -0.00542793, -0.00943893, -0.0266656, -0.0813291, -0.109116, -0.139669, -0.154767, -0.166423, -0.16916, -0.17404, -0.177517, -0.180757, -0.180731, -0.179678, -0.17621, -0.154462, -0.10081, -0.0704256, -0.0470336, -0.0370154, -0.029393, -0.0185627, -0.0142767, -0.00872141, -0.00533364, -0.00320925, -0.00196565, -0.000725791" \
             );
           }
           vector (ccs_template) {
@@ -116964,7 +132348,7 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.26469");
-            index_3 ("0.258535, 0.411055, 2.18344, 2.88327, 3.93829, 4.92877, 5.25883, 5.91896, 7.0769, 9.13398, 10.3918, 12.0926, 13.171, 14.2153, 14.5749, 15.2543, 15.8862, 17.0442, 17.3851, 17.8396, 18.4273, 19.5852, 21.2676, 22.3648, 23.4783, 24.1014, 24.6883, 25.8284, 26.4738, 27.6318, 28.7897, 29.9477, 32.2635, 33.4215");
+            index_3 ("0.258534, 0.411055, 2.18344, 2.88327, 3.93829, 4.92877, 5.25883, 5.91896, 7.07689, 9.13398, 10.3918, 12.0926, 13.171, 14.2153, 14.5749, 15.2543, 15.8862, 17.0442, 17.3851, 17.8396, 18.4273, 19.5852, 21.2676, 22.3648, 23.4783, 24.1014, 24.6883, 25.8284, 26.4738, 27.6318, 28.7897, 29.9477, 32.2635, 33.4215");
             values ( \
               "-0.000255039, -0.0187088, -0.0100302, -0.00755414, -0.00482388, -0.00428235, -0.00540769, -0.0109735, -0.0317687, -0.0812949, -0.109084, -0.139772, -0.15478, -0.166099, -0.169171, -0.174175, -0.17753, -0.180735, -0.18071, -0.179693, -0.17619, -0.154479, -0.10079, -0.0704093, -0.0469944, -0.0371145, -0.0294195, -0.0185453, -0.0142727, -0.00868991, -0.00534311, -0.00318629, -0.00114229, -0.0007425" \
             );
@@ -117065,7 +132449,7 @@
             index_2 ("0.316744");
             index_3 ("2.52574, 2.60551, 2.63279, 2.70162, 2.73761, 3.23049, 3.66684, 3.94325, 4.02182, 4.16353, 4.30206, 5.20185, 5.53135, 5.75707, 5.92946, 6.2837, 6.61331, 6.93339, 7.2543, 7.40569, 7.55948, 8.19854, 8.54256, 8.71976, 8.95603, 9.33808, 9.6454, 10.0826");
             values ( \
-              "-8.66678e-05, -0.00055682, -0.00191259, -0.0149907, -0.0166212, -0.00843839, -0.00398347, -0.00526333, -0.00745325, -0.0141885, -0.0239273, -0.106435, -0.131099, -0.145171, -0.154137, -0.16857, -0.176798, -0.180051, -0.175436, -0.166985, -0.151847, -0.0744309, -0.0453862, -0.0346285, -0.0237861, -0.0127722, -0.00758662, -0.00391289" \
+              "-8.66678e-05, -0.00055682, -0.00191259, -0.0149907, -0.0166212, -0.00843839, -0.00398347, -0.00526333, -0.00745325, -0.0141885, -0.0239273, -0.106435, -0.131099, -0.145171, -0.154137, -0.16857, -0.176798, -0.180051, -0.175436, -0.166985, -0.151847, -0.0744309, -0.0453862, -0.0346285, -0.0237861, -0.0127722, -0.00758662, -0.0039129" \
             );
           }
           vector (ccs_template) {
@@ -117083,7 +132467,7 @@
             index_2 ("0.00124625");
             index_3 ("9.64473, 9.65303, 9.65706, 9.66388, 9.67243, 9.67611, 9.67963, 9.68309, 9.68655, 9.69559, 9.69903, 9.70338, 9.70717, 9.71223, 9.71465");
             values ( \
-              "-0.00122703, -0.0186714, -0.0315669, -0.0453319, -0.0588443, -0.0628237, -0.0650013, -0.0648449, -0.0568215, -0.0194604, -0.0113049, -0.00547249, -0.00288867, -0.00133383, -0.00101828" \
+              "-0.00122706, -0.0186714, -0.0315669, -0.0453319, -0.0588443, -0.0628237, -0.0650013, -0.0648449, -0.0568215, -0.0194604, -0.0113049, -0.00547249, -0.00288867, -0.00133383, -0.00101828" \
             );
           }
           vector (ccs_template) {
@@ -117092,7 +132476,7 @@
             index_2 ("0.00497602");
             index_3 ("9.66817, 9.67309, 9.68223, 9.6942, 9.70183, 9.70525, 9.71417, 9.7221, 9.73663, 9.74376, 9.75083, 9.76729, 9.7737, 9.78191, 9.791, 9.79107");
             values ( \
-              "-0.0111689, -0.0158742, -0.0360902, -0.0708307, -0.0842383, -0.0938976, -0.108456, -0.118269, -0.127761, -0.124706, -0.108331, -0.0449271, -0.0287546, -0.0155675, -0.00774641, -0.0077125" \
+              "-0.0111689, -0.0158743, -0.0360902, -0.0708307, -0.0842382, -0.0938976, -0.108456, -0.118269, -0.127761, -0.124706, -0.108331, -0.0449271, -0.0287546, -0.0155675, -0.00774641, -0.00771255" \
             );
           }
           vector (ccs_template) {
@@ -117110,7 +132494,7 @@
             index_2 ("0.0793292");
             index_3 ("9.72927, 10.008, 10.0668, 10.2406, 10.3702, 10.472, 10.5619, 10.646, 10.7276, 10.8091, 10.8493, 10.8898, 11.0619, 11.1318, 11.2111, 11.2827, 11.3226, 11.4025, 11.4902");
             values ( \
-              "-0.00891557, -0.011585, -0.0278572, -0.0909496, -0.129299, -0.151949, -0.16549, -0.173865, -0.176683, -0.172514, -0.163627, -0.148055, -0.0687129, -0.0462265, -0.0286224, -0.0182081, -0.0140784, -0.00828493, -0.00509759" \
+              "-0.00891557, -0.011585, -0.0278572, -0.0909497, -0.129299, -0.151949, -0.16549, -0.173865, -0.176683, -0.172514, -0.163627, -0.148055, -0.0687129, -0.0462265, -0.0286224, -0.0182081, -0.0140784, -0.00828492, -0.00509759" \
             );
           }
           vector (ccs_template) {
@@ -117119,7 +132503,7 @@
             index_2 ("0.316744");
             index_3 ("9.30133, 9.4896, 9.5343, 9.67234, 9.71721, 10.1665, 10.4266, 10.6099, 10.8892, 10.9706, 11.0791, 11.2552, 11.9654, 12.3207, 12.4764, 12.7878, 13.0495, 13.2277, 13.558, 13.878, 14.1977, 14.3491, 14.5018, 15.1413, 15.487, 15.6707, 15.9157, 16.053, 16.2623, 16.5414, 16.6685, 16.9428");
             values ( \
-              "-3.22536e-05, -0.000286493, -0.00109758, -0.0150317, -0.0162533, -0.00854993, -0.00547538, -0.00399227, -0.00531204, -0.00762175, -0.0125436, -0.0246414, -0.0910086, -0.120103, -0.131198, -0.149808, -0.161961, -0.168502, -0.176793, -0.180015, -0.175472, -0.167067, -0.152112, -0.0746223, -0.0454059, -0.0342922, -0.0232082, -0.0186022, -0.013207, -0.00825175, -0.00667244, -0.00462956" \
+              "-3.22536e-05, -0.000286493, -0.00109758, -0.0150317, -0.0162533, -0.00854993, -0.00547538, -0.00399227, -0.00531204, -0.00762176, -0.0125436, -0.0246415, -0.0910086, -0.120103, -0.131198, -0.149808, -0.161961, -0.168502, -0.176793, -0.180015, -0.175472, -0.167067, -0.152112, -0.0746223, -0.0454059, -0.0342922, -0.0232082, -0.0186022, -0.013207, -0.00825175, -0.00667244, -0.00462956" \
             );
           }
           vector (ccs_template) {
@@ -117128,7 +132512,7 @@
             index_2 ("1.26469");
             index_3 ("9.31364, 9.48672, 9.53142, 9.72759, 10.8848, 11.8841, 12.5989, 13.567, 14.4316, 14.7818, 15.2487, 16.0002, 18.8377, 19.7956, 20.873, 21.8101, 22.4567, 23.6147, 24.3567, 25.1732, 26.4448, 27.1267, 27.7143, 28.8722, 30.5543, 31.6519, 32.7733, 33.9465, 35.1138, 35.7871, 36.945, 38.1029, 39.2609, 41.5768, 42.7347");
             values ( \
-              "-3.58755e-05, -0.000292613, -0.00113703, -0.0186109, -0.0125149, -0.00850573, -0.00630736, -0.00425242, -0.00490368, -0.0068359, -0.0115433, -0.0240624, -0.0908711, -0.111438, -0.131533, -0.146234, -0.154796, -0.167109, -0.172947, -0.177566, -0.180749, -0.179724, -0.176172, -0.154505, -0.100773, -0.0703828, -0.0468188, -0.0297271, -0.0185269, -0.0141328, -0.0085671, -0.00530323, -0.00312643, -0.00110637, -0.000756121" \
+              "-3.58755e-05, -0.000292613, -0.00113703, -0.0186109, -0.0125149, -0.00850573, -0.00630736, -0.00425242, -0.00490368, -0.0068359, -0.0115433, -0.0240625, -0.0908711, -0.111438, -0.131533, -0.146234, -0.154796, -0.167109, -0.172947, -0.177566, -0.180749, -0.179724, -0.176172, -0.154505, -0.100773, -0.0703828, -0.0468188, -0.0297271, -0.0185269, -0.0141328, -0.0085671, -0.00530323, -0.00312643, -0.00110637, -0.00075612" \
             );
           }
         }
@@ -117252,7 +132636,7 @@
             index_2 ("0.316744");
             index_3 ("0.0771968, 0.126201, 0.172921, 0.208506, 0.557087, 0.912555, 1.19844, 1.38636, 1.46514, 1.6227, 1.93784, 2.50263, 3.0138, 3.41366, 3.76683, 4.09667, 4.41671, 4.73638, 4.89026, 5.04536, 5.68414, 5.98069, 6.28146, 6.59496, 6.78288, 7.15872, 7.62939");
             values ( \
-              "-0.000313468, -0.00204243, -0.0142119, -0.0170743, -0.0107715, -0.00600784, -0.00374725, -0.00457789, -0.00624023, -0.0128154, -0.0371186, -0.0908528, -0.131105, -0.154245, -0.168562, -0.176848, -0.180037, -0.175505, -0.166892, -0.151551, -0.0742004, -0.0485686, -0.0306419, -0.0185199, -0.0135984, -0.00714814, -0.00345113" \
+              "-0.000313468, -0.00204243, -0.0142119, -0.0170743, -0.0107715, -0.00600784, -0.00374725, -0.00457788, -0.00624023, -0.0128154, -0.0371186, -0.0908528, -0.131105, -0.154245, -0.168562, -0.176848, -0.180037, -0.175505, -0.166892, -0.151551, -0.0742004, -0.0485686, -0.0306419, -0.0185199, -0.0135984, -0.00714814, -0.00345113" \
             );
           }
           vector (ccs_template) {
@@ -117279,7 +132663,7 @@
             index_2 ("0.00497602");
             index_3 ("0.249886, 0.279961, 0.285084, 0.293662, 0.301368, 0.308598, 0.315607, 0.322609, 0.329623, 0.344684, 0.351061, 0.358763, 0.364579, 0.376129, 0.382044");
             values ( \
-              "-0.000666748, -0.0871109, -0.0973548, -0.11165, -0.120835, -0.126742, -0.129237, -0.126438, -0.108821, -0.0492507, -0.0317745, -0.0180631, -0.0114852, -0.00454438, -0.00318966" \
+              "-0.00066674, -0.0871108, -0.0973548, -0.11165, -0.120835, -0.126742, -0.129237, -0.126438, -0.108821, -0.0492507, -0.0317745, -0.0180631, -0.0114852, -0.00454438, -0.00318966" \
             );
           }
           vector (ccs_template) {
@@ -117306,7 +132690,7 @@
             index_2 ("0.316744");
             index_3 ("0.116103, 0.168043, 0.250413, 0.696664, 1.01067, 1.32753, 1.45324, 1.59811, 1.79126, 2.54265, 2.90564, 3.2804, 3.45197, 3.80628, 4.13583, 4.45591, 4.77562, 4.92829, 5.08215, 5.72118, 6.0651, 6.24188, 6.47758, 6.86189, 7.171, 7.51717");
             values ( \
-              "-0.000310823, -0.00248378, -0.017132, -0.00917851, -0.00536668, -0.00373962, -0.00501867, -0.00959772, -0.0214195, -0.0909871, -0.120589, -0.145106, -0.154245, -0.168462, -0.176905, -0.179942, -0.175573, -0.166984, -0.151824, -0.0744131, -0.0453903, -0.0346554, -0.0238181, -0.0127401, -0.00755125, -0.00465798" \
+              "-0.000310823, -0.00248378, -0.017132, -0.00917851, -0.00536668, -0.00373962, -0.00501867, -0.00959773, -0.0214195, -0.0909871, -0.120589, -0.145106, -0.154245, -0.168462, -0.176905, -0.179942, -0.175573, -0.166984, -0.151824, -0.0744131, -0.0453903, -0.0346554, -0.0238181, -0.0127401, -0.00755125, -0.00465798" \
             );
           }
           vector (ccs_template) {
@@ -117414,7 +132798,7 @@
             index_2 ("0.316744");
             index_3 ("0.760725, 0.79797, 0.82953, 0.883422, 0.929405, 1.21785, 1.48108, 1.6846, 1.98841, 2.12018, 2.27465, 2.48062, 3.2116, 3.56433, 3.72255, 4.03901, 4.28585, 4.47397, 4.80426, 5.12424, 5.44399, 5.59533, 5.74787, 6.3874, 6.70252, 6.91743, 7.16305, 7.29933, 7.50718, 7.78431, 8.20222");
             values ( \
-              "-0.000168181, -0.000466553, -0.00179605, -0.0144317, -0.0170612, -0.01157, -0.00762839, -0.0053484, -0.00368802, -0.00502157, -0.00998984, -0.0229783, -0.0909806, -0.119918, -0.131174, -0.150079, -0.161566, -0.168486, -0.176804, -0.180001, -0.175484, -0.167074, -0.152129, -0.0746346, -0.0475377, -0.03427, -0.0231682, -0.0185994, -0.0132368, -0.00830039, -0.00432959" \
+              "-0.000168181, -0.000466553, -0.00179605, -0.0144317, -0.0170612, -0.01157, -0.00762839, -0.0053484, -0.00368802, -0.00502157, -0.00998985, -0.0229783, -0.0909806, -0.119918, -0.131174, -0.150079, -0.161566, -0.168486, -0.176804, -0.180001, -0.175484, -0.167074, -0.152129, -0.0746346, -0.0475377, -0.03427, -0.0231682, -0.0185994, -0.0132368, -0.00830039, -0.00432959" \
             );
           }
           vector (ccs_template) {
@@ -117531,7 +132915,7 @@
             index_2 ("1.26469");
             index_3 ("9.32594, 9.53559, 9.5944, 9.79577, 11.1117, 12.3163, 13.0284, 14.188, 14.6057, 15.4411, 16.6006, 18.9008, 20.0603, 21.8584, 22.5201, 23.6797, 24.417, 25.2366, 26.5082, 27.1802, 27.7777, 28.9373, 30.6084, 31.725, 32.8417, 33.9929, 35.1765, 35.8665, 37.0261, 39.3452, 42.8239");
             values ( \
-              "-3.89903e-05, -0.000305029, -0.00146449, -0.0188015, -0.011788, -0.00732591, -0.00536149, -0.00416261, -0.00535795, -0.0132696, -0.0356784, -0.0908883, -0.115443, -0.146011, -0.154811, -0.167114, -0.172916, -0.177581, -0.180763, -0.179771, -0.176162, -0.154472, -0.101052, -0.070138, -0.0467194, -0.0299147, -0.0185183, -0.0140468, -0.00848978, -0.00308602, -0.000758873" \
+              "-3.89903e-05, -0.000305029, -0.00146449, -0.0188015, -0.011788, -0.0073259, -0.00536149, -0.00416261, -0.00535795, -0.0132696, -0.0356784, -0.0908883, -0.115443, -0.146011, -0.154811, -0.167114, -0.172916, -0.177581, -0.180763, -0.179771, -0.176162, -0.154472, -0.101052, -0.070138, -0.0467194, -0.0299147, -0.0185183, -0.0140468, -0.00848978, -0.00308602, -0.000758873" \
             );
           }
         }
@@ -117599,7 +132983,7 @@
             "0.0076389, 0.00768461, 0.00759874, 0.0066358, 0.00252326, 0", \
             "0.0072768, 0.00732138, 0.00723054, 0.00626492, 0.00215146, 0", \
             "0.00760967, 0.00767063, 0.00757696, 0.0066029, 0.00248246, 0", \
-            "0.0113102, 0.0115016, 0.0112266, 0.0103066, 0.00627893, 0", \
+            "0.0114721, 0.0113361, 0.0113914, 0.0104879, 0.00627893, 0", \
             "0.0277658, 0.0277709, 0.0275947, 0.0265834, 0.0225272, 0.00595259" \
           );
         }
@@ -117607,12 +132991,12 @@
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           index_2 ("0.00124625, 0.00497602, 0.0198682, 0.0793292, 0.316744, 1.26469");
           values ( \
-            "0.00890699, 0.00893742, 0.00879969, 0.00788702, 0.00403747, 0", \
-            "0.0087666, 0.00879825, 0.00865994, 0.00774896, 0.00390382, 0", \
-            "0.00845968, 0.0084905, 0.0083534, 0.00744086, 0.00358347, 0", \
-            "0.00860345, 0.00862686, 0.00849423, 0.00756777, 0.0037153, 0", \
-            "0.010576, 0.0106007, 0.0104618, 0.00956994, 0.00575048, 0", \
-            "0.0216812, 0.0216945, 0.0215384, 0.0205372, 0.0166867, 0.00140199" \
+            "0.008907, 0.00893744, 0.00879971, 0.00788704, 0.00403749, 0", \
+            "0.00876662, 0.00879827, 0.00865996, 0.00774898, 0.00390384, 0", \
+            "0.0084597, 0.00849051, 0.00835342, 0.00744088, 0.00358349, 0", \
+            "0.00860346, 0.00862688, 0.00849424, 0.00756779, 0.00371532, 0", \
+            "0.0105761, 0.0106008, 0.0104618, 0.00956996, 0.0057505, 0", \
+            "0.0216743, 0.0217076, 0.021533, 0.0205564, 0.0166766, 0.001542" \
           );
         }
       }
@@ -117811,7 +133195,7 @@
             "0.300209, 0.322964, 0.403902, 0.718966, 1.974, 6.98809", \
             "0.356511, 0.379298, 0.460415, 0.775683, 2.03081, 7.04476", \
             "0.392497, 0.415281, 0.496331, 0.811602, 2.06747, 7.08153", \
-            "0.151566, 0.174376, 0.255364, 0.570399, 1.82588, 6.84052" \
+            "0.151567, 0.174376, 0.255364, 0.570399, 1.82588, 6.84052" \
           );
         }
         rise_transition (delay_template) {
@@ -117823,7 +133207,7 @@
             "0.0501737, 0.0755359, 0.187848, 0.652093, 2.51087, 9.93526", \
             "0.0502961, 0.075691, 0.188168, 0.652172, 2.51068, 9.93523", \
             "0.0505139, 0.0757749, 0.188, 0.652389, 2.51083, 9.93533", \
-            "0.0516988, 0.0765276, 0.188052, 0.652074, 2.511, 9.93523" \
+            "0.0516945, 0.0765276, 0.188052, 0.652074, 2.511, 9.93523" \
           );
         }
         cell_fall (delay_template) {
@@ -117902,7 +133286,7 @@
             index_2 ("1.26917");
             index_3 ("0.351096, 0.351116, 2.06985, 5.47224, 6.95637, 7.71598, 8.55987, 9.38123, 10.3831, 13.2602, 14.3227, 15.3851, 16.0977, 16.962, 17.9347, 18.9972, 19.558, 20.6204, 21.6683, 23.6207, 26.1389, 28.2638, 30.3887, 34.6385, 37.8259");
             values ( \
-              "1e-22, 0.213891, 0.179529, 0.158968, 0.148998, 0.143062, 0.13541, 0.126499, 0.114403, 0.077603, 0.0652168, 0.0542372, 0.0475747, 0.0404817, 0.0334431, 0.0270725, 0.0241004, 0.019396, 0.0155192, 0.0102247, 0.00591109, 0.00369599, 0.00230015, 0.000878004, 0.000469832" \
+              "1e-22, 0.213891, 0.179529, 0.158968, 0.148998, 0.143062, 0.13541, 0.126499, 0.114403, 0.077603, 0.0652168, 0.0542372, 0.0475747, 0.0404817, 0.0334431, 0.0270725, 0.0241004, 0.019396, 0.0155192, 0.0102247, 0.00591109, 0.00369599, 0.00230014, 0.000878003, 0.000469832" \
             );
           }
           vector (ccs_template) {
@@ -117927,9 +133311,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0198963");
-            index_3 ("0.264776, 0.279105, 0.289279, 0.301649, 0.308619, 0.320672, 0.331429, 0.34974, 0.376143, 0.404132, 0.434347, 0.444991, 0.526526, 0.555038, 0.594344, 0.61445, 0.641929, 0.670666, 0.730731, 0.785364, 0.827856, 0.882313, 0.91953");
+            index_3 ("0.264776, 0.279105, 0.289279, 0.301649, 0.308619, 0.320672, 0.331429, 0.34974, 0.376143, 0.404132, 0.434347, 0.444991, 0.526524, 0.555032, 0.594347, 0.614452, 0.64193, 0.670664, 0.730731, 0.785366, 0.827859, 0.882317, 0.919525");
             values ( \
-              "0.0875434, 0.100896, 0.11926, 0.133015, 0.137329, 0.140921, 0.141226, 0.138765, 0.132325, 0.123946, 0.113253, 0.108705, 0.061002, 0.0470582, 0.0319151, 0.0256583, 0.0190181, 0.01475, 0.00838796, 0.00476904, 0.00292778, 0.00156165, 0.0012548" \
+              "0.0875434, 0.100896, 0.11926, 0.133015, 0.137329, 0.140921, 0.141226, 0.138765, 0.132325, 0.123946, 0.113253, 0.108705, 0.0610031, 0.0470609, 0.0319142, 0.0256577, 0.019018, 0.0147503, 0.008388, 0.00476895, 0.00292766, 0.00156158, 0.00125482" \
             );
           }
           vector (ccs_template) {
@@ -117956,7 +133340,7 @@
             index_2 ("1.26917");
             index_3 ("0.258813, 0.306131, 0.334399, 0.404925, 5.50188, 6.98601, 7.74562, 8.58951, 9.41086, 10.4128, 13.2899, 14.3523, 15.4148, 16.4978, 17.787, 18.8494, 19.4676, 21.0347, 22.026, 23.0884, 23.8787, 24.9411, 26.0036, 28.1285, 31.3159, 33.4408, 37.6906");
             values ( \
-              "0.0704826, 0.176692, 0.187546, 0.189916, 0.158951, 0.148981, 0.143079, 0.135394, 0.126516, 0.114386, 0.0775871, 0.0652325, 0.0542216, 0.0444133, 0.0346541, 0.0280518, 0.0247176, 0.0178772, 0.0145322, 0.0115627, 0.00978381, 0.0077491, 0.00617115, 0.00387489, 0.00189358, 0.00117546, 0.000447515" \
+              "0.0704826, 0.176692, 0.187546, 0.189916, 0.158951, 0.148981, 0.143079, 0.135394, 0.126516, 0.114386, 0.0775871, 0.0652325, 0.0542216, 0.0444133, 0.0346541, 0.0280518, 0.0247176, 0.0178772, 0.0145322, 0.0115627, 0.00978381, 0.0077491, 0.00617114, 0.00387489, 0.00189358, 0.00117546, 0.000447514" \
             );
           }
           vector (ccs_template) {
@@ -118010,7 +133394,7 @@
             index_2 ("1.26917");
             index_3 ("0.502928, 0.502948, 2.21561, 5.63545, 7.11958, 7.87919, 8.72308, 9.54443, 10.5463, 12.8475, 14.4859, 15.5484, 16.6313, 17.9508, 19.0132, 20.3812, 21.1219, 22.1263, 23.1888, 24.0108, 25.0733, 26.1357, 28.2606, 31.448, 37.8227");
             values ( \
-              "1e-22, 0.211516, 0.179639, 0.158963, 0.148993, 0.143066, 0.135406, 0.126503, 0.114399, 0.0846692, 0.0652198, 0.0542343, 0.0444007, 0.0344355, 0.0278929, 0.0210977, 0.0180388, 0.0146465, 0.0116326, 0.00979848, 0.0077387, 0.00618495, 0.00388813, 0.00188179, 0.000435385" \
+              "1e-22, 0.211516, 0.179639, 0.158963, 0.148993, 0.143066, 0.135406, 0.126503, 0.114399, 0.0846692, 0.0652198, 0.0542343, 0.0444007, 0.0344355, 0.0278929, 0.0210977, 0.0180388, 0.0146465, 0.0116326, 0.00979848, 0.0077387, 0.00618495, 0.00388813, 0.00188178, 0.000435384" \
             );
           }
           vector (ccs_template) {
@@ -118055,16 +133439,16 @@
             index_2 ("0.317641");
             index_3 ("0.876701, 0.876721, 1.32728, 1.82326, 2.3583, 2.55182, 2.93271, 3.10033, 3.24811, 3.4185, 3.99753, 4.18746, 4.44069, 4.80052, 4.98375, 5.09991, 5.33221, 5.65224, 5.9224, 6.221, 6.64498, 6.82968, 7.07616, 7.40479, 7.91805, 8.49804, 9.19715, 10.067, 11.1294");
             values ( \
-              "1e-22, 0.205815, 0.177641, 0.165996, 0.152829, 0.147576, 0.135094, 0.12828, 0.121519, 0.113285, 0.0838777, 0.07472, 0.0633853, 0.0491909, 0.042989, 0.0394043, 0.0329107, 0.0254887, 0.020488, 0.0160312, 0.0112473, 0.00963062, 0.00781723, 0.00589378, 0.00376459, 0.00224805, 0.00119864, 0.00053452, 0.00019609" \
+              "1e-22, 0.205815, 0.177641, 0.165996, 0.152829, 0.147576, 0.135094, 0.12828, 0.121519, 0.113285, 0.0838777, 0.07472, 0.0633853, 0.0491909, 0.042989, 0.0394043, 0.0329107, 0.0254887, 0.020488, 0.0160312, 0.0112473, 0.00963062, 0.00781723, 0.00589378, 0.00376459, 0.00224805, 0.00119864, 0.00053452, 0.000196089" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.26917");
-            index_3 ("0.948389, 0.948409, 3.18635, 5.36164, 7.14579, 8.32696, 9.17085, 9.99221, 10.9941, 13.2953, 14.9337, 15.9961, 17.0791, 18.5457, 19.6082, 21.2314, 22.2793, 24.2317, 26.7499, 28.8748, 30.9997, 34.1871, 38.4369");
+            index_3 ("0.94839, 0.948409, 3.18635, 5.36164, 7.14579, 8.32696, 9.17085, 9.99221, 10.9941, 13.2953, 14.9337, 15.9961, 17.0791, 18.5457, 19.6082, 21.2314, 22.2793, 24.2317, 26.7499, 28.8748, 30.9997, 34.1871, 38.4369");
             values ( \
-              "1e-22, 0.206054, 0.176515, 0.16344, 0.151948, 0.143082, 0.135391, 0.126519, 0.114383, 0.0846855, 0.0652362, 0.0542179, 0.0444171, 0.033462, 0.0270536, 0.0193772, 0.0155379, 0.0102434, 0.00592958, 0.00371444, 0.00231856, 0.00115316, 0.000451548" \
+              "1e-22, 0.206054, 0.176515, 0.16344, 0.151948, 0.143082, 0.135391, 0.126519, 0.114383, 0.0846855, 0.0652362, 0.0542179, 0.0444171, 0.033462, 0.0270536, 0.0193772, 0.0155379, 0.0102434, 0.00592958, 0.00371444, 0.00231856, 0.00115315, 0.000451547" \
             );
           }
           vector (ccs_template) {
@@ -118073,7 +133457,7 @@
             index_2 ("0.00124625");
             index_3 ("2.42454, 2.4323, 2.43723, 2.44638, 2.4492, 2.45249, 2.45599, 2.46033, 2.46552, 2.46768, 2.47131, 2.475, 2.4813, 2.48287, 2.48603, 2.49149, 2.4984, 2.50204, 2.50305, 2.50911, 2.51886, 2.52194, 2.52524, 2.53098, 2.53525, 2.54367, 2.54816, 2.5552, 2.55979, 2.56425, 2.57018, 2.58185, 2.60075, 2.62653");
             values ( \
-              "7.98503e-05, 0.0114908, 0.0159207, 0.0235641, 0.0254165, 0.0270856, 0.028581, 0.0299609, 0.0308391, 0.0309872, 0.0307725, 0.0299917, 0.0276094, 0.0271293, 0.0265259, 0.0244966, 0.0181376, 0.0159176, 0.0149888, 0.0115827, 0.00742433, 0.00828277, 0.00809742, 0.00760498, 0.00654653, 0.00465331, 0.00381201, 0.00274015, 0.0022117, 0.00178122, 0.00136828, 0.000755903, 0.000317505, 6.02134e-05" \
+              "7.98502e-05, 0.0114908, 0.0159207, 0.0235641, 0.0254165, 0.0270856, 0.028581, 0.0299609, 0.0308391, 0.0309872, 0.0307725, 0.0299917, 0.0276094, 0.0271293, 0.0265259, 0.0244966, 0.0181376, 0.0159176, 0.0149888, 0.0115827, 0.00742433, 0.00828277, 0.00809742, 0.00760498, 0.00654653, 0.00465331, 0.00381201, 0.00274015, 0.0022117, 0.00178122, 0.00136828, 0.000755903, 0.000317505, 6.02134e-05" \
             );
           }
           vector (ccs_template) {
@@ -118082,7 +133466,7 @@
             index_2 ("0.00497954");
             index_3 ("2.42454, 2.44673, 2.44931, 2.45514, 2.46424, 2.47244, 2.4756, 2.48114, 2.48658, 2.49776, 2.50966, 2.52229, 2.52394, 2.53359, 2.54149, 2.54972, 2.56023, 2.5698, 2.57428, 2.58163, 2.589, 2.60326, 2.62867, 2.64568, 2.66374, 2.69315, 2.73144");
             values ( \
-              "0.000806922, 0.0551854, 0.059481, 0.0672074, 0.0759908, 0.0804723, 0.0813826, 0.082056, 0.0817449, 0.0783066, 0.0726532, 0.0635191, 0.060773, 0.0495051, 0.0411857, 0.0336932, 0.0257974, 0.0196739, 0.017006, 0.0144761, 0.0134684, 0.0109036, 0.00591172, 0.00365303, 0.00218592, 0.000819994, 0.000262145" \
+              "0.000806922, 0.0551854, 0.059481, 0.0672074, 0.0759908, 0.0804722, 0.0813826, 0.082056, 0.0817449, 0.0783066, 0.0726532, 0.0635191, 0.060773, 0.0495051, 0.0411857, 0.0336932, 0.0257974, 0.0196739, 0.017006, 0.0144761, 0.0134684, 0.0109036, 0.00591172, 0.00365303, 0.00218592, 0.000819994, 0.000262145" \
             );
           }
           vector (ccs_template) {
@@ -118118,34 +133502,34 @@
             index_2 ("1.26917");
             index_3 ("2.55196, 2.55198, 4.72534, 7.21034, 8.39556, 9.16659, 10.229, 10.7713, 11.6001, 12.5939, 15.4702, 16.5326, 17.5951, 18.3083, 19.1736, 20.146, 21.2085, 21.7687, 22.8312, 23.879, 24.9415, 25.8314, 27.2872, 28.3496, 30.4746, 32.5995, 35.7868, 40.0366");
             values ( \
-              "1e-22, 0.20719, 0.176884, 0.161903, 0.154317, 0.148982, 0.140482, 0.135385, 0.126424, 0.114383, 0.077594, 0.0652444, 0.0542263, 0.047595, 0.040456, 0.0334584, 0.0270505, 0.0241193, 0.019377, 0.0155381, 0.0124125, 0.0102436, 0.00749372, 0.00593008, 0.00371498, 0.00231914, 0.00115253, 0.000450835" \
+              "1e-22, 0.20719, 0.176884, 0.161903, 0.154317, 0.148982, 0.140482, 0.135385, 0.126424, 0.114383, 0.077594, 0.0652444, 0.0542263, 0.047595, 0.040456, 0.0334584, 0.0270505, 0.0241193, 0.019377, 0.0155381, 0.0124125, 0.0102436, 0.00749372, 0.00593008, 0.00371498, 0.00231914, 0.00115253, 0.000450834" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("8.42906, 8.43871, 8.45477, 8.45816, 8.46388, 8.46975, 8.47665, 8.47886, 8.48181, 8.48489, 8.49106, 8.49659, 8.50161, 8.50605, 8.51302, 8.51901, 8.52484, 8.529, 8.53214, 8.53546, 8.5409, 8.54516, 8.55013, 8.55161, 8.55455, 8.56808, 8.57089, 8.57174, 8.57346, 8.57689, 8.59596, 8.64429");
+            index_3 ("8.42896, 8.43861, 8.45806, 8.46377, 8.46965, 8.47657, 8.4787, 8.48155, 8.48479, 8.49826, 8.5015, 8.50583, 8.5127, 8.52716, 8.5308, 8.5348, 8.53653, 8.5426, 8.55933, 8.56713, 8.57809, 8.59971, 8.60721");
             values ( \
-              "6.62513e-05, 0.00924543, 0.0213899, 0.0235758, 0.0265172, 0.0286876, 0.0300239, 0.0301391, 0.0299248, 0.0293456, 0.0272622, 0.0261171, 0.0244099, 0.019996, 0.0150782, 0.0118014, 0.00906945, 0.00756807, 0.00824951, 0.00822327, 0.0075603, 0.00669545, 0.00539051, 0.00523267, 0.00441654, 0.00231924, 0.00220956, 0.00195681, 0.00194758, 0.00152905, 0.000575336, 1e-22" \
+              "6.57501e-05, 0.00924547, 0.0235762, 0.0265142, 0.0286877, 0.030026, 0.0301392, 0.0299451, 0.0293453, 0.0256172, 0.0243784, 0.0200841, 0.0151791, 0.00800877, 0.00789365, 0.00835681, 0.00819047, 0.00718888, 0.00362834, 0.00250642, 0.00149716, 0.000525285, 0.000405526" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00497954");
-            index_3 ("8.43526, 8.45499, 8.45996, 8.46696, 8.47351, 8.48091, 8.48518, 8.4937, 8.49635, 8.50165, 8.50762, 8.51792, 8.51961, 8.52977, 8.54825, 8.56121, 8.57107, 8.58372, 8.59105, 8.60826, 8.62447, 8.6423, 8.64819, 8.66888, 8.69335, 8.7307, 8.77599");
+            index_3 ("8.43526, 8.45499, 8.45996, 8.46696, 8.47351, 8.48091, 8.48518, 8.4937, 8.49635, 8.50165, 8.50762, 8.51792, 8.51961, 8.52977, 8.54825, 8.56121, 8.57107, 8.58372, 8.59105, 8.60826, 8.62447, 8.6423, 8.64819, 8.66888, 8.69335, 8.73069, 8.77599");
             values ( \
-              "0.013124, 0.0499828, 0.0579146, 0.066811, 0.0732002, 0.0779924, 0.0796292, 0.0808364, 0.0807045, 0.0797646, 0.0777128, 0.0726798, 0.0723133, 0.0657247, 0.0442828, 0.0324536, 0.0252356, 0.0172923, 0.0146137, 0.0118359, 0.00849618, 0.00533254, 0.00451854, 0.00245923, 0.00123395, 0.000288028, 0.000117799" \
+              "0.0131216, 0.0499828, 0.0579146, 0.066811, 0.0732002, 0.0779923, 0.0796292, 0.0808364, 0.0807045, 0.0797646, 0.0777128, 0.0726798, 0.0723133, 0.0657247, 0.0442828, 0.0324536, 0.0252355, 0.0172923, 0.0146137, 0.011836, 0.00849612, 0.00533252, 0.00451856, 0.00245932, 0.00123401, 0.000288057, 0.000117803" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0198963");
-            index_3 ("8.44374, 8.454, 8.4662, 8.48179, 8.49128, 8.50034, 8.5084, 8.52129, 8.53408, 8.56055, 8.5885, 8.61873, 8.62769, 8.64562, 8.70516, 8.74965, 8.78398, 8.80273, 8.82809, 8.85183, 8.906, 8.93156, 8.97046, 9.01338, 9.06674, 9.13536, 9.22889");
+            index_3 ("8.44374, 8.454, 8.4662, 8.48179, 8.49128, 8.50034, 8.5084, 8.52129, 8.53408, 8.56055, 8.5885, 8.61873, 8.62769, 8.64562, 8.70516, 8.74966, 8.78398, 8.80273, 8.82809, 8.85183, 8.906, 8.93156, 8.97045, 9.01337, 9.06674, 9.13535, 9.22888");
             values ( \
-              "0.0669563, 0.072755, 0.101823, 0.125786, 0.133854, 0.138093, 0.139776, 0.140017, 0.138303, 0.132219, 0.123892, 0.11327, 0.109545, 0.0996944, 0.0640835, 0.0426368, 0.0301905, 0.0245153, 0.0187648, 0.0152282, 0.00922528, 0.00714528, 0.00471207, 0.00293022, 0.00154085, 0.000687675, 0.000181358" \
+              "0.0669456, 0.072755, 0.101823, 0.125786, 0.133854, 0.138093, 0.139776, 0.140017, 0.138303, 0.132219, 0.123892, 0.11327, 0.109545, 0.0996939, 0.0640833, 0.0426353, 0.0301916, 0.024516, 0.0187649, 0.0152279, 0.00922514, 0.00714532, 0.00471222, 0.00293042, 0.00154097, 0.000687757, 0.000181384" \
             );
           }
           vector (ccs_template) {
@@ -118154,25 +133538,25 @@
             index_2 ("0.0794976");
             index_3 ("8.46069, 8.54346, 8.56604, 8.59513, 8.80633, 8.90368, 9.00896, 9.06038, 9.12833, 9.25265, 9.3905, 9.48888, 9.53947, 9.63485, 9.71597, 9.78552, 9.86612, 9.97996, 10.1272, 10.2388, 10.3798, 10.5412, 10.7482, 11.0303");
             values ( \
-              "0.17232, 0.173574, 0.172563, 0.170401, 0.151761, 0.142206, 0.129605, 0.121331, 0.10926, 0.0852827, 0.0612756, 0.0469522, 0.0406534, 0.0306049, 0.0237541, 0.0192341, 0.0150978, 0.0105972, 0.00664596, 0.00464412, 0.00288033, 0.00168201, 0.00079838, 0.000299518" \
+              "0.172316, 0.173574, 0.172563, 0.170401, 0.151761, 0.142206, 0.129605, 0.12133, 0.10926, 0.0852827, 0.0612754, 0.0469521, 0.0406535, 0.0306049, 0.0237541, 0.0192341, 0.0150978, 0.0105971, 0.00664596, 0.00464414, 0.00288033, 0.00168202, 0.000798373, 0.000299525" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.317641");
-            index_3 ("8.484, 8.48402, 8.97545, 9.4311, 9.97475, 10.1598, 10.541, 10.7067, 10.8553, 11.0266, 11.6057, 11.7944, 12.046, 12.408, 12.593, 12.7106, 12.9458, 13.2619, 13.528, 13.8275, 14.2523, 14.4379, 14.6861, 15.0171, 15.5286, 16.1071, 16.9535, 18.361");
+            index_3 ("8.48398, 8.484, 8.97547, 9.4311, 9.97475, 10.1598, 10.541, 10.7067, 10.8553, 11.0266, 11.6057, 11.7944, 12.046, 12.408, 12.593, 12.7106, 12.9458, 13.2619, 13.528, 13.8275, 14.2523, 14.4379, 14.6861, 15.0171, 15.5286, 16.1071, 16.9535, 18.361");
             values ( \
-              "1e-22, 0.204024, 0.176656, 0.165975, 0.152584, 0.147555, 0.135066, 0.128337, 0.121548, 0.113274, 0.0838695, 0.0747704, 0.0635018, 0.0492118, 0.0429524, 0.0393276, 0.0327708, 0.0254593, 0.0205316, 0.0160537, 0.0112561, 0.00963076, 0.00780583, 0.00587358, 0.00375733, 0.00224703, 0.0010907, 0.000309507" \
+              "1e-22, 0.204014, 0.176656, 0.165975, 0.152584, 0.147555, 0.135066, 0.128337, 0.121548, 0.113274, 0.0838695, 0.0747704, 0.0635018, 0.0492118, 0.0429524, 0.0393277, 0.0327708, 0.0254593, 0.0205316, 0.0160537, 0.0112561, 0.00963076, 0.00780583, 0.00587359, 0.00375733, 0.00224703, 0.0010907, 0.000309507" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("1.26917");
-            index_3 ("8.55654, 8.55656, 10.1719, 13.6913, 14.7538, 15.9352, 17.0015, 17.9248, 21.4454, 23.5703, 25.2172, 26.1768, 27.2393, 28.8395, 30.9497, 32.4631, 34.3572, 36.4821, 38.607, 41.7943, 46.0441");
+            index_3 ("8.55651, 8.55653, 10.1719, 13.6913, 14.7538, 15.9352, 17.0015, 17.9248, 21.4454, 23.5703, 25.2172, 26.1768, 27.2393, 28.8395, 30.9497, 32.4631, 34.3572, 36.4821, 38.607, 41.7943, 46.0441");
             values ( \
-              "1e-22, 0.212429, 0.180233, 0.15892, 0.151936, 0.143071, 0.13311, 0.122724, 0.0779783, 0.0545326, 0.040169, 0.0333181, 0.0269147, 0.0193648, 0.0124013, 0.00896701, 0.0059404, 0.00372499, 0.00232892, 0.00114322, 0.00044165" \
+              "1e-22, 0.212422, 0.180233, 0.15892, 0.151936, 0.143071, 0.13311, 0.122724, 0.0779783, 0.0545326, 0.040169, 0.0333181, 0.0269147, 0.0193648, 0.0124013, 0.00896701, 0.0059404, 0.00372499, 0.00232892, 0.00114322, 0.00044165" \
             );
           }
         }
@@ -118185,7 +133569,7 @@
             "0.00738231, 0.00738231, 0.0073823, 0.0073823, 0.0073823, 0.0073823", \
             "0.00779525, 0.00779525, 0.00779525, 0.00779525, 0.00779525, 0.00779525", \
             "0.00821982, 0.00821982, 0.00821982, 0.00821982, 0.00821982, 0.00821982", \
-            "0.00817448, 0.00817448, 0.00817441, 0.00817432, 0.00817427, 0.00817426" \
+            "0.00817448, 0.00817448, 0.00817441, 0.00817432, 0.00817427, 0.00817427" \
           );
         }
         receiver_capacitance2_rise (delay_template) {
@@ -118197,7 +133581,7 @@
             "0.00872121, 0.00872122, 0.00872122, 0.00872121, 0.0087212, 0.0087212", \
             "0.00835575, 0.00835819, 0.00835974, 0.00836016, 0.00836024, 0.00836026", \
             "0.00771739, 0.00771904, 0.00771953, 0.00772009, 0.00772699, 0.00772239", \
-            "0.00775156, 0.00775156, 0.00775322, 0.00777477, 0.00777161, 0.00777574" \
+            "0.00778745, 0.00775156, 0.00775323, 0.00777475, 0.00777161, 0.00777578" \
           );
         }
         output_current_fall () {
@@ -118457,9 +133841,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.317641");
-            index_3 ("2.42109, 2.53986, 2.56836, 2.60636, 2.65606, 2.69995, 2.75848, 2.9085, 3.40947, 3.65612, 3.92185, 4.00339, 4.16462, 4.28182, 4.36423, 4.75368, 4.92374, 5.10074, 5.28799, 5.46536, 5.64811, 5.83105, 5.99033, 6.1398, 6.33909, 6.65489, 7.24025, 7.84214, 8.6033");
+            index_3 ("2.42109, 2.53986, 2.56836, 2.60636, 2.65606, 2.69995, 2.75848, 2.9085, 3.40947, 3.65612, 3.92185, 4.00339, 4.16462, 4.28182, 4.36423, 4.75368, 4.92374, 5.10074, 5.28799, 5.46536, 5.64811, 5.83105, 5.99033, 6.1398, 6.33908, 6.65489, 7.24025, 7.84214, 8.6033");
             values ( \
-              "-0.222554, -0.223144, -0.230702, -0.23682, -0.240404, -0.241385, -0.241381, -0.238661, -0.226509, -0.219707, -0.210641, -0.207015, -0.19729, -0.186191, -0.176266, -0.119403, -0.0965648, -0.0761708, -0.058384, -0.0448805, -0.0339508, -0.0255638, -0.019771, -0.0155551, -0.0113195, -0.00661932, -0.00234554, -0.000731775, -0.00026684" \
+              "-0.222555, -0.223144, -0.230702, -0.23682, -0.240404, -0.241385, -0.241381, -0.238661, -0.226509, -0.219707, -0.210641, -0.207015, -0.19729, -0.186191, -0.176266, -0.119403, -0.0965647, -0.0761708, -0.058384, -0.0448805, -0.0339508, -0.0255638, -0.019771, -0.0155551, -0.0113195, -0.00661933, -0.00234554, -0.000731776, -0.000266841" \
             );
           }
           vector (ccs_template) {
@@ -118831,7 +134215,7 @@
             index_2 ("0.0794976");
             index_3 ("2.70559, 2.75957, 2.78873, 2.80388, 2.8215, 2.83997, 2.89349, 3.06595, 3.16317, 3.26817, 3.34456, 3.53842, 3.65653, 3.79628, 3.88598, 3.97255, 4.04866, 4.1652, 4.28827, 4.4529, 4.59129, 4.75448, 4.83306");
             values ( \
-              "0.110701, 0.158204, 0.169186, 0.170701, 0.171055, 0.170534, 0.166957, 0.151933, 0.142366, 0.129724, 0.117191, 0.0805177, 0.0602362, 0.0409459, 0.0313746, 0.0239288, 0.0189852, 0.0133286, 0.0091958, 0.00542742, 0.00343015, 0.00197302, 0.00169962" \
+              "0.110701, 0.158204, 0.169186, 0.170701, 0.171055, 0.170534, 0.166957, 0.151933, 0.142366, 0.129724, 0.117191, 0.0805177, 0.0602361, 0.0409459, 0.0313746, 0.0239288, 0.0189852, 0.0133286, 0.0091958, 0.0054274, 0.00343014, 0.00197301, 0.00169962" \
             );
           }
           vector (ccs_template) {
@@ -118876,7 +134260,7 @@
             index_2 ("0.0198963");
             index_3 ("9.63438, 9.67244, 9.72616, 9.75131, 9.7608, 9.7694, 9.78292, 9.79648, 9.81788, 9.85479, 9.88378, 9.92018, 9.96415, 10.0062, 10.0557, 10.1124, 10.1434, 10.1927, 10.2759, 10.2787");
             values ( \
-              "0.0324905, 0.0468983, 0.103786, 0.125206, 0.130114, 0.13243, 0.132938, 0.130961, 0.125615, 0.112916, 0.0993985, 0.0760398, 0.0524236, 0.034904, 0.0203299, 0.0122687, 0.00911461, 0.00546329, 0.00212072, 0.00208531" \
+              "0.0324905, 0.0468983, 0.103786, 0.125206, 0.130114, 0.13243, 0.132938, 0.130961, 0.125615, 0.112916, 0.0993985, 0.0760398, 0.0524236, 0.034904, 0.0203299, 0.0122687, 0.00911461, 0.00546329, 0.00212071, 0.00208531" \
             );
           }
           vector (ccs_template) {
@@ -119155,7 +134539,7 @@
             index_2 ("0.00124625");
             index_3 ("0.843755, 0.850943, 0.862075, 0.866454, 0.871459, 0.874138, 0.877422, 0.880316, 0.886105, 0.887744, 0.89102, 0.895156, 0.901441, 0.903995, 0.905697, 0.908664, 0.911872, 0.916219, 0.923134, 0.928387, 0.937922, 0.941586, 0.946087, 0.950457, 0.954977, 0.959743, 0.96854, 0.981308, 0.982837, 0.985894, 1.00179, 1.01913, 1.04084, 1.05403");
             values ( \
-              "0.00345943, 0.0101398, 0.0192437, 0.0226206, 0.0259375, 0.0273372, 0.028701, 0.0296229, 0.0305929, 0.0306836, 0.0304688, 0.0295924, 0.0272215, 0.0265105, 0.0263446, 0.02548, 0.0242698, 0.0199772, 0.015058, 0.0121641, 0.00780005, 0.00788916, 0.0082324, 0.00760924, 0.00677999, 0.00557489, 0.00381831, 0.00212372, 0.00205036, 0.00172472, 0.000806824, 0.000380428, 9.49583e-05, 8.01312e-05" \
+              "0.00345943, 0.0101398, 0.0192437, 0.0226206, 0.0259375, 0.0273372, 0.028701, 0.0296229, 0.0305929, 0.0306836, 0.0304688, 0.0295924, 0.0272215, 0.0265105, 0.0263446, 0.02548, 0.0242698, 0.0199772, 0.015058, 0.0121641, 0.00780005, 0.00788916, 0.0082324, 0.00760924, 0.00677999, 0.00557489, 0.00381831, 0.00212372, 0.00205036, 0.00172472, 0.000806824, 0.000380429, 9.49579e-05, 8.01312e-05" \
             );
           }
           vector (ccs_template) {
@@ -119414,9 +134798,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.0198963");
-            index_3 ("0.147973, 0.167608, 0.178128, 0.191312, 0.203382, 0.208787, 0.219597, 0.234397, 0.261689, 0.298934, 0.320318, 0.339851, 0.398126, 0.427292, 0.471403, 0.521093, 0.580305, 0.625542, 0.658803, 0.741334, 0.765157");
+            index_3 ("0.147973, 0.167608, 0.178128, 0.191312, 0.203382, 0.208787, 0.219597, 0.234397, 0.261689, 0.298934, 0.320318, 0.339851, 0.398126, 0.427291, 0.471403, 0.521095, 0.580303, 0.625542, 0.658809, 0.741341, 0.765158");
             values ( \
-              "0.0673606, 0.11213, 0.127135, 0.136097, 0.13878, 0.138923, 0.138109, 0.13576, 0.130482, 0.120398, 0.112953, 0.103857, 0.06901, 0.0535732, 0.0349836, 0.020355, 0.0119864, 0.00771529, 0.00544309, 0.00213922, 0.00183657" \
+              "0.0673606, 0.11213, 0.127135, 0.136097, 0.13878, 0.138923, 0.138109, 0.13576, 0.130482, 0.120398, 0.112953, 0.103857, 0.06901, 0.0535734, 0.0349835, 0.0203545, 0.0119867, 0.00771529, 0.00544277, 0.00213905, 0.00183651" \
             );
           }
           vector (ccs_template) {
@@ -119666,9 +135050,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("9.5424, 9.55925, 9.56601, 9.60577, 9.61973, 9.62883, 9.63165, 9.63728, 9.64263, 9.65093, 9.65345, 9.6585, 9.66387, 9.67981, 9.68955, 9.69333, 9.697, 9.70043, 9.7173, 9.72953, 9.73763, 9.75068, 9.76576, 9.78755, 9.81274");
+            index_3 ("9.5424, 9.55925, 9.56601, 9.60577, 9.61973, 9.62883, 9.63165, 9.63728, 9.64263, 9.65093, 9.65345, 9.6585, 9.66387, 9.67981, 9.68955, 9.69333, 9.697, 9.70043, 9.7173, 9.72953, 9.73763, 9.75068, 9.76576, 9.78756, 9.81274");
             values ( \
-              "0.00203514, 0.00329224, 0.00449668, 0.0144242, 0.017658, 0.0194919, 0.0199528, 0.0205442, 0.0207135, 0.0207642, 0.0211162, 0.0222912, 0.0202226, 0.0120557, 0.00820725, 0.00885906, 0.00877415, 0.00846501, 0.00481866, 0.00285038, 0.00198904, 0.00109089, 0.000570744, 0.000159057, 7.68123e-05" \
+              "0.00203514, 0.00329224, 0.00449668, 0.0144242, 0.017658, 0.0194919, 0.0199528, 0.0205442, 0.0207135, 0.0207642, 0.0211162, 0.0222912, 0.0202227, 0.0120558, 0.00820727, 0.00885903, 0.00877416, 0.00846501, 0.00481866, 0.00285041, 0.00198905, 0.00109088, 0.000570719, 0.00015905, 7.68074e-05" \
             );
           }
           vector (ccs_template) {
@@ -119963,7 +135347,7 @@
             index_2 ("0.00124625");
             index_3 ("0.843755, 0.850943, 0.862075, 0.866454, 0.871459, 0.874138, 0.877422, 0.880316, 0.886105, 0.887744, 0.89102, 0.895156, 0.901441, 0.903995, 0.905697, 0.908664, 0.911872, 0.916219, 0.923134, 0.928387, 0.937922, 0.941586, 0.946087, 0.950457, 0.954977, 0.959743, 0.96854, 0.981308, 0.982837, 0.985894, 1.00179, 1.01913, 1.04084, 1.05403");
             values ( \
-              "0.00345943, 0.0101398, 0.0192437, 0.0226206, 0.0259375, 0.0273372, 0.028701, 0.0296229, 0.0305929, 0.0306836, 0.0304688, 0.0295924, 0.0272215, 0.0265105, 0.0263446, 0.02548, 0.0242698, 0.0199772, 0.015058, 0.0121641, 0.00780005, 0.00788916, 0.0082324, 0.00760924, 0.00677999, 0.00557489, 0.00381831, 0.00212372, 0.00205036, 0.00172472, 0.000806824, 0.000380428, 9.49583e-05, 8.01312e-05" \
+              "0.00345943, 0.0101398, 0.0192437, 0.0226206, 0.0259375, 0.0273372, 0.028701, 0.0296229, 0.0305929, 0.0306836, 0.0304688, 0.0295924, 0.0272215, 0.0265105, 0.0263446, 0.02548, 0.0242698, 0.0199772, 0.015058, 0.0121641, 0.00780005, 0.00788916, 0.0082324, 0.00760924, 0.00677999, 0.00557489, 0.00381831, 0.00212372, 0.00205036, 0.00172472, 0.000806824, 0.000380429, 9.49579e-05, 8.01312e-05" \
             );
           }
           vector (ccs_template) {
@@ -120209,7 +135593,7 @@
             "0.00845612, 0.00848949, 0.0083489, 0.00743625, 0.00358816, 0", \
             "0.00858663, 0.0086256, 0.00849031, 0.00756422, 0.00371631, 0", \
             "0.0105735, 0.0106013, 0.0104577, 0.00956557, 0.0057257, 0", \
-            "0.0215603, 0.0215729, 0.0214143, 0.0204208, 0.0165561, 0.00120746" \
+            "0.0215653, 0.0215729, 0.0214143, 0.0204208, 0.0165561, 0.00120747" \
           );
         }
         fall_power (power_template) {
@@ -121402,8 +136786,8 @@
             "0.278279, 0.343878, 0.557036, 1.30769, 4.09367, 14.4689", \
             "0.286774, 0.352333, 0.565506, 1.31639, 4.10225, 14.4811", \
             "0.31383, 0.379412, 0.592504, 1.34338, 4.1292, 14.508", \
-            "0.358811, 0.424136, 0.636538, 1.38722, 4.17119, 14.5473", \
-            "0.375975, 0.441869, 0.65532, 1.40587, 4.18151, 14.5651", \
+            "0.358838, 0.424272, 0.636579, 1.38701, 4.17139, 14.5473", \
+            "0.375843, 0.441789, 0.655201, 1.40587, 4.18707, 14.5651", \
             "0.0742252, 0.143679, 0.361562, 1.1141, 3.89825, 14.2664" \
           );
         }
@@ -121414,8 +136798,8 @@
             "0.0329119, 0.0710677, 0.216356, 0.763647, 2.80598, 10.4142", \
             "0.0328949, 0.0710883, 0.216333, 0.763726, 2.80593, 10.4153", \
             "0.0329451, 0.0709855, 0.216313, 0.763742, 2.80611, 10.4152", \
-            "0.0329344, 0.0709782, 0.216506, 0.763663, 2.80575, 10.4148", \
-            "0.0332401, 0.0712331, 0.216383, 0.763602, 2.80761, 10.4141", \
+            "0.0328992, 0.0709303, 0.216542, 0.763635, 2.8052, 10.4148", \
+            "0.0332985, 0.0711764, 0.216395, 0.763602, 2.80703, 10.4141", \
             "0.0354872, 0.0725238, 0.216828, 0.763623, 2.80531, 10.421" \
           );
         }
@@ -121610,45 +136994,45 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.845026, 0.853543, 0.859762, 0.861647, 0.863495, 0.864728, 0.867193, 0.868426, 0.869781, 0.871137, 0.871967, 0.872798, 0.873628, 0.874459, 0.875678, 0.876897, 0.878116, 0.879335, 0.880553, 0.881771, 0.88299, 0.884304, 0.885217, 0.885986, 0.886629, 0.887401, 0.888226, 0.889539, 0.892355, 0.894924, 0.8978, 0.899146, 0.900492, 0.901787, 0.903082, 0.904377, 0.90609, 0.908501, 0.910045, 0.91159, 0.913134, 0.915267, 0.9174, 0.918676, 0.920592, 0.92123, 0.923784, 0.926338, 0.929768, 0.933019");
+            index_3 ("0.837641, 0.846332, 0.85869, 0.86346, 0.869277, 0.874401, 0.879263, 0.884125, 0.886682, 0.889451, 0.894983, 0.902688, 0.909717, 0.91726, 0.921268, 0.926612, 0.930945, 0.936926, 0.944902, 0.958971");
             values ( \
-              "0.0188444, 0.0209913, 0.0299833, 0.0326392, 0.0350845, 0.0366162, 0.0395252, 0.0409025, 0.0423537, 0.0437171, 0.0444373, 0.0450794, 0.0456434, 0.0461292, 0.0461631, 0.0461494, 0.0460881, 0.0459791, 0.0458227, 0.0456187, 0.0453671, 0.045034, 0.044242, 0.0434401, 0.0426794, 0.0416697, 0.0404666, 0.0383777, 0.0333815, 0.0291224, 0.0246774, 0.0229033, 0.0212361, 0.0197385, 0.0183361, 0.017029, 0.0154467, 0.0133749, 0.0121121, 0.0110554, 0.0100704, 0.00886153, 0.00772229, 0.00707357, 0.00622384, 0.00596478, 0.0050941, 0.00432773, 0.00348013, 0.00275286" \
+              "0.00202272, 0.0104679, 0.0287092, 0.0352509, 0.0419353, 0.0455759, 0.0467423, 0.0451958, 0.0426547, 0.0385667, 0.0286767, 0.0187942, 0.0124125, 0.00776756, 0.0060233, 0.00426716, 0.00321208, 0.00217355, 0.0012853, 0.000502869" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00464516");
-            index_3 ("4.87205e-05, 0.717472, 0.779794, 0.805976, 0.848224, 0.870923, 0.878535, 0.91195, 0.921591, 0.927379, 0.934143, 0.944628, 0.955112, 0.960601, 0.981878, 0.996338, 1.00714, 1.01821, 1.03527, 1.04088, 1.06078, 1.07151, 1.09297, 1.10375");
+            index_3 ("0.864919, 0.876374, 0.89768, 0.912103, 0.921459, 0.928025, 0.934258, 0.944739, 0.955217, 0.966631, 0.98542, 0.999406, 1.01672, 1.0268, 1.03789, 1.05221, 1.05977, 1.07489, 1.10271, 1.10747");
             values ( \
-              "1e-22, 2.01221e-05, 0.000374173, 1e-22, 2e-22, 0.00990377, 0.0184831, 0.0672599, 0.0757181, 0.0785893, 0.0801376, 0.0794478, 0.0747486, 0.0703289, 0.0469003, 0.0330893, 0.0248662, 0.018215, 0.0109793, 0.00925321, 0.0052289, 0.00371335, 0.00181683, 0.00140228" \
+              "0.013437, 0.0157652, 0.0478059, 0.0674459, 0.0756603, 0.0788561, 0.0801856, 0.0794767, 0.0747616, 0.064302, 0.0433715, 0.0306174, 0.0190615, 0.0141959, 0.010172, 0.00663687, 0.00523113, 0.00321136, 0.00123362, 0.00111153" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0173139");
-            index_3 ("0.887448, 0.956132, 1.01994, 1.04112, 1.05986, 1.07511, 1.09338, 1.12527, 1.15714, 1.19101, 1.21419, 1.29086, 1.3414, 1.38711, 1.43121, 1.49013, 1.55393, 1.60933, 1.63835");
+            index_3 ("0.90647, 0.955507, 1.01985, 1.04113, 1.05988, 1.07511, 1.09342, 1.12532, 1.1572, 1.19107, 1.21453, 1.2913, 1.34168, 1.38663, 1.43167, 1.49033, 1.55337, 1.60805, 1.63765");
             values ( \
-              "0.0026386, 0.0155196, 0.0645802, 0.0790176, 0.087895, 0.0931477, 0.0969656, 0.0986803, 0.0958518, 0.0885851, 0.0810239, 0.050214, 0.0331149, 0.0217541, 0.0140975, 0.00766693, 0.00389828, 0.00211225, 0.00168856" \
+              "0.0104126, 0.0150233, 0.0643448, 0.0789439, 0.0878183, 0.0930843, 0.0969293, 0.0986631, 0.095843, 0.088582, 0.0809184, 0.0500682, 0.0330538, 0.0218654, 0.0140404, 0.00765505, 0.0039245, 0.00214462, 0.00170562" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0645341");
-            index_3 ("7.28398e-05, 0.594117, 0.733419, 0.756931, 0.812849, 0.851458, 0.884691, 0.923781, 1.00764, 1.04091, 1.06003, 1.08432, 1.10692, 1.15214, 1.21773, 1.25907, 1.44499, 1.48378, 1.56572, 1.68304, 1.79484, 1.91076, 1.98735, 2.0275, 2.16179, 2.4479, 2.55815, 2.64895, 2.71607, 2.80244, 2.87213, 2.95742, 3.07742, 3.20259, 3.29852, 3.49039, 3.74558");
+            index_3 ("0.000618978, 0.59554, 0.735286, 0.758189, 0.812957, 0.84932, 0.881937, 1.00412, 1.04145, 1.06093, 1.08624, 1.11001, 1.15754, 1.18715, 1.23609, 1.42605, 1.51684, 1.56638, 1.66546, 1.71924, 1.79498, 1.91201, 2.02782, 2.14982, 2.39376, 2.58728, 2.7304, 2.82342, 2.93993, 3.07091, 3.21794, 3.33447, 3.56754, 3.6691");
             values ( \
-              "1e-22, 1.0446e-05, 0.000206312, 0.00119066, 0.00559825, 0.00697939, 0.00694087, 0.0060906, 0.00359513, 0.00303295, 0.00302605, 0.00345208, 0.00444621, 0.00798651, 0.0175308, 0.0263846, 0.073575, 0.0817658, 0.0946416, 0.10351, 0.104462, 0.100715, 0.0961024, 0.0929707, 0.0792807, 0.0459125, 0.0352226, 0.0278712, 0.0232743, 0.0182957, 0.0150035, 0.0117076, 0.00817272, 0.00560397, 0.00418102, 0.00227492, 0.0011271" \
+              "1e-22, 1.10298e-05, 0.000228031, 0.00124115, 0.00556749, 0.00693456, 0.00698705, 0.00368873, 0.00303687, 0.0030283, 0.00349536, 0.00459056, 0.00850827, 0.0122955, 0.0211333, 0.069029, 0.0875866, 0.0946292, 0.102657, 0.104243, 0.10431, 0.100599, 0.0929587, 0.0807555, 0.0518743, 0.0327794, 0.0224037, 0.0172752, 0.0123442, 0.00834246, 0.00535359, 0.00374118, 0.00176454, 0.00141361" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.240538");
-            index_3 ("0.000973781, 0.595896, 0.718453, 0.735651, 0.847723, 0.880322, 0.918291, 0.960022, 1.00218, 1.22755, 1.36169, 1.56134, 1.85743, 1.99969, 2.15548, 2.36319, 2.92234, 3.23491, 3.43804, 3.84428, 3.91601, 4.01165, 4.20293, 4.27757, 4.42684, 4.69355, 5.11227, 5.4345, 5.62918, 6.4203, 6.65494, 7.07437, 7.43969, 7.67653, 7.98277, 8.18687, 8.459, 8.95089, 9.17516, 9.51117, 9.95919, 10.8552, 12.1166, 13.378");
+            index_3 ("0.00352158, 0.736877, 0.855444, 0.928053, 1.34524, 1.5355, 1.83379, 1.96466, 2.23421, 2.9247, 3.23945, 3.44083, 3.84361, 4.03537, 4.33252, 4.64448, 4.96101, 5.1365, 5.48746, 6.5309, 6.90769, 7.48334, 7.88253, 8.26814, 8.54412, 8.99171, 9.43724, 9.77975, 10.4648, 11.2151");
             values ( \
-              "1e-22, 1.76429e-05, 0.000125845, 0.00025435, 0.00969947, 0.0110819, 0.0116517, 0.0115385, 0.0109778, 0.00699681, 0.00523194, 0.00374226, 0.00628296, 0.0103004, 0.0169788, 0.0295405, 0.069715, 0.0879274, 0.0960749, 0.104815, 0.105425, 0.105919, 0.10603, 0.105767, 0.104866, 0.102062, 0.0946832, 0.0862874, 0.080374, 0.0545378, 0.0473938, 0.0360177, 0.0278614, 0.0233994, 0.0185433, 0.0158282, 0.0127562, 0.00854218, 0.00710853, 0.00538386, 0.00368656, 0.0016727, 0.000501928, 0.00015595" \
+              "1e-22, 0.000229986, 0.0099881, 0.0116827, 0.00545053, 0.00384169, 0.0057455, 0.00906358, 0.0211585, 0.0696444, 0.087978, 0.0960517, 0.10476, 0.105974, 0.105515, 0.102716, 0.0978038, 0.0941256, 0.0847916, 0.0511771, 0.0403551, 0.027039, 0.0200466, 0.0148687, 0.0119346, 0.00828151, 0.00573885, 0.00430783, 0.00238732, 0.00139811" \
             );
           }
           vector (ccs_template) {
@@ -121657,34 +137041,34 @@
             index_2 ("0.896558");
             index_3 ("0, 0.593717, 0.733475, 0.877613, 0.999543, 1.8937, 2.37482, 3.0333, 3.93229, 4.90726, 5.30052, 5.82487, 6.87357, 8.49589, 9.29187, 10.4103, 11.6717, 12.0027, 12.6646, 13.5245, 14.7859, 15.6393, 16.7049, 18.5443, 21.8816, 24.0408, 25.8756, 27.137, 28.4281, 29.5056, 30.767, 32.0935, 34.1218, 36.6446, 39.1674, 41.6902, 45.4744");
             values ( \
-              "4.2558e-08, 2.09755e-05, 0.000262663, 0.0125638, 0.0145554, 0.00899382, 0.00680939, 0.00472067, 0.00415527, 0.00884065, 0.0125985, 0.0194332, 0.0380364, 0.0699159, 0.0832298, 0.096529, 0.104381, 0.105371, 0.106395, 0.106154, 0.103414, 0.100151, 0.094475, 0.0806742, 0.0514147, 0.0353241, 0.0248007, 0.0191772, 0.0146249, 0.0115965, 0.00879847, 0.00655833, 0.00415605, 0.00233887, 0.00131035, 0.000732082, 0.000307557" \
+              "4.25551e-08, 2.09755e-05, 0.000262663, 0.0125638, 0.0145554, 0.00899382, 0.00680939, 0.00472067, 0.00415527, 0.00884065, 0.0125985, 0.0194332, 0.0380364, 0.0699159, 0.0832298, 0.096529, 0.104381, 0.105371, 0.106395, 0.106154, 0.103414, 0.100151, 0.094475, 0.0806742, 0.0514147, 0.0353241, 0.0248007, 0.0191772, 0.0146249, 0.0115965, 0.00879847, 0.00655833, 0.00415605, 0.00233887, 0.00131035, 0.000732082, 0.000307557" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.41665, 2.42448, 2.43984, 2.4475, 2.45352, 2.45844, 2.46336, 2.46829, 2.47496, 2.48502, 2.49198, 2.49793, 2.50623, 2.51197, 2.52144, 2.53407, 2.53586");
+            index_3 ("2.41273, 2.4212, 2.42296, 2.44726, 2.45336, 2.4583, 2.46323, 2.46816, 2.47704, 2.48512, 2.49113, 2.49779, 2.50771, 2.51852, 2.52715, 2.53443");
             values ( \
-              "0.00331864, 0.0097428, 0.0311231, 0.0403888, 0.0449427, 0.0462818, 0.0448573, 0.0393149, 0.0277165, 0.0156756, 0.0103341, 0.00712059, 0.00416548, 0.00286643, 0.00153506, 0.000662683, 0.000611212" \
+              "0.00268981, 0.00654233, 0.00790135, 0.0401358, 0.0448676, 0.0461998, 0.0448424, 0.0392695, 0.0245703, 0.0154517, 0.0108202, 0.00713187, 0.0037631, 0.00186358, 0.00105114, 0.000724267" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00464516");
-            index_3 ("0.000119228, 2.26074, 2.30857, 2.3383, 2.35218, 2.38273, 2.42677, 2.45029, 2.457, 2.49162, 2.50331, 2.514, 2.52452, 2.53538, 2.54613, 2.562, 2.57454, 2.59279, 2.59925, 2.61443, 2.62254, 2.634, 2.64596, 2.65514, 2.67348, 2.68536");
+            index_3 ("2.43279, 2.45487, 2.45626, 2.47682, 2.49146, 2.5031, 2.50763, 2.51377, 2.52429, 2.53481, 2.54584, 2.56753, 2.58411, 2.59918, 2.6141, 2.62225, 2.63374, 2.64583, 2.6551, 2.67365, 2.69081");
             values ( \
-              "1e-22, 9.04697e-06, 6.85471e-05, 0.000271816, 0.000319129, 1e-22, 2e-22, 0.00994691, 0.0170963, 0.0666139, 0.0764772, 0.0799127, 0.0793277, 0.0744036, 0.0645828, 0.0467013, 0.0345521, 0.0212031, 0.017635, 0.0112412, 0.00876974, 0.00612246, 0.00420054, 0.00313409, 0.00171221, 0.0012871" \
+              "0.000134567, 0.015013, 0.0165508, 0.0469639, 0.0667338, 0.0763985, 0.0784265, 0.0798693, 0.0792314, 0.0746561, 0.064662, 0.0408593, 0.0267467, 0.0175577, 0.0112808, 0.00878944, 0.00613078, 0.00419066, 0.00311586, 0.00169018, 0.00108417" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0173139");
-            index_3 ("2.48713, 2.53602, 2.61567, 2.64088, 2.67447, 2.70626, 2.73872, 2.77194, 2.80326, 2.87364, 2.90609, 2.96223, 3.01627, 3.07551, 3.13911, 3.21055");
+            index_3 ("2.47997, 2.53572, 2.62523, 2.64023, 2.67023, 2.69327, 2.70551, 2.73, 2.75247, 2.7712, 2.80553, 2.87506, 2.92323, 2.9708, 3.00874, 3.06847, 3.14354, 3.20988, 3.24087");
             values ( \
-              "0.010344, 0.0151688, 0.0749706, 0.088336, 0.0973133, 0.0988843, 0.0958279, 0.0886119, 0.0779243, 0.0494597, 0.0381072, 0.0229863, 0.0134981, 0.0073002, 0.00371499, 0.00165685" \
+              "0.00692912, 0.015439, 0.0810932, 0.0882658, 0.0967195, 0.0987287, 0.0986748, 0.0967906, 0.0931265, 0.0885811, 0.0768275, 0.0487222, 0.0326723, 0.0210405, 0.0144856, 0.00781431, 0.00351608, 0.00167069, 0.00130999" \
             );
           }
           vector (ccs_template) {
@@ -121700,9 +137084,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.240538");
-            index_3 ("2.80067, 3.67975, 4.53919, 4.82094, 5.00995, 5.38797, 5.54651, 5.75789, 6.03716, 6.26711, 6.68666, 7.00235, 7.98617, 8.63762, 9.04427, 9.37002, 9.92152, 10.6276, 11.3762, 12.2317, 12.7487");
+            index_3 ("2.78417, 3.71619, 3.99678, 4.49298, 4.75485, 5.00983, 5.44083, 5.85168, 6.26233, 6.42926, 6.70751, 6.93382, 7.20146, 8.24551, 8.65382, 8.99208, 9.23628, 9.55074, 9.80251, 10.1156, 10.5555, 11.016, 11.3692, 12.0755, 13.3369, 14.5983");
             values ( \
-              "0.00820489, 0.0142563, 0.072053, 0.088094, 0.0956956, 0.104251, 0.10558, 0.105943, 0.104494, 0.102019, 0.0946425, 0.0864487, 0.0547871, 0.036276, 0.0272453, 0.0213742, 0.0139393, 0.00786244, 0.0042193, 0.00198713, 0.00142039" \
+              "0.00637434, 0.0162081, 0.0335412, 0.0693784, 0.085153, 0.0959486, 0.105028, 0.105909, 0.102263, 0.0997323, 0.0941713, 0.0883854, 0.0803979, 0.0468733, 0.0358705, 0.0282757, 0.023639, 0.018609, 0.0153015, 0.0119325, 0.00832642, 0.00569853, 0.00424481, 0.00230094, 0.000702363, 0.000208675" \
             );
           }
           vector (ccs_template) {
@@ -121727,9 +137111,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00464516");
-            index_3 ("0.000226864, 7.77395, 8.08627, 8.16294, 8.20384, 8.21813, 8.23609, 8.26583, 8.28408, 8.30362, 8.31765, 8.35985, 8.39568, 8.40236, 8.40855, 8.44334, 8.45558, 8.46655, 8.47727, 8.48797, 8.49237, 8.52203, 8.52897, 8.53786, 8.5447, 8.55381, 8.56642, 8.57389, 8.58645, 8.59221, 8.6007, 8.61201, 8.63464, 8.63972");
+            index_3 ("0.000226931, 7.77395, 8.08627, 8.16294, 8.20384, 8.21813, 8.23609, 8.26583, 8.28408, 8.30362, 8.31765, 8.35985, 8.39568, 8.40236, 8.40855, 8.44334, 8.45558, 8.46655, 8.47727, 8.48797, 8.49237, 8.52203, 8.52897, 8.53786, 8.5447, 8.55381, 8.56642, 8.57389, 8.58645, 8.59221, 8.6007, 8.61201, 8.63464, 8.63972");
             values ( \
-              "1e-22, 2.03335e-07, 7.35715e-06, 1.66415e-06, 2.63985e-05, 5.13126e-05, 9.39994e-05, 0.000174453, 0.000158023, 8.33553e-05, 1.54195e-06, 1e-22, 0.00643184, 0.0116633, 0.0179515, 0.0630385, 0.0738583, 0.0781813, 0.0781975, 0.0739766, 0.0707088, 0.0395422, 0.0332986, 0.02637, 0.0218675, 0.0168546, 0.0116019, 0.00923975, 0.00623676, 0.00520674, 0.0039824, 0.00276933, 0.00129786, 0.00116152" \
+              "1e-22, 2.03335e-07, 7.35715e-06, 1.66415e-06, 2.63985e-05, 5.13126e-05, 9.39994e-05, 0.000174453, 0.000158023, 8.33553e-05, 1.54197e-06, 1e-22, 0.00643184, 0.0116633, 0.0179514, 0.0630385, 0.0738583, 0.0781813, 0.0781975, 0.0739766, 0.0707088, 0.0395422, 0.0332986, 0.02637, 0.0218675, 0.0168546, 0.0116019, 0.00923975, 0.00623676, 0.00520674, 0.0039824, 0.00276933, 0.00129786, 0.00116152" \
             );
           }
           vector (ccs_template) {
@@ -121747,7 +137131,7 @@
             index_2 ("0.0645341");
             index_3 ("8.49039, 8.72753, 8.79317, 8.96574, 9.01846, 9.10587, 9.22309, 9.33499, 9.45092, 9.5276, 9.56765, 9.70194, 9.98808, 10.0983, 10.1891, 10.2563, 10.3426, 10.4123, 10.4976, 10.6176, 10.7428, 10.8388, 11.0307, 11.2571");
             values ( \
-              "0.00715648, 0.0124472, 0.024999, 0.069071, 0.0807337, 0.0946764, 0.103525, 0.104465, 0.100715, 0.0960933, 0.0929684, 0.0792774, 0.0459086, 0.0352199, 0.0278691, 0.0232724, 0.0182939, 0.0150017, 0.0117061, 0.00817159, 0.00560308, 0.00418033, 0.00227449, 0.00124018" \
+              "0.00715649, 0.0124472, 0.024999, 0.069071, 0.0807337, 0.0946764, 0.103525, 0.104465, 0.100715, 0.0960933, 0.0929684, 0.0792774, 0.0459086, 0.0352199, 0.0278691, 0.0232724, 0.0182939, 0.0150017, 0.0117061, 0.00817159, 0.00560308, 0.00418033, 0.00227449, 0.00124018" \
             );
           }
           vector (ccs_template) {
@@ -121756,7 +137140,7 @@
             index_2 ("0.240538");
             index_3 ("8.70496, 9.54831, 9.83615, 10.4633, 10.7656, 10.9787, 11.4049, 11.5426, 11.6808, 11.8183, 12.2343, 12.6746, 13.1694, 14.2458, 14.6268, 15.1727, 15.7874, 16.0942, 16.5292, 17.2069, 17.7259, 18.6769");
             values ( \
-              "0.00989816, 0.0106513, 0.0251334, 0.0697773, 0.0874816, 0.0961066, 0.105059, 0.105927, 0.106141, 0.105791, 0.102075, 0.0941394, 0.0803691, 0.0459135, 0.0357297, 0.0241868, 0.0150929, 0.0118217, 0.00828606, 0.00473647, 0.00304778, 0.00134857" \
+              "0.00989816, 0.0106513, 0.0251334, 0.0697773, 0.0874816, 0.0961066, 0.105059, 0.105927, 0.106141, 0.105791, 0.102075, 0.0941394, 0.0803691, 0.0459136, 0.0357297, 0.0241868, 0.015093, 0.0118217, 0.00828607, 0.00473647, 0.00304778, 0.00134857" \
             );
           }
           vector (ccs_template) {
@@ -121776,8 +137160,8 @@
             "0.00612247, 0.00612312, 0.00612375, 0.00612404, 0.00612413, 0.00612416", \
             "0.006964, 0.00696388, 0.00696384, 0.00696385, 0.00696386, 0.00696386", \
             "0.00759974, 0.00759972, 0.00759972, 0.00759973, 0.00759973, 0.00759973", \
-            "0.00811039, 0.00810788, 0.00810788, 0.00811038, 0.00810787, 0.00810787", \
-            "0.00875747, 0.00875747, 0.00875748, 0.00875748, 0.00875749, 0.00875749", \
+            "0.00810789, 0.00811039, 0.00811038, 0.00810787, 0.00811038, 0.00810787", \
+            "0.00875661, 0.00875662, 0.00875662, 0.00875748, 0.00875663, 0.00875749", \
             "0.00924373, 0.00923576, 0.00922874, 0.00922537, 0.00922386, 0.00922338" \
           );
         }
@@ -121788,8 +137172,8 @@
             "0.00752635, 0.00752569, 0.00752534, 0.00752525, 0.00752522, 0.00752521", \
             "0.00824836, 0.00824828, 0.00824807, 0.00824795, 0.0082479, 0.00824789", \
             "0.00982081, 0.00982087, 0.0098208, 0.00982073, 0.00982069, 0.00982068", \
-            "0.00986036, 0.00984227, 0.00982234, 0.00980974, 0.00981102, 0.00981016", \
-            "0.00862507, 0.00862532, 0.00862519, 0.00863022, 0.00862205, 0.00861977", \
+            "0.00986476, 0.0098378, 0.00981826, 0.00981377, 0.00980705, 0.00981016", \
+            "0.00862644, 0.00862704, 0.0086276, 0.00863022, 0.00862048, 0.00861977", \
             "0.00788236, 0.00789318, 0.00790449, 0.00790973, 0.00791576, 0.00791281" \
           );
         }
@@ -122059,9 +137443,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.896558");
-            index_3 ("1.94799, 2.37243, 2.49579, 4.15534, 6.06634, 6.92953, 8.04323, 9.10786, 12.256, 13.6057, 14.4839, 15.1562, 16.2699, 17.0647, 17.8205, 18.9342, 19.3246, 19.8085, 20.2938, 21.2643, 24.1135, 25.0853, 26.377, 27.4982, 28.2836, 29.3973, 30.511, 31.6247, 33.8521");
+            index_3 ("1.94799, 2.37243, 2.49579, 4.15534, 6.06635, 6.92953, 8.04323, 9.10786, 12.256, 13.6057, 14.4839, 15.1562, 16.2699, 17.0647, 17.8205, 18.9342, 19.3246, 19.8085, 20.2938, 21.2643, 24.1135, 25.0853, 26.377, 27.4982, 28.2836, 29.3973, 30.511, 31.6247, 33.8521");
             values ( \
-              "-1.67486e-05, -0.00043486, -0.00989587, -0.00537869, -0.00241897, -0.00249741, -0.00883369, -0.0236476, -0.0771393, -0.0955163, -0.105426, -0.111899, -0.120575, -0.125226, -0.128494, -0.131167, -0.131373, -0.130912, -0.129282, -0.118792, -0.0516938, -0.03518, -0.020278, -0.0122906, -0.00860994, -0.00507595, -0.00301907, -0.00174386, -0.000585432" \
+              "-1.67486e-05, -0.00043486, -0.00989587, -0.00537869, -0.00241897, -0.00249741, -0.00883369, -0.0236476, -0.0771391, -0.0955163, -0.105426, -0.111899, -0.120575, -0.125226, -0.128494, -0.131167, -0.131373, -0.130912, -0.129282, -0.118792, -0.0516938, -0.03518, -0.020278, -0.0122906, -0.00860994, -0.00507595, -0.00301907, -0.00174386, -0.000585432" \
             );
           }
           vector (ccs_template) {
@@ -122179,8 +137563,8 @@
             "0.0235954, 0.0433, 0.114693, 0.379232, 1.36468, 5.03766", \
             "0.0336196, 0.0535663, 0.12531, 0.390015, 1.37556, 5.04851", \
             "0.0567042, 0.090997, 0.169043, 0.433712, 1.41926, 5.09228", \
-            "0.0876971, 0.156551, 0.306048, 0.611566, 1.59464, 5.26728", \
-            "0.104381, 0.234617, 0.529358, 1.13467, 2.30977, 5.97149", \
+            "0.0877108, 0.156551, 0.306048, 0.611566, 1.59464, 5.26728", \
+            "0.104381, 0.234669, 0.529358, 1.13467, 2.30977, 5.97149", \
             "0.0117366, 0.22737, 0.776323, 1.95357, 4.31562, 8.86896" \
           );
         }
@@ -122191,8 +137575,8 @@
             "0.0171388, 0.0424594, 0.136557, 0.487106, 1.79431, 6.66101", \
             "0.0191232, 0.0424053, 0.136529, 0.4871, 1.79182, 6.66101", \
             "0.041179, 0.0642191, 0.139959, 0.487097, 1.7943, 6.66101", \
-            "0.0980906, 0.141037, 0.239611, 0.510594, 1.79431, 6.66101", \
-            "0.274344, 0.348317, 0.528246, 0.91409, 1.91723, 6.66101", \
+            "0.0980711, 0.141037, 0.239611, 0.510594, 1.79431, 6.66101", \
+            "0.274344, 0.348218, 0.528246, 0.91409, 1.91723, 6.66101", \
             "0.948817, 1.02859, 1.32503, 2.02976, 3.52848, 7.22098" \
           );
         }
@@ -122273,9 +137657,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0173139");
-            index_3 ("0.0584314, 0.0584324, 0.111305, 0.167835, 0.197563, 0.20712, 0.215041, 0.223841, 0.233942, 0.249245, 0.262711, 0.283317, 0.313919, 0.336873, 0.350996, 0.37218, 0.383185, 0.396602, 0.407689, 0.417193, 0.431882, 0.443176, 0.455972, 0.469209, 0.482886, 0.495528, 0.50817, 0.517058, 0.525947, 0.534835, 0.543723, 0.564853, 0.580874, 0.595115, 0.602235, 0.609356, 0.623597, 0.637838, 0.652079, 0.66632, 0.69234, 0.71836, 0.744381, 0.770401, 0.801184, 0.831968, 0.862751, 0.893534, 0.972317, 1.0511, 10.4378");
+            index_3 ("0.0584313, 0.0584323, 0.111305, 0.167835, 0.197563, 0.20712, 0.215041, 0.223842, 0.233942, 0.249243, 0.26271, 0.283317, 0.313918, 0.336873, 0.350995, 0.372179, 0.383185, 0.397393, 0.40769, 0.417194, 0.431883, 0.443177, 0.455973, 0.469209, 0.482886, 0.495528, 0.50817, 0.517058, 0.525946, 0.534835, 0.543723, 0.564853, 0.580874, 0.595116, 0.602236, 0.609357, 0.623598, 0.637839, 0.65208, 0.666321, 0.692342, 0.718362, 0.744383, 0.770403, 0.801187, 0.83197, 0.862754, 0.893537, 0.97232, 1.0511, 10.4378");
             values ( \
-              "1e-22, 0.12601, 0.109521, 0.098647, 0.09256, 0.0904429, 0.0885417, 0.0863142, 0.0836065, 0.0788314, 0.0741784, 0.0667889, 0.0553632, 0.0470876, 0.0423204, 0.0359127, 0.0328479, 0.0292996, 0.0265011, 0.0242917, 0.0213432, 0.0192897, 0.0171169, 0.0149912, 0.0130148, 0.0115518, 0.0102215, 0.00937989, 0.00859435, 0.00786482, 0.00719133, 0.00577169, 0.00479093, 0.00403679, 0.00369617, 0.00337984, 0.00290098, 0.00246537, 0.00207301, 0.0017239, 0.0013372, 0.00100193, 0.000718074, 0.000485641, 0.000357146, 0.000247231, 0.000155896, 8.31398e-05, 3.79638e-05, 1.67494e-06, 5.79473e-11" \
+              "1e-22, 0.12601, 0.109521, 0.098647, 0.0925596, 0.0904427, 0.0885418, 0.0863143, 0.0836068, 0.0788321, 0.0741788, 0.0667889, 0.055363, 0.0470875, 0.0423207, 0.0359123, 0.0328488, 0.0290944, 0.0265012, 0.0242914, 0.0213429, 0.0192894, 0.0171168, 0.0149914, 0.0130148, 0.0115518, 0.0102214, 0.00938009, 0.00859467, 0.00786516, 0.00719156, 0.00577166, 0.00479094, 0.00403677, 0.00369613, 0.00337979, 0.00290093, 0.00246532, 0.00207297, 0.00172387, 0.00133718, 0.00100192, 0.000718073, 0.000485644, 0.000357142, 0.000247222, 0.000155883, 8.3125e-05, 3.79572e-05, 1.67493e-06, 5.79472e-11" \
             );
           }
           vector (ccs_template) {
@@ -122347,7 +137731,7 @@
             index_2 ("0.240538");
             index_3 ("0.186234, 0.273774, 1.66776, 2.04909, 2.28037, 2.54155, 3.00558, 3.76687, 4.12332, 4.65894, 4.94103, 5.18716, 5.50519, 5.71682, 5.99899, 6.50908, 6.74135, 7.08913, 7.55283, 8.48024, 9.73244, 10.9846, 12.2368, 17.2457, 62.6106");
             values ( \
-              "0.0798706, 0.125068, 0.103639, 0.0975009, 0.0933788, 0.0880502, 0.0763476, 0.0541506, 0.0443182, 0.0315901, 0.0260741, 0.0219079, 0.0173629, 0.0148252, 0.0119548, 0.00800455, 0.00666258, 0.00504812, 0.00346097, 0.00156952, 0.00050298, 0.000163706, 5.02164e-05, 1e-22, 1.33741e-06" \
+              "0.0798706, 0.125068, 0.103639, 0.0975009, 0.0933788, 0.0880502, 0.0763476, 0.0541506, 0.0443182, 0.0315901, 0.0260741, 0.0219079, 0.0173629, 0.0148252, 0.0119548, 0.00800455, 0.00666258, 0.00504812, 0.00346097, 0.00156952, 0.00050298, 0.000163706, 5.02164e-05, 1e-22, 1.3374e-06" \
             );
           }
           vector (ccs_template) {
@@ -122392,7 +137776,7 @@
             index_2 ("0.0645341");
             index_3 ("0.520965, 0.64263, 0.786778, 0.990757, 1.04112, 1.05052, 1.06413, 1.18486, 1.29863, 1.42185, 1.54773, 1.73603, 1.85202, 1.97183, 2.07551, 2.14257, 2.22925, 2.28687, 2.3637, 2.50315, 2.56667, 2.6619, 2.78888, 3.04282, 3.42208, 3.8848, 4.47561, 62.6104");
             values ( \
-              "0.0198258, 0.0272361, 0.0555236, 0.0992976, 0.109227, 0.109882, 0.109378, 0.102582, 0.0958444, 0.0870479, 0.0754414, 0.0552998, 0.0436182, 0.0331003, 0.0256143, 0.0215205, 0.0170638, 0.0145748, 0.0117554, 0.00786933, 0.00654811, 0.0049576, 0.00339266, 0.00153684, 0.00041806, 7.86718e-05, 9.76023e-07, 4.27821e-06" \
+              "0.0198258, 0.0272361, 0.0555236, 0.0992976, 0.109227, 0.109882, 0.109378, 0.102582, 0.0958444, 0.0870479, 0.0754414, 0.0552998, 0.0436182, 0.0331003, 0.0256143, 0.0215205, 0.0170638, 0.0145748, 0.0117554, 0.00786933, 0.00654811, 0.0049576, 0.00339266, 0.00153684, 0.00041806, 7.86718e-05, 9.76024e-07, 4.27821e-06" \
             );
           }
           vector (ccs_template) {
@@ -122446,7 +137830,7 @@
             index_2 ("0.0645341");
             index_3 ("1.55291, 2.08318, 2.17965, 2.30698, 2.60506, 2.93175, 3.17789, 3.38518, 3.57026, 3.64785, 3.74219, 3.91225, 3.95242, 3.99322, 4.10123, 4.18835, 4.29992, 4.42046, 4.48852, 4.58898, 4.67574, 4.79141, 4.89046, 4.93458, 5.0228, 5.19925, 5.53654, 5.92868, 6.42272, 7.05927, 62.6097");
             values ( \
-              "0.00131623, 0.00765449, 0.0103636, 0.0150394, 0.0279908, 0.0411115, 0.0506822, 0.0581512, 0.0638015, 0.0656489, 0.0671257, 0.0651579, 0.0633654, 0.0611369, 0.0535825, 0.0464926, 0.0362812, 0.0270589, 0.0227202, 0.0173747, 0.0136931, 0.00986844, 0.00741475, 0.00652653, 0.00503726, 0.00296025, 0.000977191, 0.000254165, 3.56778e-05, 2.13406e-06, 1e-22" \
+              "0.00131623, 0.00765449, 0.0103636, 0.0150394, 0.0279908, 0.0411115, 0.0506822, 0.0581512, 0.0638015, 0.0656489, 0.0671257, 0.0651579, 0.0633654, 0.0611369, 0.0535825, 0.0464926, 0.0362812, 0.0270589, 0.0227202, 0.0173747, 0.0136931, 0.00986844, 0.00741475, 0.00652653, 0.00503726, 0.00296025, 0.000977191, 0.000254165, 3.56778e-05, 2.13405e-06, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -122455,7 +137839,7 @@
             index_2 ("0.240538");
             index_3 ("2.01641, 2.58324, 3.22041, 3.96654, 4.19362, 4.25116, 4.33763, 4.43776, 4.58804, 5.00755, 5.46157, 5.80898, 7.00127, 7.55301, 8.09467, 8.50694, 8.6791, 9.00452, 9.4611, 9.75606, 10.237, 10.807, 11.8418, 13.094, 14.3462, 16.8506, 62.61");
             values ( \
-              "0.0194767, 0.0290072, 0.0605413, 0.100295, 0.109967, 0.108533, 0.107738, 0.105712, 0.103869, 0.0970664, 0.0881972, 0.0796203, 0.0453319, 0.0320665, 0.0220481, 0.0162857, 0.0144103, 0.0111373, 0.00786245, 0.00614224, 0.00416352, 0.00267213, 0.00103004, 0.000383282, 7.05672e-05, 1e-22, 3.50559e-05" \
+              "0.0194767, 0.0290072, 0.0605413, 0.100295, 0.109967, 0.108533, 0.107738, 0.105712, 0.103869, 0.0970664, 0.0881972, 0.0796203, 0.0453319, 0.0320665, 0.0220481, 0.0162857, 0.0144103, 0.0111373, 0.00786245, 0.00614224, 0.00416352, 0.00267213, 0.00103004, 0.000383282, 7.05671e-05, 1e-22, 3.50559e-05" \
             );
           }
           vector (ccs_template) {
@@ -122464,7 +137848,7 @@
             index_2 ("0.896558");
             index_3 ("2.48818, 3.22656, 4.16774, 4.22205, 8.31661, 9.98167, 10.8309, 11.8019, 13.0541, 13.7166, 16.4274, 17.5204, 18.7726, 20.0292, 21.571, 22.7851, 23.7498, 24.9554, 26.2076, 27.1407, 27.8818, 28.8699, 30.1221, 31.3743, 32.6265, 35.1309, 37.6353, 42.6441, 50.1573, 62.6129");
             values ( \
-              "0.057916, 0.0634592, 0.121767, 0.121851, 0.104932, 0.0977317, 0.0936632, 0.088309, 0.080055, 0.075141, 0.053779, 0.0456048, 0.0371069, 0.029707, 0.0222326, 0.0175106, 0.014416, 0.0112321, 0.00863401, 0.00707374, 0.00603641, 0.00488157, 0.00371041, 0.00282434, 0.00213837, 0.00122705, 0.000702025, 0.000227982, 4.04404e-05, 1.60056e-07" \
+              "0.057916, 0.0634592, 0.121767, 0.121851, 0.104932, 0.0977317, 0.0936632, 0.088309, 0.080055, 0.075141, 0.053779, 0.0456048, 0.0371069, 0.029707, 0.0222326, 0.0175106, 0.014416, 0.0112321, 0.00863401, 0.00707374, 0.00603641, 0.00488157, 0.00371041, 0.00282434, 0.00213837, 0.00122705, 0.000702025, 0.000227982, 4.04404e-05, 1.60054e-07" \
             );
           }
           vector (ccs_template) {
@@ -122473,7 +137857,7 @@
             index_2 ("0.00124625");
             index_3 ("5.80463, 7.4238, 7.62397, 7.97187, 8.19257, 8.33852, 8.56639, 8.68034, 8.76404, 8.86809, 9.03776, 9.20064, 9.27272, 9.35981, 9.51759, 9.67536, 9.74862, 9.81556, 10.0002, 10.1006, 10.1832, 10.3137, 10.4037, 10.5681, 10.7873, 11.2242, 11.7309, 12.3524, 16.6677, 16.9807, 17.4936, 17.9514, 18.8672, 20.1194, 21.3716, 22.6238, 23.876, 25.1282, 26.3804, 27.6326, 28.8848, 30.137, 31.3892, 32.6414, 33.8936, 35.1458, 36.398, 62.6111");
             values ( \
-              "1.14163e-06, 5.9468e-05, 7.43378e-05, 0.000130385, 0.000228344, 0.00038916, 0.000875946, 0.00106711, 0.00116, 0.00124212, 0.00132027, 0.00135976, 0.00137058, 0.00137938, 0.0013843, 0.00135264, 0.00128667, 0.00112874, 0.000408722, 0.00025582, 0.000190931, 0.000134062, 0.000109314, 7.507e-05, 4.55127e-05, 1.22105e-05, 3.86236e-06, 1e-22, 2e-22, 1.5704e-06, 1e-22, 1.19135e-06, 1e-22, 1.04621e-06, 1e-22, 9.35211e-07, 1e-22, 8.33818e-07, 1e-22, 7.41219e-07, 1e-22, 6.5666e-07, 1e-22, 5.79453e-07, 1e-22, 5.08966e-07, 1e-22, 4.18996e-08" \
+              "1.14163e-06, 5.9468e-05, 7.43378e-05, 0.000130385, 0.000228344, 0.00038916, 0.000875946, 0.00106711, 0.00116, 0.00124212, 0.00132027, 0.00135976, 0.00137058, 0.00137938, 0.0013843, 0.00135264, 0.00128667, 0.00112874, 0.000408722, 0.00025582, 0.000190931, 0.000134062, 0.000109314, 7.507e-05, 4.55127e-05, 1.22105e-05, 3.86236e-06, 1e-22, 2e-22, 1.5704e-06, 1e-22, 1.19135e-06, 1e-22, 1.04621e-06, 1e-22, 9.35211e-07, 1e-22, 8.33818e-07, 1e-22, 7.41219e-07, 1e-22, 6.5666e-07, 1e-22, 5.79453e-07, 1e-22, 5.08966e-07, 1e-22, 4.18997e-08" \
             );
           }
           vector (ccs_template) {
@@ -122482,7 +137866,7 @@
             index_2 ("0.00464516");
             index_3 ("5.80304, 7.45801, 7.68883, 8.01289, 8.20818, 8.33694, 8.46564, 8.58688, 8.80586, 8.90703, 9.02933, 9.22468, 9.40678, 9.48592, 9.58249, 9.75507, 9.92765, 9.92838, 9.96976, 10.009, 10.0875, 10.2987, 10.4241, 10.4844, 10.5908, 10.7325, 10.9705, 11.2787, 11.7019, 12.2989, 13.1189, 16.6661, 16.9792, 17.5151, 17.9983, 18.9648, 20.217, 21.4692, 22.7214, 23.9736, 25.2258, 26.478, 27.7302, 28.9824, 30.2346, 62.6095");
             values ( \
-              "4.82405e-06, 0.00022003, 0.000291764, 0.000486316, 0.000759084, 0.00108449, 0.00160469, 0.00225004, 0.0032755, 0.00362081, 0.00396972, 0.00434092, 0.00457013, 0.00463452, 0.00468247, 0.00473498, 0.00464279, 0.00464437, 0.00457154, 0.00445405, 0.00394592, 0.00157931, 0.000802589, 0.000599463, 0.000381893, 0.000242005, 0.000117372, 5.99173e-05, 6.15831e-06, 2.06946e-06, 1e-22, 2e-22, 5.38616e-06, 1e-22, 3.13095e-06, 1e-22, 2.40546e-06, 1e-22, 1.89496e-06, 1e-22, 1.47633e-06, 1e-22, 1.13322e-06, 1e-22, 8.52126e-07, 1e-22" \
+              "4.82405e-06, 0.00022003, 0.000291764, 0.000486316, 0.000759084, 0.00108449, 0.00160469, 0.00225004, 0.0032755, 0.00362081, 0.00396972, 0.00434092, 0.00457013, 0.00463452, 0.00468247, 0.00473498, 0.00464279, 0.00464437, 0.00457154, 0.00445405, 0.00394592, 0.00157931, 0.000802589, 0.000599463, 0.000381893, 0.000242005, 0.000117372, 5.99173e-05, 6.15831e-06, 2.06946e-06, 1e-22, 2e-22, 5.38616e-06, 1e-22, 3.13095e-06, 1e-22, 2.40546e-06, 1e-22, 1.89496e-06, 1e-22, 1.47633e-06, 1e-22, 1.13322e-06, 1e-22, 8.52125e-07, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -122500,7 +137884,7 @@
             index_2 ("0.0645341");
             index_3 ("3.52732, 4.54875, 5.80095, 7.05316, 7.4208, 7.63782, 7.98633, 8.33485, 8.51856, 8.88599, 9.68874, 9.98074, 10.4119, 10.8748, 11.2968, 11.6934, 12.0771, 12.4609, 12.565, 13.2845, 13.453, 13.7308, 14.0018, 14.3116, 14.8223, 15.4166, 62.6075");
             values ( \
-              "1.21326e-05, 4.14281e-05, 0.000307838, 0.00125852, 0.00187988, 0.00233579, 0.0033119, 0.00480832, 0.00594175, 0.00914011, 0.0174324, 0.019916, 0.0230317, 0.0257595, 0.0277447, 0.0291018, 0.02974, 0.0280802, 0.0266723, 0.0118367, 0.00891818, 0.00526001, 0.00298272, 0.00142167, 0.000292907, 1e-22, 3.98976e-07" \
+              "1.21326e-05, 4.14281e-05, 0.000307838, 0.00125852, 0.00187988, 0.00233579, 0.0033119, 0.00480832, 0.00594175, 0.00914011, 0.0174324, 0.019916, 0.0230317, 0.0257595, 0.0277447, 0.0291018, 0.02974, 0.0280802, 0.0266723, 0.0118367, 0.00891818, 0.00526001, 0.00298272, 0.00142167, 0.000292907, 1e-22, 3.98978e-07" \
             );
           }
           vector (ccs_template) {
@@ -122646,7 +138030,7 @@
             index_2 ("0.00464516");
             index_3 ("0.129834, 0.161195, 0.193955, 0.223017, 0.23258, 0.238217, 0.247634, 0.270684, 0.285699, 0.294328, 0.305834, 0.322221, 0.342373, 0.368241, 0.406039, 0.472339, 1.05908, 1.60077, 20.6929, 21.6021, 22.5112, 23.4204, 24.3295, 25.2387, 26.1478, 27.057, 27.9661, 28.8753, 29.7844, 30.6936, 31.6027, 32.5119, 33.421, 34.3302, 35.2393, 36.1485, 37.0576, 37.9668, 38.8759, 39.7851, 40.6942, 41.6034, 42.5125, 43.4217, 44.3308, 44.894, 45.4572");
             values ( \
-              "-0.00160558, -0.0347622, -0.063611, -0.0854304, -0.0904139, -0.0913631, -0.0815461, -0.0274523, -0.0100256, -0.0054726, -0.00233578, -0.000627885, -0.00012277, -9.81892e-06, -1.33459e-05, -1e-22, -6.12204e-06, -1e-22, -6.18975e-06, -1e-22, -6.19193e-06, -1e-22, -6.19383e-06, -1e-22, -6.19546e-06, -1e-22, -6.19686e-06, -1e-22, -6.19806e-06, -1e-22, -6.19908e-06, -1e-22, -6.19994e-06, -1e-22, -6.20066e-06, -1e-22, -6.20126e-06, -1e-22, -6.20174e-06, -1e-22, -6.20213e-06, -1e-22, -6.20243e-06, -1e-22, -6.20265e-06, -1e-22, -6.20287e-06" \
+              "-0.00160558, -0.0347622, -0.063611, -0.0854304, -0.0904139, -0.0913631, -0.0815461, -0.0274523, -0.0100256, -0.0054726, -0.00233578, -0.000627885, -0.00012277, -9.81892e-06, -1.33459e-05, -1e-22, -6.12204e-06, -1e-22, -6.18975e-06, -1e-22, -6.19193e-06, -1e-22, -6.19382e-06, -1e-22, -6.19546e-06, -1e-22, -6.19686e-06, -1e-22, -6.19806e-06, -1e-22, -6.19908e-06, -1e-22, -6.19994e-06, -1e-22, -6.20066e-06, -1e-22, -6.20126e-06, -1e-22, -6.20174e-06, -1e-22, -6.20213e-06, -1e-22, -6.20243e-06, -1e-22, -6.20265e-06, -1e-22, -6.20287e-06" \
             );
           }
           vector (ccs_template) {
@@ -122655,7 +138039,7 @@
             index_2 ("0.0173139");
             index_3 ("0.130014, 0.216311, 0.26201, 0.266028, 0.269738, 0.275551, 0.294662, 0.313644, 0.327504, 0.341115, 0.364235, 0.399731, 0.422791, 0.442648, 0.453218, 0.471864, 0.492779, 0.509426, 0.542718, 0.593758, 0.655686, 0.734772, 0.846733, 45.4574");
             values ( \
-              "-0.00155031, -0.101756, -0.148278, -0.148882, -0.147708, -0.146985, -0.143392, -0.139075, -0.134926, -0.128886, -0.108747, -0.0652228, -0.0429941, -0.029068, -0.0234027, -0.0157387, -0.0100221, -0.006939, -0.00320139, -0.000873932, -0.000157053, -8.52204e-06, -6.54062e-07, -1e-22" \
+              "-0.00155031, -0.101756, -0.148278, -0.148882, -0.147708, -0.146985, -0.143392, -0.139075, -0.134926, -0.128886, -0.108747, -0.0652228, -0.0429941, -0.029068, -0.0234027, -0.0157387, -0.0100221, -0.006939, -0.00320139, -0.000873932, -0.000157053, -8.52204e-06, -6.54061e-07, -1e-22" \
             );
           }
           vector (ccs_template) {
@@ -122689,9 +138073,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.469016, 0.506307, 0.511448, 0.516088, 0.534975, 0.551755, 0.566705, 0.575315, 0.58268, 0.594605, 0.608226, 0.61162, 0.626613, 0.630801, 0.634988, 0.638366, 0.641744, 0.645122, 0.6485, 0.650949, 0.653399, 0.655849, 0.66364, 0.671873, 0.676811, 0.680976, 0.685492, 0.688748, 0.691184, 0.693271, 0.696055, 0.697447, 0.70023, 0.703014, 0.705797, 0.708581, 0.712491, 0.716401, 0.720311, 0.724221, 0.743834, 0.770196, 0.80149, 0.832784, 0.895372, 0.931755, 0.968139, 1.00452, 1.0409, 1.05364");
+            index_3 ("0.461128, 0.495892, 0.502504, 0.511321, 0.51573, 0.520489, 0.521342, 0.53746, 0.553415, 0.568291, 0.582721, 0.594644, 0.607839, 0.611655, 0.626653, 0.630837, 0.635021, 0.638399, 0.641777, 0.645154, 0.648532, 0.650981, 0.653431, 0.65588, 0.663671, 0.671904, 0.676841, 0.681006, 0.685521, 0.691213, 0.6933, 0.696084, 0.697475, 0.700259, 0.703042, 0.705826, 0.708609, 0.716428, 0.720338, 0.724247, 0.74386, 0.77022, 0.801511, 0.832801, 0.895383, 0.93177, 0.968156, 1.00455, 1.04093, 1.05368");
             values ( \
-              "-0.0035267, -0.00397412, -0.00450126, -0.0050146, -0.00733283, -0.00926299, -0.0108762, -0.0117522, -0.01247, -0.0135159, -0.0146188, -0.0148654, -0.015884, -0.0161044, -0.0162644, -0.0163309, -0.0163474, -0.0163139, -0.0162304, -0.0160935, -0.0157136, -0.0151889, -0.0125981, -0.00832686, -0.00597707, -0.00447149, -0.00319759, -0.00245101, -0.00195914, -0.00162345, -0.00125719, -0.00109955, -0.000901855, -0.00072769, -0.000577055, -0.000449949, -0.000362844, -0.000287698, -0.000224509, -0.000173279, -9.67433e-05, -4.26878e-05, -1.71386e-05, -3.94099e-06, -3.0115e-06, -1.63019e-05, -3.97612e-05, -7.33894e-05, -0.000117186, -1.76677e-05" \
+              "-0.0028285, -0.00309303, -0.00364578, -0.00450198, -0.00498117, -0.00556586, -0.00564041, -0.00762687, -0.00944548, -0.0110394, -0.012473, -0.0135195, -0.0145881, -0.0148673, -0.0158861, -0.0161062, -0.0162661, -0.0163326, -0.016349, -0.0163154, -0.0162319, -0.0160948, -0.0157148, -0.01519, -0.0125988, -0.00832763, -0.00597748, -0.00447213, -0.00319763, -0.00195929, -0.0016236, -0.0012573, -0.00109964, -0.000901933, -0.000727754, -0.000577106, -0.000449987, -0.000287711, -0.000224513, -0.000173276, -9.67375e-05, -4.26918e-05, -1.71396e-05, -3.94467e-06, -3.01246e-06, -1.62957e-05, -3.97449e-05, -7.336e-05, -0.000117141, -1.76585e-05" \
             );
           }
           vector (ccs_template) {
@@ -122752,9 +138136,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00464516");
-            index_3 ("1.62667, 1.8003, 1.87108, 1.90839, 1.983, 2.14349, 2.25498, 2.31718, 2.38188, 2.4121, 2.46014, 2.48628, 2.50971, 2.5694, 2.61158, 2.63235, 2.67387, 2.72915, 2.90784, 3.11144, 3.4479, 4.16493, 4.20408, 4.28239, 4.39739, 4.62738, 4.98378, 5.69657, 6.60572, 7.51487, 45.4574");
+            index_3 ("1.69801, 1.82587, 1.85696, 1.88804, 1.90866, 1.91897, 1.93959, 1.96084, 1.99741, 2.02354, 2.10072, 2.15093, 2.20153, 2.2417, 2.28375, 2.31786, 2.38256, 2.39766, 2.41277, 2.42478, 2.43679, 2.4488, 2.46081, 2.46952, 2.47387, 2.48694, 2.50451, 2.51119, 2.5145, 2.55269, 2.56714, 2.58718, 2.60186, 2.61225, 2.62265, 2.63304, 2.65384, 2.66423, 2.67463, 2.70227, 2.72992, 2.80354, 2.85613, 2.90873, 3.23269, 3.55666, 3.88063, 4.20459, 4.39743, 18.8771");
             values ( \
-              "-0.000617446, -0.00145701, -0.00229712, -0.00291315, -0.00467045, -0.0104864, -0.0139139, -0.0154153, -0.0165871, -0.0169337, -0.0171271, -0.0165575, -0.0145263, -0.00489758, -0.00171052, -0.00105138, -0.00047349, -0.000278206, -0.000103024, -2.31767e-05, -1e-22, -2e-22, -4.22533e-05, -1e-22, -8.98545e-06, -1e-22, -5.09649e-06, -1e-22, -4.05981e-06, -1e-22, -2.19024e-06" \
+              "-0.00171855, -0.00174161, -0.00213353, -0.00257115, -0.00292635, -0.00313932, -0.00360235, -0.00413143, -0.00517021, -0.00607452, -0.00892762, -0.0107138, -0.0123646, -0.0135387, -0.0146117, -0.015411, -0.0166583, -0.0168641, -0.0170083, -0.0170789, -0.0171105, -0.0171032, -0.0170568, -0.0169665, -0.0168366, -0.0162507, -0.014986, -0.0143864, -0.0139299, -0.00724535, -0.00517838, -0.00317944, -0.00215256, -0.00158629, -0.00122245, -0.000927251, -0.000639627, -0.000526165, -0.000432936, -0.000345767, -0.00027707, -0.000184229, -0.000126617, -7.29681e-05, -4.67745e-05, -2.6333e-05, -1.16436e-05, -2.7063e-06, -1.17463e-07, -3.21624e-09" \
             );
           }
           vector (ccs_template) {
@@ -122763,7 +138147,7 @@
             index_2 ("0.0173139");
             index_3 ("1.68587, 1.89269, 1.96253, 2.01335, 2.08326, 2.34599, 2.42041, 2.51686, 2.62988, 2.71095, 2.7548, 2.82645, 2.83943, 2.86539, 2.90099, 2.98453, 3.01495, 3.04501, 3.06858, 3.11571, 3.19351, 3.28511, 3.40061, 4.16471, 4.20549, 4.28705, 4.40521, 4.64151, 5.00584, 5.7345, 6.64365, 7.5528, 8.46195, 9.3711, 10.2803, 11.1894, 12.0986, 13.0077, 13.9169, 14.826, 15.7352, 45.4572");
             values ( \
-              "-0.00274345, -0.00454441, -0.00659076, -0.00843342, -0.0115164, -0.0255964, -0.0293277, -0.0338111, -0.0384463, -0.0411755, -0.0423203, -0.0428223, -0.042372, -0.0403661, -0.0339851, -0.0152112, -0.0103575, -0.0069028, -0.0049306, -0.00240471, -0.000601247, -0.000103627, -1e-22, -2e-22, -8.87455e-05, -1.37271e-05, -1e-22, -3.53951e-06, -1e-22, -3.40889e-06, -1e-22, -3.35681e-06, -1e-22, -3.31218e-06, -1e-22, -3.27297e-06, -1e-22, -3.2377e-06, -1e-22, -3.20536e-06, -1e-22, -2.78566e-06" \
+              "-0.00274344, -0.00454441, -0.00659076, -0.00843342, -0.0115164, -0.0255964, -0.0293277, -0.0338111, -0.0384463, -0.0411755, -0.0423203, -0.0428223, -0.042372, -0.0403661, -0.0339851, -0.0152112, -0.0103575, -0.0069028, -0.0049306, -0.00240471, -0.000601247, -0.000103627, -1e-22, -2e-22, -8.87455e-05, -1.37271e-05, -1e-22, -3.53951e-06, -1e-22, -3.40889e-06, -1e-22, -3.35681e-06, -1e-22, -3.31218e-06, -1e-22, -3.27297e-06, -1e-22, -3.2377e-06, -1e-22, -3.20536e-06, -1e-22, -2.78566e-06" \
             );
           }
           vector (ccs_template) {
@@ -122953,7 +138337,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.896558");
-            index_3 ("0.0744588, 0.223678, 1.24659, 2.22786, 3.02875, 4.16978, 5.31081, 5.99817, 6.29103, 7.28975, 9.36269, 10.1085, 11.2496, 11.8167, 12.8807, 14.2583, 14.7916, 15.5439, 16.6849, 16.9928, 17.4032, 18.0214, 19.1624, 21.1809, 21.9573, 22.8149, 23.2058, 23.9875, 24.8234, 25.2672, 26.1548, 27.2958, 28.4369, 30.7189, 31.86");
+            index_3 ("0.0744587, 0.223678, 1.24659, 2.22786, 3.02875, 4.16978, 5.31081, 5.99817, 6.29103, 7.28975, 9.36269, 10.1085, 11.2496, 11.8167, 12.8807, 14.2583, 14.7916, 15.5439, 16.6849, 16.9928, 17.4032, 18.0214, 19.1624, 21.1809, 21.9573, 22.8149, 23.2058, 23.9875, 24.8234, 25.2672, 26.1548, 27.2958, 28.4369, 30.7189, 31.86");
             values ( \
               "-0.00021691, -0.00991798, -0.00687961, -0.00467901, -0.00333099, -0.00218783, -0.00501282, -0.0114699, -0.015334, -0.0315445, -0.0675604, -0.0790857, -0.09454, -0.101243, -0.111929, -0.122279, -0.125236, -0.128511, -0.131188, -0.131364, -0.131142, -0.129256, -0.115555, -0.0656784, -0.0493951, -0.0351016, -0.0299506, -0.0213015, -0.0147068, -0.0121492, -0.00798757, -0.00475433, -0.00267387, -0.000856337, -0.00058111" \
             );
@@ -122964,16 +138348,16 @@
             index_2 ("0.00124625");
             index_3 ("0.232482, 0.236509, 0.237744, 0.242901, 0.25324, 0.25753, 0.265437, 0.26929, 0.27314, 0.281366, 0.285265, 0.290691, 0.296526, 0.303025, 0.335896");
             values ( \
-              "-0.000708885, -0.0215931, -0.0213457, -0.0357559, -0.0501656, -0.0544669, -0.0586164, -0.0579452, -0.0512481, -0.0222947, -0.0132032, -0.0057667, -0.00230007, -0.000951352, -1e-22" \
+              "-0.000708886, -0.0215931, -0.0213457, -0.0357559, -0.0501656, -0.0544669, -0.0586164, -0.0579452, -0.0512481, -0.0222947, -0.0132032, -0.0057667, -0.00230007, -0.000951352, -1e-22" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.00464516");
-            index_3 ("0.251221, 0.287551, 0.293979, 0.304579, 0.314097, 0.323008, 0.331626, 0.340194, 0.348759, 0.369615, 0.376604, 0.385462, 0.394735, 0.405333, 0.406493");
+            index_3 ("0.251221, 0.287552, 0.293979, 0.304579, 0.314097, 0.323009, 0.331627, 0.340194, 0.348759, 0.369615, 0.376604, 0.385462, 0.394735, 0.405333, 0.406493");
             values ( \
-              "-0.00182733, -0.0656825, -0.0734025, -0.0844379, -0.0913339, -0.0961437, -0.0982066, -0.0971327, -0.0854096, -0.0326905, -0.0213537, -0.0119999, -0.00640773, -0.00302527, -0.00287228" \
+              "-0.00182745, -0.0656829, -0.0734025, -0.0844379, -0.0913339, -0.0961437, -0.0982066, -0.0971327, -0.0854096, -0.0326905, -0.0213537, -0.0119999, -0.00640773, -0.00302527, -0.00287229" \
             );
           }
           vector (ccs_template) {
@@ -123072,7 +138456,7 @@
             index_2 ("0.00124625");
             index_3 ("0.895963, 0.91315, 0.920219, 0.924533, 0.928572, 0.932472, 0.936336, 0.940199, 0.950191, 0.953507, 0.957735, 0.963099, 0.967243");
             values ( \
-              "-0.000286422, -0.0406611, -0.0499856, -0.0540911, -0.0568807, -0.0583492, -0.0578581, -0.0511015, -0.0176555, -0.0110911, -0.00584089, -0.00262491, -0.00150804" \
+              "-0.000286422, -0.0406611, -0.0499856, -0.0540911, -0.0568807, -0.0583492, -0.0578581, -0.0511015, -0.0176555, -0.0110911, -0.00584089, -0.00262491, -0.00150803" \
             );
           }
           vector (ccs_template) {
@@ -123511,9 +138895,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.240538");
-            index_3 ("0.754574, 0.818146, 0.864175, 0.904801, 1.39283, 1.80067, 2.14427, 2.36921, 2.6712, 3.42855, 3.97563, 4.30625, 4.67772, 5.0243, 5.35939, 5.69447, 6.02801, 6.6757, 6.98363, 7.33375, 7.63044, 7.82824, 8.22386, 8.64376");
+            index_3 ("0.754574, 0.818146, 0.864175, 0.904801, 1.39283, 1.80067, 2.14427, 2.36921, 2.6712, 3.42855, 3.97563, 4.30625, 4.67772, 5.0243, 5.35939, 5.69447, 6.02801, 6.6757, 6.98362, 7.33375, 7.63044, 7.82824, 8.22386, 8.64375");
             values ( \
-              "-0.000129497, -0.00130753, -0.00724575, -0.00881296, -0.00461375, -0.00234603, -0.00280334, -0.00812377, -0.0233869, -0.0715075, -0.0989639, -0.111404, -0.121691, -0.127891, -0.130679, -0.128682, -0.113058, -0.0551212, -0.0350468, -0.0203045, -0.0122978, -0.0089499, -0.00429838, -0.00235616" \
+              "-0.000129497, -0.00130753, -0.00724575, -0.00881296, -0.00461375, -0.00234603, -0.00280334, -0.00812377, -0.0233869, -0.0715075, -0.0989639, -0.111404, -0.121691, -0.127891, -0.130679, -0.128682, -0.113058, -0.0551212, -0.0350468, -0.0203045, -0.0122978, -0.00894991, -0.00429839, -0.00235618" \
             );
           }
           vector (ccs_template) {
@@ -123621,7 +139005,7 @@
             index_2 ("0.240538");
             index_3 ("9.31543, 9.57325, 9.77112, 10.3228, 10.8387, 11.2314, 11.4811, 12.1737, 12.7049, 13.0567, 13.4947, 13.8418, 14.1772, 14.5123, 14.8458, 15.3396, 15.6694, 15.9514, 16.2998, 16.7024, 17.2444, 17.4429");
             values ( \
-              "-2.57291e-05, -0.000763072, -0.00840308, -0.0038635, -0.00203277, -0.00977619, -0.0228541, -0.0672072, -0.0950358, -0.109115, -0.121628, -0.127901, -0.13066, -0.128698, -0.11304, -0.0674124, -0.0427204, -0.0277947, -0.0157715, -0.00807156, -0.00315604, -0.00241334" \
+              "-2.57291e-05, -0.000763072, -0.00840308, -0.0038635, -0.00203277, -0.00977619, -0.0228541, -0.0672073, -0.0950358, -0.109115, -0.121628, -0.127901, -0.13066, -0.128698, -0.11304, -0.0674124, -0.0427204, -0.0277947, -0.0157715, -0.00807156, -0.00315604, -0.00241334" \
             );
           }
           vector (ccs_template) {
@@ -124319,9 +139703,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.240538");
-            index_3 ("0.754574, 0.818146, 0.864175, 0.904801, 1.39283, 1.80067, 2.14427, 2.36921, 2.6712, 3.42855, 3.97563, 4.30625, 4.67772, 5.0243, 5.35939, 5.69447, 6.02801, 6.6757, 6.98363, 7.33375, 7.63044, 7.82824, 8.22386, 8.64376");
+            index_3 ("0.754574, 0.818146, 0.864175, 0.904801, 1.39283, 1.80067, 2.14427, 2.36921, 2.6712, 3.42855, 3.97563, 4.30625, 4.67772, 5.0243, 5.35939, 5.69447, 6.02801, 6.6757, 6.98362, 7.33375, 7.63044, 7.82824, 8.22386, 8.64375");
             values ( \
-              "-0.000129497, -0.00130753, -0.00724575, -0.00881296, -0.00461375, -0.00234603, -0.00280334, -0.00812377, -0.0233869, -0.0715075, -0.0989639, -0.111404, -0.121691, -0.127891, -0.130679, -0.128682, -0.113058, -0.0551212, -0.0350468, -0.0203045, -0.0122978, -0.0089499, -0.00429838, -0.00235616" \
+              "-0.000129497, -0.00130753, -0.00724575, -0.00881296, -0.00461375, -0.00234603, -0.00280334, -0.00812377, -0.0233869, -0.0715075, -0.0989639, -0.111404, -0.121691, -0.127891, -0.130679, -0.128682, -0.113058, -0.0551212, -0.0350468, -0.0203045, -0.0122978, -0.00894991, -0.00429839, -0.00235618" \
             );
           }
           vector (ccs_template) {
@@ -124429,7 +139813,7 @@
             index_2 ("0.240538");
             index_3 ("9.31543, 9.57325, 9.77112, 10.3228, 10.8387, 11.2314, 11.4811, 12.1737, 12.7049, 13.0567, 13.4947, 13.8418, 14.1772, 14.5123, 14.8458, 15.3396, 15.6694, 15.9514, 16.2998, 16.7024, 17.2444, 17.4429");
             values ( \
-              "-2.57291e-05, -0.000763072, -0.00840308, -0.0038635, -0.00203277, -0.00977619, -0.0228541, -0.0672072, -0.0950358, -0.109115, -0.121628, -0.127901, -0.13066, -0.128698, -0.11304, -0.0674124, -0.0427204, -0.0277947, -0.0157715, -0.00807156, -0.00315604, -0.00241334" \
+              "-2.57291e-05, -0.000763072, -0.00840308, -0.0038635, -0.00203277, -0.00977619, -0.0228541, -0.0672073, -0.0950358, -0.109115, -0.121628, -0.127901, -0.13066, -0.128698, -0.11304, -0.0674124, -0.0427204, -0.0277947, -0.0157715, -0.00807156, -0.00315604, -0.00241334" \
             );
           }
           vector (ccs_template) {
@@ -124505,8 +139889,8 @@
             "0.00704429, 0.00708991, 0.0070389, 0.00649127, 0.00434657, 0", \
             "0.00684127, 0.00688398, 0.00683674, 0.00629047, 0.00414814, 0", \
             "0.00647589, 0.00651792, 0.00646813, 0.00592379, 0.00378299, 0", \
-            "0.00683702, 0.006873, 0.00680291, 0.0062697, 0.00413005, 0", \
-            "0.010672, 0.0107006, 0.0106399, 0.0101354, 0.00761591, 0", \
+            "0.00682978, 0.00688305, 0.00681181, 0.00626167, 0.00414039, 0", \
+            "0.0105087, 0.0105314, 0.0104723, 0.0101354, 0.00768363, 0", \
             "0.026857, 0.0268591, 0.0267628, 0.0261572, 0.0240579, 0.0157189" \
           );
         }
@@ -124740,7 +140124,7 @@
             "0.234526, 0.263659, 0.34508, 0.59857, 1.52187, 4.96227", \
             "0.243048, 0.272171, 0.353591, 0.607095, 1.53037, 4.97079", \
             "0.270043, 0.299136, 0.380561, 0.634088, 1.5574, 4.9978", \
-            "0.31521, 0.344135, 0.42512, 0.677762, 1.60114, 5.04156", \
+            "0.315147, 0.34407, 0.42512, 0.677762, 1.60114, 5.04156", \
             "0.331741, 0.361198, 0.443294, 0.696935, 1.61876, 5.05837", \
             "0.0266539, 0.0598602, 0.147279, 0.404322, 1.32765, 4.76658" \
           );
@@ -124752,7 +140136,7 @@
             "0.0574537, 0.080229, 0.160404, 0.472611, 1.68405, 6.20979", \
             "0.057454, 0.0802274, 0.160405, 0.472611, 1.68332, 6.20979", \
             "0.0574684, 0.0802441, 0.160407, 0.472613, 1.68385, 6.20979", \
-            "0.057355, 0.0799801, 0.159532, 0.471877, 1.68407, 6.20981", \
+            "0.0573568, 0.0799835, 0.159532, 0.471877, 1.68407, 6.20981", \
             "0.0603139, 0.0825177, 0.161588, 0.472233, 1.6813, 6.20969", \
             "0.0758421, 0.0960213, 0.170877, 0.475769, 1.68346, 6.2073" \
           );
@@ -124890,7 +140274,7 @@
             index_2 ("0.0173172");
             index_3 ("0.388606, 0.400772, 0.414243, 0.42055, 0.425875, 0.435626, 0.446062, 0.455922, 0.470758, 0.486053, 0.529437, 0.551296, 0.5879, 0.612054, 0.679358, 0.716055, 0.749506, 0.770236, 0.802191, 0.833813, 0.877964, 0.903623, 0.947959, 0.966958, 1.00496, 1.05459, 1.1181, 1.19773, 1.31671");
             values ( \
-              "0.0713793, 0.0735024, 0.091348, 0.096765, 0.10002, 0.103681, 0.105114, 0.105099, 0.10372, 0.101487, 0.0936466, 0.0892849, 0.0813805, 0.0743974, 0.0508937, 0.0392702, 0.0302302, 0.0254909, 0.0192101, 0.0144308, 0.0103838, 0.00850762, 0.00586094, 0.00493132, 0.00348517, 0.00213983, 0.00114356, 0.00048311, 0.000136261" \
+              "0.0713793, 0.0735024, 0.091348, 0.096765, 0.10002, 0.103681, 0.105114, 0.105099, 0.10372, 0.101487, 0.0936466, 0.0892849, 0.0813805, 0.0743974, 0.0508937, 0.0392702, 0.0302302, 0.0254909, 0.0192101, 0.0144308, 0.0103838, 0.00850762, 0.00586094, 0.00493132, 0.00348517, 0.00213983, 0.00114356, 0.000483109, 0.000136261" \
             );
           }
           vector (ccs_template) {
@@ -124926,7 +140310,7 @@
             index_2 ("0.00124625");
             index_3 ("0.817684, 0.843226, 0.848171, 0.854174, 0.858601, 0.864991, 0.86665, 0.869968, 0.874766, 0.881607, 0.887564, 0.893007, 0.893883, 0.897331, 0.905564, 0.911036, 0.922228, 0.927511, 0.930918, 0.936724, 0.941952, 0.947494, 0.959245, 0.967705, 0.978591, 0.993105, 1.01643, 1.04081");
             values ( \
-              "0.000130839, 0.0220344, 0.024623, 0.0266745, 0.0276202, 0.0281676, 0.0281478, 0.0278328, 0.0267986, 0.0246372, 0.0234241, 0.0218305, 0.0208875, 0.0186117, 0.0139521, 0.0114626, 0.00730109, 0.006747, 0.00720683, 0.00661452, 0.00599254, 0.0048705, 0.00310809, 0.00218503, 0.001382, 0.000710916, 0.000253107, 6.47037e-05" \
+              "0.000130838, 0.0220344, 0.024623, 0.0266745, 0.0276202, 0.0281676, 0.0281478, 0.0278328, 0.0267986, 0.0246372, 0.0234241, 0.0218305, 0.0208875, 0.0186117, 0.0139521, 0.0114626, 0.00730109, 0.006747, 0.00720683, 0.00661452, 0.00599254, 0.0048705, 0.00310809, 0.00218503, 0.001382, 0.000710916, 0.000253107, 6.47037e-05" \
             );
           }
           vector (ccs_template) {
@@ -124980,7 +140364,7 @@
             index_2 ("0.00124625");
             index_3 ("2.41604, 2.42805, 2.44353, 2.45252, 2.45622, 2.46431, 2.46832, 2.47248, 2.47949, 2.49075, 2.50291, 2.51129, 2.52169, 2.52875, 2.53358, 2.55384, 2.56727, 2.57865, 2.59064, 2.61216, 2.63941");
             values ( \
-              "6.09929e-06, 0.0119888, 0.0232567, 0.0265738, 0.0274021, 0.0280155, 0.0275661, 0.0267292, 0.0244924, 0.0218602, 0.0141612, 0.0104512, 0.00678401, 0.00708259, 0.00680137, 0.00352153, 0.00200764, 0.00124634, 0.000732984, 0.000275061, 6.88385e-05" \
+              "6.09937e-06, 0.0119888, 0.0232567, 0.0265738, 0.0274021, 0.0280155, 0.0275661, 0.0267292, 0.0244924, 0.0218602, 0.0141612, 0.0104512, 0.00678401, 0.00708259, 0.00680137, 0.00352153, 0.00200764, 0.00124634, 0.000732984, 0.000275061, 6.88385e-05" \
             );
           }
           vector (ccs_template) {
@@ -125014,9 +140398,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.240631");
-            index_3 ("2.47032, 2.47034, 3.08793, 3.84914, 4.25201, 4.63583, 4.97193, 5.18293, 5.99892, 6.39453, 6.7595, 6.88781, 7.14444, 7.47253, 7.70921, 7.99113, 8.2726, 8.65735, 8.9961, 9.42002, 9.94207, 10.5544, 11.2821, 12.2562");
+            index_3 ("2.47032, 2.47034, 3.08793, 3.84914, 4.25201, 4.63582, 4.97193, 5.18293, 5.99892, 6.39453, 6.7595, 6.88781, 7.14444, 7.47253, 7.70921, 7.99113, 8.2726, 8.65735, 8.9961, 9.42002, 9.94207, 10.5544, 11.2821, 12.2562");
             values ( \
-              "1e-22, 0.145994, 0.124534, 0.111387, 0.104019, 0.0956812, 0.0863988, 0.0799212, 0.0533221, 0.0418551, 0.0327935, 0.0300812, 0.0249297, 0.0195915, 0.0162791, 0.0131002, 0.0106051, 0.00772173, 0.00586876, 0.00422895, 0.00265324, 0.00165711, 0.000808701, 0.000409754" \
+              "1e-22, 0.145994, 0.124534, 0.111387, 0.104019, 0.0956812, 0.0863988, 0.0799212, 0.0533221, 0.0418551, 0.0327935, 0.0300812, 0.0249297, 0.0195915, 0.0162791, 0.0131002, 0.0106051, 0.00772173, 0.00586876, 0.00422895, 0.00265324, 0.00165711, 0.0008087, 0.000409754" \
             );
           }
           vector (ccs_template) {
@@ -125034,7 +140418,7 @@
             index_2 ("0.00124625");
             index_3 ("8.42279, 8.45523, 8.46493, 8.46967, 8.4733, 8.48057, 8.50047, 8.51331, 8.53225, 8.53683, 8.54108, 8.56802, 8.58474, 8.59667, 8.61644");
             values ( \
-              "0.00342981, 0.0231212, 0.0262306, 0.0269928, 0.0271664, 0.0264192, 0.0214086, 0.0135785, 0.00668301, 0.00716098, 0.00694934, 0.00293866, 0.00142711, 0.000869492, 0.000343937" \
+              "0.00342981, 0.0231212, 0.0262306, 0.0269928, 0.0271664, 0.0264192, 0.0214086, 0.0135785, 0.00668301, 0.00716098, 0.00694934, 0.00293866, 0.00142711, 0.00086949, 0.000343939" \
             );
           }
           vector (ccs_template) {
@@ -125204,7 +140588,7 @@
             index_2 ("0.240631");
             index_3 ("0.269805, 0.400658, 0.46608, 0.520212, 0.569175, 0.734889, 0.862399, 1.28958, 1.56322, 1.79623, 1.92681, 2.13099, 2.19112, 2.31139, 2.4613, 2.72473, 2.88846, 3.04948, 3.26416, 3.37986, 3.52587, 3.72054, 3.87952, 4.03991, 4.25376, 4.68145, 5.34563, 6.06349");
             values ( \
-              "-0.165217, -0.165957, -0.172312, -0.173763, -0.173916, -0.172147, -0.170279, -0.163738, -0.159053, -0.154368, -0.15106, -0.1434, -0.140066, -0.131049, -0.116083, -0.0872849, -0.0709645, -0.0569642, -0.0415966, -0.0348988, -0.0278055, -0.0203506, -0.0156866, -0.0120392, -0.00840846, -0.00394639, -0.00107795, -0.000259383" \
+              "-0.165217, -0.165957, -0.172312, -0.173763, -0.173916, -0.172147, -0.170279, -0.163738, -0.159053, -0.154368, -0.15106, -0.1434, -0.140066, -0.131049, -0.116083, -0.0872849, -0.0709645, -0.0569642, -0.0415967, -0.0348988, -0.0278055, -0.0203506, -0.0156866, -0.0120392, -0.00840846, -0.0039464, -0.00107796, -0.000259384" \
             );
           }
           vector (ccs_template) {
@@ -125256,7 +140640,7 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.240631");
-            index_3 ("0.393864, 0.524688, 0.590056, 0.643862, 0.695478, 0.868563, 1.15716, 1.7646, 1.96451, 2.18745, 2.25588, 2.39274, 2.5852, 2.95117, 3.10293, 3.30217, 3.59456, 3.75052, 3.97015, 4.19791, 4.37232, 4.72113, 5.38387, 6.08122");
+            index_3 ("0.393864, 0.524688, 0.590056, 0.643862, 0.695478, 0.868563, 1.15716, 1.7646, 1.96451, 2.18745, 2.25588, 2.39274, 2.5852, 2.95117, 3.10293, 3.30217, 3.59456, 3.75052, 3.97015, 4.19791, 4.37232, 4.72113, 5.38387, 6.08123");
             values ( \
               "-0.165281, -0.165965, -0.172313, -0.173756, -0.173906, -0.172009, -0.167727, -0.157607, -0.15333, -0.146463, -0.143361, -0.134649, -0.116146, -0.0769095, -0.0628902, -0.0473861, -0.0303967, -0.02374, -0.0165953, -0.0114017, -0.00850717, -0.0046461, -0.00127814, -0.000320397" \
             );
@@ -125274,18 +140658,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.764068, 0.77504, 0.790473, 0.804914, 0.81607, 0.82614, 0.835518, 0.853271, 0.861419, 0.880912, 0.894236, 0.901083, 0.934854, 0.953169");
+            index_3 ("0.765081, 0.774966, 0.788868, 0.804849, 0.816012, 0.826084, 0.83546, 0.853213, 0.861362, 0.880855, 0.894178, 0.901025, 0.934797, 0.952707");
             values ( \
-              "-0.000582178, -0.00760746, -0.0139196, -0.0187021, -0.0213973, -0.0232348, -0.0245366, -0.0260031, -0.0239643, -0.00881857, -0.00289815, -0.00189977, -0.000708909, -0.000335283" \
+              "-0.00149256, -0.00759259, -0.0133366, -0.0187122, -0.0214064, -0.0232246, -0.0245451, -0.026011, -0.0239559, -0.00881682, -0.00289688, -0.00190114, -0.000707517, -0.000342159" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00464561");
-            index_3 ("0.764031, 0.79209, 0.804112, 0.822434, 0.837696, 0.851507, 0.864493, 0.877038, 0.889381, 0.901718, 0.923313, 0.934856, 0.945967, 0.960024, 0.968746, 0.980375, 1.00127, 1.11713");
+            index_3 ("0.764972, 0.789541, 0.804066, 0.822392, 0.837653, 0.851465, 0.864451, 0.876996, 0.88934, 0.901677, 0.923271, 0.934814, 0.945927, 0.959983, 0.968704, 0.980332, 1.00123, 1.06153");
             values ( \
-              "-2.99512e-05, -0.0297746, -0.0395718, -0.0513938, -0.0582837, -0.0628269, -0.0658673, -0.0676318, -0.0679858, -0.060523, -0.03076, -0.018829, -0.0112745, -0.00558083, -0.00337782, -0.00163184, -0.000992803, -0.000108036" \
+              "-0.000495818, -0.0277113, -0.0396244, -0.0514387, -0.0582325, -0.0628696, -0.0658215, -0.0676718, -0.0679448, -0.0605605, -0.0307623, -0.0188308, -0.0112732, -0.00558127, -0.00337837, -0.0016317, -0.000993174, -0.000532846" \
             );
           }
           vector (ccs_template) {
@@ -125339,7 +140723,7 @@
             index_2 ("0.00464561");
             index_3 ("2.33816, 2.35481, 2.38012, 2.39858, 2.40781, 2.41592, 2.4303, 2.44369, 2.45656, 2.46917, 2.48177, 2.50378, 2.51536, 2.52456, 2.53859, 2.55128, 2.56577, 2.67259");
             values ( \
-              "-0.00276238, -0.017325, -0.0357965, -0.0475701, -0.0522705, -0.0557518, -0.0607216, -0.0640601, -0.0660673, -0.0667111, -0.0596317, -0.0302087, -0.0185286, -0.0121845, -0.0061014, -0.00293468, -0.00127836, -0.000232803" \
+              "-0.00276239, -0.017325, -0.0357965, -0.0475701, -0.0522705, -0.0557518, -0.0607216, -0.0640601, -0.0660673, -0.0667111, -0.0596317, -0.0302087, -0.0185286, -0.0121845, -0.0061014, -0.00293468, -0.00127836, -0.000232803" \
             );
           }
           vector (ccs_template) {
@@ -125384,7 +140768,7 @@
             index_2 ("0.00124625");
             index_3 ("8.23855, 8.26847, 8.27501, 8.28689, 8.31553, 8.33263, 8.34755, 8.36001, 8.37152, 8.38235, 8.39145, 8.39315, 8.39654, 8.41061, 8.41854, 8.42217, 8.42482, 8.43013, 8.43774, 8.45166, 8.46114, 8.47231, 8.48564, 8.50091, 8.54724");
             values ( \
-              "-0.000423558, -0.00385992, -0.00468698, -0.00668526, -0.0120424, -0.0149264, -0.0171702, -0.0188286, -0.0200782, -0.0214316, -0.0203996, -0.0199889, -0.0185931, -0.0098442, -0.00585673, -0.00435009, -0.00354561, -0.00262947, -0.00208655, -0.00136375, -0.000941375, -0.000548135, -0.000284136, -0.00012443, -2.28303e-05" \
+              "-0.000423558, -0.00385992, -0.00468698, -0.00668526, -0.0120424, -0.0149264, -0.0171702, -0.0188286, -0.0200782, -0.0214316, -0.0203996, -0.0199889, -0.0185931, -0.0098442, -0.00585673, -0.00435009, -0.00354561, -0.00262947, -0.00208655, -0.00136375, -0.000941376, -0.000548136, -0.000284136, -0.00012443, -2.28304e-05" \
             );
           }
           vector (ccs_template) {
@@ -125411,7 +140795,7 @@
             index_2 ("0.0645528");
             index_3 ("8.30567, 8.35208, 8.40305, 8.42664, 8.45539, 8.486, 8.51239, 8.55133, 8.58762, 8.64907, 8.68788, 8.73746, 8.81317, 8.84103, 8.8679, 8.89626, 8.95298, 9.05894, 9.10655, 9.15304, 9.21503, 9.25636, 9.32168, 9.37864, 9.44778, 9.53997, 9.70567, 9.88336, 10.0974");
             values ( \
-              "-0.0711125, -0.0746487, -0.11432, -0.127374, -0.138885, -0.147049, -0.151491, -0.155193, -0.156484, -0.156098, -0.154751, -0.152357, -0.147407, -0.145014, -0.142075, -0.137932, -0.12424, -0.0851363, -0.0685899, -0.054574, -0.0393259, -0.0313201, -0.0215794, -0.0154299, -0.0102045, -0.00575181, -0.00184442, -0.00047909, -0.000211136" \
+              "-0.0711125, -0.0746487, -0.11432, -0.127375, -0.138885, -0.147049, -0.151491, -0.155193, -0.156484, -0.156098, -0.154751, -0.152357, -0.147407, -0.145014, -0.142075, -0.137932, -0.12424, -0.0851363, -0.0685899, -0.054574, -0.0393259, -0.0313201, -0.0215794, -0.0154299, -0.0102045, -0.00575181, -0.00184442, -0.00047909, -0.000211136" \
             );
           }
           vector (ccs_template) {
@@ -125522,7 +140906,7 @@
             index_2 ("0.0645528");
             index_3 ("0.163585, 0.163605, 0.50108, 0.637824, 0.718959, 0.785741, 0.909388, 1.09657, 1.2375, 1.33726, 1.41517, 1.51905, 1.59248, 1.65328, 1.73435, 1.87593, 2.03003, 2.15173, 2.31579, 2.51305, 2.75665, 3.09717");
             values ( \
-              "1e-22, 0.130358, 0.111083, 0.102447, 0.0967231, 0.091388, 0.0788626, 0.057195, 0.0424172, 0.0334022, 0.0274613, 0.0209048, 0.0169987, 0.0144423, 0.0117291, 0.00785475, 0.00507874, 0.003646, 0.00215387, 0.00124962, 0.000510328, 0.000225999" \
+              "1e-22, 0.130358, 0.111083, 0.102447, 0.0967231, 0.091388, 0.0788626, 0.057195, 0.0424172, 0.0334022, 0.0274613, 0.0209048, 0.0169987, 0.0144423, 0.0117291, 0.00785475, 0.00507874, 0.00364601, 0.00215387, 0.00124962, 0.000510328, 0.000225999" \
             );
           }
           vector (ccs_template) {
@@ -125576,7 +140960,7 @@
             index_2 ("0.0645528");
             index_3 ("0.200206, 0.200226, 0.449819, 0.627886, 0.701047, 0.821903, 0.945076, 1.15046, 1.26128, 1.37017, 1.46201, 1.53332, 1.62552, 1.70459, 1.79467, 1.92171, 2.08431, 2.20993, 2.37499, 2.5649, 2.81875, 3.17348");
             values ( \
-              "1e-22, 0.130885, 0.11634, 0.105469, 0.100642, 0.0914318, 0.0788764, 0.0552328, 0.0435683, 0.0337268, 0.0267174, 0.0221914, 0.0171605, 0.0138778, 0.010992, 0.00766165, 0.00481459, 0.00342231, 0.00200271, 0.00119845, 0.000462655, 0.000205732" \
+              "1e-22, 0.130885, 0.11634, 0.105469, 0.100642, 0.0914318, 0.0788764, 0.0552328, 0.0435683, 0.0337268, 0.0267174, 0.0221915, 0.0171605, 0.0138778, 0.010992, 0.00766165, 0.00481459, 0.00342231, 0.00200271, 0.00119845, 0.000462655, 0.000205732" \
             );
           }
           vector (ccs_template) {
@@ -125801,7 +141185,7 @@
             index_2 ("0.240631");
             index_3 ("9.69875, 9.69877, 10.243, 11.0838, 11.4152, 11.6268, 11.9215, 12.3649, 13.2303, 13.4864, 13.8872, 14.0926, 14.4751, 14.7976, 15.0617, 15.3804, 15.8291, 16.1148, 16.6448, 17.331, 17.9404, 18.8723, 19.9604");
             values ( \
-              "1e-22, 0.144128, 0.126002, 0.111473, 0.105417, 0.101191, 0.0943851, 0.0816224, 0.0534704, 0.0458949, 0.0353041, 0.0306477, 0.0232545, 0.0182592, 0.0149288, 0.011635, 0.00816791, 0.00647604, 0.0041998, 0.00239201, 0.00142508, 0.000625916, 0.000233142" \
+              "1e-22, 0.144128, 0.126002, 0.111473, 0.105417, 0.101191, 0.0943851, 0.0816224, 0.0534704, 0.0458949, 0.0353041, 0.0306477, 0.0232545, 0.0182592, 0.0149288, 0.011635, 0.00816791, 0.00647604, 0.0041998, 0.00239201, 0.00142508, 0.000625917, 0.000233142" \
             );
           }
           vector (ccs_template) {
@@ -125952,9 +141336,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.00124625");
-            index_3 ("0.172189, 0.18019, 0.194505, 0.198136, 0.201381, 0.207869, 0.213181, 0.217542, 0.22543, 0.232702, 0.238142, 0.243993, 0.247744, 0.256483, 0.263604, 0.274593, 0.279449, 0.282534, 0.288703, 0.293754, 0.303957, 0.309862, 0.31921, 0.329627, 0.337334, 0.3525, 0.376212, 0.401777");
+            index_3 ("0.172189, 0.18019, 0.194505, 0.198136, 0.201381, 0.207869, 0.213181, 0.217542, 0.22543, 0.232702, 0.238142, 0.243993, 0.247744, 0.256483, 0.263604, 0.274593, 0.279449, 0.282534, 0.288703, 0.293754, 0.303957, 0.309862, 0.31921, 0.329627, 0.337334, 0.352499, 0.376212, 0.401776");
             values ( \
-              "0.000635333, 0.0105857, 0.0221907, 0.0244464, 0.0259662, 0.0279551, 0.0285203, 0.028385, 0.0268768, 0.0244853, 0.023295, 0.0216577, 0.0188078, 0.013869, 0.0107521, 0.00683114, 0.00692418, 0.0070892, 0.00655118, 0.00585137, 0.00403534, 0.00325029, 0.00216679, 0.00140599, 0.00103069, 0.000513856, 0.000184899, 4.61152e-05" \
+              "0.000635333, 0.0105857, 0.0221907, 0.0244464, 0.0259662, 0.0279551, 0.0285203, 0.028385, 0.0268768, 0.0244853, 0.023295, 0.0216577, 0.0188078, 0.013869, 0.0107521, 0.00683114, 0.00692418, 0.0070892, 0.00655118, 0.00585137, 0.00403533, 0.00325029, 0.00216679, 0.00140599, 0.00103069, 0.000513861, 0.000184901, 4.61157e-05" \
             );
           }
           vector (ccs_template) {
@@ -126008,7 +141392,7 @@
             index_2 ("0.00124625");
             index_3 ("0.31573, 0.337889, 0.342796, 0.347529, 0.355078, 0.360201, 0.363714, 0.370741, 0.39048, 0.395534, 0.40366, 0.410113, 0.422615, 0.427212, 0.431631, 0.45887, 0.469763, 0.487893, 0.509136, 0.516008");
             values ( \
-              "6.7919e-05, 0.0201933, 0.0234694, 0.0259952, 0.0280715, 0.0285102, 0.0283991, 0.0270822, 0.021374, 0.0175526, 0.0133674, 0.0105729, 0.00651985, 0.00713963, 0.00689026, 0.00288322, 0.00183422, 0.000841072, 0.000321161, 0.00028253" \
+              "6.7919e-05, 0.0201933, 0.0234694, 0.0259952, 0.0280715, 0.0285102, 0.0283991, 0.0270822, 0.021374, 0.0175526, 0.0133674, 0.0105729, 0.00651985, 0.00713963, 0.00689026, 0.00288322, 0.00183422, 0.000841072, 0.000321161, 0.000282531" \
             );
           }
           vector (ccs_template) {
@@ -126206,7 +141590,7 @@
             index_2 ("0.240631");
             index_3 ("9.69213, 9.69215, 10.2501, 11.0851, 11.4508, 11.703, 11.9234, 12.3641, 13.225, 13.4716, 13.8848, 14.2414, 14.4863, 14.803, 15.0561, 15.3672, 15.8253, 16.3046, 16.6665, 17.4307, 17.9763, 18.8668, 19.9549");
             values ( \
-              "1e-22, 0.139946, 0.125913, 0.111476, 0.104753, 0.0995866, 0.094391, 0.0816844, 0.053697, 0.0463521, 0.0354094, 0.0276345, 0.023099, 0.0181974, 0.0150023, 0.0117822, 0.00819205, 0.00557439, 0.0041396, 0.00223692, 0.00140948, 0.000644744, 0.000240177" \
+              "1e-22, 0.139946, 0.125913, 0.111476, 0.104753, 0.0995866, 0.094391, 0.0816844, 0.053697, 0.0463521, 0.0354094, 0.0276345, 0.023099, 0.0181974, 0.0150023, 0.0117822, 0.00819205, 0.0055744, 0.0041396, 0.00223692, 0.00140948, 0.000644744, 0.000240177" \
             );
           }
           vector (ccs_template) {
@@ -126760,9 +142144,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.00124625");
-            index_3 ("0.172189, 0.18019, 0.194505, 0.198136, 0.201381, 0.207869, 0.213181, 0.217542, 0.22543, 0.232702, 0.238142, 0.243993, 0.247744, 0.256483, 0.263604, 0.274593, 0.279449, 0.282534, 0.288703, 0.293754, 0.303957, 0.309862, 0.31921, 0.329627, 0.337334, 0.3525, 0.376212, 0.401777");
+            index_3 ("0.172189, 0.18019, 0.194505, 0.198136, 0.201381, 0.207869, 0.213181, 0.217542, 0.22543, 0.232702, 0.238142, 0.243993, 0.247744, 0.256483, 0.263604, 0.274593, 0.279449, 0.282534, 0.288703, 0.293754, 0.303957, 0.309862, 0.31921, 0.329627, 0.337334, 0.352499, 0.376212, 0.401776");
             values ( \
-              "0.000635333, 0.0105857, 0.0221907, 0.0244464, 0.0259662, 0.0279551, 0.0285203, 0.028385, 0.0268768, 0.0244853, 0.023295, 0.0216577, 0.0188078, 0.013869, 0.0107521, 0.00683114, 0.00692418, 0.0070892, 0.00655118, 0.00585137, 0.00403534, 0.00325029, 0.00216679, 0.00140599, 0.00103069, 0.000513856, 0.000184899, 4.61152e-05" \
+              "0.000635333, 0.0105857, 0.0221907, 0.0244464, 0.0259662, 0.0279551, 0.0285203, 0.028385, 0.0268768, 0.0244853, 0.023295, 0.0216577, 0.0188078, 0.013869, 0.0107521, 0.00683114, 0.00692418, 0.0070892, 0.00655118, 0.00585137, 0.00403533, 0.00325029, 0.00216679, 0.00140599, 0.00103069, 0.000513861, 0.000184901, 4.61157e-05" \
             );
           }
           vector (ccs_template) {
@@ -126816,7 +142200,7 @@
             index_2 ("0.00124625");
             index_3 ("0.31573, 0.337889, 0.342796, 0.347529, 0.355078, 0.360201, 0.363714, 0.370741, 0.39048, 0.395534, 0.40366, 0.410113, 0.422615, 0.427212, 0.431631, 0.45887, 0.469763, 0.487893, 0.509136, 0.516008");
             values ( \
-              "6.7919e-05, 0.0201933, 0.0234694, 0.0259952, 0.0280715, 0.0285102, 0.0283991, 0.0270822, 0.021374, 0.0175526, 0.0133674, 0.0105729, 0.00651985, 0.00713963, 0.00689026, 0.00288322, 0.00183422, 0.000841072, 0.000321161, 0.00028253" \
+              "6.7919e-05, 0.0201933, 0.0234694, 0.0259952, 0.0280715, 0.0285102, 0.0283991, 0.0270822, 0.021374, 0.0175526, 0.0133674, 0.0105729, 0.00651985, 0.00713963, 0.00689026, 0.00288322, 0.00183422, 0.000841072, 0.000321161, 0.000282531" \
             );
           }
           vector (ccs_template) {
@@ -127014,7 +142398,7 @@
             index_2 ("0.240631");
             index_3 ("9.69213, 9.69215, 10.2501, 11.0851, 11.4508, 11.703, 11.9234, 12.3641, 13.225, 13.4716, 13.8848, 14.2414, 14.4863, 14.803, 15.0561, 15.3672, 15.8253, 16.3046, 16.6665, 17.4307, 17.9763, 18.8668, 19.9549");
             values ( \
-              "1e-22, 0.139946, 0.125913, 0.111476, 0.104753, 0.0995866, 0.094391, 0.0816844, 0.053697, 0.0463521, 0.0354094, 0.0276345, 0.023099, 0.0181974, 0.0150023, 0.0117822, 0.00819205, 0.00557439, 0.0041396, 0.00223692, 0.00140948, 0.000644744, 0.000240177" \
+              "1e-22, 0.139946, 0.125913, 0.111476, 0.104753, 0.0995866, 0.094391, 0.0816844, 0.053697, 0.0463521, 0.0354094, 0.0276345, 0.023099, 0.0181974, 0.0150023, 0.0117822, 0.00819205, 0.0055744, 0.0041396, 0.00223692, 0.00140948, 0.000644744, 0.000240177" \
             );
           }
           vector (ccs_template) {
@@ -127126,7 +142510,7 @@
             "0.00701031, 0.00705475, 0.00700516, 0.0064583, 0.00430894, 0", \
             "0.00680872, 0.00685163, 0.00680207, 0.00625576, 0.00410811, 0", \
             "0.00644047, 0.00648223, 0.00643358, 0.005889, 0.00374052, 0", \
-            "0.0068001, 0.00684496, 0.00677984, 0.00625115, 0.00410295, 0", \
+            "0.00679194, 0.00683671, 0.00677984, 0.00625115, 0.00410295, 0", \
             "0.0104972, 0.0105054, 0.0104592, 0.0099563, 0.00774349, 0", \
             "0.026414, 0.0263837, 0.0263012, 0.0257181, 0.0236727, 0.0154407" \
           );
@@ -128310,7 +143694,7 @@
             "0.223277, 0.273443, 0.452632, 1.14563, 3.90929, 14.9701", \
             "0.253353, 0.303435, 0.48255, 1.1754, 3.94014, 14.9926", \
             "0.301199, 0.351121, 0.530301, 1.22245, 3.98814, 15.0474", \
-            "0.320345, 0.371267, 0.551871, 1.24441, 4.00553, 15.067", \
+            "0.32052, 0.371617, 0.551669, 1.24453, 4.00692, 15.0628", \
             "0.00541608, 0.060712, 0.24585, 0.939638, 3.70575, 14.7506" \
           );
         }
@@ -128322,7 +143706,7 @@
             "0.0255162, 0.0556635, 0.177836, 0.669698, 2.63805, 10.5052", \
             "0.0254983, 0.0556968, 0.177898, 0.669554, 2.63732, 10.5058", \
             "0.0255307, 0.0557058, 0.177767, 0.669543, 2.6378, 10.5047", \
-            "0.0260205, 0.0559844, 0.177971, 0.669474, 2.63745, 10.5055", \
+            "0.026046, 0.0559127, 0.177974, 0.66949, 2.63781, 10.5045", \
             "0.0282901, 0.0572418, 0.178118, 0.669552, 2.6371, 10.5091" \
           );
         }
@@ -128481,16 +143865,16 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0199343");
-            index_3 ("0.000175079, 0.262709, 0.280233, 0.31608, 0.335536, 0.347344, 0.36967, 0.393605, 0.418546, 0.444198, 0.447968, 0.499351, 0.520464, 0.532561, 0.556755, 0.567424, 0.586547, 0.59634, 0.612841, 0.63928, 0.656974, 0.719199, 0.75382, 0.789373, 0.813793, 0.833815, 0.864231, 0.891002, 0.923465, 0.966748, 1.02998");
+            index_3 ("0.000175079, 0.262709, 0.280233, 0.31608, 0.335536, 0.347344, 0.36967, 0.393605, 0.418546, 0.444198, 0.447968, 0.499351, 0.520464, 0.532561, 0.556755, 0.567424, 0.586547, 0.596341, 0.612841, 0.63928, 0.656974, 0.719199, 0.75382, 0.789373, 0.813793, 0.833814, 0.86423, 0.891002, 0.923465, 0.966749, 1.02998");
             values ( \
-              "1e-22, 0.000146011, 0.000780421, 0.00525483, 0.00585849, 0.00537941, 0.0034782, 0.000554058, 0.000863991, 0.0162441, 0.0202733, 0.0908326, 0.113666, 0.122825, 0.134304, 0.136617, 0.137798, 0.137112, 0.134464, 0.125526, 0.115689, 0.0720195, 0.0512083, 0.0348195, 0.0262619, 0.0207169, 0.0143046, 0.0102357, 0.00679444, 0.00386585, 0.00180015" \
+              "1e-22, 0.000146011, 0.000780421, 0.00525483, 0.00585849, 0.00537941, 0.0034782, 0.000554058, 0.000863991, 0.0162441, 0.0202733, 0.0908326, 0.113666, 0.122825, 0.134304, 0.136617, 0.137798, 0.137112, 0.134464, 0.125526, 0.115689, 0.0720196, 0.0512083, 0.0348195, 0.0262618, 0.0207169, 0.0143047, 0.0102357, 0.00679442, 0.00386581, 0.00180015" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0797254");
-            index_3 ("0.000236299, 0.181162, 0.273593, 0.336172, 0.348354, 0.37272, 0.397217, 0.514706, 0.552615, 0.614587, 0.622813, 0.672171, 0.737981, 0.878368, 0.962676, 1.00383, 1.08614, 1.10783, 1.15121, 1.20646, 1.30827, 1.37457, 1.41019, 1.52705, 1.72343, 1.87486, 2.01304, 2.15335, 2.22873, 2.33511, 2.44601, 2.531, 2.70098, 2.87489");
+            index_3 ("0.000236309, 0.181162, 0.273593, 0.336172, 0.348354, 0.37272, 0.397217, 0.514706, 0.552615, 0.614587, 0.622813, 0.672171, 0.737981, 0.878368, 0.962676, 1.00383, 1.08614, 1.10783, 1.15121, 1.20646, 1.30827, 1.37457, 1.41019, 1.52705, 1.72343, 1.87486, 2.01304, 2.15335, 2.22873, 2.33511, 2.44601, 2.531, 2.70098, 2.87489");
             values ( \
               "1e-22, 2.8912e-05, 0.000542115, 0.0130715, 0.014273, 0.0147809, 0.0136667, 0.00517267, 0.00353339, 0.00509747, 0.00602394, 0.0150741, 0.0366199, 0.0952698, 0.121962, 0.1311, 0.142295, 0.143999, 0.14585, 0.146308, 0.142208, 0.136322, 0.131964, 0.112467, 0.0741132, 0.0492619, 0.0325643, 0.0208154, 0.0162398, 0.0113422, 0.00778571, 0.00580994, 0.00317645, 0.00194588" \
             );
@@ -128499,7 +143883,7 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.318855");
-            index_3 ("0.00025533, 0.273603, 0.349071, 0.398923, 0.871504, 1.10671, 1.22704, 1.38997, 1.60722, 1.96863, 2.38582, 2.70885, 2.88114, 3.22571, 3.42143, 3.67945, 4.06695, 4.33904, 4.48251, 4.94373, 5.93381, 6.30618, 6.85545, 7.39764, 7.69442, 8.11248, 8.55083, 8.88715, 9.55977, 10.236");
+            index_3 ("0.000255327, 0.273603, 0.349071, 0.398923, 0.871504, 1.10671, 1.22704, 1.38997, 1.60722, 1.96863, 2.38582, 2.70885, 2.88114, 3.22571, 3.42143, 3.67945, 4.06695, 4.33904, 4.48251, 4.94373, 5.93381, 6.30618, 6.85545, 7.39764, 7.69442, 8.11248, 8.55083, 8.88715, 9.55977, 10.236");
             values ( \
               "1e-22, 0.000578656, 0.0186904, 0.0222951, 0.0087681, 0.00512419, 0.00454599, 0.00672347, 0.0168684, 0.0502481, 0.0958877, 0.12309, 0.133014, 0.145095, 0.147898, 0.148478, 0.144546, 0.138339, 0.13384, 0.114208, 0.0654522, 0.0503297, 0.0330925, 0.0212956, 0.0165938, 0.0115843, 0.00792749, 0.00589686, 0.00320693, 0.00197551" \
             );
@@ -128510,7 +143894,7 @@
             index_2 ("1.27524");
             index_3 ("0, 0.195042, 0.269485, 0.446673, 1.51205, 2.53685, 3.40775, 3.79321, 4.22481, 4.80028, 5.95121, 8.41865, 9.79605, 10.3842, 11.2719, 12.0128, 13.2916, 14.1132, 15.1225, 16.4013, 16.7641, 17.4898, 18.5988, 21.6724, 23.9956, 25.2712, 26.2014, 27.3997, 28.3791, 29.5571, 30.8359, 32.4147, 34.6306, 37.1882, 39.7457, 40.7818");
             values ( \
-              "1.78477e-06, 9.01185e-05, 0.000587812, 0.026257, 0.0152232, 0.00864004, 0.00539826, 0.00492916, 0.00575525, 0.00990407, 0.0297773, 0.09641, 0.125144, 0.13357, 0.142404, 0.146694, 0.149204, 0.148194, 0.144873, 0.137271, 0.1343, 0.12735, 0.11469, 0.0758621, 0.0508715, 0.0400104, 0.0333342, 0.0261542, 0.0213627, 0.0166577, 0.0126578, 0.00898757, 0.0055163, 0.0031204, 0.00175884, 0.00154144" \
+              "1.78478e-06, 9.01185e-05, 0.000587812, 0.026257, 0.0152232, 0.00864004, 0.00539826, 0.00492916, 0.00575525, 0.00990407, 0.0297773, 0.09641, 0.125144, 0.13357, 0.142404, 0.146694, 0.149204, 0.148194, 0.144873, 0.137271, 0.1343, 0.12735, 0.11469, 0.0758621, 0.0508715, 0.0400104, 0.0333342, 0.0261542, 0.0213627, 0.0166577, 0.0126578, 0.00898757, 0.0055163, 0.0031204, 0.00175884, 0.00154144" \
             );
           }
           vector (ccs_template) {
@@ -128553,16 +143937,16 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.318855");
-            index_3 ("0, 0.594669, 0.697357, 0.800586, 0.826811, 0.852269, 1.1829, 1.29283, 1.50702, 1.65038, 1.72011, 1.85957, 2.13851, 2.42, 2.82323, 2.99575, 3.31822, 3.72881, 4.11785, 4.52402, 4.78696, 4.92012, 5.13126, 5.38061, 6.0399, 6.36855, 6.74508, 7.05894, 7.29173, 7.59177, 7.83593, 8.13239, 8.55022, 8.9877, 9.32324, 9.99432, 11.2633, 12.5421");
+            index_3 ("0, 0.594669, 0.697357, 0.800586, 0.826811, 0.852269, 1.1829, 1.29283, 1.50702, 1.65038, 1.72011, 1.85957, 2.1385, 2.42, 2.82323, 2.99575, 3.31822, 3.72881, 4.11785, 4.52402, 4.78696, 4.92012, 5.13126, 5.38061, 6.0399, 6.36855, 6.74508, 7.05894, 7.29173, 7.59177, 7.83593, 8.13239, 8.55022, 8.9877, 9.32324, 9.99432, 11.2633, 12.5421");
             values ( \
-              "1.23374e-08, 2.87533e-05, 0.000320658, 0.0204185, 0.0221284, 0.0223522, 0.0116589, 0.00909196, 0.00553626, 0.00454377, 0.00484996, 0.00769334, 0.0239893, 0.0519084, 0.0960645, 0.111808, 0.133189, 0.146469, 0.148776, 0.144284, 0.138112, 0.133886, 0.125708, 0.114249, 0.0809506, 0.065568, 0.0502857, 0.0397258, 0.0331285, 0.0260053, 0.0212749, 0.0165914, 0.0115757, 0.00792658, 0.00590859, 0.00321076, 0.000902527, 0.000243442" \
+              "1.23373e-08, 2.87533e-05, 0.000320658, 0.0204185, 0.0221284, 0.0223522, 0.0116589, 0.00909195, 0.00553625, 0.00454377, 0.00484996, 0.00769334, 0.0239892, 0.0519084, 0.0960645, 0.111808, 0.133189, 0.146469, 0.148776, 0.144284, 0.138112, 0.133886, 0.125708, 0.114249, 0.0809506, 0.065568, 0.0502857, 0.0397258, 0.0331285, 0.0260053, 0.0212749, 0.0165914, 0.0115757, 0.00792658, 0.00590859, 0.00321076, 0.000902527, 0.000243442" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.27524");
-            index_3 ("0.0132991, 0.710923, 0.890203, 2.00621, 3.03665, 3.89358, 4.26271, 4.63932, 5.14147, 6.14576, 8.87636, 10.1488, 10.8473, 12.126, 12.4775, 13.1804, 14.0248, 15.585, 16.1479, 17.2242, 18.503, 21.717, 23.7088, 24.8862, 26.2635, 28.4012, 29.722, 31.0008, 32.3859, 34.2599, 36.8174, 39.375, 40.1163");
+            index_3 ("0.013299, 0.710923, 0.890203, 2.00621, 3.03665, 3.89358, 4.26271, 4.63932, 5.14147, 6.14576, 8.87636, 10.1488, 10.8473, 12.126, 12.4775, 13.1804, 14.0248, 15.585, 16.1479, 17.2242, 18.503, 21.717, 23.7088, 24.8862, 26.2635, 28.4012, 29.722, 31.0008, 32.3859, 34.2599, 36.8174, 39.375, 40.1163");
             values ( \
               "1e-22, 0.000323712, 0.0263075, 0.0148992, 0.00841911, 0.00533284, 0.00492916, 0.00560262, 0.00879129, 0.0240218, 0.0964489, 0.123472, 0.133705, 0.145169, 0.146856, 0.148809, 0.149065, 0.144907, 0.142038, 0.134317, 0.121324, 0.0809507, 0.0582235, 0.0469953, 0.0360763, 0.0234051, 0.0177514, 0.0134973, 0.0100001, 0.00662907, 0.00375518, 0.00211771, 0.0019308" \
             );
@@ -128571,54 +143955,54 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.37347, 2.38141, 2.39055, 2.39496, 2.39895, 2.40279, 2.40662, 2.40796, 2.40934, 2.41659, 2.41936, 2.42307, 2.42625, 2.43041, 2.43632, 2.44245, 2.44692, 2.45228, 2.45942, 2.47289, 2.48872, 2.50882");
+            index_3 ("2.37247, 2.38527, 2.39075, 2.3942, 2.39711, 2.39916, 2.403, 2.40685, 2.40808, 2.41114, 2.41679, 2.42173, 2.42749, 2.43154, 2.43505, 2.44161, 2.4466, 2.45639, 2.46495, 2.47162");
             values ( \
-              "0.00193041, 0.0258623, 0.0473701, 0.0543438, 0.0581426, 0.0588632, 0.0568338, 0.0547523, 0.0519692, 0.032055, 0.0262661, 0.0201611, 0.016147, 0.0119629, 0.00777341, 0.00495645, 0.00356092, 0.00240699, 0.00142003, 0.000498351, 0.000147272, 2.73766e-05" \
+              "0.000595842, 0.0344713, 0.0469293, 0.0530516, 0.056444, 0.0578422, 0.0589088, 0.0566483, 0.0548904, 0.0478102, 0.032116, 0.0225663, 0.0150245, 0.0112199, 0.0086794, 0.00536216, 0.00370284, 0.00181559, 0.000959994, 0.0006638" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00498429");
-            index_3 ("2.38485, 2.40058, 2.4172, 2.4282, 2.43577, 2.44015, 2.44559, 2.45386, 2.46212, 2.46624, 2.48353, 2.49328, 2.50909, 2.51419, 2.52614, 2.54247, 2.56061, 2.5764, 2.58184");
+            index_3 ("2.39375, 2.4036, 2.4176, 2.42466, 2.42849, 2.43615, 2.44016, 2.44584, 2.45409, 2.46235, 2.46653, 2.47098, 2.48371, 2.49467, 2.50207, 2.50818, 2.51287, 2.5228, 2.53611, 2.54365, 2.55386, 2.56748, 2.59317, 2.62315");
             values ( \
-              "1e-22, 0.0213794, 0.0684102, 0.0931983, 0.103742, 0.107065, 0.108989, 0.108226, 0.102009, 0.0962228, 0.0622272, 0.0461512, 0.0272155, 0.0227305, 0.0147245, 0.00795195, 0.00396801, 0.00211727, 0.00182834" \
+              "0.0128885, 0.0287252, 0.0695992, 0.0866192, 0.0938554, 0.10404, 0.107104, 0.109205, 0.108283, 0.102096, 0.0961429, 0.088003, 0.0623063, 0.0444483, 0.034857, 0.0283099, 0.0240178, 0.0167821, 0.0102089, 0.007668, 0.00518738, 0.00303793, 0.00102248, 0.000274334" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0199343");
-            index_3 ("0, 1.99128, 2.24078, 2.26807, 2.28489, 2.33599, 2.34694, 2.35621, 2.37216, 2.39273, 2.419, 2.44625, 2.46197, 2.48267, 2.52087, 2.53644, 2.55401, 2.58181, 2.60808, 2.63435, 2.662, 2.67995, 2.74284, 2.78479, 2.81178, 2.83351, 2.85569, 2.88656, 2.91294, 2.94417, 2.98582, 3.06364, 3.06642");
+            index_3 ("7.04963e-06, 2.06097, 2.25492, 2.27826, 2.28552, 2.33711, 2.34898, 2.35961, 2.37641, 2.42463, 2.4524, 2.46698, 2.47779, 2.52066, 2.53977, 2.55383, 2.58163, 2.60791, 2.63418, 2.66054, 2.67977, 2.74266, 2.7846, 2.81166, 2.83343, 2.85548, 2.88632, 2.91273, 2.94407, 2.98585, 3.063");
             values ( \
-              "1.68573e-11, 1.50515e-06, 7.5228e-05, 0.000180115, 0.000644068, 0.00439431, 0.00491128, 0.00504762, 0.00459736, 0.00302053, 0.000237694, 0.00318339, 0.0134438, 0.0368519, 0.0911832, 0.108619, 0.122829, 0.135395, 0.137918, 0.134729, 0.125032, 0.114824, 0.0706223, 0.0463283, 0.0344557, 0.0268184, 0.0206239, 0.0141585, 0.010185, 0.00686851, 0.00399273, 0.00133562, 0.00130527" \
+              "1e-22, 2.93516e-06, 9.59108e-05, 0.000375003, 0.000696534, 0.00447253, 0.00497006, 0.00501317, 0.00433731, 1e-22, 0.00633132, 0.0182863, 0.0307977, 0.0910506, 0.111849, 0.122918, 0.135279, 0.138019, 0.134623, 0.125693, 0.11482, 0.0706242, 0.0463319, 0.0344407, 0.0267868, 0.0206332, 0.014172, 0.010188, 0.00686126, 0.00398324, 0.00135364" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0797254");
-            index_3 ("2.42217, 2.71343, 2.90106, 2.98377, 3.02632, 3.11141, 3.1681, 3.22892, 3.33061, 3.43262, 3.50526, 3.7414, 3.89663, 4.03637, 4.16621, 4.37181, 4.57914, 4.77868");
+            index_3 ("2.41782, 2.72248, 2.90063, 2.98389, 3.02595, 3.11006, 3.16968, 3.22855, 3.33029, 3.43226, 3.50438, 3.80011, 3.89587, 4.037, 4.16573, 4.3709, 4.48138, 4.62867, 4.80202");
             values ( \
-              "0.00492908, 0.0203249, 0.0954927, 0.121661, 0.131213, 0.142564, 0.1457, 0.146383, 0.142237, 0.131971, 0.12065, 0.0749254, 0.0493463, 0.0324727, 0.0214724, 0.0109168, 0.00535088, 0.0027347" \
+              "0.00247756, 0.0231978, 0.095415, 0.121768, 0.131178, 0.142475, 0.145759, 0.146359, 0.142227, 0.13197, 0.12074, 0.0644011, 0.0494011, 0.0323722, 0.0214815, 0.0109371, 0.00750721, 0.0044789, 0.0026805" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.318855");
-            index_3 ("2.58682, 3.78334, 4.40383, 4.74522, 4.89782, 5.20302, 5.30738, 5.50526, 5.69601, 6.09806, 6.36448, 6.49927, 6.95991, 7.94755, 8.32438, 8.87133, 9.41516, 9.71168, 10.1296, 10.5672, 10.9029, 11.5742, 12.3508");
+            index_3 ("2.58728, 3.65431, 4.40887, 4.75731, 4.90253, 5.12569, 5.31206, 5.70193, 6.10641, 6.5049, 6.96616, 7.97965, 8.33422, 8.84976, 9.43575, 9.72692, 10.1407, 10.8853, 11.5298, 12.0152");
             values ( \
-              "0.00165206, 0.029472, 0.0959468, 0.124242, 0.13296, 0.144063, 0.146144, 0.148265, 0.148438, 0.144224, 0.138073, 0.133824, 0.114228, 0.0655833, 0.0502878, 0.0331225, 0.021278, 0.0165925, 0.0115766, 0.00792618, 0.00590699, 0.00320949, 0.00177564" \
+              "0.00965079, 0.0185011, 0.0959018, 0.124663, 0.132905, 0.141751, 0.146056, 0.148563, 0.144235, 0.133849, 0.114209, 0.0644195, 0.0501274, 0.0338397, 0.0210156, 0.0164527, 0.0115257, 0.00602652, 0.00336609, 0.00242242" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.27524");
-            index_3 ("3.30394, 7.33408, 8.61286, 10.4372, 11.6503, 12.4087, 13.6875, 14.7431, 15.5868, 17.1471, 18.7864, 20.0652, 25.2709, 27.8257, 29.9634, 32.563, 33.9481, 35.8222, 38.3797, 40.5697");
+            index_3 ("3.33785, 5.98211, 6.13785, 6.44932, 7.07228, 8.31819, 10.0559, 10.4446, 11.2221, 12.4146, 13.6933, 14.0445, 14.7467, 15.5917, 16.8704, 17.1519, 17.7147, 18.791, 20.0698, 23.2838, 23.9968, 25.2756, 26.453, 27.8303, 29.1091, 29.968, 31.2889, 32.5677, 33.9528, 34.5774, 35.8266, 37.1054, 38.3842, 40.9417, 43.4993, 47.3356");
             values ( \
-              "0.0123517, 0.0169517, 0.0460658, 0.0963531, 0.12236, 0.133659, 0.145129, 0.148784, 0.149061, 0.144908, 0.134322, 0.121315, 0.0582298, 0.0360821, 0.0234104, 0.0134922, 0.00999503, 0.00662405, 0.00375024, 0.00234817" \
+              "0.0296718, 0.00506341, 0.00538736, 0.00677942, 0.0128659, 0.0381104, 0.0862489, 0.0964224, 0.11418, 0.133723, 0.145186, 0.146863, 0.148818, 0.149066, 0.146075, 0.144905, 0.142044, 0.134314, 0.121328, 0.0809467, 0.0723503, 0.0582193, 0.0469911, 0.0360721, 0.0279114, 0.0234005, 0.0177466, 0.0135019, 0.0100048, 0.00872784, 0.00663395, 0.00499306, 0.00376004, 0.00212257, 0.00119578, 0.000500615" \
             );
           }
           vector (ccs_template) {
@@ -128627,7 +144011,7 @@
             index_2 ("0.00124625");
             index_3 ("8.30094, 8.30844, 8.32998, 8.33447, 8.33867, 8.34283, 8.34698, 8.35293, 8.35841, 8.36506, 8.37277, 8.38025, 8.39439, 8.40619, 8.40673");
             values ( \
-              "0.00375012, 0.00991738, 0.0474565, 0.0523061, 0.0544432, 0.0535649, 0.0473244, 0.0317111, 0.0216995, 0.0137252, 0.00789191, 0.00457726, 0.00163757, 0.000706255, 0.000696222" \
+              "0.00375012, 0.00991738, 0.0474565, 0.0523061, 0.0544432, 0.0535649, 0.0473244, 0.0317111, 0.0216995, 0.0137252, 0.00789191, 0.00457726, 0.00163757, 0.000706255, 0.000696224" \
             );
           }
           vector (ccs_template) {
@@ -128636,16 +144020,16 @@
             index_2 ("0.00498429");
             index_3 ("8.31875, 8.33774, 8.34344, 8.36077, 8.36749, 8.37702, 8.38567, 8.39413, 8.40258, 8.40585, 8.4089, 8.4194, 8.42645, 8.43538, 8.44258, 8.44823, 8.45576, 8.46147, 8.46609, 8.47225, 8.48264, 8.49454, 8.50401, 8.52294, 8.53473");
             values ( \
-              "0.000425532, 0.0196982, 0.0321905, 0.0740257, 0.0876188, 0.10081, 0.106222, 0.106292, 0.100851, 0.096615, 0.0916945, 0.0707343, 0.0578065, 0.0438211, 0.0346018, 0.0285697, 0.0219104, 0.0178463, 0.0150767, 0.0119944, 0.00808296, 0.00513856, 0.00356054, 0.00165969, 0.00117372" \
+              "0.000425547, 0.0196984, 0.0322029, 0.0740306, 0.0876187, 0.10081, 0.106221, 0.106292, 0.100851, 0.096615, 0.0916945, 0.0707342, 0.0578065, 0.0438211, 0.0346018, 0.0285697, 0.0219104, 0.0178463, 0.0150767, 0.0119944, 0.00808296, 0.00513856, 0.00356054, 0.00165968, 0.00117382" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0199343");
-            index_3 ("0.000211232, 7.59242, 8.13836, 8.16129, 8.18536, 8.25752, 8.27346, 8.28844, 8.31307, 8.33771, 8.36388, 8.39545, 8.41153, 8.46541, 8.49889, 8.52198, 8.53629, 8.55305, 8.57926, 8.6051, 8.63369, 8.68813, 8.73029, 8.75557, 8.77583, 8.80167, 8.8441, 8.86755, 8.90335, 8.95108, 8.99545");
+            index_3 ("0.000211233, 7.59242, 8.13836, 8.16129, 8.18536, 8.25752, 8.27346, 8.28844, 8.31307, 8.33771, 8.36388, 8.39545, 8.41153, 8.46541, 8.49889, 8.52198, 8.53629, 8.55305, 8.57926, 8.6051, 8.63369, 8.68813, 8.73029, 8.75556, 8.77582, 8.80167, 8.84413, 8.86755, 8.90331, 8.95098, 8.99544");
             values ( \
-              "1e-22, 3.60927e-07, 4.40546e-05, 0.000159509, 0.000522927, 0.00256863, 0.00298161, 0.00322442, 0.00312201, 0.00199804, 0.000260832, 0.00675648, 0.0192999, 0.0899245, 0.122088, 0.133459, 0.136596, 0.137439, 0.134483, 0.125757, 0.108926, 0.0704445, 0.0461022, 0.03495, 0.0276861, 0.0203965, 0.0121064, 0.00903156, 0.00573466, 0.00305905, 0.00191659" \
+              "1e-22, 3.60927e-07, 4.40546e-05, 0.000159509, 0.000522927, 0.00256863, 0.00298161, 0.00322442, 0.00312201, 0.00199804, 0.000260832, 0.00675648, 0.0192999, 0.0899245, 0.122088, 0.13346, 0.136596, 0.137439, 0.134483, 0.125757, 0.108926, 0.0704437, 0.0461012, 0.0349522, 0.02769, 0.0203956, 0.0121016, 0.00903125, 0.0057376, 0.00306308, 0.00191688" \
             );
           }
           vector (ccs_template) {
@@ -128663,7 +144047,7 @@
             index_2 ("0.318855");
             index_3 ("8.56813, 9.71212, 10.3575, 10.6599, 10.8517, 11.2354, 11.4212, 11.6499, 12.0515, 12.4529, 12.9135, 13.9013, 14.278, 14.8248, 15.3688, 16.0831, 16.8279, 17.5534, 17.9612");
             values ( \
-              "0.00343166, 0.0276083, 0.0962361, 0.12186, 0.133167, 0.145953, 0.1482, 0.148554, 0.144285, 0.133847, 0.114236, 0.0655766, 0.0502869, 0.0331253, 0.0212769, 0.0115765, 0.00611863, 0.0032212, 0.00234122" \
+              "0.00343164, 0.0276083, 0.0962361, 0.12186, 0.133167, 0.145953, 0.1482, 0.148554, 0.144285, 0.133847, 0.114236, 0.0655766, 0.0502869, 0.0331253, 0.0212769, 0.0115765, 0.00611863, 0.0032212, 0.00234122" \
             );
           }
           vector (ccs_template) {
@@ -128672,7 +144056,7 @@
             index_2 ("1.27524");
             index_3 ("9.29909, 11.9134, 12.2853, 13.0291, 14.3079, 15.7707, 16.663, 17.414, 17.9143, 18.3421, 19.1977, 19.9731, 21.2518, 21.5266, 22.0762, 23.084, 24.3628, 24.7258, 25.4518, 26.5606, 29.6342, 30.6786, 31.9574, 33.2332, 34.1633, 35.3615, 36.3409, 37.5189, 38.7976, 40.3765, 41.3137, 42.5925, 43.8713, 45.15, 47.7076, 50.2651, 54.1014");
             values ( \
-              "0.0300943, 0.00504483, 0.00618479, 0.0130763, 0.0393821, 0.0800894, 0.103235, 0.11893, 0.127401, 0.133338, 0.141989, 0.146588, 0.149145, 0.149001, 0.14815, 0.14485, 0.13726, 0.134292, 0.127339, 0.114688, 0.0758609, 0.0638572, 0.0508709, 0.0400103, 0.0333324, 0.0261552, 0.0213642, 0.0166567, 0.0126591, 0.00898639, 0.00732089, 0.00551509, 0.00415321, 0.00311922, 0.00175767, 0.000988186, 0.000418435" \
+              "0.0300943, 0.00504483, 0.00618479, 0.0130763, 0.0393822, 0.0800893, 0.103235, 0.11893, 0.127401, 0.133338, 0.141989, 0.146588, 0.149145, 0.149001, 0.14815, 0.14485, 0.13726, 0.134292, 0.127339, 0.114688, 0.0758609, 0.0638572, 0.0508709, 0.0400103, 0.0333324, 0.0261552, 0.0213642, 0.0166567, 0.0126591, 0.00898639, 0.00732089, 0.00551509, 0.00415321, 0.00311922, 0.00175767, 0.000988186, 0.000418435" \
             );
           }
         }
@@ -128684,7 +144068,7 @@
             "0.00694409, 0.00694399, 0.00694402, 0.0069441, 0.00694415, 0.00694413", \
             "0.00758621, 0.00758622, 0.00758624, 0.00758625, 0.00758625, 0.00758625", \
             "0.00809316, 0.00809316, 0.00809315, 0.00809314, 0.00809313, 0.00809313", \
-            "0.00873398, 0.00873399, 0.00873053, 0.00873402, 0.00873402, 0.00873054", \
+            "0.0087305, 0.00873051, 0.008734, 0.00873053, 0.00873054, 0.00873402", \
             "0.00911193, 0.00909715, 0.00907733, 0.0090673, 0.00906425, 0.00906344" \
           );
         }
@@ -128696,7 +144080,7 @@
             "0.00823354, 0.00823324, 0.00823274, 0.00823247, 0.00823236, 0.00823238", \
             "0.00978527, 0.00978535, 0.00978539, 0.0097853, 0.00978527, 0.00978526", \
             "0.00951485, 0.0095209, 0.00948973, 0.00947623, 0.00947161, 0.00947055", \
-            "0.0085954, 0.0085951, 0.0086011, 0.00859779, 0.00859617, 0.00860202", \
+            "0.00860131, 0.0086014, 0.00859502, 0.00860387, 0.00860166, 0.00859461", \
             "0.00802529, 0.00804831, 0.0080815, 0.00809781, 0.0081041, 0.00809503" \
           );
         }
@@ -128743,7 +144127,7 @@
             index_2 ("0.318855");
             index_3 ("0.562739, 1.7921, 2.15725, 2.62491, 3.1403, 3.5424, 3.89674, 4.22791, 4.54929, 4.8703, 5.02655, 5.18402, 5.66302, 5.96221, 6.2542, 6.63714, 7.04314, 7.39492, 7.77847");
             values ( \
-              "-0.00621154, -0.015949, -0.046688, -0.0911523, -0.131503, -0.154766, -0.168975, -0.177337, -0.180428, -0.175976, -0.167197, -0.151571, -0.0915707, -0.0612396, -0.0398007, -0.0217719, -0.0112244, -0.00615979, -0.00363839" \
+              "-0.00621155, -0.015949, -0.046688, -0.0911523, -0.131503, -0.154766, -0.168975, -0.177337, -0.180428, -0.175976, -0.167197, -0.151571, -0.0915707, -0.0612396, -0.0398007, -0.0217719, -0.0112244, -0.00615979, -0.00363839" \
             );
           }
           vector (ccs_template) {
@@ -128849,9 +144233,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.318855");
-            index_3 ("0.773419, 1.91087, 2.06084, 2.79041, 3.30211, 3.64866, 4.05669, 4.24215, 4.38782, 4.70907, 5.03137, 5.17699, 5.32493, 5.72247, 6.04597, 6.35171, 6.6954, 6.88891, 7.16993, 7.54461, 8.19089, 8.95702");
+            index_3 ("0.773419, 1.91087, 2.06084, 2.79041, 3.30211, 3.64866, 4.05669, 4.24214, 4.38782, 4.70907, 5.03137, 5.177, 5.32494, 5.72241, 6.04603, 6.3517, 6.69526, 6.8889, 7.1701, 7.54503, 8.19135, 8.9576");
             values ( \
-              "-0.00917277, -0.0133595, -0.0236785, -0.0914483, -0.131552, -0.152074, -0.168967, -0.174196, -0.177161, -0.18042, -0.175822, -0.167876, -0.153729, -0.103562, -0.0681516, -0.0437024, -0.0256334, -0.0187938, -0.0118595, -0.00624028, -0.00185149, -0.000393745" \
+              "-0.00917277, -0.0133595, -0.0236785, -0.0914483, -0.131552, -0.152074, -0.168967, -0.174196, -0.177161, -0.18042, -0.175822, -0.167876, -0.153728, -0.103568, -0.0681464, -0.043703, -0.0256391, -0.0187942, -0.0118561, -0.00623571, -0.00184987, -0.000393268" \
             );
           }
           vector (ccs_template) {
@@ -128905,7 +144289,7 @@
             index_2 ("0.318855");
             index_3 ("1.261, 2.43436, 3.27963, 3.75332, 4.15463, 4.50915, 4.84032, 5.1617, 5.48271, 5.63901, 5.79654, 6.44768, 6.73771, 7.02594, 7.24946, 7.47981, 7.65592, 8.00814, 8.21159");
             values ( \
-              "-0.00591591, -0.0178733, -0.0948007, -0.131568, -0.154739, -0.168997, -0.177318, -0.18045, -0.175956, -0.167193, -0.151559, -0.0730723, -0.0482994, -0.0311058, -0.0217747, -0.015002, -0.0112176, -0.00615061, -0.00479079" \
+              "-0.00591591, -0.0178733, -0.0948007, -0.131568, -0.154739, -0.168997, -0.177318, -0.18045, -0.175956, -0.167193, -0.151559, -0.0730724, -0.0482994, -0.0311058, -0.0217747, -0.015002, -0.0112176, -0.00615061, -0.00479079" \
             );
           }
           vector (ccs_template) {
@@ -128932,7 +144316,7 @@
             index_2 ("0.00498429");
             index_3 ("2.53333, 2.55354, 2.56479, 2.57351, 2.58135, 2.58866, 2.59574, 2.60281, 2.60986, 2.62515, 2.63076, 2.63827, 2.64526, 2.65911");
             values ( \
-              "-0.0125952, -0.0723348, -0.0954443, -0.1099, -0.119531, -0.125594, -0.128409, -0.125824, -0.108589, -0.0486381, -0.0331488, -0.0190599, -0.0111303, -0.00385135" \
+              "-0.0125953, -0.0723348, -0.0954443, -0.1099, -0.119531, -0.125594, -0.128409, -0.125824, -0.108589, -0.0486381, -0.0331488, -0.0190599, -0.0111303, -0.00385137" \
             );
           }
           vector (ccs_template) {
@@ -128950,7 +144334,7 @@
             index_2 ("0.0797254");
             index_3 ("1.67327, 2.30061, 2.40188, 2.43375, 2.48009, 2.51701, 2.5479, 2.71488, 2.7857, 2.85358, 2.91251, 3.10369, 3.23315, 3.3352, 3.42553, 3.50993, 3.59182, 3.67361, 3.71156, 3.76313, 3.88008, 3.94366, 4.00362, 4.08618, 4.16526, 4.28225, 4.36118, 4.39759");
             values ( \
-              "-3.05242e-06, -4.27887e-06, -0.000490267, -0.00193016, -0.00858723, -0.0108094, -0.0103438, -0.00337064, -0.00245381, -0.00836881, -0.0226729, -0.0909801, -0.129539, -0.151922, -0.165837, -0.173957, -0.177108, -0.172685, -0.16467, -0.144471, -0.0879826, -0.0629042, -0.0446073, -0.0269792, -0.0163591, -0.00788651, -0.00463818, -0.00390354" \
+              "-3.05241e-06, -4.27887e-06, -0.000490267, -0.00193016, -0.00858723, -0.0108094, -0.0103438, -0.00337064, -0.00245381, -0.00836881, -0.0226729, -0.0909801, -0.129539, -0.151922, -0.165837, -0.173957, -0.177108, -0.172685, -0.16467, -0.144471, -0.0879826, -0.0629042, -0.0446073, -0.0269792, -0.0163591, -0.00788651, -0.00463818, -0.00390354" \
             );
           }
           vector (ccs_template) {
@@ -128966,9 +144350,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.27524");
-            index_3 ("1.91196, 2.39443, 2.58975, 4.39129, 5.19198, 6.37478, 7.39728, 8.01915, 8.84831, 12.555, 13.6933, 14.4725, 15.3986, 16.8084, 17.3515, 18.127, 19.4059, 19.6873, 20.1799, 20.6824, 21.6875, 23.7289, 24.8277, 25.8518, 27.2179, 27.8422, 28.5302, 29.4476, 30.5858, 31.7241, 32.8624, 35.139");
+            index_3 ("1.91196, 2.39443, 2.58975, 4.39129, 5.19198, 6.37478, 7.39728, 8.01916, 8.84832, 12.555, 13.6933, 14.4724, 15.3987, 16.8084, 17.3514, 18.127, 19.4059, 19.6873, 20.1799, 20.6824, 21.6875, 23.7289, 24.8277, 25.8518, 27.2179, 27.8422, 28.5302, 29.4476, 30.5858, 31.7241, 32.8624, 35.139");
             values ( \
-              "-8.25699e-06, -0.000452954, -0.0182307, -0.00958536, -0.00694396, -0.00425906, -0.00519337, -0.0101082, -0.0232272, -0.108603, -0.130155, -0.142764, -0.15533, -0.169688, -0.173736, -0.178027, -0.181191, -0.181091, -0.179821, -0.17661, -0.159142, -0.0952455, -0.0661519, -0.0455244, -0.026754, -0.0207925, -0.0157159, -0.0107571, -0.00661125, -0.00408706, -0.00247611, -0.000913373" \
+              "-8.25699e-06, -0.000452954, -0.0182307, -0.00958537, -0.00694396, -0.00425907, -0.00519336, -0.0101083, -0.0232275, -0.108604, -0.130155, -0.142762, -0.155331, -0.169688, -0.173736, -0.178027, -0.181191, -0.181091, -0.179821, -0.17661, -0.159142, -0.0952455, -0.0661519, -0.0455244, -0.026754, -0.0207925, -0.0157159, -0.0107571, -0.00661125, -0.00408706, -0.00247611, -0.000913374" \
             );
           }
           vector (ccs_template) {
@@ -128977,7 +144361,7 @@
             index_2 ("0.00124625");
             index_3 ("8.51999, 8.53368, 8.5408, 8.54807, 8.55144, 8.55478, 8.55812, 8.56335, 8.56747, 8.57047, 8.57584, 8.57825, 8.58307, 8.59016, 8.62786");
             values ( \
-              "-0.000849424, -0.0438256, -0.0571551, -0.0658611, -0.0674582, -0.0670368, -0.0581327, -0.0333295, -0.0184267, -0.011446, -0.00463607, -0.00311163, -0.00136383, -0.000487843, -3.70491e-05" \
+              "-0.000849423, -0.0438256, -0.0571551, -0.0658611, -0.0674582, -0.0670368, -0.0581327, -0.0333295, -0.0184267, -0.011446, -0.00463607, -0.00311163, -0.00136383, -0.000487843, -3.70491e-05" \
             );
           }
           vector (ccs_template) {
@@ -129086,8 +144470,8 @@
             "0.021624, 0.0378856, 0.100203, 0.347452, 1.33577, 5.28823", \
             "0.031902, 0.0484777, 0.111156, 0.358615, 1.347, 5.29948", \
             "0.0548978, 0.0853612, 0.156549, 0.403185, 1.39215, 5.34446", \
-            "0.0876961, 0.151247, 0.293565, 0.589265, 1.57456, 5.52615", \
-            "0.108232, 0.233113, 0.525951, 1.12846, 2.32512, 6.26351", \
+            "0.0874336, 0.151247, 0.293565, 0.589265, 1.57456, 5.52615", \
+            "0.108238, 0.233113, 0.525951, 1.12846, 2.32512, 6.26351", \
             "0.0110155, 0.233703, 0.799155, 2.02119, 4.4729, 9.27799" \
           );
         }
@@ -129098,8 +144482,8 @@
             "0.0144911, 0.0353613, 0.118543, 0.451345, 1.783, 7.11049", \
             "0.0168037, 0.0354232, 0.118517, 0.451343, 1.78282, 7.11051", \
             "0.0377435, 0.0581743, 0.123424, 0.451843, 1.78306, 7.11029", \
-            "0.0899239, 0.130238, 0.223462, 0.47927, 1.78305, 7.11038", \
-            "0.238506, 0.315382, 0.497563, 0.880561, 1.89883, 7.1105", \
+            "0.0902704, 0.130238, 0.223462, 0.47927, 1.78305, 7.11038", \
+            "0.238489, 0.315382, 0.497563, 0.880561, 1.89883, 7.1105", \
             "0.760992, 0.871396, 1.2099, 1.96632, 3.50397, 7.57589" \
           );
         }
@@ -129108,9 +144492,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.00124625");
-            index_3 ("0.0213061, 0.0213071, 0.0261863, 0.0275588, 0.0294868, 0.0314149, 0.0323833, 0.0337197, 0.0349335, 0.0359197, 0.0369016, 0.0376217, 0.0383417, 0.0390618, 0.0397818, 0.0405019, 0.0412219, 0.0428655, 0.0444917, 0.0460943, 0.0466285, 0.0483995, 0.049534, 0.0510013, 0.0525796, 0.0541578, 0.055736, 0.0573173, 0.0587659, 0.0602145, 0.0616631, 0.0635946, 0.0645603, 0.0666421, 0.0678328, 0.0690234, 0.070611, 0.0721985, 0.0733749, 0.0757278, 0.0789421, 0.0809798, 0.0830176, 0.0870932, 0.0911687, 0.0977786, 0.104389, 0.116184, 0.120116, 0.139942, 0.166593");
+            index_3 ("0.0213061, 0.0213071, 0.0261863, 0.0275588, 0.0294868, 0.0314149, 0.0323833, 0.0337197, 0.0349335, 0.0359197, 0.0369016, 0.0376217, 0.0383417, 0.0390618, 0.0405019, 0.0412219, 0.0428655, 0.0444917, 0.0460943, 0.0466285, 0.0483995, 0.049534, 0.0510013, 0.0525796, 0.0541578, 0.055736, 0.0573173, 0.0587659, 0.0602145, 0.0616631, 0.0626288, 0.0635946, 0.0645603, 0.0666421, 0.0678328, 0.0690234, 0.070611, 0.0721985, 0.0745514, 0.0757278, 0.0789421, 0.0809798, 0.0830176, 0.0870932, 0.0911687, 0.0977786, 0.104389, 0.116184, 0.120116, 0.139942, 0.166593");
             values ( \
-              "1e-22, 0.104381, 0.0778519, 0.0763199, 0.0740009, 0.0715621, 0.0702458, 0.0683284, 0.0664746, 0.0647704, 0.062892, 0.0612968, 0.0595787, 0.0577377, 0.0557737, 0.0536869, 0.0514771, 0.0458089, 0.0404847, 0.0358256, 0.0343924, 0.0301374, 0.0277314, 0.0247909, 0.0221267, 0.0198036, 0.0177206, 0.0158544, 0.01419, 0.0127847, 0.011531, 0.0100364, 0.00935616, 0.00802676, 0.00730173, 0.00663114, 0.00590799, 0.00524898, 0.00482878, 0.00404047, 0.00307583, 0.00257321, 0.00213784, 0.00154421, 0.0010689, 0.000646877, 0.000341555, 0.000131983, 8.4262e-05, 1.22391e-05, 9.84465e-07" \
+              "1e-22, 0.104381, 0.0778519, 0.0763199, 0.0740009, 0.0715621, 0.0702458, 0.0683284, 0.0664746, 0.0647704, 0.062892, 0.0612968, 0.0595787, 0.0577377, 0.0536869, 0.0514771, 0.0458089, 0.0404847, 0.0358256, 0.0343924, 0.0301374, 0.0277314, 0.0247909, 0.0221267, 0.0198036, 0.0177206, 0.0158544, 0.01419, 0.0127847, 0.011531, 0.0107613, 0.0100364, 0.00935616, 0.00802676, 0.00730173, 0.00663114, 0.00590799, 0.00524898, 0.00442595, 0.00404047, 0.00307583, 0.00257321, 0.00213784, 0.00154421, 0.0010689, 0.000646877, 0.000341555, 0.000131983, 8.4262e-05, 1.22391e-05, 9.84465e-07" \
             );
           }
           vector (ccs_template) {
@@ -129290,7 +144674,7 @@
             index_2 ("0.0199343");
             index_3 ("0.520172, 0.592411, 0.641713, 0.698909, 0.872, 0.924757, 0.946562, 0.957124, 0.976037, 0.995301, 1.04647, 1.08768, 1.11697, 1.15631, 1.19603, 1.22582, 1.25641, 1.27979, 1.32654, 1.41437, 1.51803, 1.65086, 1.82726, 2.11977, 2.68971, 3.8296, 5.12834, 64.9363");
             values ( \
-              "0.00946583, 0.0132803, 0.0236538, 0.0367506, 0.0780139, 0.0878902, 0.0906128, 0.0913475, 0.0909464, 0.0872637, 0.0672572, 0.0457058, 0.0337801, 0.0218711, 0.0138098, 0.00966465, 0.00668502, 0.00502148, 0.0027862, 0.000829643, 0.00017816, 1.74098e-05, 1e-22, 3.36823e-06, 1e-22, 3.2533e-06, 1e-22, 2.99601e-06" \
+              "0.00946583, 0.0132803, 0.0236538, 0.0367506, 0.0780139, 0.0878902, 0.0906128, 0.0913475, 0.0909464, 0.0872637, 0.0672572, 0.0457058, 0.0337801, 0.0218711, 0.0138098, 0.00966465, 0.00668502, 0.00502148, 0.0027862, 0.000829643, 0.00017816, 1.74098e-05, 1e-22, 3.36822e-06, 1e-22, 3.2533e-06, 1e-22, 2.99601e-06" \
             );
           }
           vector (ccs_template) {
@@ -129308,7 +144692,7 @@
             index_2 ("0.318855");
             index_3 ("0.521913, 0.811696, 1.04207, 1.06252, 1.08978, 1.11495, 1.68237, 2.32487, 2.70846, 3.05612, 3.41837, 4.39505, 4.84531, 5.0797, 5.35464, 5.72122, 5.94925, 6.21504, 6.50369, 6.90749, 7.06845, 7.39037, 8.03421, 9.22825, 10.527, 11.8257, 13.1245, 15.7219, 64.938");
             values ( \
-              "0.00520884, 0.0760871, 0.162706, 0.164622, 0.163735, 0.163601, 0.152839, 0.140171, 0.13166, 0.122074, 0.109, 0.067393, 0.0504628, 0.0429319, 0.0352258, 0.0267345, 0.0224123, 0.0181765, 0.014406, 0.0103437, 0.00905446, 0.00692728, 0.00398437, 0.00131253, 0.000375878, 0.000109642, 2.98714e-05, 1.56313e-06, 1.86652e-07" \
+              "0.00520884, 0.0760871, 0.162706, 0.164622, 0.163735, 0.163601, 0.152839, 0.140171, 0.13166, 0.122074, 0.109, 0.067393, 0.0504628, 0.0429319, 0.0352258, 0.0267345, 0.0224123, 0.0181765, 0.014406, 0.0103437, 0.00905446, 0.00692728, 0.00398437, 0.00131253, 0.000375878, 0.000109642, 2.98714e-05, 1.56313e-06, 1.86651e-07" \
             );
           }
           vector (ccs_template) {
@@ -129362,7 +144746,7 @@
             index_2 ("0.318855");
             index_3 ("2.08389, 2.63211, 2.75148, 2.99941, 3.17693, 3.92745, 4.16535, 4.20185, 4.59959, 5.02132, 5.22158, 5.48768, 5.80223, 5.97487, 6.82615, 7.27647, 7.51097, 7.78608, 8.15288, 8.33632, 8.64591, 8.93469, 9.33863, 9.49972, 9.8219, 10.4663, 11.6595, 12.9583, 14.257, 16.8545, 19.452, 64.9371");
             values ( \
-              "0.0113127, 0.0311934, 0.0388594, 0.0563906, 0.0701365, 0.132627, 0.151279, 0.151075, 0.143339, 0.134414, 0.129605, 0.122044, 0.110894, 0.103894, 0.0673846, 0.0504822, 0.0429436, 0.0352311, 0.0267176, 0.0231963, 0.0181771, 0.0144143, 0.01034, 0.00905902, 0.00692152, 0.0039859, 0.0013085, 0.000380121, 0.000105525, 5.73267e-06, 1e-22, 2e-22" \
+              "0.0113127, 0.0311934, 0.0388594, 0.0563906, 0.0701365, 0.132627, 0.151279, 0.151075, 0.143339, 0.134414, 0.129605, 0.122044, 0.110894, 0.103894, 0.0673846, 0.0504822, 0.0429436, 0.0352311, 0.0267176, 0.0231963, 0.0181771, 0.0144143, 0.01034, 0.00905902, 0.00692152, 0.0039859, 0.0013085, 0.000380121, 0.000105525, 5.73268e-06, 1e-22, 2e-22" \
             );
           }
           vector (ccs_template) {
@@ -129398,7 +144782,7 @@
             index_2 ("0.0199343");
             index_3 ("7.13993, 8.23042, 8.33708, 8.55039, 8.72373, 8.8674, 9.03159, 9.31571, 9.52903, 9.70962, 9.8199, 10.0685, 10.2932, 10.3912, 10.5031, 10.6062, 10.7046, 10.9033, 10.9669, 11.0859, 11.2545, 11.3906, 11.4629, 11.5085, 11.5999, 11.7825, 12.0034, 12.272, 12.609, 16.6662, 16.9909, 17.5618, 18.0803, 19.1173, 64.9363");
             values ( \
-              "0.000225811, 0.00115992, 0.00138254, 0.00199577, 0.00279792, 0.00380937, 0.00538876, 0.0084895, 0.0106045, 0.012171, 0.013041, 0.0147399, 0.0159742, 0.016418, 0.0168473, 0.0171576, 0.0173726, 0.0173663, 0.0169788, 0.0147815, 0.00912057, 0.00527745, 0.00374254, 0.00301579, 0.00185115, 0.000633632, 0.000117599, 1.26046e-05, 1e-22, 2e-22, 1.6449e-05, 1e-22, 4.10302e-06, 1e-22, 7.75018e-07" \
+              "0.000225811, 0.00115992, 0.00138254, 0.00199577, 0.00279792, 0.00380937, 0.00538876, 0.0084895, 0.0106045, 0.012171, 0.013041, 0.0147399, 0.0159742, 0.016418, 0.0168473, 0.0171576, 0.0173726, 0.0173663, 0.0169788, 0.0147815, 0.00912057, 0.00527745, 0.00374254, 0.00301579, 0.00185115, 0.000633632, 0.000117599, 1.26046e-05, 1e-22, 2e-22, 1.6449e-05, 1e-22, 4.10302e-06, 1e-22, 7.75019e-07" \
             );
           }
           vector (ccs_template) {
@@ -129407,7 +144791,7 @@
             index_2 ("0.0797254");
             index_3 ("7.13922, 8.55627, 8.84947, 9.18239, 10.0705, 10.501, 10.8738, 11.3044, 11.7624, 12.1114, 12.2428, 12.384, 12.5516, 12.6883, 12.7772, 12.9591, 13.4588, 13.6762, 13.8951, 14.0369, 14.3204, 14.766, 15.2848, 64.9356");
             values ( \
-              "0.000549823, 0.00412127, 0.00612745, 0.00942588, 0.0209052, 0.0259568, 0.0299774, 0.0342013, 0.0380524, 0.0403304, 0.0409443, 0.0413971, 0.0412472, 0.0399341, 0.0382269, 0.0329657, 0.0157546, 0.0102081, 0.00626693, 0.00448824, 0.00210857, 0.000481396, 1e-22, 5.56444e-07" \
+              "0.000549823, 0.00412127, 0.00612745, 0.00942588, 0.0209052, 0.0259568, 0.0299774, 0.0342013, 0.0380524, 0.0403304, 0.0409443, 0.0413971, 0.0412472, 0.0399341, 0.0382269, 0.0329657, 0.0157546, 0.0102081, 0.00626693, 0.00448824, 0.00210857, 0.000481396, 1e-22, 5.56445e-07" \
             );
           }
           vector (ccs_template) {
@@ -129445,7 +144829,7 @@
             index_2 ("0.00498429");
             index_3 ("0.0187408, 0.0220942, 0.0270816, 0.0346703, 0.0450721, 0.0506677, 0.0562218, 0.0605011, 0.0724377, 0.0776375, 0.0845955, 0.0925321, 0.0964452, 0.101663, 0.112098, 0.126234, 0.14369, 0.166015, 0.19901, 0.370346, 47.2118");
             values ( \
-              "-0.0838668, -0.175995, -0.17392, -0.169666, -0.161663, -0.155006, -0.143332, -0.126766, -0.067223, -0.0473503, -0.0284551, -0.0153545, -0.0112569, -0.00737332, -0.00300461, -0.000830468, -0.000150273, -1.79928e-05, -4.438e-06, -1e-22, -4.59008e-08" \
+              "-0.0838668, -0.175995, -0.17392, -0.169666, -0.161663, -0.155006, -0.143332, -0.126766, -0.067223, -0.0473503, -0.0284551, -0.0153545, -0.0112569, -0.00737332, -0.00300461, -0.000830468, -0.000150273, -1.79928e-05, -4.438e-06, -1e-22, -4.59007e-08" \
             );
           }
           vector (ccs_template) {
@@ -129542,9 +144926,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.139936, 0.150234, 0.153587, 0.157896, 0.167144, 0.172982, 0.179555, 0.186771, 0.189697, 0.191028, 0.19236, 0.193691, 0.195022, 0.196354, 0.197686, 0.20035, 0.201055, 0.202823, 0.20844, 0.211988, 0.214905, 0.216592, 0.218727, 0.222336, 0.225245, 0.228154, 0.231063, 0.233188, 0.235313, 0.237438, 0.239562, 0.242186, 0.244809, 0.247432, 0.250055, 0.253832, 0.25761, 0.261387, 0.265164, 0.268305, 0.271446, 0.275883, 0.28032, 0.284757, 0.289194, 0.306382, 0.323571, 0.371819, 0.420067, 39.8826");
+            index_3 ("0.139936, 0.150234, 0.153587, 0.157896, 0.167144, 0.172982, 0.179555, 0.186771, 0.189697, 0.191028, 0.19236, 0.193691, 0.195022, 0.196354, 0.197686, 0.20035, 0.201055, 0.202823, 0.20844, 0.211988, 0.214905, 0.216592, 0.218727, 0.222336, 0.225245, 0.228154, 0.231063, 0.233188, 0.235313, 0.237438, 0.239562, 0.242186, 0.244809, 0.247432, 0.250055, 0.253832, 0.25761, 0.261387, 0.265164, 0.268305, 0.271446, 0.275883, 0.28032, 0.284757, 0.289194, 0.306382, 0.323571, 0.371819, 0.420067, 40.8268");
             values ( \
-              "-0.0151874, -0.0158861, -0.0186283, -0.0216418, -0.0277417, -0.0313948, -0.0351532, -0.038971, -0.0409153, -0.0410542, -0.0410854, -0.041009, -0.0408249, -0.0405331, -0.0401335, -0.0390112, -0.0381523, -0.0349419, -0.0218132, -0.0146705, -0.010038, -0.00802705, -0.00596299, -0.00333119, -0.00196974, -0.0012474, -0.00068997, -0.000450803, -0.000257097, -0.000108852, -6.06771e-06, -3.75581e-05, -7.45426e-05, -0.000117021, -0.000164994, -0.000243723, -0.000333844, -0.000435357, -0.000548262, -0.000321897, -0.000142594, -0.000102516, -6.76846e-05, -3.81011e-05, -1.37652e-05, -7.76795e-06, -3.03928e-06, -1.31608e-06, -4.41454e-08, -1.70682e-11" \
+              "-0.0151874, -0.0158861, -0.0186283, -0.0216418, -0.0277417, -0.0313948, -0.0351532, -0.038971, -0.0409153, -0.0410542, -0.0410854, -0.041009, -0.0408249, -0.0405331, -0.0401335, -0.0390112, -0.0381523, -0.0349419, -0.0218132, -0.0146705, -0.010038, -0.00802705, -0.00596299, -0.00333119, -0.00196974, -0.0012474, -0.00068997, -0.000450803, -0.000257097, -0.000108852, -6.06771e-06, -3.75581e-05, -7.45426e-05, -0.000117021, -0.000164994, -0.000243723, -0.000333844, -0.000435357, -0.000548262, -0.000321897, -0.000142594, -0.000102516, -6.76846e-05, -3.81011e-05, -1.37652e-05, -7.76795e-06, -3.03928e-06, -1.31608e-06, -4.41454e-08, -4.24815e-11" \
             );
           }
           vector (ccs_template) {
@@ -129596,9 +144980,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.46308, 0.492325, 0.49694, 0.499609, 0.504949, 0.510949, 0.517609, 0.520939, 0.534791, 0.548643, 0.570602, 0.575598, 0.583712, 0.591826, 0.599057, 0.619616, 0.622775, 0.625933, 0.629091, 0.632249, 0.635333, 0.638417, 0.6415, 0.644584, 0.647634, 0.649964, 0.652293, 0.655017, 0.65774, 0.668996, 0.671463, 0.676812, 0.682322, 0.684982, 0.688528, 0.692074, 0.69526, 0.698446, 0.701632, 0.704818, 0.712247, 0.719677, 0.738758, 0.813277, 0.870232, 0.927186, 0.984141, 1.04109, 1.0581, 1.13374");
+            index_3 ("0.483668, 0.518764, 0.533407, 0.541897, 0.548542, 0.565019, 0.570045, 0.575071, 0.583237, 0.591404, 0.59866, 0.606448, 0.619281, 0.622446, 0.625612, 0.628777, 0.631942, 0.635031, 0.638121, 0.64121, 0.6443, 0.647356, 0.648522, 0.649689, 0.652022, 0.654748, 0.657475, 0.668742, 0.671211, 0.674299, 0.676565, 0.679864, 0.682085, 0.684749, 0.688302, 0.691855, 0.69505, 0.698245, 0.701441, 0.704636, 0.712067, 0.719499, 0.73862, 0.81341, 0.870305, 0.927201, 0.984097, 1.04099, 1.05795, 1.1334");
             values ( \
-              "-0.00223203, -0.00228671, -0.00259323, -0.00279855, -0.00325945, -0.00386636, -0.00466014, -0.00510259, -0.00716461, -0.0090875, -0.01195, -0.0125602, -0.0134769, -0.0143437, -0.0150697, -0.0170032, -0.0172376, -0.0174353, -0.0175962, -0.0177204, -0.0177679, -0.0177653, -0.0177126, -0.0176099, -0.0173762, -0.0169314, -0.0162815, -0.0152132, -0.0139616, -0.00750249, -0.00630515, -0.00417449, -0.00250498, -0.00188299, -0.00134095, -0.000905931, -0.000689126, -0.000503554, -0.000349217, -0.000226113, -0.000131681, -6.45009e-05, -1.68438e-05, -7.56914e-06, -1.34646e-05, -3.06093e-05, -5.90035e-05, -9.86469e-05, -1.11081e-05, -4.17435e-07" \
+              "-0.00436725, -0.00477546, -0.00696449, -0.00816389, -0.00906628, -0.011235, -0.0118723, -0.0124872, -0.0134126, -0.0142873, -0.0150152, -0.0157667, -0.016962, -0.0171977, -0.0173967, -0.0175589, -0.0176844, -0.0177334, -0.0177324, -0.0176815, -0.0175806, -0.0173489, -0.0171532, -0.0169062, -0.0162584, -0.0151931, -0.0139444, -0.0074954, -0.00629978, -0.00499381, -0.00416992, -0.00312903, -0.00250136, -0.00187957, -0.00133822, -0.000903763, -0.000687155, -0.000501807, -0.00034772, -0.000224893, -0.000131187, -6.44656e-05, -1.68345e-05, -7.53298e-06, -1.34844e-05, -3.0693e-05, -5.91588e-05, -9.88817e-05, -1.11631e-05, -4.22987e-07" \
             );
           }
           vector (ccs_template) {
@@ -129650,9 +145034,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("1.68753, 1.81106, 1.84097, 1.85592, 1.88582, 1.90383, 1.91284, 1.93084, 1.94892, 1.97614, 2.06274, 2.09563, 2.1291, 2.14796, 2.17641, 2.1999, 2.22131, 2.2384, 2.24695, 2.25549, 2.26396, 2.27243, 2.2809, 2.28937, 2.29775, 2.3042, 2.31064, 2.31781, 2.32497, 2.33619, 2.3556, 2.36527, 2.37751, 2.38678, 2.39481, 2.41086, 2.42689, 2.44292, 2.46283, 2.48273, 2.52539, 2.5396, 2.56176, 2.62824, 3.02524, 3.42225, 3.81926, 4.21626, 4.45388, 39.9713");
+            index_3 ("1.71091, 1.82845, 1.85883, 1.88951, 1.90395, 1.91661, 1.92927, 1.94615, 1.96303, 1.97237, 2.06276, 2.09552, 2.12911, 2.14796, 2.17641, 2.20269, 2.22131, 2.2384, 2.24694, 2.25549, 2.26395, 2.27242, 2.28089, 2.28936, 2.29775, 2.30741, 2.31422, 2.32496, 2.33244, 2.35559, 2.36876, 2.37575, 2.38678, 2.3948, 2.40683, 2.41084, 2.41885, 2.43486, 2.44287, 2.49696, 2.5397, 2.58327, 2.60505, 2.62684, 2.71397, 2.8011, 4.16566, 4.21874, 4.46577, 43.3411");
             values ( \
-              "-0.000374661, -0.000425693, -0.000559438, -0.00063397, -0.000798356, -0.000934837, -0.00102494, -0.00123459, -0.00148452, -0.00193546, -0.00396777, -0.0046431, -0.00523929, -0.00551407, -0.00587319, -0.00613373, -0.00633859, -0.00637827, -0.00639088, -0.00639866, -0.00640161, -0.00639983, -0.00639331, -0.00638204, -0.00631533, -0.00620471, -0.00604249, -0.00575291, -0.00536477, -0.00450722, -0.0025352, -0.00176231, -0.00108586, -0.000733095, -0.00051607, -0.000305221, -0.000228611, -0.00017334, -0.00014954, -0.000128373, -9.29451e-05, -8.23973e-05, -6.90203e-05, -3.08647e-05, -1.85456e-05, -9.34418e-06, -3.26053e-06, -2.94634e-07, -1.09231e-08, -3.62862e-10" \
+              "-0.000465553, -0.000504363, -0.000648838, -0.000825863, -0.000923938, -0.00104575, -0.00119398, -0.00142677, -0.00169974, -0.00188067, -0.00396855, -0.00464144, -0.00523995, -0.00551421, -0.00587443, -0.00616294, -0.00633874, -0.00637847, -0.00639108, -0.00639886, -0.0064018, -0.00639999, -0.00639344, -0.00638213, -0.00631543, -0.00613014, -0.00591011, -0.00536484, -0.00481867, -0.00253523, -0.00153801, -0.00116048, -0.000733199, -0.000516134, -0.00034963, -0.000305998, -0.000263458, -0.000195697, -0.000170477, -0.00011772, -8.18854e-05, -5.59826e-05, -4.4941e-05, -3.51726e-05, -1.8076e-05, -2.86016e-06, -9.92895e-06, -2.68691e-07, -1.03446e-08, -3.25472e-10" \
             );
           }
           vector (ccs_template) {
@@ -129677,9 +145061,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0797254");
-            index_3 ("1.68391, 2.08244, 2.137, 2.25951, 2.7922, 3.04888, 3.25136, 3.31857, 3.4406, 3.56265, 3.8229, 3.89536, 3.98801, 4.0605, 4.1639, 4.29003, 4.37073, 4.53211, 4.83197, 5.22755, 47.2107");
+            index_3 ("1.68391, 2.08244, 2.137, 2.25951, 2.7922, 3.04888, 3.25136, 3.31857, 3.4406, 3.56265, 3.8229, 3.89536, 3.98801, 4.0605, 4.1639, 4.29004, 4.37073, 4.53211, 4.83197, 5.22755, 47.2107");
             values ( \
-              "-0.000734516, -0.0152056, -0.0187543, -0.0281433, -0.0737215, -0.0939384, -0.107863, -0.111734, -0.116506, -0.110226, -0.0436833, -0.0300503, -0.0178331, -0.0115813, -0.00600015, -0.00285559, -0.0016788, -0.000516865, -9.6803e-06, -1e-22, -2.92664e-06" \
+              "-0.000734518, -0.0152056, -0.0187543, -0.0281433, -0.0737215, -0.0939384, -0.107863, -0.111734, -0.116506, -0.110226, -0.0436833, -0.0300503, -0.0178331, -0.0115813, -0.00600015, -0.00285559, -0.0016788, -0.000516865, -9.68028e-06, -1e-22, -2.92664e-06" \
             );
           }
           vector (ccs_template) {
@@ -129706,7 +145090,7 @@
             index_2 ("0.00124625");
             index_3 ("6.68943, 7.2475, 7.39633, 7.55058, 7.70699, 7.95361, 8.01132, 8.08589, 8.2102, 8.32769, 8.33681, 8.34567, 8.36338, 8.39879, 8.44335, 8.56057, 8.68332, 8.76323, 8.82028, 8.94048, 8.98352, 9.0409, 9.12103, 9.2344, 9.32194, 9.3464, 9.39532, 9.49316, 9.68883, 10.0802, 10.6564, 11.389, 12.3332, 13.2774, 14.2217, 15.1659, 16.1101, 16.6677, 16.9037, 17.2846, 47.2128");
             values ( \
-              "-9.71392e-05, -0.000153037, -0.00021266, -0.000325591, -0.000567008, -0.00139587, -0.0015397, -0.00167185, -0.00183121, -0.00187498, -0.00188665, -0.00187788, -0.00188834, -0.00187805, -0.00187962, -0.00183031, -0.00172613, -0.00158974, -0.00137219, -0.000439701, -0.000272441, -0.000191761, -0.000130916, -0.000110671, -7.81575e-05, -9.18856e-05, -6.57248e-05, -7.10801e-05, -3.44569e-05, -3.07562e-05, -1e-22, -9.92208e-06, -1e-22, -8.01133e-06, -1e-22, -7.93533e-06, -1e-22, -7.90792e-06, -6.94846e-07, -1e-22, -1.97517e-07" \
+              "-9.71392e-05, -0.000153037, -0.00021266, -0.000325591, -0.000567008, -0.00139587, -0.0015397, -0.00167185, -0.00183121, -0.00187498, -0.00188665, -0.00187788, -0.00188834, -0.00187805, -0.00187962, -0.00183031, -0.00172613, -0.00158974, -0.00137219, -0.000439701, -0.000272441, -0.000191761, -0.000130916, -0.000110671, -7.81575e-05, -9.18856e-05, -6.57248e-05, -7.10801e-05, -3.44569e-05, -3.07562e-05, -1e-22, -9.92208e-06, -1e-22, -8.01133e-06, -1e-22, -7.93533e-06, -1e-22, -7.90791e-06, -6.94846e-07, -1e-22, -1.97517e-07" \
             );
           }
           vector (ccs_template) {
@@ -129770,7 +145154,7 @@
             "0.17665, 0.227761, 0.407071, 1.09871, 3.86388, 14.9224", \
             "0.221801, 0.272867, 0.452154, 1.14454, 3.90974, 14.9705", \
             "0.357737, 0.412184, 0.592533, 1.28464, 4.04923, 15.1059", \
-            "0.611914, 0.673315, 0.855677, 1.54659, 4.31208, 15.3732", \
+            "0.611914, 0.673248, 0.855593, 1.54646, 4.31208, 15.3733", \
             "1.14781, 1.20575, 1.38585, 2.07505, 4.83979, 15.8943" \
           );
         }
@@ -129782,7 +145166,7 @@
             "0.0257377, 0.0558819, 0.177675, 0.669387, 2.63798, 10.5015", \
             "0.0257954, 0.0558854, 0.177598, 0.669862, 2.63669, 10.5016", \
             "0.0279736, 0.0566166, 0.177657, 0.669576, 2.63662, 10.503", \
-            "0.0347927, 0.0581799, 0.17764, 0.669301, 2.63782, 10.5021", \
+            "0.0347927, 0.0581733, 0.177634, 0.669274, 2.63782, 10.502", \
             "0.034474, 0.0578467, 0.177695, 0.669215, 2.63659, 10.4983" \
           );
         }
@@ -130016,27 +145400,27 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00498429");
-            index_3 ("2.68097, 2.69378, 2.69906, 2.70803, 2.72839, 2.73839, 2.74728, 2.75586, 2.76442, 2.76954, 2.77422, 2.78356, 2.79313, 2.8006, 2.80623, 2.81232, 2.82045, 2.825, 2.83038, 2.83677, 2.84568, 2.8567, 2.87138, 2.89791, 2.92911");
+            index_3 ("2.68095, 2.69374, 2.69904, 2.70796, 2.72833, 2.73832, 2.74722, 2.75579, 2.76435, 2.76948, 2.77415, 2.78347, 2.7931, 2.80062, 2.80616, 2.81216, 2.82017, 2.82493, 2.83055, 2.83686, 2.8457, 2.85647, 2.87083, 2.89731, 2.92838");
             values ( \
-              "0.0123881, 0.0156287, 0.0229964, 0.0392579, 0.082168, 0.0974729, 0.104268, 0.105237, 0.100276, 0.0933864, 0.0849111, 0.0659742, 0.0498584, 0.0392602, 0.0326108, 0.0264514, 0.0197967, 0.0167944, 0.013786, 0.0108626, 0.00774026, 0.00507914, 0.00284846, 0.000917255, 0.000230788" \
+              "0.0124239, 0.0156389, 0.0230541, 0.0392567, 0.0821975, 0.0974941, 0.104282, 0.105246, 0.100282, 0.0933734, 0.0849127, 0.0660154, 0.0497979, 0.039151, 0.0326076, 0.026534, 0.0199501, 0.0167992, 0.0136648, 0.0107973, 0.00771551, 0.00511088, 0.0029055, 0.000938142, 0.000237848" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0199343");
-            index_3 ("1.0566, 2.39511, 2.4589, 2.5795, 2.65991, 2.69884, 2.7258, 2.74125, 2.75597, 2.77342, 2.82552, 2.84743, 2.85847, 2.88055, 2.89716, 2.91226, 2.93833, 2.96614, 3.08214, 3.11283, 3.16119, 3.20665, 3.22681, 3.25776, 3.29901, 3.3217");
+            index_3 ("1.05622, 2.39412, 2.4583, 2.57915, 2.60243, 2.65961, 2.6985, 2.7254, 2.74085, 2.75559, 2.77308, 2.78712, 2.82507, 2.84711, 2.85801, 2.87981, 2.89725, 2.9118, 2.93787, 2.95447, 2.96567, 2.98809, 3.04217, 3.08043, 3.11368, 3.13692, 3.15329, 3.17248, 3.19807, 3.21873, 3.23968, 3.26761, 3.32346, 3.37402");
             values ( \
-              "1.9427e-08, 2.98972e-05, 0.000241423, 0.00131855, 0.00152182, 0.00194139, 0.00100276, 0.00212502, 0.00729049, 0.0211937, 0.0920799, 0.115444, 0.123425, 0.13414, 0.137489, 0.137885, 0.13479, 0.124974, 0.049766, 0.0356741, 0.020288, 0.0115851, 0.00900448, 0.00608612, 0.00355459, 0.00287745" \
+              "1.9427e-08, 2.89799e-05, 0.000240678, 0.0013186, 0.00146593, 0.0015245, 0.00194394, 0.00100264, 0.00213375, 0.00733352, 0.0213124, 0.0384092, 0.092109, 0.115547, 0.123443, 0.134032, 0.137533, 0.137894, 0.134776, 0.129743, 0.124971, 0.111944, 0.0734858, 0.0504255, 0.0351513, 0.0269069, 0.0221777, 0.0176034, 0.0128344, 0.00991685, 0.00762019, 0.00533106, 0.00252923, 0.00146948" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0797254");
-            index_3 ("1.12403, 2.33838, 2.43826, 2.47906, 2.53055, 2.66107, 2.71445, 2.72691, 2.74267, 2.77507, 2.84883, 2.88637, 2.93018, 2.97464, 3.03198, 3.20354, 3.28551, 3.32849, 3.41445, 3.4324, 3.46829, 3.53097, 3.63263, 3.69822, 3.73463, 3.80746, 4.04366, 4.16606, 4.26564, 4.32863, 4.43743, 4.48747, 4.58754, 4.67151, 4.7842, 4.93447, 5.14162");
+            index_3 ("1.12405, 2.34042, 2.43809, 2.47891, 2.53052, 2.66114, 2.71442, 2.72691, 2.74268, 2.77514, 2.84903, 2.88663, 2.93039, 2.97437, 3.03081, 3.20345, 3.28705, 3.32843, 3.41119, 3.43229, 3.47449, 3.53086, 3.63265, 3.69906, 3.73453, 3.78805, 4.04776, 4.16609, 4.26561, 4.32858, 4.43735, 4.48736, 4.58737, 4.6714, 4.78418, 4.93454, 5.15867");
             values ( \
-              "3.62518e-07, 2.08041e-05, 0.000221777, 0.000721871, 0.00188861, 0.00625398, 0.0109603, 0.0115145, 0.0115937, 0.0100837, 0.00517284, 0.00377243, 0.00468073, 0.0105159, 0.0258088, 0.0958789, 0.121759, 0.13138, 0.142745, 0.144177, 0.145717, 0.146423, 0.142262, 0.13644, 0.131983, 0.120625, 0.0748798, 0.054162, 0.0407964, 0.0336666, 0.0238615, 0.020296, 0.0145498, 0.0109683, 0.00747197, 0.00440489, 0.00231502" \
+              "3.62518e-07, 2.0807e-05, 0.000220394, 0.000720021, 0.0018885, 0.006261, 0.0109673, 0.0115204, 0.0115945, 0.010076, 0.00515933, 0.00376583, 0.00470003, 0.0104744, 0.0254316, 0.0958816, 0.122176, 0.131392, 0.142465, 0.144161, 0.145891, 0.146407, 0.142245, 0.136331, 0.131979, 0.123907, 0.0741127, 0.0541432, 0.0407901, 0.0336633, 0.0238616, 0.0202978, 0.014554, 0.0109693, 0.00747057, 0.00440243, 0.00214261" \
             );
           }
           vector (ccs_template) {
@@ -130052,9 +145436,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.27524");
-            index_3 ("1.44168, 2.38458, 2.47655, 2.82865, 3.50424, 4.17684, 5.38245, 6.05991, 6.35755, 6.72674, 7.21899, 8.20349, 10.7647, 11.9956, 12.7338, 14.0373, 15.0178, 15.9113, 17.4679, 17.9743, 19.1079, 20.7994, 23.6238, 25.6863, 27.876, 29.1795, 30.3865, 32.1495, 33.4529, 34.6472, 36.6301, 39.2369, 41.8438, 42.0563");
+            index_3 ("1.44256, 2.38716, 2.4776, 2.82907, 3.50142, 4.17772, 5.38644, 6.06262, 6.35768, 6.72177, 7.20722, 8.17813, 10.7656, 12.001, 12.7348, 14.0382, 15.0187, 15.9122, 17.4688, 17.9752, 19.1088, 20.8008, 23.6385, 25.7286, 27.8258, 29.1292, 30.4086, 32.1964, 33.4999, 35.614, 36.9175, 39.5243, 42.0045");
             values ( \
-              "2.54306e-06, 6.70554e-05, 0.000683296, 0.0259514, 0.0182852, 0.0127225, 0.00652735, 0.0049719, 0.00516899, 0.00657187, 0.0108007, 0.0278112, 0.0965462, 0.122822, 0.133735, 0.145331, 0.148741, 0.149082, 0.144935, 0.142385, 0.134331, 0.116465, 0.0806682, 0.0572667, 0.0380505, 0.0293396, 0.0229212, 0.0158123, 0.0119352, 0.00921797, 0.00596066, 0.0033381, 0.00186274, 0.00181586" \
+              "2.54306e-06, 6.81373e-05, 0.000685947, 0.0259543, 0.0183216, 0.0127224, 0.00651655, 0.00497105, 0.00516739, 0.00653784, 0.0106555, 0.0272206, 0.0965504, 0.122903, 0.133739, 0.145333, 0.148742, 0.149084, 0.144936, 0.142385, 0.13433, 0.116459, 0.0804984, 0.0568472, 0.0384326, 0.0296448, 0.0228215, 0.015659, 0.011817, 0.00744468, 0.00558707, 0.00312737, 0.00181207" \
             );
           }
           vector (ccs_template) {
@@ -130106,9 +145490,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("1.27524");
-            index_3 ("4.36856, 8.78681, 9.08765, 9.26372, 9.39487, 9.57943, 10.1517, 10.7822, 11.2899, 12.0057, 12.6807, 13.0447, 13.4129, 13.8682, 14.7623, 18.1469, 19.4503, 20.0907, 20.6241, 21.1181, 21.9075, 22.6661, 23.9696, 24.2233, 24.7308, 25.8637, 26.571, 27.5141, 30.7301, 31.7171, 33.0206, 34.5654, 35.7571, 37.2006, 37.9891, 39.0324, 40.3358, 42.3734, 43.6768, 46.2837, 48.8905, 51.4974, 55.4077");
+            index_3 ("4.36856, 8.78681, 9.08765, 9.26372, 9.39487, 9.57943, 10.1517, 10.7822, 11.2899, 12.0057, 12.6807, 13.0447, 13.4129, 13.8682, 14.7623, 18.1469, 19.4503, 20.0907, 20.6241, 21.1181, 21.9075, 22.6661, 23.9696, 24.2233, 24.7308, 25.8637, 26.571, 27.5141, 30.7301, 31.7171, 33.0206, 34.5654, 35.7572, 37.2006, 37.9891, 39.0324, 40.3358, 42.3734, 43.6768, 46.2837, 48.8905, 51.4974, 55.4077");
             values ( \
-              "3.72224e-07, 2.03524e-05, 0.000439997, 0.00235787, 0.00610782, 0.0258544, 0.0194761, 0.0138932, 0.0105179, 0.007006, 0.00509308, 0.00503653, 0.00615581, 0.00956718, 0.0234126, 0.11109, 0.133297, 0.140158, 0.144197, 0.146747, 0.148867, 0.149009, 0.145954, 0.144899, 0.14236, 0.134322, 0.127564, 0.116948, 0.0763879, 0.0649667, 0.0515909, 0.0385435, 0.0304272, 0.0226475, 0.0192069, 0.0153904, 0.0116192, 0.00744435, 0.00557911, 0.00312097, 0.00173935, 0.000967233, 0.000401626" \
+              "3.72224e-07, 2.03524e-05, 0.000439997, 0.00235787, 0.00610782, 0.0258544, 0.0194761, 0.0138932, 0.0105179, 0.007006, 0.00509308, 0.00503653, 0.00615581, 0.00956718, 0.0234126, 0.111089, 0.133297, 0.140158, 0.144197, 0.146747, 0.148867, 0.149009, 0.145954, 0.144899, 0.14236, 0.134322, 0.127564, 0.116948, 0.0763879, 0.0649667, 0.0515909, 0.0385435, 0.0304271, 0.0226475, 0.0192069, 0.0153904, 0.0116192, 0.00744435, 0.00557911, 0.00312097, 0.00173935, 0.000967233, 0.000401626" \
             );
           }
         }
@@ -130144,7 +145528,7 @@
             "0.00434021, 0.00434037, 0.00434075, 0.00434103, 0.00434114, 0.00434116", \
             "0.00456663, 0.00456653, 0.0045664, 0.00456637, 0.00456638, 0.00456639", \
             "0.00470103, 0.00470099, 0.00470089, 0.00470077, 0.00470072, 0.00470071", \
-            "0.00479185, 0.00479184, 0.0047918, 0.00479173, 0.0047929, 0.00479286", \
+            "0.00479185, 0.00479308, 0.00479303, 0.00479297, 0.0047929, 0.00479164", \
             "0.00487526, 0.00487525, 0.00487524, 0.0048752, 0.00487517, 0.00487514" \
           );
         }
@@ -130156,7 +145540,7 @@
             "0.00484881, 0.00484783, 0.00484688, 0.00484655, 0.00484649, 0.00484647", \
             "0.00530682, 0.00530575, 0.00530369, 0.00530205, 0.00530143, 0.00530126", \
             "0.00562554, 0.00567384, 0.00572061, 0.00574103, 0.00574703, 0.00574867", \
-            "0.00493874, 0.00493889, 0.0049392, 0.00495475, 0.00507932, 0.00512128", \
+            "0.00493874, 0.00493682, 0.00493707, 0.00495263, 0.00507932, 0.00512259", \
             "0.00481672, 0.00481677, 0.00481691, 0.00481611, 0.00481732, 0.00493707" \
           );
         }
@@ -130175,7 +145559,7 @@
             "0.176929, 0.228292, 0.408338, 1.09981, 3.86544, 14.9218", \
             "0.222218, 0.27339, 0.453513, 1.14512, 3.9113, 14.9711", \
             "0.357506, 0.412966, 0.594499, 1.28639, 4.05098, 15.108", \
-            "0.612361, 0.681289, 0.865963, 1.55565, 4.32049, 15.3736", \
+            "0.612361, 0.681289, 0.865704, 1.55565, 4.32049, 15.3736", \
             "1.17704, 1.26031, 1.44271, 2.12625, 4.88633, 15.9386" \
           );
         }
@@ -130187,7 +145571,7 @@
             "0.0258035, 0.0559771, 0.177689, 0.66941, 2.63673, 10.5028", \
             "0.0257548, 0.056, 0.177675, 0.669408, 2.63669, 10.5024", \
             "0.0279659, 0.0569353, 0.177692, 0.669639, 2.63684, 10.5048", \
-            "0.0359216, 0.0605461, 0.177673, 0.669276, 2.6379, 10.5058", \
+            "0.0359216, 0.0605461, 0.177675, 0.669276, 2.6379, 10.5058", \
             "0.0497073, 0.0652473, 0.177768, 0.669451, 2.63724, 10.5049" \
           );
         }
@@ -130205,9 +145589,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.00498429");
-            index_3 ("0.162021, 0.174531, 0.189669, 0.200607, 0.209633, 0.216761, 0.220513, 0.226244, 0.230618, 0.234774, 0.265891, 0.278416, 0.294997, 0.305387, 0.314904, 0.332828, 0.348418, 0.355476");
+            index_3 ("0.162021, 0.174531, 0.189669, 0.200607, 0.209633, 0.216761, 0.220513, 0.226244, 0.230618, 0.234774, 0.265891, 0.278416, 0.294997, 0.305388, 0.314904, 0.332828, 0.348418, 0.355475");
             values ( \
-              "0.00367509, 0.0260952, 0.0693828, 0.0935721, 0.104978, 0.108811, 0.109269, 0.108114, 0.105628, 0.101468, 0.045938, 0.0301943, 0.0166952, 0.0113249, 0.00803047, 0.00391199, 0.00223528, 0.00181182" \
+              "0.00367509, 0.0260952, 0.0693828, 0.0935721, 0.104978, 0.108811, 0.109269, 0.108114, 0.105628, 0.101468, 0.0459376, 0.0301944, 0.0166952, 0.0113249, 0.00803045, 0.00391202, 0.00223531, 0.00181186" \
             );
           }
           vector (ccs_template) {
@@ -130295,7 +145679,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("1.27524");
-            index_3 ("0.0606431, 0.288546, 1.4363, 2.42112, 3.27259, 3.6411, 4.01229, 4.5072, 5.35589, 6.66064, 8.24758, 9.48429, 10.2173, 11.5221, 11.8481, 12.5002, 13.3955, 14.7002, 14.9522, 15.4563, 16.4643, 17.3583, 18.2594, 21.6095, 23.9249, 24.9091, 26.2139, 28.0588, 29.1486, 30.4534, 31.4659, 33.5069, 34.8117, 36.1164, 38.7259, 41.3354, 45.2497");
+            index_3 ("0.060643, 0.288545, 1.4363, 2.42112, 3.27259, 3.6411, 4.01229, 4.5072, 5.35589, 6.66064, 8.24758, 9.48429, 10.2173, 11.5221, 11.8481, 12.5002, 13.3955, 14.7002, 14.9522, 15.4563, 16.4643, 17.3583, 18.2594, 21.6095, 23.9249, 24.9091, 26.2139, 28.0588, 29.1486, 30.4534, 31.4659, 33.5069, 34.8117, 36.1164, 38.7259, 41.3354, 45.2497");
             values ( \
               "0.00019888, 0.0262648, 0.0144826, 0.00839172, 0.0053192, 0.00494532, 0.00561236, 0.00870813, 0.020754, 0.0525261, 0.0964327, 0.12282, 0.133685, 0.145291, 0.146867, 0.148705, 0.14908, 0.14596, 0.144941, 0.142382, 0.135436, 0.126941, 0.116767, 0.0745634, 0.0499339, 0.0414943, 0.032132, 0.0220161, 0.0175315, 0.0132296, 0.0106536, 0.0067921, 0.00511307, 0.00379823, 0.00211161, 0.0011681, 0.000504241" \
             );
@@ -130360,7 +145744,7 @@
             index_2 ("0.00124625");
             index_3 ("0.842873, 0.849018, 0.862099, 0.868904, 0.870413, 0.87382, 0.877059, 0.877971, 0.879797, 0.882084, 0.886215, 0.891083, 0.897061, 0.902598, 0.904904, 0.911027, 0.913972, 0.917555, 0.922679, 0.928507, 0.942154, 0.945795");
             values ( \
-              "0.00503922, 0.0115118, 0.0365206, 0.0476955, 0.0496412, 0.0530058, 0.054712, 0.0549085, 0.0548533, 0.0538027, 0.0474798, 0.0341173, 0.0225499, 0.0153844, 0.0130827, 0.0084329, 0.0068168, 0.00533085, 0.00359694, 0.00235307, 0.000846763, 0.000721205" \
+              "0.00503922, 0.0115118, 0.0365206, 0.0476955, 0.0496412, 0.0530058, 0.054712, 0.0549085, 0.0548533, 0.0538027, 0.0474798, 0.0341173, 0.0225499, 0.0153844, 0.0130827, 0.0084329, 0.0068168, 0.00533085, 0.00359694, 0.00235307, 0.000846762, 0.000721205" \
             );
           }
           vector (ccs_template) {
@@ -130430,9 +145814,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0199343");
-            index_3 ("1.11598, 2.39288, 2.61811, 2.73747, 2.75055, 2.76627, 2.78086, 2.83583, 2.86879, 2.89076, 2.90775, 2.92261, 2.94868, 2.97649, 3.09276, 3.1229, 3.17177, 3.21875, 3.26574, 3.30371, 3.32597");
+            index_3 ("1.11565, 2.39159, 2.43622, 2.46165, 2.55534, 2.59619, 2.62365, 2.65697, 2.68718, 2.70994, 2.72784, 2.7415, 2.75598, 2.77173, 2.7818, 2.79275, 2.83523, 2.85713, 2.8682, 2.89033, 2.89583, 2.90683, 2.92202, 2.94886, 2.95596, 2.96408, 2.9759, 2.99953, 3.04261, 3.05285, 3.06651, 3.09383, 3.10657, 3.12055, 3.13679, 3.15794, 3.17561, 3.19583, 3.22446, 3.23708, 3.25407, 3.27671, 3.32201, 3.40573, 3.50467");
             values ( \
-              "1e-22, 2.76439e-05, 0.00150173, 0.00107254, 0.00239769, 0.00787597, 0.0187492, 0.092085, 0.123435, 0.133926, 0.137383, 0.137937, 0.13469, 0.124962, 0.0496206, 0.0357859, 0.0202325, 0.0113293, 0.0062699, 0.00383332, 0.00309634" \
+              "1e-22, 2.82341e-05, 0.000118494, 0.000246693, 0.0010946, 0.00139735, 0.00150936, 0.00138684, 0.00121729, 0.00128197, 0.00106352, 0.00129615, 0.00388848, 0.0116025, 0.0203117, 0.0329112, 0.092189, 0.115082, 0.123541, 0.133882, 0.135528, 0.137263, 0.138023, 0.134503, 0.132618, 0.129966, 0.124959, 0.111145, 0.0801109, 0.0731687, 0.0644123, 0.0487536, 0.0425732, 0.036494, 0.0303654, 0.0236816, 0.0191741, 0.0149915, 0.0104724, 0.00894529, 0.00722261, 0.00541519, 0.00298395, 0.000897653, 0.000195476" \
             );
           }
           vector (ccs_template) {
@@ -130504,7 +145888,7 @@
             index_2 ("0.318855");
             index_3 ("3.99092, 8.83432, 9.05961, 9.14703, 9.24598, 9.34585, 9.45907, 9.5056, 9.57042, 9.60457, 9.62514, 9.65021, 9.89505, 10.0386, 10.2302, 10.3852, 10.448, 10.5735, 10.8246, 11.0722, 11.537, 11.8514, 12.0313, 12.3913, 12.5407, 12.7399, 12.8295, 13.0086, 13.2204, 13.6142, 13.8853, 14.0937, 14.7991, 15.3165, 15.5527, 15.8016, 16.1335, 16.381, 16.6665, 17.0378, 17.204, 17.4644, 17.9852, 19.0149, 19.569");
             values ( \
-              "7.32087e-08, 1.49671e-05, 0.00025859, 0.000716383, 0.00170223, 0.00328828, 0.00574327, 0.00868045, 0.0187471, 0.0203395, 0.0202646, 0.019704, 0.0118589, 0.00856915, 0.00550929, 0.00464704, 0.00507031, 0.00781441, 0.0219107, 0.0455003, 0.0962409, 0.122671, 0.133186, 0.145454, 0.147631, 0.14869, 0.148499, 0.147298, 0.144338, 0.134517, 0.123902, 0.114217, 0.0786731, 0.0556841, 0.0468868, 0.0388247, 0.0298769, 0.024443, 0.019297, 0.0142498, 0.0123637, 0.00987341, 0.00622969, 0.00234323, 0.00158865" \
+              "7.32087e-08, 1.49671e-05, 0.00025859, 0.000716383, 0.00170223, 0.00328828, 0.00574327, 0.00868045, 0.0187471, 0.0203395, 0.0202646, 0.019704, 0.0118589, 0.00856915, 0.00550929, 0.00464704, 0.00507031, 0.00781441, 0.0219107, 0.0455003, 0.0962409, 0.122671, 0.133186, 0.145454, 0.147631, 0.14869, 0.148499, 0.147298, 0.144338, 0.134517, 0.123902, 0.114217, 0.0786731, 0.0556841, 0.0468868, 0.0388247, 0.029877, 0.024443, 0.019297, 0.0142498, 0.0123637, 0.00987341, 0.00622969, 0.00234323, 0.00158865" \
             );
           }
           vector (ccs_template) {
@@ -130549,7 +145933,7 @@
             "0.00399419, 0.00399436, 0.00399476, 0.00399506, 0.00399516, 0.00399519", \
             "0.00418185, 0.00418175, 0.00418161, 0.00418158, 0.0041816, 0.0041816", \
             "0.00427576, 0.00427572, 0.00427563, 0.00427551, 0.00427546, 0.00427545", \
-            "0.00431656, 0.00431655, 0.0043165, 0.0043168, 0.00431674, 0.00431672", \
+            "0.00431656, 0.00431655, 0.00431692, 0.0043168, 0.00431674, 0.00431672", \
             "0.00434573, 0.00434573, 0.00434572, 0.00434569, 0.00434565, 0.00434563" \
           );
         }
@@ -130561,7 +145945,7 @@
             "0.00468435, 0.00468337, 0.00468238, 0.00468203, 0.00468195, 0.00468193", \
             "0.00493077, 0.00492968, 0.0049276, 0.00492593, 0.0049253, 0.00492512", \
             "0.00487117, 0.00490388, 0.0049358, 0.00494911, 0.00495295, 0.004954", \
-            "0.00399953, 0.00399933, 0.00399874, 0.00401522, 0.00412306, 0.00416681", \
+            "0.00399953, 0.00399933, 0.00399813, 0.00401522, 0.00412306, 0.00416681", \
             "0.00393708, 0.00393208, 0.0039321, 0.00393182, 0.00393367, 0.00405231" \
           );
         }
@@ -130580,7 +145964,7 @@
             "0.176823, 0.228155, 0.408052, 1.09982, 3.86606, 14.927", \
             "0.221972, 0.273252, 0.453416, 1.14531, 3.90917, 14.9626", \
             "0.357826, 0.413284, 0.594945, 1.28689, 4.05232, 15.1135", \
-            "0.611873, 0.680791, 0.866124, 1.55561, 4.32056, 15.38", \
+            "0.611873, 0.680791, 0.865975, 1.55575, 4.32056, 15.377", \
             "1.17748, 1.26062, 1.44384, 2.12695, 4.88811, 15.9346" \
           );
         }
@@ -130592,7 +145976,7 @@
             "0.0257323, 0.056, 0.177656, 0.669384, 2.6366, 10.5015", \
             "0.0257588, 0.056007, 0.17768, 0.6696, 2.63686, 10.5016", \
             "0.0279858, 0.0569687, 0.177684, 0.669586, 2.63659, 10.5071", \
-            "0.0359343, 0.0606737, 0.177708, 0.669453, 2.6378, 10.5031", \
+            "0.0359343, 0.0606737, 0.177696, 0.669549, 2.6378, 10.5039", \
             "0.0497564, 0.0654585, 0.177799, 0.669443, 2.63659, 10.504" \
           );
         }
@@ -130675,7 +146059,7 @@
             index_2 ("0.0199343");
             index_3 ("0.0524978, 0.0650354, 0.0662192, 0.0704541, 0.0911206, 0.103819, 0.136115, 0.151, 0.163226, 0.176439, 0.225608, 0.235164, 0.245471, 0.259547, 0.275752, 0.291843, 0.317191, 0.327611, 0.34845, 0.360573, 0.38482, 0.395018, 0.408615, 0.414397, 0.425961, 0.440651, 0.467079, 0.484637, 0.546794, 0.580696, 0.598794, 0.61806, 0.643747, 0.660794, 0.670347, 0.689453, 0.717628, 0.729492, 0.753218, 0.800671, 0.882669, 0.979939");
             values ( \
-              "0.000284571, 0.000427447, 0.000791283, 0.000481578, 0.000316317, 0.000712804, 0.00480627, 0.00560706, 0.00559953, 0.00489276, 2.85724e-05, 1e-22, 0.00104779, 0.00659491, 0.0201575, 0.0403705, 0.0779826, 0.091824, 0.114328, 0.123434, 0.134764, 0.13692, 0.138006, 0.138041, 0.137092, 0.134603, 0.125579, 0.115804, 0.0721435, 0.0516906, 0.0426646, 0.0344607, 0.0255903, 0.0209087, 0.0186363, 0.0147563, 0.0103758, 0.00894539, 0.00661768, 0.00355206, 0.00109887, 0.000253521" \
+              "0.000284571, 0.000427447, 0.000791283, 0.000481578, 0.000316317, 0.000712804, 0.00480627, 0.00560706, 0.00559953, 0.00489276, 2.85724e-05, 1e-22, 0.00104779, 0.00659491, 0.0201575, 0.0403705, 0.0779826, 0.091824, 0.114328, 0.123434, 0.134764, 0.13692, 0.138006, 0.138041, 0.137092, 0.134603, 0.125579, 0.115804, 0.0721435, 0.0516906, 0.0426646, 0.0344607, 0.0255903, 0.0209087, 0.0186363, 0.0147563, 0.0103758, 0.00894539, 0.00661768, 0.00355207, 0.00109887, 0.000253521" \
             );
           }
           vector (ccs_template) {
@@ -130745,16 +146129,16 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.318855");
-            index_3 ("0.147623, 0.219778, 0.23991, 0.318466, 0.34523, 0.386553, 0.400419, 0.413549, 0.428065, 0.584459, 0.667551, 0.785349, 0.916096, 1.13122, 1.22506, 1.30698, 1.41621, 1.60579, 1.96754, 2.35563, 2.67471, 2.85018, 3.20111, 3.25994, 3.37758, 3.61288, 3.71922, 3.86101, 4.03935, 4.39604, 4.45157, 4.56263, 4.78475, 4.91268, 5.57874, 5.75787, 6.1142, 6.37019, 6.65019, 7.02352, 7.27058, 7.40889, 7.68551, 8.09534, 8.26781, 8.61276, 9.30266, 10.4648, 11.7697");
+            index_3 ("0.147623, 0.219778, 0.23991, 0.318466, 0.34523, 0.386553, 0.400419, 0.413549, 0.428064, 0.584459, 0.667551, 0.785349, 0.916096, 1.13122, 1.22506, 1.30698, 1.41621, 1.60579, 1.96754, 2.35563, 2.67471, 2.85018, 3.20111, 3.25994, 3.37758, 3.61288, 3.71922, 3.86101, 4.03935, 4.39604, 4.45157, 4.56262, 4.78472, 4.91268, 5.57874, 5.75787, 6.1142, 6.37019, 6.65019, 7.02352, 7.27058, 7.4089, 7.68553, 8.09534, 8.26781, 8.61275, 9.30263, 10.4647, 11.7697");
             values ( \
-              "5.12391e-05, 0.000216586, 0.000641702, 0.0184736, 0.0205758, 0.0217098, 0.0217614, 0.0216315, 0.0212741, 0.0155741, 0.0129849, 0.0100028, 0.00737712, 0.00472384, 0.00464962, 0.00557545, 0.00858109, 0.0189591, 0.0535904, 0.096077, 0.122931, 0.133098, 0.145255, 0.146292, 0.147798, 0.148605, 0.148153, 0.146916, 0.144334, 0.135694, 0.133822, 0.129759, 0.120256, 0.114201, 0.0805751, 0.0719959, 0.0565161, 0.0469278, 0.0379368, 0.0281762, 0.0230412, 0.0205409, 0.0162827, 0.0114379, 0.00986296, 0.00728915, 0.00391114, 0.00124832, 0.000336439" \
+              "5.12391e-05, 0.000216586, 0.000641702, 0.0184736, 0.0205758, 0.0217098, 0.0217614, 0.0216315, 0.0212741, 0.0155741, 0.0129849, 0.0100028, 0.00737712, 0.00472384, 0.00464962, 0.00557545, 0.00858109, 0.0189591, 0.0535904, 0.096077, 0.122931, 0.133098, 0.145255, 0.146292, 0.147798, 0.148605, 0.148153, 0.146916, 0.144334, 0.135694, 0.133822, 0.129759, 0.120258, 0.114201, 0.0805751, 0.0719959, 0.056516, 0.0469278, 0.0379369, 0.0281764, 0.0230411, 0.0205408, 0.0162825, 0.0114379, 0.00986296, 0.00728923, 0.00391127, 0.00124837, 0.000336453" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.27524");
-            index_3 ("0.155832, 0.245784, 0.32391, 0.433799, 1.18523, 1.94739, 2.49093, 3.33975, 3.75806, 4.24184, 4.88689, 5.99833, 8.07855, 9.0345, 10.3395, 10.7336, 11.1185, 11.8432, 12.2935, 12.8938, 13.5433, 14.8422, 15.6098, 16.7389, 18.0439, 21.2341, 23.2223, 24.4037, 25.7856, 27.0906, 28.3297, 30.0801, 31.3851, 32.7784, 34.0833, 35.3883, 37.9982, 39.3032, 41.9131, 45.828");
+            index_3 ("0.155832, 0.245785, 0.32391, 0.433799, 1.18523, 1.94739, 2.49093, 3.33975, 3.75806, 4.24184, 4.88689, 5.99833, 8.07855, 9.0345, 10.3395, 10.7336, 11.1185, 11.8432, 12.2935, 12.8938, 13.5433, 14.8422, 15.6098, 16.7389, 18.0439, 21.2341, 23.2223, 24.4037, 25.7856, 27.0906, 28.3297, 30.0801, 31.3851, 32.7784, 34.0833, 35.3883, 37.9982, 39.3032, 41.9131, 45.828");
             values ( \
               "5.13807e-05, 0.000648226, 0.0197717, 0.0262365, 0.0179628, 0.0118996, 0.00876423, 0.00552789, 0.00492611, 0.00590942, 0.0111189, 0.0313866, 0.088188, 0.111312, 0.133426, 0.137896, 0.141444, 0.146067, 0.147787, 0.148978, 0.148969, 0.145959, 0.142338, 0.134312, 0.121063, 0.0809387, 0.0582477, 0.0469795, 0.0360274, 0.0277465, 0.0215025, 0.0148543, 0.0111789, 0.00824957, 0.00621122, 0.00462466, 0.00257565, 0.00194688, 0.00108887, 0.000430477" \
             );
@@ -130835,18 +146219,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0199343");
-            index_3 ("1.07081, 2.40291, 2.45302, 2.61592, 2.71578, 2.73059, 2.74237, 2.75794, 2.77297, 2.7826, 2.83578, 2.86874, 2.89639, 2.90535, 2.92257, 2.94937, 2.97645, 3.00295, 3.04653, 3.08136, 3.10734, 3.13461, 3.17829, 3.20607, 3.22799, 3.25217, 3.28442, 3.34892, 3.36475");
+            index_3 ("1.07081, 2.40367, 2.45451, 2.61705, 2.71641, 2.73101, 2.74282, 2.75833, 2.77326, 2.78264, 2.83571, 2.86865, 2.89631, 2.90432, 2.92036, 2.92675, 2.93527, 2.94876, 2.97636, 2.99252, 3.05456, 3.08163, 3.10724, 3.13436, 3.15728, 3.17832, 3.20636, 3.22801, 3.25195, 3.28386, 3.34768, 3.37506");
             values ( \
-              "9.05354e-09, 3.79525e-05, 0.00019537, 0.00148927, 0.00124051, 0.00102892, 0.00134783, 0.00434108, 0.0121222, 0.0205769, 0.0922263, 0.123565, 0.135575, 0.137001, 0.138069, 0.134526, 0.124971, 0.109221, 0.077875, 0.0558847, 0.0425182, 0.0313369, 0.018702, 0.0132779, 0.0101029, 0.00745262, 0.00492162, 0.00205159, 0.00178344" \
+              "9.05353e-09, 3.84299e-05, 0.000203632, 0.00149443, 0.00123681, 0.00102371, 0.00139124, 0.0045017, 0.012401, 0.0207008, 0.0922568, 0.123573, 0.135595, 0.136869, 0.138115, 0.137829, 0.136863, 0.134599, 0.125001, 0.115943, 0.0723807, 0.0556785, 0.0425214, 0.0313961, 0.0240119, 0.0186687, 0.0132155, 0.0100831, 0.00746076, 0.0049547, 0.00208193, 0.00161291" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0797254");
-            index_3 ("1.13934, 2.42662, 2.47557, 2.51367, 2.67737, 2.73657, 2.75032, 2.78198, 2.88101, 2.92292, 2.97206, 3.02453, 3.07088, 3.21205, 3.2589, 3.33689, 3.44099, 3.53971, 3.6384, 3.70831, 3.74317, 3.85998, 4.05639, 4.16564, 4.23389, 4.33605, 4.42011, 4.49585, 4.59684, 4.67987, 4.77109, 4.89272, 5.13596, 5.20879");
+            index_3 ("1.13871, 2.34156, 2.42728, 2.47638, 2.51374, 2.61544, 2.67782, 2.73617, 2.74996, 2.76658, 2.7818, 2.8494, 2.88153, 2.9239, 2.96603, 2.98259, 3.03371, 3.08863, 3.21151, 3.28237, 3.33627, 3.44036, 3.5392, 3.63965, 3.70734, 3.74268, 3.79618, 3.8595, 4.02754, 4.16501, 4.23341, 4.33557, 4.41963, 4.49537, 4.59635, 4.67939, 4.77062, 4.89225, 5.13552, 5.23081");
             values ( \
-              "3.77743e-07, 0.000152374, 0.000652883, 0.0014761, 0.0063713, 0.0106421, 0.0110085, 0.0100707, 0.00421439, 0.00395789, 0.00858526, 0.0207036, 0.0369045, 0.0958826, 0.112068, 0.131356, 0.144368, 0.146562, 0.142614, 0.136335, 0.132034, 0.112493, 0.0741092, 0.0555257, 0.0458617, 0.0337198, 0.0258786, 0.0202659, 0.0144815, 0.0109518, 0.00803298, 0.00526036, 0.00215246, 0.00180303" \
+              "3.77743e-07, 2.09312e-05, 0.000159574, 0.00067809, 0.00149648, 0.00474635, 0.00641589, 0.0106559, 0.0110126, 0.0107281, 0.0100444, 0.00570803, 0.00417297, 0.00401425, 0.00777474, 0.0105389, 0.0237882, 0.0443321, 0.0959258, 0.11889, 0.131349, 0.144215, 0.146638, 0.142444, 0.136375, 0.132036, 0.123929, 0.112498, 0.0793997, 0.0555437, 0.0458618, 0.0337193, 0.0258785, 0.0202661, 0.0144821, 0.010952, 0.00803288, 0.00526011, 0.00215217, 0.00169499" \
             );
           }
           vector (ccs_template) {
@@ -130862,9 +146246,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.27524");
-            index_3 ("1.43453, 2.38051, 2.48223, 2.82485, 3.99137, 5.05177, 5.74483, 6.17501, 6.53472, 7.01435, 7.9736, 8.9239, 10.7643, 12.0314, 12.7345, 14.0395, 14.3649, 15.0158, 15.9121, 17.217, 17.4688, 17.9722, 19.1085, 20.9471, 24.0021, 26.3272, 27.6679, 28.5807, 29.7596, 30.7029, 31.8894, 33.1943, 34.7617, 37.0025, 39.6124, 42.2223, 42.8399");
+            index_3 ("1.43258, 2.38054, 2.47884, 2.82227, 3.97683, 5.07729, 5.79076, 6.1874, 6.50779, 6.93498, 7.78936, 9.09433, 10.759, 12.0215, 12.7289, 14.0338, 15.0114, 15.907, 17.212, 17.4638, 17.9674, 19.1036, 20.9422, 23.9973, 26.3223, 28.5758, 30.6981, 31.8845, 33.1895, 34.7568, 36.9976, 39.6075, 42.0721");
             values ( \
-              "2.58367e-06, 6.7995e-05, 0.000898927, 0.0258685, 0.0141297, 0.00786441, 0.0054431, 0.00496367, 0.00565596, 0.00867332, 0.0228145, 0.045774, 0.0964995, 0.123411, 0.133728, 0.145332, 0.146882, 0.148731, 0.149083, 0.145975, 0.144937, 0.142399, 0.13433, 0.114687, 0.0760689, 0.0510126, 0.0396385, 0.0331174, 0.0260959, 0.0214769, 0.0167055, 0.0126342, 0.00898136, 0.00547919, 0.0030597, 0.00170104, 0.00157789" \
+              "2.58367e-06, 6.94285e-05, 0.000865217, 0.0258673, 0.0142259, 0.00774375, 0.00533699, 0.00496887, 0.00556748, 0.00800975, 0.0192926, 0.0504016, 0.0964095, 0.123287, 0.133666, 0.145316, 0.148727, 0.149059, 0.145982, 0.14492, 0.142406, 0.13434, 0.114675, 0.0760797, 0.0510233, 0.0331282, 0.0214663, 0.0167158, 0.0126237, 0.00899167, 0.0054895, 0.00306998, 0.00178693" \
             );
           }
           vector (ccs_template) {
@@ -130954,7 +146338,7 @@
             "0.00434453, 0.0043447, 0.0043451, 0.00434539, 0.0043455, 0.00434553", \
             "0.00456664, 0.00456654, 0.0045664, 0.00456637, 0.00456638, 0.00456639", \
             "0.00469865, 0.0046986, 0.0046985, 0.00469839, 0.00469834, 0.00469832", \
-            "0.00479095, 0.00479225, 0.00479089, 0.00479082, 0.00479076, 0.00479203", \
+            "0.00479095, 0.00479225, 0.0047922, 0.00479213, 0.00479076, 0.00479074", \
             "0.00487428, 0.00487427, 0.00487426, 0.00487422, 0.00487418, 0.00487416" \
           );
         }
@@ -130966,7 +146350,7 @@
             "0.00484545, 0.00484447, 0.00484347, 0.0048431, 0.00484302, 0.004843", \
             "0.00529521, 0.00529411, 0.005292, 0.00529032, 0.00528968, 0.0052895", \
             "0.00608399, 0.00611663, 0.00614815, 0.00616145, 0.00616527, 0.00616632", \
-            "0.00569114, 0.00568879, 0.00569032, 0.005707, 0.00583179, 0.0058713", \
+            "0.00569114, 0.00568879, 0.00568814, 0.00570395, 0.00583179, 0.00587288", \
             "0.00554585, 0.00553472, 0.00553589, 0.00553585, 0.00553687, 0.00565358" \
           );
         }
@@ -130983,7 +146367,7 @@
             "0.176929, 0.228292, 0.408338, 1.09981, 3.86544, 14.9218", \
             "0.222218, 0.27339, 0.453513, 1.14512, 3.9113, 14.9711", \
             "0.357506, 0.412966, 0.594499, 1.28639, 4.05098, 15.108", \
-            "0.612361, 0.681289, 0.865963, 1.55565, 4.32049, 15.3736", \
+            "0.612361, 0.681289, 0.865704, 1.55565, 4.32049, 15.3736", \
             "1.17704, 1.26031, 1.44271, 2.12625, 4.88633, 15.9386" \
           );
         }
@@ -130995,7 +146379,7 @@
             "0.0258035, 0.0559771, 0.177689, 0.66941, 2.63673, 10.5028", \
             "0.0257548, 0.056, 0.177675, 0.669408, 2.63669, 10.5024", \
             "0.0279659, 0.0569353, 0.177692, 0.669639, 2.63684, 10.5048", \
-            "0.0359216, 0.0605461, 0.177673, 0.669276, 2.6379, 10.5058", \
+            "0.0359216, 0.0605461, 0.177675, 0.669276, 2.6379, 10.5058", \
             "0.0497073, 0.0652473, 0.177768, 0.669451, 2.63724, 10.5049" \
           );
         }
@@ -131013,9 +146397,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.00498429");
-            index_3 ("0.162021, 0.174531, 0.189669, 0.200607, 0.209633, 0.216761, 0.220513, 0.226244, 0.230618, 0.234774, 0.265891, 0.278416, 0.294997, 0.305387, 0.314904, 0.332828, 0.348418, 0.355476");
+            index_3 ("0.162021, 0.174531, 0.189669, 0.200607, 0.209633, 0.216761, 0.220513, 0.226244, 0.230618, 0.234774, 0.265891, 0.278416, 0.294997, 0.305388, 0.314904, 0.332828, 0.348418, 0.355475");
             values ( \
-              "0.00367509, 0.0260952, 0.0693828, 0.0935721, 0.104978, 0.108811, 0.109269, 0.108114, 0.105628, 0.101468, 0.045938, 0.0301943, 0.0166952, 0.0113249, 0.00803047, 0.00391199, 0.00223528, 0.00181182" \
+              "0.00367509, 0.0260952, 0.0693828, 0.0935721, 0.104978, 0.108811, 0.109269, 0.108114, 0.105628, 0.101468, 0.0459376, 0.0301944, 0.0166952, 0.0113249, 0.00803045, 0.00391202, 0.00223531, 0.00181186" \
             );
           }
           vector (ccs_template) {
@@ -131103,7 +146487,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("1.27524");
-            index_3 ("0.0606431, 0.288546, 1.4363, 2.42112, 3.27259, 3.6411, 4.01229, 4.5072, 5.35589, 6.66064, 8.24758, 9.48429, 10.2173, 11.5221, 11.8481, 12.5002, 13.3955, 14.7002, 14.9522, 15.4563, 16.4643, 17.3583, 18.2594, 21.6095, 23.9249, 24.9091, 26.2139, 28.0588, 29.1486, 30.4534, 31.4659, 33.5069, 34.8117, 36.1164, 38.7259, 41.3354, 45.2497");
+            index_3 ("0.060643, 0.288545, 1.4363, 2.42112, 3.27259, 3.6411, 4.01229, 4.5072, 5.35589, 6.66064, 8.24758, 9.48429, 10.2173, 11.5221, 11.8481, 12.5002, 13.3955, 14.7002, 14.9522, 15.4563, 16.4643, 17.3583, 18.2594, 21.6095, 23.9249, 24.9091, 26.2139, 28.0588, 29.1486, 30.4534, 31.4659, 33.5069, 34.8117, 36.1164, 38.7259, 41.3354, 45.2497");
             values ( \
               "0.00019888, 0.0262648, 0.0144826, 0.00839172, 0.0053192, 0.00494532, 0.00561236, 0.00870813, 0.020754, 0.0525261, 0.0964327, 0.12282, 0.133685, 0.145291, 0.146867, 0.148705, 0.14908, 0.14596, 0.144941, 0.142382, 0.135436, 0.126941, 0.116767, 0.0745634, 0.0499339, 0.0414943, 0.032132, 0.0220161, 0.0175315, 0.0132296, 0.0106536, 0.0067921, 0.00511307, 0.00379823, 0.00211161, 0.0011681, 0.000504241" \
             );
@@ -131168,7 +146552,7 @@
             index_2 ("0.00124625");
             index_3 ("0.842873, 0.849018, 0.862099, 0.868904, 0.870413, 0.87382, 0.877059, 0.877971, 0.879797, 0.882084, 0.886215, 0.891083, 0.897061, 0.902598, 0.904904, 0.911027, 0.913972, 0.917555, 0.922679, 0.928507, 0.942154, 0.945795");
             values ( \
-              "0.00503922, 0.0115118, 0.0365206, 0.0476955, 0.0496412, 0.0530058, 0.054712, 0.0549085, 0.0548533, 0.0538027, 0.0474798, 0.0341173, 0.0225499, 0.0153844, 0.0130827, 0.0084329, 0.0068168, 0.00533085, 0.00359694, 0.00235307, 0.000846763, 0.000721205" \
+              "0.00503922, 0.0115118, 0.0365206, 0.0476955, 0.0496412, 0.0530058, 0.054712, 0.0549085, 0.0548533, 0.0538027, 0.0474798, 0.0341173, 0.0225499, 0.0153844, 0.0130827, 0.0084329, 0.0068168, 0.00533085, 0.00359694, 0.00235307, 0.000846762, 0.000721205" \
             );
           }
           vector (ccs_template) {
@@ -131238,9 +146622,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0199343");
-            index_3 ("1.11598, 2.39288, 2.61811, 2.73747, 2.75055, 2.76627, 2.78086, 2.83583, 2.86879, 2.89076, 2.90775, 2.92261, 2.94868, 2.97649, 3.09276, 3.1229, 3.17177, 3.21875, 3.26574, 3.30371, 3.32597");
+            index_3 ("1.11565, 2.39159, 2.43622, 2.46165, 2.55534, 2.59619, 2.62365, 2.65697, 2.68718, 2.70994, 2.72784, 2.7415, 2.75598, 2.77173, 2.7818, 2.79275, 2.83523, 2.85713, 2.8682, 2.89033, 2.89583, 2.90683, 2.92202, 2.94886, 2.95596, 2.96408, 2.9759, 2.99953, 3.04261, 3.05285, 3.06651, 3.09383, 3.10657, 3.12055, 3.13679, 3.15794, 3.17561, 3.19583, 3.22446, 3.23708, 3.25407, 3.27671, 3.32201, 3.40573, 3.50467");
             values ( \
-              "1e-22, 2.76439e-05, 0.00150173, 0.00107254, 0.00239769, 0.00787597, 0.0187492, 0.092085, 0.123435, 0.133926, 0.137383, 0.137937, 0.13469, 0.124962, 0.0496206, 0.0357859, 0.0202325, 0.0113293, 0.0062699, 0.00383332, 0.00309634" \
+              "1e-22, 2.82341e-05, 0.000118494, 0.000246693, 0.0010946, 0.00139735, 0.00150936, 0.00138684, 0.00121729, 0.00128197, 0.00106352, 0.00129615, 0.00388848, 0.0116025, 0.0203117, 0.0329112, 0.092189, 0.115082, 0.123541, 0.133882, 0.135528, 0.137263, 0.138023, 0.134503, 0.132618, 0.129966, 0.124959, 0.111145, 0.0801109, 0.0731687, 0.0644123, 0.0487536, 0.0425732, 0.036494, 0.0303654, 0.0236816, 0.0191741, 0.0149915, 0.0104724, 0.00894529, 0.00722261, 0.00541519, 0.00298395, 0.000897653, 0.000195476" \
             );
           }
           vector (ccs_template) {
@@ -131312,7 +146696,7 @@
             index_2 ("0.318855");
             index_3 ("3.99092, 8.83432, 9.05961, 9.14703, 9.24598, 9.34585, 9.45907, 9.5056, 9.57042, 9.60457, 9.62514, 9.65021, 9.89505, 10.0386, 10.2302, 10.3852, 10.448, 10.5735, 10.8246, 11.0722, 11.537, 11.8514, 12.0313, 12.3913, 12.5407, 12.7399, 12.8295, 13.0086, 13.2204, 13.6142, 13.8853, 14.0937, 14.7991, 15.3165, 15.5527, 15.8016, 16.1335, 16.381, 16.6665, 17.0378, 17.204, 17.4644, 17.9852, 19.0149, 19.569");
             values ( \
-              "7.32087e-08, 1.49671e-05, 0.00025859, 0.000716383, 0.00170223, 0.00328828, 0.00574327, 0.00868045, 0.0187471, 0.0203395, 0.0202646, 0.019704, 0.0118589, 0.00856915, 0.00550929, 0.00464704, 0.00507031, 0.00781441, 0.0219107, 0.0455003, 0.0962409, 0.122671, 0.133186, 0.145454, 0.147631, 0.14869, 0.148499, 0.147298, 0.144338, 0.134517, 0.123902, 0.114217, 0.0786731, 0.0556841, 0.0468868, 0.0388247, 0.0298769, 0.024443, 0.019297, 0.0142498, 0.0123637, 0.00987341, 0.00622969, 0.00234323, 0.00158865" \
+              "7.32087e-08, 1.49671e-05, 0.00025859, 0.000716383, 0.00170223, 0.00328828, 0.00574327, 0.00868045, 0.0187471, 0.0203395, 0.0202646, 0.019704, 0.0118589, 0.00856915, 0.00550929, 0.00464704, 0.00507031, 0.00781441, 0.0219107, 0.0455003, 0.0962409, 0.122671, 0.133186, 0.145454, 0.147631, 0.14869, 0.148499, 0.147298, 0.144338, 0.134517, 0.123902, 0.114217, 0.0786731, 0.0556841, 0.0468868, 0.0388247, 0.029877, 0.024443, 0.019297, 0.0142498, 0.0123637, 0.00987341, 0.00622969, 0.00234323, 0.00158865" \
             );
           }
           vector (ccs_template) {
@@ -131357,7 +146741,7 @@
             "0.00399419, 0.00399436, 0.00399476, 0.00399506, 0.00399516, 0.00399519", \
             "0.00418185, 0.00418175, 0.00418161, 0.00418158, 0.0041816, 0.0041816", \
             "0.00427576, 0.00427572, 0.00427563, 0.00427551, 0.00427546, 0.00427545", \
-            "0.00431656, 0.00431655, 0.0043165, 0.0043168, 0.00431674, 0.00431672", \
+            "0.00431656, 0.00431655, 0.00431692, 0.0043168, 0.00431674, 0.00431672", \
             "0.00434573, 0.00434573, 0.00434572, 0.00434569, 0.00434565, 0.00434563" \
           );
         }
@@ -131369,7 +146753,7 @@
             "0.00468435, 0.00468337, 0.00468238, 0.00468203, 0.00468195, 0.00468193", \
             "0.00493077, 0.00492968, 0.0049276, 0.00492593, 0.0049253, 0.00492512", \
             "0.00487117, 0.00490388, 0.0049358, 0.00494911, 0.00495295, 0.004954", \
-            "0.00399953, 0.00399933, 0.00399874, 0.00401522, 0.00412306, 0.00416681", \
+            "0.00399953, 0.00399933, 0.00399813, 0.00401522, 0.00412306, 0.00416681", \
             "0.00393708, 0.00393208, 0.0039321, 0.00393182, 0.00393367, 0.00405231" \
           );
         }
@@ -131413,7 +146797,7 @@
             "0.00764741, 0.00770594, 0.00758253, 0.00661695, 0.00259497, 0", \
             "0.00730424, 0.00735852, 0.00723998, 0.00627766, 0.002258, 0", \
             "0.00765183, 0.00770059, 0.00760262, 0.0066358, 0.0026302, 0", \
-            "0.0112305, 0.0112644, 0.011183, 0.0102469, 0.00618999, 0", \
+            "0.0112577, 0.011297, 0.0111483, 0.0102832, 0.00619462, 0", \
             "0.027592, 0.027593, 0.0274665, 0.0264677, 0.022529, 0.00621353" \
           );
         }
@@ -131464,7 +146848,7 @@
             "0.0167739, 0.0168258, 0.0166915, 0.0157115, 0.0116886, -0.00434883", \
             "0.0165483, 0.0165912, 0.0164684, 0.0155104, 0.0115042, -0.00450921", \
             "0.0171334, 0.0171503, 0.0170115, 0.016095, 0.0120957, -0.00399294", \
-            "0.0221573, 0.0221098, 0.0218917, 0.0209615, 0.0168026, 0.000961164", \
+            "0.0221573, 0.0221019, 0.0218696, 0.0209533, 0.0168026, 0.000972273", \
             "0.0420394, 0.0418542, 0.0414755, 0.0403539, 0.0363919, 0.0202865" \
           );
         }
@@ -131508,7 +146892,7 @@
             "0.0116289, 0.0116805, 0.0115477, 0.010563, 0.00654415, -0.00948527", \
             "0.0115196, 0.0115588, 0.0114372, 0.0104791, 0.00647797, -0.00957796", \
             "0.0118342, 0.0118368, 0.0116998, 0.0107797, 0.00677508, -0.00928151", \
-            "0.0143768, 0.0143122, 0.0141062, 0.0131536, 0.00902258, -0.0068508", \
+            "0.0143768, 0.0143122, 0.0140866, 0.0131536, 0.00902258, -0.0068508", \
             "0.0250443, 0.0247852, 0.0242804, 0.0230984, 0.019111, 0.00285336" \
           );
         }
@@ -131552,7 +146936,7 @@
             "0.0141106, 0.014161, 0.0140271, 0.0130464, 0.0090331, -0.00700152", \
             "0.0139303, 0.0139686, 0.0138481, 0.012893, 0.00888211, -0.0071858", \
             "0.0146198, 0.0146243, 0.014488, 0.0135587, 0.00957139, -0.00646166", \
-            "0.019597, 0.019547, 0.0193425, 0.0183905, 0.0142233, -0.0016279", \
+            "0.019597, 0.019547, 0.019334, 0.0183816, 0.0142233, -0.00162747", \
             "0.041789, 0.0415116, 0.0410123, 0.039808, 0.0357819, 0.0191801" \
           );
         }
@@ -131594,7 +146978,7 @@
             "0.0167739, 0.0168258, 0.0166915, 0.0157115, 0.0116886, -0.00434883", \
             "0.0165483, 0.0165912, 0.0164684, 0.0155104, 0.0115042, -0.00450921", \
             "0.0171334, 0.0171503, 0.0170115, 0.016095, 0.0120957, -0.00399294", \
-            "0.0221573, 0.0221098, 0.0218917, 0.0209615, 0.0168026, 0.000961164", \
+            "0.0221573, 0.0221019, 0.0218696, 0.0209533, 0.0168026, 0.000972273", \
             "0.0420394, 0.0418542, 0.0414755, 0.0403539, 0.0363919, 0.0202865" \
           );
         }
@@ -131779,7 +147163,7 @@
             index_2 ("0.00124625");
             index_3 ("0.39654, 0.412076, 0.425523, 0.429011, 0.432807, 0.436949, 0.442427, 0.449809, 0.451305, 0.454297, 0.460009, 0.466786, 0.469137, 0.473794, 0.47863, 0.483463, 0.488846, 0.490845, 0.501791, 0.505916, 0.509548, 0.514087, 0.519189, 0.52365, 0.530618, 0.534221, 0.539839, 0.547912, 0.553299, 0.562561, 0.573839, 0.594791, 0.620736, 0.65581");
             values ( \
-              "0.000177257, 0.0115401, 0.0191291, 0.0206238, 0.0219503, 0.023224, 0.0245878, 0.0257718, 0.0258969, 0.0259829, 0.025417, 0.0241056, 0.024088, 0.0239443, 0.0225413, 0.0184952, 0.015499, 0.0141228, 0.00903868, 0.00791392, 0.0086615, 0.00828796, 0.0075952, 0.00643141, 0.00489303, 0.00418798, 0.00326489, 0.00225254, 0.00176391, 0.00115441, 0.000698549, 0.000254609, 8.76909e-05, 1.47342e-05" \
+              "0.000177256, 0.0115401, 0.0191291, 0.0206238, 0.0219503, 0.023224, 0.0245878, 0.0257718, 0.0258969, 0.0259829, 0.025417, 0.0241056, 0.024088, 0.0239443, 0.0225413, 0.0184952, 0.015499, 0.0141228, 0.00903868, 0.00791392, 0.0086615, 0.00828796, 0.0075952, 0.00643141, 0.00489303, 0.00418798, 0.00326489, 0.00225254, 0.00176391, 0.00115441, 0.000698549, 0.000254609, 8.76909e-05, 1.47342e-05" \
             );
           }
           vector (ccs_template) {
@@ -131887,7 +147271,7 @@
             index_2 ("0.00124625");
             index_3 ("2.44032, 2.45333, 2.46192, 2.47028, 2.4737, 2.48123, 2.48642, 2.4902, 2.49587, 2.50026, 2.50463, 2.51173, 2.51381, 2.51797, 2.52335, 2.52818, 2.53351, 2.53559, 2.5464, 2.55051, 2.55412, 2.55878, 2.56391, 2.57352, 2.57917, 2.5867, 2.59332, 2.60271, 2.61523, 2.63386, 2.6907");
             values ( \
-              "7.59617e-05, 0.00948324, 0.0145438, 0.0190191, 0.0205019, 0.0229501, 0.0242804, 0.0250639, 0.0257422, 0.0257355, 0.0252888, 0.0238788, 0.024025, 0.0238086, 0.0226274, 0.0183223, 0.0155987, 0.0140263, 0.00905554, 0.00795383, 0.00862617, 0.00833687, 0.00756583, 0.00528177, 0.00411398, 0.00300536, 0.00215071, 0.00138629, 0.000844853, 0.000296307, 1e-22" \
+              "7.59618e-05, 0.00948324, 0.0145438, 0.0190191, 0.0205019, 0.0229501, 0.0242804, 0.0250639, 0.0257422, 0.0257355, 0.0252888, 0.0238788, 0.024025, 0.0238086, 0.0226274, 0.0183223, 0.0155987, 0.0140263, 0.00905554, 0.00795383, 0.00862617, 0.00833687, 0.00756583, 0.00528177, 0.00411398, 0.00300536, 0.00215071, 0.00138629, 0.000844853, 0.000296307, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -131896,7 +147280,7 @@
             index_2 ("0.00498101");
             index_3 ("2.44702, 2.46516, 2.47912, 2.49012, 2.49681, 2.50456, 2.51603, 2.51958, 2.52432, 2.52968, 2.54041, 2.54263, 2.55523, 2.56871, 2.5844, 2.60786, 2.61427, 2.66972, 2.6967, 2.72272, 2.76196, 2.80767");
             values ( \
-              "0.0102728, 0.0379505, 0.0535363, 0.0622426, 0.0662725, 0.0696579, 0.0720488, 0.0722261, 0.0719169, 0.0709914, 0.0680863, 0.0680028, 0.0616932, 0.0468803, 0.0327483, 0.0173215, 0.0151576, 0.00495408, 0.00223758, 0.00109603, 0.000225602, 0.000125757" \
+              "0.0102728, 0.0379505, 0.0535363, 0.0622426, 0.0662725, 0.0696579, 0.0720488, 0.0722261, 0.0719169, 0.0709914, 0.0680863, 0.0680028, 0.0616932, 0.0468803, 0.0327483, 0.0173215, 0.0151576, 0.00495408, 0.00223757, 0.00109602, 0.000225602, 0.000125757" \
             );
           }
           vector (ccs_template) {
@@ -131914,7 +147298,7 @@
             index_2 ("0.0795683");
             index_3 ("2.4752, 2.52323, 2.53917, 2.56016, 2.58192, 2.60959, 2.68594, 2.83167, 2.92914, 3.03436, 3.14145, 3.30483, 3.41976, 3.56408, 3.65889, 3.74069, 3.89174, 4.00507, 4.16472, 4.45776, 4.57472");
             values ( \
-              "0.146137, 0.152279, 0.161207, 0.16728, 0.169501, 0.169641, 0.164598, 0.151743, 0.142266, 0.129608, 0.111701, 0.0805301, 0.0608156, 0.0408259, 0.0307891, 0.023863, 0.0151014, 0.010626, 0.00640683, 0.00244708, 0.00194664" \
+              "0.146137, 0.152279, 0.161207, 0.16728, 0.169501, 0.169641, 0.164598, 0.151743, 0.142266, 0.129608, 0.111701, 0.0805301, 0.0608157, 0.0408259, 0.0307891, 0.023863, 0.0151014, 0.010626, 0.00640683, 0.00244708, 0.00194664" \
             );
           }
           vector (ccs_template) {
@@ -131941,7 +147325,7 @@
             index_2 ("0.00124625");
             index_3 ("8.45041, 8.48152, 8.49303, 8.5014, 8.50572, 8.51436, 8.52392, 8.52861, 8.53352, 8.53839, 8.54585, 8.55693, 8.56093, 8.56459, 8.5691, 8.57406, 8.58568, 8.59715, 8.6037, 8.61301, 8.62542, 8.64451, 8.64827");
             values ( \
-              "0.00331597, 0.0191298, 0.0229938, 0.0247599, 0.02526, 0.0249662, 0.0236156, 0.0238195, 0.0222372, 0.0183893, 0.0140248, 0.00888667, 0.00802731, 0.00868725, 0.00832798, 0.00759528, 0.00488403, 0.00294819, 0.0021651, 0.00141118, 0.000823107, 0.000317618, 0.000297724" \
+              "0.00331596, 0.0191298, 0.0229938, 0.0247599, 0.02526, 0.0249662, 0.0236156, 0.0238195, 0.0222372, 0.0183893, 0.0140248, 0.00888667, 0.00802731, 0.00868725, 0.00832798, 0.00759528, 0.00488403, 0.00294819, 0.0021651, 0.00141118, 0.000823107, 0.000317618, 0.000297724" \
             );
           }
           vector (ccs_template) {
@@ -131959,7 +147343,7 @@
             index_2 ("0.0199081");
             index_3 ("8.46566, 8.48048, 8.504, 8.52055, 8.53129, 8.5402, 8.55441, 8.56765, 8.59383, 8.60256, 8.62354, 8.65401, 8.66973, 8.74272, 8.78138, 8.82392, 8.85368, 8.87884, 8.9171, 8.96003, 9.0225, 9.06857, 9.12355, 9.13906");
             values ( \
-              "0.0597337, 0.0664892, 0.101134, 0.11741, 0.124288, 0.128039, 0.131333, 0.132003, 0.129408, 0.127703, 0.122493, 0.112573, 0.105924, 0.0629294, 0.0442381, 0.0288259, 0.0207208, 0.016508, 0.0117196, 0.00770237, 0.00392117, 0.00233673, 0.0011895, 0.00109764" \
+              "0.0597338, 0.0664892, 0.101134, 0.11741, 0.124288, 0.128039, 0.131333, 0.132003, 0.129408, 0.127703, 0.122493, 0.112573, 0.105924, 0.0629294, 0.0442381, 0.0288259, 0.0207208, 0.016508, 0.0117196, 0.00770237, 0.00392117, 0.00233673, 0.0011895, 0.00109764" \
             );
           }
           vector (ccs_template) {
@@ -132246,7 +147630,7 @@
             index_2 ("0.00498101");
             index_3 ("2.30182, 2.33742, 2.34855, 2.35949, 2.37581, 2.38609, 2.39606, 2.40597, 2.41588, 2.43089, 2.43875, 2.45218, 2.46505, 2.47738, 2.48783, 2.51882, 2.55697");
             values ( \
-              "-7.31211e-05, -0.0495377, -0.0632955, -0.0744835, -0.0851899, -0.0889712, -0.0910958, -0.0899854, -0.0777654, -0.0445106, -0.0307179, -0.0153452, -0.0074594, -0.00301704, -0.00165478, -0.00166187, -0.00092012" \
+              "-7.31276e-05, -0.0495377, -0.0632955, -0.0744835, -0.0851899, -0.0889712, -0.0910958, -0.0899854, -0.0777654, -0.0445106, -0.0307179, -0.0153452, -0.0074594, -0.00301704, -0.00165478, -0.00166187, -0.00092012" \
             );
           }
           vector (ccs_template) {
@@ -132300,7 +147684,7 @@
             index_2 ("0.00498101");
             index_3 ("8.19845, 8.22657, 8.23371, 8.24285, 8.27731, 8.29212, 8.30775, 8.32189, 8.33403, 8.34569, 8.35734, 8.37522, 8.38071, 8.38763, 8.39631, 8.4036, 8.41337, 8.41705, 8.42197, 8.43181, 8.46223, 8.49588, 8.53926");
             values ( \
-              "-0.00248241, -0.0150896, -0.0187117, -0.0241989, -0.0472118, -0.0566116, -0.0651558, -0.0717605, -0.0759797, -0.0780348, -0.0700135, -0.0382754, -0.0300708, -0.0216507, -0.0140442, -0.00953115, -0.00508615, -0.00391568, -0.00286243, -0.0020284, -0.00171703, -0.000782465, -0.000184253" \
+              "-0.00248241, -0.0150896, -0.0187117, -0.0241989, -0.0472118, -0.0566116, -0.0651558, -0.0717605, -0.0759797, -0.0780348, -0.0700135, -0.0382754, -0.0300708, -0.0216507, -0.0140442, -0.00953113, -0.00508614, -0.00391568, -0.00286244, -0.00202841, -0.00171704, -0.000782469, -0.000184255" \
             );
           }
           vector (ccs_template) {
@@ -132309,7 +147693,7 @@
             index_2 ("0.0199081");
             index_3 ("8.229, 8.25612, 8.30513, 8.32134, 8.33754, 8.34864, 8.37214, 8.39443, 8.41645, 8.43845, 8.44202, 8.45425, 8.48398, 8.50973, 8.52692, 8.53908, 8.55795, 8.57432, 8.59548, 8.62369, 8.66056, 8.7026, 8.8701");
             values ( \
-              "-0.0464757, -0.0496736, -0.107137, -0.124907, -0.139551, -0.147356, -0.158297, -0.162914, -0.162878, -0.155524, -0.152988, -0.139909, -0.094397, -0.0602712, -0.0431523, -0.0337595, -0.0227625, -0.0159944, -0.0100561, -0.00516169, -0.00173533, -0.000866523, -0.000334839" \
+              "-0.0464757, -0.0496737, -0.107137, -0.124907, -0.139551, -0.147356, -0.158297, -0.162914, -0.162878, -0.155524, -0.152988, -0.139909, -0.094397, -0.0602712, -0.0431523, -0.0337595, -0.0227625, -0.0159944, -0.0100561, -0.0051617, -0.00173533, -0.000866523, -0.000334839" \
             );
           }
           vector (ccs_template) {
@@ -132318,25 +147702,25 @@
             index_2 ("0.0795683");
             index_3 ("8.25001, 8.28952, 8.32705, 8.3581, 8.3981, 8.42753, 8.46091, 8.49267, 8.53746, 8.59105, 8.62508, 8.69251, 8.72026, 8.74317, 8.76761, 8.80525, 8.92076, 8.96728, 9.00186, 9.03645, 9.08246, 9.12314, 9.17739, 9.24739, 9.29129, 9.37908, 9.52411, 9.67579, 9.86731");
             values ( \
-              "-0.0980831, -0.104478, -0.157277, -0.188846, -0.211289, -0.218116, -0.22077, -0.220582, -0.2184, -0.214155, -0.210886, -0.202818, -0.198356, -0.193665, -0.187027, -0.172235, -0.10999, -0.0874959, -0.0730032, -0.0604135, -0.0464829, -0.0366281, -0.0263856, -0.0171349, -0.0130184, -0.00737108, -0.0026151, -0.000854371, -0.000405996" \
+              "-0.0980832, -0.104478, -0.157278, -0.188846, -0.211289, -0.218116, -0.22077, -0.220582, -0.2184, -0.214155, -0.210886, -0.202818, -0.198356, -0.193665, -0.187027, -0.172235, -0.10999, -0.0874959, -0.0730032, -0.0604135, -0.0464829, -0.0366281, -0.0263856, -0.0171349, -0.0130184, -0.00737108, -0.0026151, -0.000854371, -0.000405996" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.318018");
-            index_3 ("8.2867, 8.37433, 8.41047, 8.44086, 8.47462, 8.50774, 8.53999, 8.65096, 8.86651, 9.24181, 9.52473, 9.73096, 9.90884, 10.0714, 10.3577, 10.6378, 10.9323, 11.1198, 11.2893, 11.4292, 11.588, 11.8128, 12.0453, 12.2232, 12.5789, 13.1454, 13.7658");
+            index_3 ("8.2867, 8.37433, 8.41048, 8.44086, 8.47462, 8.50774, 8.54, 8.65097, 8.86651, 9.24181, 9.52473, 9.73096, 9.90885, 10.0714, 10.3577, 10.6378, 10.9323, 11.1198, 11.2893, 11.4292, 11.588, 11.8128, 12.0453, 12.2232, 12.5789, 13.1454, 13.7658");
             values ( \
-              "-0.20496, -0.212509, -0.230131, -0.23697, -0.240625, -0.242203, -0.242681, -0.241652, -0.236928, -0.227799, -0.22009, -0.213316, -0.20556, -0.194651, -0.159427, -0.11765, -0.080449, -0.0617644, -0.0480334, -0.038857, -0.030422, -0.0212224, -0.0146106, -0.0109728, -0.00598704, -0.00217436, -0.000674143" \
+              "-0.204961, -0.212509, -0.230131, -0.23697, -0.240625, -0.242203, -0.242681, -0.241652, -0.236928, -0.227799, -0.22009, -0.213316, -0.20556, -0.194651, -0.159427, -0.11765, -0.080449, -0.0617644, -0.0480334, -0.038857, -0.030422, -0.0212224, -0.0146106, -0.0109728, -0.00598704, -0.00217436, -0.000674143" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("1.27105");
-            index_3 ("8.22985, 8.33676, 8.39245, 8.44354, 8.48013, 8.54437, 8.59455, 8.65049, 10.6346, 12.5572, 13.1187, 13.8772, 14.1688, 14.702, 15.2809, 16.0394, 17.3672, 17.8254, 18.3911, 18.723, 19.1656, 19.9241, 20.4092, 20.6696, 21.1903, 21.9488, 22.4163, 22.819, 23.3558, 24.1143, 24.8727, 25.6312, 27.1481, 28.665, 30.1819");
+            index_3 ("8.22985, 8.33675, 8.39245, 8.44354, 8.48013, 8.54437, 8.59455, 8.65049, 10.6346, 12.5572, 13.1187, 13.8772, 14.1688, 14.702, 15.2809, 16.0394, 17.3672, 17.8254, 18.3911, 18.723, 19.1656, 19.9241, 20.4092, 20.6696, 21.1903, 21.9488, 22.4163, 22.819, 23.3558, 24.1143, 24.8727, 25.6312, 27.1481, 28.665, 30.1819");
             values ( \
-              "-0.0339588, -0.180892, -0.226958, -0.242875, -0.246729, -0.249389, -0.250004, -0.25015, -0.238652, -0.226482, -0.222389, -0.216132, -0.213237, -0.206846, -0.196592, -0.174597, -0.124344, -0.108051, -0.0897937, -0.0801674, -0.0685705, -0.0518488, -0.0431501, -0.0390417, -0.0318569, -0.0235409, -0.0195017, -0.0165703, -0.013309, -0.00972767, -0.00709724, -0.00516655, -0.00272749, -0.00143897, -0.000762761" \
+              "-0.0339588, -0.180892, -0.226958, -0.242875, -0.246729, -0.24939, -0.250004, -0.25015, -0.238652, -0.226482, -0.222389, -0.216132, -0.213237, -0.206846, -0.196592, -0.174597, -0.124344, -0.108051, -0.0897937, -0.0801674, -0.0685705, -0.0518488, -0.0431501, -0.0390417, -0.0318569, -0.0235409, -0.0195017, -0.0165703, -0.013309, -0.00972767, -0.00709724, -0.00516655, -0.00272749, -0.00143897, -0.000762761" \
             );
           }
         }
@@ -132444,7 +147828,7 @@
             index_2 ("0.0199081");
             index_3 ("0.099104, 0.10908, 0.11383, 0.128466, 0.146832, 0.160135, 0.175768, 0.191068, 0.203234, 0.209685, 0.22191, 0.234325, 0.244169, 0.26212, 0.265482, 0.272208, 0.285658, 0.315175, 0.338503, 0.35386, 0.362402, 0.375973, 0.393323, 0.411173, 0.423285, 0.445972, 0.483861, 0.526622, 0.703762");
             values ( \
-              "-0.0641408, -0.0796808, -0.0920156, -0.121375, -0.146032, -0.156869, -0.164687, -0.170263, -0.173686, -0.174867, -0.175946, -0.17516, -0.173287, -0.164868, -0.16234, -0.155379, -0.135783, -0.0869704, -0.0566329, -0.0416778, -0.0349576, -0.0262827, -0.0180701, -0.0121963, -0.00933441, -0.00544334, -0.00181712, -0.000768642, -0.000338589" \
+              "-0.0641408, -0.0796808, -0.0920156, -0.121375, -0.146032, -0.156869, -0.164687, -0.170263, -0.173686, -0.174867, -0.175946, -0.17516, -0.173287, -0.164868, -0.16234, -0.155379, -0.135783, -0.0869704, -0.0566329, -0.0416778, -0.0349576, -0.0262827, -0.0180701, -0.0121963, -0.00933441, -0.00544333, -0.00181712, -0.000768642, -0.000338589" \
             );
           }
           vector (ccs_template) {
@@ -132831,7 +148215,7 @@
             index_2 ("0.00124625");
             index_3 ("0.0906016, 0.0956813, 0.098509, 0.103506, 0.110756, 0.122993, 0.137233, 0.144064, 0.150892, 0.157005, 0.165756, 0.173784, 0.180835, 0.184078, 0.188402, 0.194328, 0.199986, 0.206279, 0.231611, 0.243203, 0.275612");
             values ( \
-              "-0.00509687, -0.0126025, -0.0156502, -0.020001, -0.0246999, -0.0301748, -0.0327269, -0.0330112, -0.0326272, -0.0292617, -0.0179558, -0.0100212, -0.00563333, -0.00400095, -0.00243551, -0.00198834, -0.0020914, -0.00189277, -0.000671666, -0.000342488, -5.04943e-05" \
+              "-0.00509687, -0.0126025, -0.0156502, -0.020001, -0.0246999, -0.0301748, -0.0327269, -0.0330112, -0.0326272, -0.0292617, -0.0179558, -0.0100212, -0.00563333, -0.00400095, -0.00243551, -0.00198834, -0.0020914, -0.00189276, -0.000671666, -0.000342488, -5.04943e-05" \
             );
           }
           vector (ccs_template) {
@@ -132966,7 +148350,7 @@
             index_2 ("0.0795683");
             index_3 ("0.286127, 0.314935, 0.332215, 0.344686, 0.378654, 0.389375, 0.402691, 0.415644, 0.430143, 0.442965, 0.460061, 0.497506, 0.572359, 0.628597, 0.701476, 0.725056, 0.756495, 0.770546, 0.798648, 0.84915, 0.941784, 0.994554, 1.02245, 1.07824, 1.12133, 1.15224, 1.21405, 1.24885, 1.30068, 1.36979, 1.508, 1.65818, 1.84846");
             values ( \
-              "-0.140327, -0.155516, -0.179113, -0.189965, -0.211223, -0.217018, -0.222266, -0.225578, -0.227326, -0.227798, -0.227523, -0.224971, -0.21792, -0.212054, -0.202771, -0.198775, -0.191754, -0.187623, -0.177, -0.151064, -0.100823, -0.077008, -0.066244, -0.0482999, -0.0375554, -0.0312255, -0.021358, -0.0172249, -0.0124577, -0.0079986, -0.00303473, -0.000967925, -0.000444419" \
+              "-0.140327, -0.155516, -0.179113, -0.189965, -0.211223, -0.217018, -0.222266, -0.225578, -0.227326, -0.227798, -0.227523, -0.224971, -0.21792, -0.212054, -0.202771, -0.198775, -0.191754, -0.187623, -0.177, -0.151064, -0.100823, -0.077008, -0.066244, -0.0482999, -0.0375554, -0.0312255, -0.021358, -0.0172249, -0.0124577, -0.0079986, -0.00303472, -0.000967925, -0.000444419" \
             );
           }
           vector (ccs_template) {
@@ -133254,7 +148638,7 @@
             index_2 ("0.0199081");
             index_3 ("0.100587, 0.110336, 0.12076, 0.135746, 0.145682, 0.160003, 0.172379, 0.195141, 0.203955, 0.224658, 0.234939, 0.245206, 0.262942, 0.266621, 0.273979, 0.288695, 0.321751, 0.340457, 0.355582, 0.367584, 0.393045, 0.402771, 0.426665, 0.453973, 0.492723, 0.557317");
             values ( \
-              "-0.08218, -0.0828657, -0.106087, -0.1321, -0.144346, -0.156153, -0.161671, -0.168806, -0.171304, -0.174615, -0.174339, -0.172695, -0.16487, -0.162104, -0.154519, -0.132574, -0.0790784, -0.0557949, -0.0412046, -0.0321788, -0.0186415, -0.0150759, -0.00886595, -0.00457886, -0.00138603, -0.00105562" \
+              "-0.08218, -0.0828657, -0.106087, -0.1321, -0.144346, -0.156153, -0.161671, -0.168806, -0.171304, -0.174615, -0.174339, -0.172695, -0.16487, -0.162104, -0.154519, -0.132574, -0.0790784, -0.0557949, -0.0412046, -0.0321788, -0.0186415, -0.0150759, -0.00886594, -0.00457885, -0.00138603, -0.00105562" \
             );
           }
           vector (ccs_template) {
@@ -133488,7 +148872,7 @@
             index_2 ("0.318018");
             index_3 ("2.61258, 2.70368, 2.7334, 2.76489, 2.79657, 2.81683, 2.8468, 2.87565, 2.95853, 3.47619, 3.63256, 3.97323, 4.21878, 4.30214, 4.41329, 4.47745, 4.563, 4.73409, 4.92623, 5.14582, 5.28137, 5.48224, 5.65029, 5.79086, 5.94948, 6.17423, 6.40625, 6.58377, 6.93881, 7.52073, 8.16181");
             values ( \
-              "-0.130898, -0.13797, -0.188925, -0.224408, -0.238935, -0.242599, -0.244564, -0.244716, -0.2432, -0.230991, -0.227058, -0.217447, -0.208377, -0.204098, -0.196557, -0.190806, -0.181226, -0.157198, -0.128255, -0.0979396, -0.0817744, -0.0616261, -0.0480812, -0.0388612, -0.0303781, -0.0212463, -0.0146426, -0.0109576, -0.00602817, -0.00208206, -0.000650833" \
+              "-0.130898, -0.13797, -0.188925, -0.224408, -0.238935, -0.242599, -0.244564, -0.244716, -0.2432, -0.230991, -0.227058, -0.217447, -0.208377, -0.204098, -0.196557, -0.190806, -0.181226, -0.157198, -0.128255, -0.0979396, -0.0817744, -0.0616261, -0.0480812, -0.0388612, -0.0303781, -0.0212463, -0.0146426, -0.0109576, -0.00602817, -0.00208206, -0.000650834" \
             );
           }
           vector (ccs_template) {
@@ -133657,7 +149041,7 @@
             index_2 ("0.0199081");
             index_3 ("0.100587, 0.110336, 0.12076, 0.135746, 0.145682, 0.160003, 0.172379, 0.195141, 0.203955, 0.224658, 0.234939, 0.245206, 0.262942, 0.266621, 0.273979, 0.288695, 0.321751, 0.340457, 0.355582, 0.367584, 0.393045, 0.402771, 0.426665, 0.453973, 0.492723, 0.557317");
             values ( \
-              "-0.08218, -0.0828657, -0.106087, -0.1321, -0.144346, -0.156153, -0.161671, -0.168806, -0.171304, -0.174615, -0.174339, -0.172695, -0.16487, -0.162104, -0.154519, -0.132574, -0.0790784, -0.0557949, -0.0412046, -0.0321788, -0.0186415, -0.0150759, -0.00886595, -0.00457886, -0.00138603, -0.00105562" \
+              "-0.08218, -0.0828657, -0.106087, -0.1321, -0.144346, -0.156153, -0.161671, -0.168806, -0.171304, -0.174615, -0.174339, -0.172695, -0.16487, -0.162104, -0.154519, -0.132574, -0.0790784, -0.0557949, -0.0412046, -0.0321788, -0.0186415, -0.0150759, -0.00886594, -0.00457885, -0.00138603, -0.00105562" \
             );
           }
           vector (ccs_template) {
@@ -133891,7 +149275,7 @@
             index_2 ("0.318018");
             index_3 ("2.61258, 2.70368, 2.7334, 2.76489, 2.79657, 2.81683, 2.8468, 2.87565, 2.95853, 3.47619, 3.63256, 3.97323, 4.21878, 4.30214, 4.41329, 4.47745, 4.563, 4.73409, 4.92623, 5.14582, 5.28137, 5.48224, 5.65029, 5.79086, 5.94948, 6.17423, 6.40625, 6.58377, 6.93881, 7.52073, 8.16181");
             values ( \
-              "-0.130898, -0.13797, -0.188925, -0.224408, -0.238935, -0.242599, -0.244564, -0.244716, -0.2432, -0.230991, -0.227058, -0.217447, -0.208377, -0.204098, -0.196557, -0.190806, -0.181226, -0.157198, -0.128255, -0.0979396, -0.0817744, -0.0616261, -0.0480812, -0.0388612, -0.0303781, -0.0212463, -0.0146426, -0.0109576, -0.00602817, -0.00208206, -0.000650833" \
+              "-0.130898, -0.13797, -0.188925, -0.224408, -0.238935, -0.242599, -0.244564, -0.244716, -0.2432, -0.230991, -0.227058, -0.217447, -0.208377, -0.204098, -0.196557, -0.190806, -0.181226, -0.157198, -0.128255, -0.0979396, -0.0817744, -0.0616261, -0.0480812, -0.0388612, -0.0303781, -0.0212463, -0.0146426, -0.0109576, -0.00602817, -0.00208206, -0.000650834" \
             );
           }
           vector (ccs_template) {
@@ -134623,9 +150007,9 @@
           index_1 ("0.00974609, 0.312187, 10");
           index_2 ("0.00974609, 0.312187, 10");
           values ( \
-            "0.156475, 0.120017, 1.65796", \
-            "0.221907, 0.188036, 2.01578", \
-            "0.0867993, 0.0228161, 1.91208" \
+            "0.156475, 0.120017, 1.65798", \
+            "0.221907, 0.188036, 2.01581", \
+            "0.0867993, 0.0228161, 1.9121" \
           );
         }
         fall_constraint (constraint_template) {
@@ -134645,9 +150029,9 @@
           index_1 ("0.00974609, 0.312187, 10");
           index_2 ("0.00974609, 0.312187, 10");
           values ( \
-            "0.156475, 0.120017, 1.65796", \
-            "0.221907, 0.188036, 2.01578", \
-            "0.0867993, 0.0228161, 1.91208" \
+            "0.156475, 0.120017, 1.65798", \
+            "0.221907, 0.188036, 2.01581", \
+            "0.0867993, 0.0228161, 1.9121" \
           );
         }
         fall_constraint (constraint_template) {
@@ -135216,7 +150600,7 @@
             "0.223197, 0.283108, 0.48777, 1.23373, 4.01148, 14.3538", \
             "0.253172, 0.313052, 0.517754, 1.2638, 4.04143, 14.3903", \
             "0.300991, 0.360665, 0.565159, 1.31124, 4.08931, 14.4343", \
-            "0.318935, 0.379921, 0.585642, 1.33178, 4.10794, 14.4557", \
+            "0.319192, 0.379921, 0.58532, 1.33178, 4.10794, 14.4557", \
             "-0.000405791, 0.0649195, 0.274717, 1.0222, 3.79985, 14.1385" \
           );
         }
@@ -135228,7 +150612,7 @@
             "0.0308473, 0.0693302, 0.2152, 0.761085, 2.79638, 10.3758", \
             "0.0308635, 0.0693327, 0.2152, 0.761041, 2.79627, 10.3765", \
             "0.0308211, 0.0693348, 0.215179, 0.761317, 2.79675, 10.3763", \
-            "0.0313877, 0.0695981, 0.215174, 0.761155, 2.79631, 10.3757", \
+            "0.0313489, 0.0695981, 0.215117, 0.761155, 2.79631, 10.3757", \
             "0.0337008, 0.0707784, 0.21551, 0.761182, 2.79615, 10.3814" \
           );
         }
@@ -135432,9 +150816,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00464372");
-            index_3 ("0.798626, 0.81734, 0.836369, 0.845143, 0.849661, 0.858697, 0.864457, 0.870868, 0.881066, 0.885882, 0.890736, 0.89776, 0.903721, 0.915643, 0.926122, 0.932684, 0.943095, 0.947161, 0.953979, 0.963016, 0.971226, 0.982172, 0.990975, 1.00068, 1.01362, 1.04351, 1.06315, 1.08042, 1.11212");
+            index_3 ("0.798626, 0.81734, 0.836369, 0.845143, 0.849661, 0.858697, 0.864457, 0.870868, 0.881066, 0.885882, 0.890736, 0.89776, 0.903721, 0.915643, 0.926122, 0.932684, 0.943095, 0.947161, 0.95398, 0.963016, 0.971226, 0.982172, 0.990975, 1.00068, 1.01362, 1.04351, 1.06315, 1.08042, 1.11212");
             values ( \
-              "0.000304018, 0.017572, 0.0527226, 0.066613, 0.0718932, 0.0793069, 0.081614, 0.0824063, 0.081007, 0.0789581, 0.0760834, 0.0700849, 0.0635905, 0.0495422, 0.0388811, 0.0329495, 0.0249687, 0.0222907, 0.0183575, 0.0140561, 0.010975, 0.00782146, 0.00592485, 0.00435664, 0.002872, 0.00101061, 0.000552197, 0.000313259, 9.75185e-05" \
+              "0.000304018, 0.017572, 0.0527226, 0.066613, 0.0718932, 0.0793069, 0.081614, 0.0824063, 0.081007, 0.0789581, 0.0760834, 0.0700849, 0.0635905, 0.0495422, 0.0388812, 0.0329495, 0.0249687, 0.0222907, 0.0183575, 0.0140561, 0.010975, 0.00782146, 0.00592485, 0.00435664, 0.002872, 0.00101061, 0.00055221, 0.000313275, 9.75265e-05" \
             );
           }
           vector (ccs_template) {
@@ -135477,9 +150861,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.36352, 2.36549, 2.37029, 2.38435, 2.39147, 2.39508, 2.39683, 2.40033, 2.4037, 2.40614, 2.41088, 2.41412, 2.41932, 2.42638, 2.43254, 2.43693, 2.44004, 2.44377, 2.45268, 2.46221, 2.47311, 2.47861");
+            index_3 ("2.36531, 2.37139, 2.38038, 2.38863, 2.39236, 2.39583, 2.39948, 2.40177, 2.40636, 2.40938, 2.41616, 2.42357, 2.43093, 2.44041, 2.4464, 2.45198, 2.46294, 2.47252, 2.47361");
             values ( \
-              "0.0038427, 0.00455366, 0.0101924, 0.0352381, 0.0447301, 0.0474757, 0.0482348, 0.048881, 0.0481114, 0.046477, 0.0403544, 0.0339847, 0.025481, 0.0168684, 0.0116632, 0.00880183, 0.00722436, 0.00567689, 0.00315468, 0.00171735, 0.000778578, 0.000606688" \
+              "0.00503423, 0.0116255, 0.0280802, 0.0411753, 0.0453051, 0.0477368, 0.048832, 0.0487652, 0.0466903, 0.0430778, 0.0307827, 0.020278, 0.0130971, 0.00722315, 0.00490171, 0.00338836, 0.00164968, 0.000858719, 0.000819341" \
             );
           }
           vector (ccs_template) {
@@ -135488,16 +150872,16 @@
             index_2 ("0.00464372");
             index_3 ("2.38408, 2.39821, 2.4173, 2.43075, 2.44182, 2.45214, 2.4624, 2.47265, 2.47914, 2.50347, 2.51411, 2.52445, 2.5353, 2.55262, 2.57237, 2.5949, 2.62065, 2.62791");
             values ( \
-              "0.00549245, 0.0181907, 0.0521027, 0.0713558, 0.079744, 0.0823069, 0.0807544, 0.0757054, 0.0700028, 0.0428233, 0.0328732, 0.0249606, 0.0183688, 0.0109633, 0.00591829, 0.00287792, 0.00119116, 0.00101104" \
+              "0.00549243, 0.0181907, 0.0521027, 0.0713558, 0.079744, 0.0823069, 0.0807544, 0.0757054, 0.0700028, 0.0428233, 0.0328732, 0.0249606, 0.0183688, 0.0109633, 0.00591829, 0.00287792, 0.00119116, 0.00101104" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0173032");
-            index_3 ("2.40851, 2.47056, 2.48523, 2.53219, 2.55697, 2.57176, 2.60134, 2.61201, 2.62428, 2.63639, 2.6606, 2.6682, 2.68339, 2.70177, 2.73598, 2.78712, 2.80531, 2.82645, 2.85336, 2.8737, 2.90083, 2.92193, 2.93864, 2.96093, 2.99821, 3.0152, 3.04004, 3.07316, 3.1394, 3.24238, 3.36691");
+            index_3 ("2.41038, 2.47045, 2.53711, 2.56706, 2.58075, 2.59899, 2.61599, 2.63618, 2.66762, 2.68132, 2.70164, 2.73932, 2.77821, 2.8237, 2.85257, 2.87502, 2.89227, 2.9281, 2.97525, 3.00297, 3.04009, 3.08959, 3.18214, 3.18358");
             values ( \
-              "0.00389228, 0.0161939, 0.0266762, 0.0660745, 0.0825962, 0.0895709, 0.0976001, 0.0988071, 0.099398, 0.0992364, 0.0972318, 0.0961367, 0.0933946, 0.0888358, 0.077021, 0.0559017, 0.0488261, 0.0412472, 0.0327364, 0.0272387, 0.0210818, 0.0171426, 0.0145126, 0.0115746, 0.0078271, 0.00654835, 0.00503208, 0.0035234, 0.00166814, 0.000472105, 8.92582e-05" \
+              "0.00459003, 0.0161589, 0.0698331, 0.0876268, 0.0927639, 0.0971144, 0.098992, 0.099199, 0.0962447, 0.0937952, 0.0888283, 0.0755353, 0.0593437, 0.0421136, 0.0329208, 0.0268712, 0.0228528, 0.0161018, 0.00995009, 0.00744231, 0.00502069, 0.00291922, 0.000971887, 0.00096234" \
             );
           }
           vector (ccs_template) {
@@ -135506,14 +150890,14 @@
             index_2 ("0.064474");
             index_3 ("2.46321, 2.69726, 2.73691, 2.81621, 2.93381, 2.98752, 3.07339, 3.19009, 3.30159, 3.41294, 3.45711, 3.53352, 3.59483, 3.66742, 3.8586, 3.9527, 4.06262, 4.18817, 4.24566, 4.33039, 4.38671, 4.49935, 4.5932, 4.71863, 4.88586, 5.20743, 5.58068");
             values ( \
-              "0.00739085, 0.0124508, 0.0194904, 0.0385131, 0.0692811, 0.0812908, 0.0949524, 0.103842, 0.104689, 0.101173, 0.0987229, 0.0931618, 0.0874123, 0.0794393, 0.0565094, 0.0460038, 0.0352958, 0.0254858, 0.0217857, 0.0171744, 0.0146097, 0.0104804, 0.00790851, 0.00540413, 0.00320161, 0.00107214, 0.000283486" \
+              "0.00739085, 0.0124508, 0.0194904, 0.0385131, 0.0692811, 0.0812908, 0.0949524, 0.103842, 0.104689, 0.101173, 0.0987229, 0.0931618, 0.0874123, 0.0794393, 0.0565094, 0.0460038, 0.0352958, 0.0254858, 0.0217857, 0.0171744, 0.0146097, 0.0104804, 0.00790851, 0.00540413, 0.00320162, 0.00107214, 0.000283486" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.240239");
-            index_3 ("2.70674, 3.52391, 3.62851, 3.83771, 4.42443, 4.58942, 4.93833, 5.36702, 5.77621, 6.20188, 6.35853, 6.62906, 6.81208, 7.1211, 8.17676, 8.57264, 8.89312, 9.14117, 9.45976, 9.72032, 10.0301, 10.4668, 10.9192, 11.2655, 11.9581, 12.9055");
+            index_3 ("2.70674, 3.52391, 3.62851, 3.83771, 4.42443, 4.58942, 4.93833, 5.36702, 5.77621, 6.20189, 6.35853, 6.62906, 6.81208, 7.1211, 8.17676, 8.57264, 8.89312, 9.14117, 9.45976, 9.72032, 10.0301, 10.4668, 10.9192, 11.2655, 11.9581, 12.9055");
             values ( \
               "0.0101862, 0.0109976, 0.0154411, 0.0276501, 0.0699897, 0.0804631, 0.0964578, 0.105384, 0.106198, 0.102264, 0.0998321, 0.0943647, 0.0897339, 0.0805697, 0.0465386, 0.0358588, 0.0286058, 0.0238394, 0.0186951, 0.0152568, 0.0119188, 0.00832826, 0.00573061, 0.00428987, 0.00235004, 0.0011193" \
             );
@@ -135524,7 +150908,7 @@
             index_2 ("0.895166");
             index_3 ("3.62428, 7.08905, 10.6756, 11.9132, 13.1688, 14.1607, 15.0182, 16.2738, 17.1185, 18.1841, 20.017, 23.3425, 25.494, 27.322, 29.8678, 32.196, 33.5167, 35.5354, 38.0465, 39.7308");
             values ( \
-              "0.00620552, 0.0159809, 0.0816299, 0.0968308, 0.104651, 0.106651, 0.106391, 0.103626, 0.100371, 0.0946639, 0.0808334, 0.0515179, 0.0353963, 0.0248535, 0.0146475, 0.00881599, 0.00657383, 0.00416813, 0.0023473, 0.00165562" \
+              "0.00620555, 0.0159808, 0.0816298, 0.0968308, 0.104651, 0.106651, 0.106391, 0.103626, 0.100371, 0.0946639, 0.0808334, 0.0515179, 0.0353963, 0.0248535, 0.0146475, 0.00881599, 0.00657383, 0.00416813, 0.0023473, 0.00165563" \
             );
           }
           vector (ccs_template) {
@@ -135551,14 +150935,14 @@
             index_2 ("0.0173032");
             index_3 ("8.36024, 8.40948, 8.48671, 8.51144, 8.54475, 8.57636, 8.60796, 8.64179, 8.79306, 8.84262, 8.8781, 8.93761, 9.01688, 9.05789");
             values ( \
-              "0.00892126, 0.0163914, 0.0762063, 0.0890953, 0.0979655, 0.0992303, 0.096285, 0.0888571, 0.0328232, 0.0207132, 0.0145931, 0.00787569, 0.0033801, 0.00229724" \
+              "0.00892122, 0.0163914, 0.0762063, 0.0890953, 0.0979655, 0.0992303, 0.096285, 0.0888571, 0.0328232, 0.0207132, 0.0145931, 0.00787569, 0.0033801, 0.00229724" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.064474");
-            index_3 ("8.41426, 8.64057, 8.71879, 8.87575, 8.94277, 9.01514, 9.13194, 9.24334, 9.35468, 9.47528, 9.60917, 9.89446, 10.0044, 10.1618, 10.3174, 10.5221, 10.7425, 10.9338, 11.083");
+            index_3 ("8.41426, 8.64057, 8.71879, 8.87575, 8.94277, 9.01514, 9.13194, 9.24334, 9.35469, 9.47528, 9.60917, 9.89446, 10.0044, 10.1618, 10.3174, 10.5221, 10.7425, 10.9338, 11.083");
             values ( \
               "0.0077348, 0.0127917, 0.0286875, 0.069422, 0.0839277, 0.0949664, 0.103823, 0.104698, 0.101155, 0.093159, 0.0794373, 0.046003, 0.0352941, 0.0233228, 0.0150339, 0.00818918, 0.00419037, 0.00227937, 0.00159423" \
             );
@@ -135590,7 +150974,7 @@
             "0.00694246, 0.00694238, 0.00694241, 0.00694246, 0.00694251, 0.00694248", \
             "0.00758598, 0.00758599, 0.00758601, 0.00758602, 0.00758602, 0.00758602", \
             "0.00809341, 0.0080934, 0.0080934, 0.00809339, 0.00809339, 0.00809338", \
-            "0.00873378, 0.00873378, 0.00873036, 0.00873381, 0.00873381, 0.00873381", \
+            "0.00873034, 0.00873378, 0.0087338, 0.00873381, 0.00873381, 0.00873381", \
             "0.00908016, 0.00906808, 0.00905099, 0.00904211, 0.0090394, 0.00903863" \
           );
         }
@@ -135602,7 +150986,7 @@
             "0.00823731, 0.00823705, 0.00823665, 0.00823645, 0.00823635, 0.00823638", \
             "0.009788, 0.00978804, 0.00978804, 0.00978795, 0.00978793, 0.00978792", \
             "0.00948908, 0.00949425, 0.00947046, 0.00945966, 0.00945607, 0.00945506", \
-            "0.00859702, 0.00859637, 0.00860293, 0.00860137, 0.00860446, 0.00860506", \
+            "0.00860281, 0.00859637, 0.00859638, 0.00860137, 0.00860446, 0.00860506", \
             "0.00807896, 0.00809693, 0.00812538, 0.00814036, 0.00814578, 0.00814711" \
           );
         }
@@ -135701,9 +151085,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.240239");
-            index_3 ("0.813364, 1.92708, 2.1639, 2.85987, 3.28915, 3.47807, 3.81068, 4.1026, 4.4485, 4.5839, 4.78216, 5.11438, 5.44797, 5.94374, 6.20391, 6.48603, 6.64166, 6.859, 6.99696, 7.25277, 7.59384, 8.19749, 8.96627");
+            index_3 ("0.813364, 1.92708, 2.1639, 2.85987, 3.28915, 3.47807, 3.81069, 4.1026, 4.4485, 4.5839, 4.78216, 5.11438, 5.44797, 5.94374, 6.20391, 6.48603, 6.64166, 6.859, 6.99696, 7.25277, 7.59384, 8.19749, 8.96627");
             values ( \
-              "-0.00192104, -0.0136195, -0.027297, -0.0717831, -0.0940926, -0.102254, -0.114069, -0.121855, -0.128076, -0.129619, -0.13084, -0.128905, -0.113326, -0.0673418, -0.0471722, -0.0309934, -0.0240946, -0.0170007, -0.0133962, -0.00866485, -0.0048582, -0.0014239, -0.000362675" \
+              "-0.00192104, -0.0136195, -0.027297, -0.0717831, -0.0940926, -0.102254, -0.114069, -0.121855, -0.128076, -0.129619, -0.13084, -0.128905, -0.113326, -0.0673418, -0.0471722, -0.0309933, -0.0240946, -0.0170007, -0.0133962, -0.00866485, -0.00485821, -0.0014239, -0.000362675" \
             );
           }
           vector (ccs_template) {
@@ -135856,7 +151240,7 @@
             index_2 ("0.064474");
             index_3 ("1.68178, 2.38625, 2.42414, 2.48413, 2.51293, 2.71991, 2.80152, 2.92468, 3.23644, 3.31645, 3.43067, 3.53187, 3.62631, 3.7177, 3.80899, 3.89984, 4.07634, 4.15951, 4.2479, 4.33472, 4.38716, 4.49202, 4.5385");
             values ( \
-              "-2.63355e-06, -0.000270235, -0.00113834, -0.00521877, -0.00568546, -0.00175615, -0.00123213, -0.0114791, -0.0797035, -0.093671, -0.109678, -0.119656, -0.125859, -0.128536, -0.126681, -0.111289, -0.0541864, -0.0346569, -0.0208419, -0.0123013, -0.00886628, -0.0044753, -0.00361437" \
+              "-2.63355e-06, -0.000270234, -0.00113834, -0.00521877, -0.00568546, -0.00175615, -0.00123213, -0.0114791, -0.0797035, -0.093671, -0.109678, -0.119656, -0.125859, -0.128536, -0.126681, -0.111289, -0.0541864, -0.0346569, -0.0208419, -0.0123013, -0.00886628, -0.0044753, -0.00361437" \
             );
           }
           vector (ccs_template) {
@@ -135865,7 +151249,7 @@
             index_2 ("0.240239");
             index_3 ("1.81554, 2.38179, 2.54121, 3.44509, 3.77462, 3.96783, 4.27967, 4.96313, 5.49304, 5.84589, 6.28081, 6.62699, 6.96145, 7.29564, 7.62826, 8.4513, 8.72733, 9.07513, 9.42935, 9.83417, 9.89986");
             values ( \
-              "-4.67446e-06, -0.000241627, -0.00832484, -0.00215794, -0.00304442, -0.00759175, -0.0232908, -0.0672847, -0.0951677, -0.109325, -0.121809, -0.128076, -0.130858, -0.128887, -0.113261, -0.0426673, -0.0279696, -0.0158548, -0.00885092, -0.00417021, -0.00387305" \
+              "-4.67447e-06, -0.000241627, -0.00832484, -0.00215794, -0.00304442, -0.00759175, -0.0232908, -0.0672847, -0.0951677, -0.109325, -0.121809, -0.128076, -0.130858, -0.128887, -0.113261, -0.0426673, -0.0279696, -0.0158548, -0.00885092, -0.00417021, -0.00387305" \
             );
           }
           vector (ccs_template) {
@@ -135928,7 +151312,7 @@
             index_2 ("0.895166");
             index_3 ("6.17602, 8.35102, 8.57353, 9.74186, 11.0831, 12.0604, 13.1718, 14.2832, 14.676, 18.0816, 19.6075, 20.489, 22.2644, 23.053, 23.8089, 24.9203, 25.3059, 25.7895, 26.2744, 27.2443, 30.0795, 31.0483, 32.3372, 33.4504, 34.2304, 35.3418, 37.5646, 39.7874");
             values ( \
-              "-1.41731e-06, -9.95306e-05, -0.00951642, -0.0062597, -0.00362851, -0.00237092, -0.00296674, -0.0113131, -0.0166246, -0.0745288, -0.0956773, -0.105659, -0.120772, -0.125408, -0.128698, -0.131369, -0.131575, -0.131123, -0.129487, -0.118975, -0.0518395, -0.0352705, -0.0203105, -0.0123244, -0.00864766, -0.00508787, -0.00174189, -0.000581361" \
+              "-1.4173e-06, -9.95306e-05, -0.00951642, -0.0062597, -0.00362851, -0.00237092, -0.00296674, -0.0113131, -0.0166246, -0.0745288, -0.0956773, -0.105659, -0.120772, -0.125408, -0.128698, -0.131369, -0.131575, -0.131123, -0.129487, -0.118975, -0.0518395, -0.0352705, -0.0203105, -0.0123244, -0.00864766, -0.00508787, -0.00174189, -0.000581361" \
             );
           }
         }
@@ -135969,7 +151353,7 @@
             "0.0407975, 0.0686822, 0.17143, 0.55098, 1.96356, 7.22585", \
             "0.0760798, 0.11642, 0.219013, 0.598056, 2.01006, 7.2724", \
             "0.145424, 0.22688, 0.402871, 0.792572, 2.19914, 7.44385", \
-            "0.319599, 0.458869, 0.799965, 1.50771, 2.98226, 8.22701", \
+            "0.319661, 0.458869, 0.799965, 1.50771, 2.98226, 8.22701", \
             "0.883983, 1.09324, 1.66664, 3.01098, 5.78239, 11.3811" \
           );
         }
@@ -135981,7 +151365,7 @@
             "0.0295432, 0.069715, 0.22415, 0.799754, 2.94474, 10.9357", \
             "0.0580426, 0.0875855, 0.224472, 0.799786, 2.94461, 10.9356", \
             "0.128129, 0.189912, 0.316262, 0.805372, 2.94473, 10.9356", \
-            "0.317904, 0.425929, 0.69461, 1.20355, 2.98098, 10.9356", \
+            "0.317923, 0.425929, 0.69461, 1.20355, 2.98098, 10.9356", \
             "1.02194, 1.14784, 1.58002, 2.64519, 4.63656, 11.1369" \
           );
         }
@@ -135993,7 +151377,7 @@
             "0.0335256, 0.0533768, 0.124781, 0.388431, 1.36989, 5.0267", \
             "0.0564036, 0.0906472, 0.168341, 0.431853, 1.41339, 5.07023", \
             "0.0869728, 0.15569, 0.305069, 0.609524, 1.5886, 5.24489", \
-            "0.102293, 0.232011, 0.525422, 1.12815, 2.30625, 5.95099", \
+            "0.102284, 0.232011, 0.525422, 1.12815, 2.30625, 5.95099", \
             "0.00448695, 0.219531, 0.767076, 1.94111, 4.2985, 8.84239" \
           );
         }
@@ -136005,7 +151389,7 @@
             "0.0190527, 0.0421747, 0.135728, 0.484481, 1.78418, 6.62707", \
             "0.0411441, 0.0641396, 0.139324, 0.484482, 1.78419, 6.62707", \
             "0.0980378, 0.140896, 0.239177, 0.509055, 1.78418, 6.62707", \
-            "0.27396, 0.348356, 0.528661, 0.91506, 1.9069, 6.62707", \
+            "0.273988, 0.348356, 0.528661, 0.91506, 1.9069, 6.62707", \
             "0.949228, 1.02772, 1.32425, 2.02732, 3.52298, 7.19607" \
           );
         }
@@ -136151,7 +151535,7 @@
             index_2 ("0.064474");
             index_3 ("0.12994, 0.277156, 0.597928, 0.779461, 0.83405, 0.943229, 1.19662, 1.35209, 1.47376, 1.5441, 1.63548, 1.71078, 1.7981, 1.92139, 2.04822, 2.14516, 2.33902, 2.70051, 3.12706, 3.67225, 62.3255");
             values ( \
-              "0.0044352, 0.121028, 0.102937, 0.0915878, 0.0873893, 0.0773384, 0.050322, 0.0356937, 0.0264785, 0.0220518, 0.0172658, 0.0140347, 0.0109593, 0.0076819, 0.00530577, 0.00397039, 0.0022017, 0.000645336, 0.000146288, 8.0361e-06, 1e-22" \
+              "0.0044352, 0.121028, 0.102937, 0.0915878, 0.0873893, 0.0773384, 0.050322, 0.0356937, 0.0264785, 0.0220518, 0.0172658, 0.0140347, 0.0109593, 0.0076819, 0.00530577, 0.00397039, 0.0022017, 0.000645336, 0.000146288, 8.03611e-06, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -136230,9 +151614,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("1.76554, 1.95915, 1.97667, 2.01172, 2.03328, 2.05484, 2.0764, 2.08438, 2.10034, 2.11341, 2.1328, 2.17559, 2.20912, 2.24071, 2.27236, 2.28818, 2.30421, 2.32345, 2.34567, 2.36447, 2.38815, 2.41711, 2.44436, 2.46809, 2.49181, 2.51554, 2.53926, 2.54769, 2.55397, 2.56339, 2.56967, 2.58223, 2.59091, 2.60289, 2.61727, 2.6379, 2.64524, 2.65405, 2.67166, 2.68815, 2.70687, 2.71436, 2.72934, 2.73683, 2.74432, 2.75922, 2.77412, 2.78902, 2.80392, 2.87429");
+            index_3 ("1.76173, 1.96784, 1.98339, 2.0145, 2.03342, 2.05235, 2.07127, 2.08452, 2.11101, 2.15325, 2.20388, 2.24074, 2.27193, 2.29353, 2.31557, 2.3458, 2.371, 2.3962, 2.42419, 2.44455, 2.45641, 2.46827, 2.48013, 2.49199, 2.51571, 2.53943, 2.551, 2.56346, 2.58215, 2.61882, 2.64975, 2.66284, 2.68014, 2.70124, 2.72026, 2.73929, 2.75832, 2.78908, 2.80446, 2.81985, 2.83849, 2.85713, 2.87577, 2.89442, 2.94286, 2.9913, 4.16598, 4.22635, 4.5005, 33.9479");
             values ( \
-              "0.000382826, 0.000387358, 0.000428595, 0.00052181, 0.00061455, 0.000734031, 0.000880252, 0.000947678, 0.00112898, 0.00129811, 0.0015867, 0.00232634, 0.00285211, 0.00328591, 0.00363058, 0.00378279, 0.00392243, 0.00406315, 0.0042111, 0.00430925, 0.00441257, 0.00452592, 0.00461522, 0.00460402, 0.00458131, 0.0045471, 0.00450139, 0.00443384, 0.00435053, 0.00417551, 0.00403445, 0.0036938, 0.00340714, 0.00291518, 0.00238765, 0.00174377, 0.00153984, 0.00133131, 0.00100118, 0.000757418, 0.000527663, 0.000453147, 0.000347034, 0.000301037, 0.000259746, 0.000210744, 0.000167602, 0.000130321, 9.88999e-05, 2.97022e-05" \
+              "0.000348986, 0.000405866, 0.000444363, 0.000530434, 0.000612318, 0.000716456, 0.000842845, 0.000956875, 0.00127341, 0.00193407, 0.0027676, 0.00328441, 0.00362315, 0.00383133, 0.00402269, 0.00421007, 0.00433699, 0.00444649, 0.00455183, 0.00461656, 0.00461224, 0.00460508, 0.0045951, 0.00458229, 0.00454818, 0.00450276, 0.00439976, 0.00417857, 0.00370161, 0.00233823, 0.00143225, 0.00116088, 0.000872668, 0.000588679, 0.000404389, 0.000294253, 0.000206636, 0.000132175, 0.00010235, 7.74635e-05, 6.23311e-05, 4.88438e-05, 3.70015e-05, 2.68042e-05, 1.30069e-05, 2.97978e-07, 2.53177e-05, 1.26656e-06, 1.00903e-07, 1.63497e-09" \
             );
           }
           vector (ccs_template) {
@@ -136286,7 +151670,7 @@
             index_2 ("0.00124625");
             index_3 ("5.78722, 7.42922, 7.65515, 7.96963, 8.18174, 8.33818, 8.55074, 8.67513, 8.71007, 8.84982, 8.88813, 9.03253, 9.19541, 9.21879, 9.26556, 9.35463, 9.51247, 9.6703, 9.74016, 9.78896, 9.95497, 10.0309, 10.1115, 10.1953, 10.3206, 10.4865, 10.7078, 11.1279, 11.6044, 12.1889, 16.6673, 16.7988, 16.8587, 16.9784, 17.218, 56.0505, 57.297, 58.5435, 59.7901, 61.0366, 61.6815, 62.3263");
             values ( \
-              "4.18962e-07, 6.02962e-05, 7.83128e-05, 0.000132701, 0.000225529, 0.00039406, 0.000852545, 0.00107057, 0.00111295, 0.00123646, 0.00125919, 0.00132118, 0.00135749, 0.00136546, 0.00136841, 0.00138215, 0.00138268, 0.00135526, 0.00129112, 0.00119294, 0.000515961, 0.000339254, 0.000240289, 0.000183254, 0.000130035, 8.94603e-05, 5.42472e-05, 1.64227e-05, 5.7666e-06, 1e-22, 2e-22, 3.60808e-06, 1e-22, 4.64218e-07, 1e-22, 2.41911e-07, 1e-22, 2.53186e-07, 1e-22, 2.63307e-07, 1e-22, 2.79984e-07" \
+              "4.18962e-07, 6.02962e-05, 7.83128e-05, 0.000132701, 0.000225529, 0.00039406, 0.000852545, 0.00107057, 0.00111295, 0.00123646, 0.00125919, 0.00132118, 0.00135749, 0.00136546, 0.00136841, 0.00138215, 0.00138268, 0.00135526, 0.00129112, 0.00119294, 0.000515961, 0.000339254, 0.000240289, 0.000183254, 0.000130035, 8.94603e-05, 5.42472e-05, 1.64227e-05, 5.76659e-06, 1e-22, 2e-22, 3.60808e-06, 1e-22, 4.64218e-07, 1e-22, 2.41912e-07, 1e-22, 2.53187e-07, 1e-22, 2.63307e-07, 1e-22, 2.79985e-07" \
             );
           }
           vector (ccs_template) {
@@ -136304,7 +151688,7 @@
             index_2 ("0.0173032");
             index_3 ("5.78617, 7.53743, 7.79697, 8.06705, 8.33713, 8.60506, 9.01738, 9.26341, 9.44043, 9.71453, 10.0002, 10.2036, 10.4357, 10.6679, 10.8144, 11.2647, 11.4884, 11.679, 11.9403, 12.2522, 16.6663, 16.9779, 17.5296, 62.3253");
             values ( \
-              "5.88952e-06, 0.00079608, 0.00108392, 0.00157663, 0.00249624, 0.00420624, 0.00772568, 0.00944127, 0.0104393, 0.0116726, 0.0125548, 0.0129541, 0.0131487, 0.0128932, 0.0117772, 0.0036538, 0.00148116, 0.000587661, 0.000139857, 4.90688e-06, 1e-22, 1.37784e-05, 1e-22, 2e-22" \
+              "5.88952e-06, 0.00079608, 0.00108392, 0.00157663, 0.00249624, 0.00420624, 0.00772568, 0.00944127, 0.0104393, 0.0116726, 0.0125548, 0.0129541, 0.0131487, 0.0128932, 0.0117772, 0.0036538, 0.00148116, 0.000587661, 0.000139857, 4.90689e-06, 1e-22, 1.37784e-05, 1e-22, 2e-22" \
             );
           }
           vector (ccs_template) {
@@ -136322,7 +151706,7 @@
             index_2 ("0.240239");
             index_3 ("7.03266, 8.33711, 8.55273, 8.98396, 10.294, 11.5506, 12.5002, 13.3035, 14.0197, 14.3118, 14.6842, 15.3414, 15.9963, 17.2317, 17.502, 17.8598, 18.1766, 18.5988, 18.9599, 19.4421, 20.0851, 21.3153, 22.5618, 23.8083, 25.0548, 28.7944, 62.3253");
             values ( \
-              "0.00620763, 0.00794744, 0.00936962, 0.0129229, 0.027117, 0.0397091, 0.0488195, 0.0559769, 0.06143, 0.0632083, 0.0647983, 0.0634332, 0.0542005, 0.0278746, 0.0230518, 0.0177414, 0.0139818, 0.0100749, 0.00757199, 0.00514654, 0.00302572, 0.000999267, 0.000322522, 0.000101922, 3.4153e-05, 1e-22, 1.25638e-06" \
+              "0.00620763, 0.00794744, 0.00936962, 0.0129229, 0.027117, 0.0397091, 0.0488195, 0.0559769, 0.06143, 0.0632083, 0.0647983, 0.0634332, 0.0542005, 0.0278746, 0.0230518, 0.0177414, 0.0139818, 0.0100749, 0.00757199, 0.00514654, 0.00302572, 0.000999267, 0.000322522, 0.000101922, 3.41531e-05, 1e-22, 1.25638e-06" \
             );
           }
           vector (ccs_template) {
@@ -136556,9 +151940,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("1.65592, 1.77346, 1.80951, 1.83835, 1.86211, 1.87398, 1.90962, 1.94618, 2.04661, 2.08025, 2.10993, 2.13741, 2.17926, 2.22212, 2.23213, 2.24214, 2.25215, 2.26216, 2.27217, 2.29219, 2.3022, 2.31283, 2.32857, 2.34813, 2.37505, 2.3939, 2.40968, 2.43081, 2.43786, 2.46379, 2.48779, 2.53579, 2.58099, 2.6262, 2.66733, 2.70847, 2.7496, 2.79074, 2.84413, 2.89753, 2.95093, 3.00432, 3.21304, 3.49032, 3.69802, 3.90572, 4.11342, 4.19185, 4.29098, 27.0031");
+            index_3 ("1.67057, 1.78829, 1.81373, 1.847, 1.86218, 1.87737, 1.9131, 1.9443, 2.04656, 2.08018, 2.1098, 2.13732, 2.17919, 2.22203, 2.23204, 2.24205, 2.25206, 2.26207, 2.27209, 2.2821, 2.30212, 2.31275, 2.32849, 2.34805, 2.37497, 2.39381, 2.40959, 2.43072, 2.43777, 2.4637, 2.48771, 2.53572, 2.58092, 2.62613, 2.66726, 2.7084, 2.74953, 2.79067, 2.84406, 2.89746, 2.95086, 3.00426, 3.21298, 3.49026, 3.69797, 3.90567, 4.11338, 4.19175, 4.29081, 27.0023");
             values ( \
-              "-0.00044263, -0.000458495, -0.000604421, -0.000740034, -0.000889458, -0.000983009, -0.00132915, -0.00178843, -0.00363495, -0.00415379, -0.00455537, -0.00485626, -0.00520962, -0.00548402, -0.00548959, -0.00549119, -0.00548884, -0.00548253, -0.00547225, -0.00543981, -0.00541765, -0.00531914, -0.00498868, -0.00427121, -0.00224212, -0.00111933, -0.000651221, -0.000397404, -0.000341124, -0.000255968, -0.000217565, -0.000163339, -0.00012892, -0.000100024, -8.27334e-05, -6.72142e-05, -5.34668e-05, -4.1491e-05, -3.05523e-05, -2.12895e-05, -1.37026e-05, -7.79169e-06, -4.85622e-06, -2.60213e-06, -2.14371e-06, -2.7389e-06, -4.38771e-06, -1.31603e-06, -6.35475e-08, -4.78122e-10" \
+              "-0.00050587, -0.00051282, -0.000620708, -0.000785786, -0.000881646, -0.0010041, -0.00136245, -0.00177069, -0.00363482, -0.00415354, -0.0045545, -0.00485589, -0.00520982, -0.00548372, -0.00548932, -0.00549096, -0.00548862, -0.00548231, -0.00547202, -0.00545777, -0.00541735, -0.00531895, -0.00498859, -0.00427106, -0.00224156, -0.00111956, -0.000651318, -0.000397463, -0.00034117, -0.000255975, -0.000217561, -0.000163329, -0.000128913, -0.00010002, -8.27297e-05, -6.72112e-05, -5.34644e-05, -4.14892e-05, -3.05506e-05, -2.12879e-05, -1.37011e-05, -7.79027e-06, -4.8552e-06, -2.60185e-06, -2.14412e-06, -2.7401e-06, -4.38982e-06, -1.31852e-06, -6.35786e-08, -4.78122e-10" \
             );
           }
           vector (ccs_template) {
@@ -136621,7 +152005,7 @@
             index_2 ("0.00464372");
             index_3 ("6.46276, 7.1711, 7.33566, 7.42544, 7.54514, 7.67364, 7.73865, 8.00076, 8.06255, 8.19769, 8.33596, 8.44883, 8.55316, 8.58849, 8.65917, 8.75533, 8.84463, 8.99824, 9.05509, 9.10743, 9.17093, 9.32017, 9.37014, 9.43678, 9.53669, 9.64384, 9.82249, 9.87961, 9.99385, 10.2223, 10.6793, 11.4579, 12.3646, 13.2713, 16.6663, 16.893, 17.2957, 17.6568, 18.3791, 19.2858, 20.1925, 21.0992, 22.0058, 22.9125, 23.8192, 45.3341");
             values ( \
-              "-0.000250897, -0.000580321, -0.000812859, -0.000980931, -0.00127852, -0.00174509, -0.00205198, -0.00351876, -0.00382154, -0.00438088, -0.00480785, -0.00505469, -0.00521021, -0.00524508, -0.00530352, -0.00533561, -0.00531761, -0.00516366, -0.00501258, -0.00477236, -0.0041629, -0.00138872, -0.000880648, -0.000553541, -0.000358465, -0.000303625, -0.00022446, -0.000213546, -0.000182169, -0.000138126, -7.7986e-05, -2.86339e-05, -4.64183e-06, -1e-22, -2e-22, -2.44765e-06, -1e-22, -1.71686e-06, -1e-22, -1.45371e-06, -1e-22, -1.25376e-06, -1e-22, -1.08025e-06, -1e-22, -2e-22" \
+              "-0.000250897, -0.000580321, -0.000812859, -0.000980931, -0.00127852, -0.00174509, -0.00205198, -0.00351876, -0.00382155, -0.00438088, -0.00480785, -0.00505469, -0.00521021, -0.00524508, -0.00530352, -0.00533561, -0.00531761, -0.00516366, -0.00501258, -0.00477236, -0.0041629, -0.00138872, -0.000880648, -0.000553541, -0.000358465, -0.000303625, -0.00022446, -0.000213546, -0.000182169, -0.000138126, -7.7986e-05, -2.86339e-05, -4.64183e-06, -1e-22, -2e-22, -2.44765e-06, -1e-22, -1.71686e-06, -1e-22, -1.45371e-06, -1e-22, -1.25376e-06, -1e-22, -1.08025e-06, -1e-22, -2e-22" \
             );
           }
           vector (ccs_template) {
@@ -136648,7 +152032,7 @@
             index_2 ("0.240239");
             index_3 ("7.36839, 8.33607, 8.83227, 10.6045, 11.1359, 11.7157, 12.002, 12.7512, 13.0943, 13.3028, 13.586, 14.0731, 14.1651, 14.4307, 14.88, 15.099, 15.2796, 15.5205, 15.7423, 15.8573, 16.0874, 16.5475, 16.6652, 17.0031, 17.171, 17.507, 18.179, 19.0856, 45.333");
             values ( \
-              "-0.0108106, -0.0145207, -0.0215134, -0.0500685, -0.0581839, -0.066624, -0.0705983, -0.0801832, -0.0839665, -0.0859361, -0.0878858, -0.0848875, -0.0819184, -0.0691692, -0.0442559, -0.0338241, -0.0266239, -0.0189118, -0.0135881, -0.0113856, -0.00790112, -0.00356874, -0.00291327, -0.00170449, -0.00127426, -0.000704037, -0.00018793, -2.30036e-05, -4.97314e-07" \
+              "-0.0108106, -0.0145207, -0.0215134, -0.0500685, -0.0581839, -0.066624, -0.0705983, -0.0801832, -0.0839665, -0.0859361, -0.0878858, -0.0848875, -0.0819184, -0.0691692, -0.0442559, -0.0338241, -0.0266239, -0.0189118, -0.0135881, -0.0113856, -0.00790112, -0.00356874, -0.00291327, -0.00170449, -0.00127426, -0.000704037, -0.00018793, -2.30036e-05, -4.97313e-07" \
             );
           }
           vector (ccs_template) {
@@ -136676,7 +152060,7 @@
             "0.176491, 0.237447, 0.442522, 1.18785, 3.96633, 14.3099", \
             "0.221658, 0.28252, 0.487836, 1.23334, 4.01123, 14.3581", \
             "0.351635, 0.416536, 0.623143, 1.3689, 4.14667, 14.4902", \
-            "0.596755, 0.67234, 0.881771, 1.62641, 4.40404, 14.7498", \
+            "0.596724, 0.67232, 0.881664, 1.62686, 4.40245, 14.7498", \
             "1.13527, 1.20721, 1.41354, 2.15591, 4.93214, 15.2727" \
           );
         }
@@ -136688,7 +152072,7 @@
             "0.031063, 0.0696162, 0.21491, 0.760819, 2.79657, 10.3767", \
             "0.0310574, 0.0695801, 0.215005, 0.761066, 2.79666, 10.378", \
             "0.0334348, 0.0704307, 0.214956, 0.760961, 2.79649, 10.3764", \
-            "0.0417992, 0.0729316, 0.214968, 0.76102, 2.79576, 10.3763", \
+            "0.0418073, 0.0729296, 0.214986, 0.761032, 2.79565, 10.3763", \
             "0.0460403, 0.07259, 0.214995, 0.760797, 2.79617, 10.3776" \
           );
         }
@@ -136733,7 +152117,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.240239");
-            index_3 ("0.0249329, 0.0506264, 0.0684565, 0.119039, 0.169564, 0.210098, 0.236794, 0.484978, 0.603632, 0.777209, 1.00588, 1.26627, 1.46481, 1.61684, 2.20093, 2.39718, 2.71366, 3.14225, 3.55131, 3.96579, 4.1341, 4.40415, 4.89617, 5.95252, 6.34789, 6.66761, 6.91587, 7.23469, 7.4957, 7.80536, 8.24199, 8.69413, 9.04015, 9.73218, 10.6349");
+            index_3 ("0.0249329, 0.0506263, 0.0684565, 0.119039, 0.169564, 0.210098, 0.236794, 0.484978, 0.603632, 0.777209, 1.00588, 1.26627, 1.46481, 1.61684, 2.20093, 2.39718, 2.71366, 3.14225, 3.55131, 3.96579, 4.1341, 4.40415, 4.89617, 5.95252, 6.34789, 6.66761, 6.91587, 7.23469, 7.4957, 7.80536, 8.24199, 8.69413, 9.04015, 9.73218, 10.6349");
             values ( \
               "0.000225038, 0.000247648, 0.000924405, 0.00928138, 0.0120734, 0.0122225, 0.0119871, 0.0072049, 0.00555212, 0.00393751, 0.00422005, 0.0098446, 0.0186138, 0.0279746, 0.0701323, 0.0823731, 0.0964772, 0.105398, 0.106199, 0.102421, 0.0998261, 0.0943662, 0.0805712, 0.0465182, 0.0358554, 0.0286178, 0.0238467, 0.0186968, 0.0152528, 0.0119174, 0.00832712, 0.00573114, 0.00429187, 0.00235169, 0.00118647" \
             );
@@ -136780,7 +152164,7 @@
             index_2 ("0.064474");
             index_3 ("0.269901, 0.503497, 0.561506, 0.741115, 0.830841, 0.880708, 0.980443, 1.0308, 1.09794, 1.17105, 1.22112, 1.3406, 1.45662, 1.68738, 1.83503, 2.02641, 2.18316, 2.38759, 2.59526, 2.75688, 2.93291");
             values ( \
-              "0.00763704, 0.0122382, 0.0232657, 0.0693897, 0.0878554, 0.0949992, 0.103023, 0.104502, 0.10471, 0.102947, 0.100951, 0.0931438, 0.081504, 0.0539741, 0.0384414, 0.0233866, 0.0150063, 0.00817421, 0.0043695, 0.00260788, 0.00165117" \
+              "0.00763704, 0.0122382, 0.0232657, 0.0693897, 0.0878554, 0.0949992, 0.103023, 0.104502, 0.10471, 0.102947, 0.100951, 0.0931438, 0.081504, 0.0539741, 0.0384415, 0.0233865, 0.0150063, 0.00817421, 0.00436949, 0.00260786, 0.00165118" \
             );
           }
           vector (ccs_template) {
@@ -136913,45 +152297,45 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.60189, 2.6255, 2.62924, 2.63576, 2.66557, 2.67915, 2.68521, 2.69127, 2.70164, 2.70977, 2.71646, 2.72523, 2.73536, 2.75048, 2.764");
+            index_3 ("2.60032, 2.62511, 2.62842, 2.63413, 2.66558, 2.67915, 2.68522, 2.69128, 2.70164, 2.70977, 2.71647, 2.72523, 2.73536, 2.7505, 2.76132");
             values ( \
-              "0.000563094, 0.00337103, 0.00485729, 0.00859839, 0.0293349, 0.0364564, 0.0375913, 0.0348942, 0.0217471, 0.0142032, 0.0097529, 0.00578815, 0.00309323, 0.00118613, 0.000523972" \
+              "0.000512623, 0.00319644, 0.00448929, 0.00750043, 0.0293489, 0.03648, 0.0375779, 0.0349157, 0.0217538, 0.0142054, 0.00975023, 0.00579001, 0.00309428, 0.0011848, 0.000655459" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00464372");
-            index_3 ("2.6576, 2.67452, 2.6771, 2.68708, 2.69543, 2.72054, 2.72789, 2.73311, 2.74428, 2.755, 2.76572, 2.76945, 2.79853, 2.81501, 2.82959, 2.84503, 2.85307, 2.86447, 2.87627, 2.8853, 2.90336, 2.91805");
+            index_3 ("2.65538, 2.6745, 2.67733, 2.68711, 2.69531, 2.72053, 2.72787, 2.73309, 2.74427, 2.75498, 2.76571, 2.76944, 2.79852, 2.81499, 2.82955, 2.84502, 2.85306, 2.86445, 2.87624, 2.88526, 2.9033, 2.91778");
             values ( \
-              "0.00927399, 0.0104902, 0.01213, 0.0204532, 0.0291059, 0.0598465, 0.0678872, 0.072324, 0.0777279, 0.0782041, 0.0741666, 0.0714411, 0.040743, 0.026733, 0.0177829, 0.0112371, 0.0087785, 0.00613497, 0.00422646, 0.00316538, 0.00174246, 0.00120993" \
+              "0.0069951, 0.0104962, 0.0122989, 0.0205123, 0.0290009, 0.0598443, 0.0678942, 0.0723233, 0.0777352, 0.078202, 0.0741719, 0.0714402, 0.0407379, 0.0267311, 0.0177963, 0.0112327, 0.00877635, 0.00613402, 0.00422754, 0.00316742, 0.00174492, 0.00121906" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0173032");
-            index_3 ("0.995692, 2.39161, 2.57298, 2.68271, 2.71494, 2.74429, 2.76927, 2.82912, 2.86855, 2.89651, 2.91178, 2.93306, 2.96582, 2.99847, 3.14814, 3.19451, 3.23487, 3.29447, 3.37169, 3.40256");
+            index_3 ("0.995427, 2.39088, 2.45571, 2.57146, 2.68042, 2.69767, 2.71323, 2.72577, 2.74245, 2.76897, 2.82882, 2.86825, 2.8964, 2.9111, 2.93071, 2.94505, 2.96451, 2.99811, 3.02845, 3.09221, 3.14374, 3.1876, 3.21621, 3.24116, 3.27442, 3.30028, 3.32875, 3.3667, 3.44261, 3.46634");
             values ( \
-              "1e-22, 2.75436e-05, 0.000897717, 0.000905311, 0.00176906, 0.00679101, 0.0179661, 0.0665104, 0.0898093, 0.0974715, 0.0991153, 0.0993466, 0.0960996, 0.0888605, 0.0332527, 0.0216816, 0.0145717, 0.00785775, 0.00344747, 0.00260965" \
+              "1e-22, 2.68812e-05, 0.000222822, 0.000892946, 0.000886751, 0.0010946, 0.00167533, 0.00289372, 0.00634677, 0.017952, 0.066516, 0.0898128, 0.0975009, 0.0990904, 0.0994152, 0.0985251, 0.0961691, 0.088848, 0.0785149, 0.0523945, 0.0344268, 0.0231151, 0.017463, 0.0136068, 0.00968356, 0.00735489, 0.00544021, 0.00363559, 0.0015026, 0.00125987" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.064474");
-            index_3 ("1.04045, 2.41261, 2.50987, 2.65984, 2.71569, 2.72846, 2.74511, 2.85335, 2.89202, 2.94125, 3.0124, 3.08194, 3.23034, 3.30048, 3.36952, 3.48626, 3.59762, 3.70893, 3.82952, 3.96341, 4.21631, 4.37442, 4.45879, 4.5552, 4.70572, 4.81373, 4.89752, 4.98225, 5.09523, 5.32118, 5.43789");
+            index_3 ("1.03969, 2.41175, 2.4559, 2.50594, 2.66552, 2.70122, 2.72582, 2.75739, 2.82183, 2.85198, 2.8897, 2.93872, 2.98128, 3.02716, 3.08833, 3.22983, 3.28479, 3.36921, 3.48595, 3.59732, 3.71294, 3.78994, 3.82922, 3.96311, 4.15428, 4.29811, 4.38597, 4.46225, 4.56396, 4.6482, 4.77485, 4.88583, 5.01947, 5.19765, 5.51772");
             values ( \
-              "7.94434e-08, 9.94683e-05, 0.00114677, 0.00398804, 0.00613401, 0.00623309, 0.00610444, 0.00314595, 0.00366214, 0.00648316, 0.0157538, 0.030962, 0.0695651, 0.0846592, 0.0950255, 0.103881, 0.104706, 0.101185, 0.0931698, 0.0794447, 0.0495655, 0.0339855, 0.02728, 0.0209665, 0.0136573, 0.0099149, 0.00771454, 0.00597034, 0.00421207, 0.002041, 0.00156304" \
+              "7.94435e-08, 9.96839e-05, 0.000402067, 0.00109746, 0.00411197, 0.00569024, 0.00625282, 0.00577275, 0.00369981, 0.00317616, 0.00358345, 0.00633661, 0.0109779, 0.0185731, 0.0326374, 0.0694834, 0.0816462, 0.0950533, 0.103843, 0.104739, 0.100942, 0.0962554, 0.0931668, 0.0794419, 0.0565123, 0.0409905, 0.0329618, 0.0270033, 0.0204363, 0.0161008, 0.0111285, 0.00797997, 0.00531806, 0.00303411, 0.00105076" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.240239");
-            index_3 ("1.12802, 2.43728, 2.64966, 2.76001, 3.01238, 3.30116, 3.53255, 3.79256, 3.9921, 4.15418, 4.72638, 5.04384, 5.23941, 5.63056, 5.74162, 5.88971, 6.07577, 6.44787, 6.7419, 6.92916, 7.30367, 8.33591, 8.73387, 9.2279, 9.57013, 9.94661, 10.5783, 10.9477, 11.5091, 12.2577, 12.9398");
+            index_3 ("1.12227, 2.43138, 2.74147, 3.29282, 3.53324, 3.78635, 4.12832, 5.03132, 5.23264, 5.63529, 5.81497, 6.06886, 6.32201, 6.80577, 7.14871, 8.86248, 9.44346, 10.0072, 10.7579, 11.5692, 12.2735, 12.4683");
             values ( \
-              "4.25701e-07, 0.000262747, 0.00606451, 0.0116033, 0.00719726, 0.00396597, 0.00425088, 0.00990448, 0.0187389, 0.0288021, 0.0700599, 0.0885473, 0.0963896, 0.104913, 0.105831, 0.106348, 0.105999, 0.102878, 0.0982616, 0.0943369, 0.0842254, 0.050763, 0.0393967, 0.0279085, 0.0216242, 0.0161784, 0.00973535, 0.00718894, 0.0044984, 0.00234159, 0.00146408" \
+              "4.25701e-07, 0.000261294, 0.0115362, 0.00400448, 0.00429345, 0.00992256, 0.0274952, 0.0883026, 0.0963982, 0.105071, 0.106224, 0.106019, 0.104157, 0.0968732, 0.088521, 0.0359457, 0.0236818, 0.0153381, 0.00834601, 0.00425188, 0.00230538, 0.00205859" \
             );
           }
           vector (ccs_template) {
@@ -136994,9 +152378,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.064474");
-            index_3 ("9.56044, 9.77745, 10.0957, 10.1489, 10.2553, 10.3263, 10.3765, 10.4771, 10.6086, 10.7074, 11.0914, 11.2447, 11.3511, 11.4645, 11.5458, 11.7088, 11.805, 11.9973, 12.1997");
+            index_3 ("9.56044, 9.77745, 10.0957, 10.1489, 10.2553, 10.3263, 10.3765, 10.4771, 10.6086, 10.7074, 11.0914, 11.2447, 11.3511, 11.4645, 11.5458, 11.7088, 11.805, 11.9972, 12.1997");
             values ( \
-              "0.00799933, 0.0134017, 0.0874227, 0.0950773, 0.10339, 0.104882, 0.104535, 0.101582, 0.0931527, 0.0834188, 0.0395875, 0.0267484, 0.0199443, 0.014438, 0.0113997, 0.00698899, 0.00519205, 0.0028445, 0.00165012" \
+              "0.00799933, 0.0134017, 0.0874227, 0.0950773, 0.10339, 0.104882, 0.104535, 0.101582, 0.0931527, 0.0834188, 0.0395874, 0.0267485, 0.0199445, 0.014438, 0.0113995, 0.00698899, 0.0051921, 0.00284459, 0.00165012" \
             );
           }
           vector (ccs_template) {
@@ -137050,7 +152434,7 @@
             "0.00433898, 0.0043392, 0.00433961, 0.0043399, 0.00434001, 0.00434004", \
             "0.00456736, 0.00456726, 0.00456715, 0.00456715, 0.00456717, 0.00456718", \
             "0.00470264, 0.00470259, 0.00470249, 0.00470238, 0.00470234, 0.00470233", \
-            "0.0047934, 0.00479339, 0.00479334, 0.00479327, 0.00479446, 0.00479319", \
+            "0.00479466, 0.00479464, 0.00479459, 0.00479453, 0.00479321, 0.00479319", \
             "0.00487647, 0.00487647, 0.00487703, 0.00487699, 0.00487695, 0.00487693" \
           );
         }
@@ -137062,7 +152446,7 @@
             "0.00485191, 0.00485092, 0.00485011, 0.00484983, 0.00484978, 0.00484977", \
             "0.00532492, 0.00532359, 0.00532144, 0.00531991, 0.00531934, 0.00531917", \
             "0.00564934, 0.0057083, 0.00576115, 0.00578445, 0.00579175, 0.00579384", \
-            "0.00493746, 0.0049376, 0.00493778, 0.00495994, 0.00508892, 0.00512753", \
+            "0.00493536, 0.00493547, 0.00493555, 0.00495451, 0.00508466, 0.00512753", \
             "0.00481413, 0.00481205, 0.00481534, 0.00481889, 0.00481569, 0.00492513" \
           );
         }
@@ -137081,7 +152465,7 @@
             "0.177184, 0.238383, 0.44358, 1.18888, 3.96706, 14.3142", \
             "0.222316, 0.283428, 0.488701, 1.23448, 4.01206, 14.3586", \
             "0.352323, 0.417401, 0.623863, 1.36973, 4.14738, 14.4917", \
-            "0.598457, 0.67497, 0.88352, 1.62755, 4.40368, 14.7497", \
+            "0.598665, 0.67497, 0.88352, 1.62755, 4.40368, 14.7497", \
             "1.15236, 1.23929, 1.44443, 2.18211, 4.9575, 15.2975" \
           );
         }
@@ -137093,7 +152477,7 @@
             "0.0311062, 0.0696412, 0.215, 0.761109, 2.79648, 10.3766", \
             "0.0310998, 0.0696514, 0.214989, 0.761115, 2.79619, 10.3768", \
             "0.0335566, 0.0704322, 0.214964, 0.761037, 2.7965, 10.3774", \
-            "0.042095, 0.0732063, 0.215027, 0.761083, 2.79678, 10.3761", \
+            "0.0420966, 0.0732063, 0.215027, 0.761083, 2.79678, 10.3761", \
             "0.0570757, 0.0764708, 0.215156, 0.760884, 2.79647, 10.3765" \
           );
         }
@@ -137318,9 +152702,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.6224, 2.63657, 2.64763, 2.66723, 2.67442, 2.6809, 2.68703, 2.69315, 2.70365, 2.7118, 2.72051, 2.72964, 2.73964, 2.75136, 2.76476, 2.76588");
+            index_3 ("2.61256, 2.62992, 2.63218, 2.66746, 2.67465, 2.68113, 2.68725, 2.69338, 2.70387, 2.71202, 2.72075, 2.72986, 2.73987, 2.75161, 2.76406");
             values ( \
-              "0.00430262, 0.00810504, 0.0164263, 0.0291817, 0.0332885, 0.036016, 0.0372185, 0.0345036, 0.021544, 0.0141002, 0.00862002, 0.00499854, 0.00268917, 0.00129417, 0.000536529, 0.000522763" \
+              "0.000532373, 0.00463796, 0.00561242, 0.0292437, 0.0332236, 0.036079, 0.0371606, 0.0345635, 0.0215533, 0.0141029, 0.00861449, 0.00500182, 0.00268939, 0.00129226, 0.00058994" \
             );
           }
           vector (ccs_template) {
@@ -137329,7 +152713,7 @@
             index_2 ("0.00464372");
             index_3 ("2.64511, 2.67502, 2.67834, 2.68177, 2.68733, 2.69962, 2.70547, 2.72285, 2.73418, 2.73845, 2.74277, 2.74676, 2.75474, 2.75752, 2.76287, 2.76849, 2.77962, 2.79496, 2.80297, 2.81213, 2.8186, 2.83005, 2.83984, 2.84906, 2.86135, 2.8688, 2.87721, 2.88843, 2.91086, 2.93106");
             values ( \
-              "0.00188269, 0.00930342, 0.0113633, 0.0137809, 0.0184677, 0.0308635, 0.0373559, 0.0589699, 0.0707158, 0.0737279, 0.0759208, 0.0773256, 0.0781492, 0.0778936, 0.0765204, 0.0737957, 0.0642414, 0.0469478, 0.0389505, 0.0308844, 0.0260547, 0.0189002, 0.0141881, 0.0107502, 0.00732556, 0.00580626, 0.0044501, 0.00309674, 0.00146223, 0.000839137" \
+              "0.00188269, 0.00930342, 0.0113633, 0.0137809, 0.0184676, 0.0308635, 0.0373559, 0.0589699, 0.0707159, 0.0737278, 0.0759208, 0.0773256, 0.0781492, 0.0778936, 0.0765204, 0.0737957, 0.0642414, 0.0469478, 0.0389505, 0.0308844, 0.0260547, 0.0189002, 0.0141881, 0.0107502, 0.00732556, 0.00580626, 0.0044501, 0.00309674, 0.00146223, 0.000839139" \
             );
           }
           vector (ccs_template) {
@@ -137365,7 +152749,7 @@
             index_2 ("0.895166");
             index_3 ("3.75567, 6.92105, 8.26196, 10.3041, 11.3199, 12.2056, 13.4865, 14.401, 15.3071, 16.588, 17.3659, 18.4749, 20.3092, 23.6337, 25.8446, 27.4084, 29.925, 32.0445, 33.0884, 35.2458, 36.5267, 40.0746");
             values ( \
-              "0.00967714, 0.0106938, 0.0302997, 0.0703921, 0.0868355, 0.096842, 0.104749, 0.106614, 0.106409, 0.103555, 0.100568, 0.0946814, 0.0808404, 0.0515189, 0.0350067, 0.0259031, 0.0153984, 0.00972136, 0.00772491, 0.00475131, 0.00355946, 0.00162587" \
+              "0.00967714, 0.0106938, 0.0302997, 0.0703921, 0.0868354, 0.096842, 0.104749, 0.106614, 0.106409, 0.103555, 0.100568, 0.0946814, 0.0808404, 0.0515189, 0.0350067, 0.0259031, 0.0153984, 0.00972136, 0.00772491, 0.00475131, 0.00355946, 0.00162587" \
             );
           }
           vector (ccs_template) {
@@ -137383,7 +152767,7 @@
             index_2 ("0.00464372");
             index_3 ("9.43296, 9.47415, 9.47954, 9.49032, 9.49645, 9.51429, 9.52542, 9.54939, 9.55288, 9.56143, 9.57136, 9.57521, 9.57945, 9.58384, 9.59262, 9.61425, 9.62975, 9.64237, 9.65119, 9.66127, 9.66694, 9.67827, 9.68748, 9.70155, 9.7203, 9.74597");
             values ( \
-              "0.00132825, 0.00679454, 0.00846624, 0.0132101, 0.0170425, 0.0301378, 0.0403963, 0.0656038, 0.0684622, 0.0735188, 0.0757265, 0.0754889, 0.0745129, 0.0726413, 0.0661035, 0.0429606, 0.0292044, 0.0207393, 0.0160918, 0.0119275, 0.0100408, 0.00705513, 0.00528465, 0.00337582, 0.00181588, 0.000829973" \
+              "0.00132825, 0.00679454, 0.00846624, 0.0132101, 0.0170425, 0.0301378, 0.0403963, 0.0656038, 0.0684622, 0.0735188, 0.0757265, 0.0754889, 0.0745129, 0.0726413, 0.0661035, 0.0429606, 0.0292044, 0.0207393, 0.0160918, 0.0119275, 0.0100408, 0.00705513, 0.00528465, 0.00337582, 0.00181588, 0.000829971" \
             );
           }
           vector (ccs_template) {
@@ -137455,7 +152839,7 @@
             "0.00399286, 0.00399309, 0.00399352, 0.0039938, 0.00399391, 0.00399394", \
             "0.00418262, 0.00418251, 0.00418241, 0.0041824, 0.00418242, 0.00418243", \
             "0.00427752, 0.00427747, 0.00427738, 0.00427727, 0.00427723, 0.00427722", \
-            "0.00431863, 0.00431815, 0.00431857, 0.00431939, 0.00431933, 0.00431931", \
+            "0.00431817, 0.00431815, 0.00431857, 0.00431939, 0.00431933, 0.00431931", \
             "0.00434753, 0.00434753, 0.00434751, 0.00434748, 0.00434744, 0.00434742" \
           );
         }
@@ -137467,7 +152851,7 @@
             "0.00468785, 0.00468686, 0.00468603, 0.00468575, 0.00468569, 0.00468567", \
             "0.00494999, 0.00494864, 0.00494647, 0.00494492, 0.00494433, 0.00494416", \
             "0.00474933, 0.00478766, 0.0048223, 0.00483767, 0.00484226, 0.00484355", \
-            "0.00399086, 0.00399164, 0.00399053, 0.00400551, 0.00411253, 0.00415383", \
+            "0.00399169, 0.00399164, 0.00399053, 0.00400551, 0.00411253, 0.00415383", \
             "0.00393073, 0.00392967, 0.00392992, 0.00392962, 0.00393071, 0.00403966" \
           );
         }
@@ -137486,7 +152870,7 @@
             "0.176936, 0.238174, 0.443454, 1.18886, 3.96444, 14.3124", \
             "0.22211, 0.283201, 0.48864, 1.23419, 4.01255, 14.3583", \
             "0.352608, 0.41775, 0.624297, 1.3703, 4.14744, 14.4935", \
-            "0.598293, 0.67514, 0.883888, 1.62742, 4.40494, 14.7471", \
+            "0.598293, 0.67514, 0.883888, 1.62742, 4.40494, 14.7493", \
             "1.15324, 1.24052, 1.44574, 2.18412, 4.96022, 15.2986" \
           );
         }
@@ -137498,7 +152882,7 @@
             "0.0311122, 0.0696603, 0.215002, 0.761088, 2.79576, 10.3766", \
             "0.0310996, 0.0696078, 0.215014, 0.761068, 2.79661, 10.3771", \
             "0.0335244, 0.0705196, 0.214901, 0.761055, 2.79623, 10.3773", \
-            "0.0420967, 0.0733009, 0.215061, 0.761032, 2.7963, 10.3772", \
+            "0.0420967, 0.0733009, 0.215061, 0.761032, 2.7963, 10.375", \
             "0.0571515, 0.0766202, 0.215065, 0.760912, 2.79621, 10.3781" \
           );
         }
@@ -137768,9 +153152,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.895166");
-            index_3 ("3.74439, 6.92121, 8.03882, 10.2958, 11.4277, 12.194, 13.4754, 14.3907, 15.2965, 16.578, 17.3546, 18.4644, 20.2988, 23.6233, 25.8343, 27.3976, 29.9148, 32.035, 33.0783, 36.516, 40.1779");
+            index_3 ("4.11993, 7.32367, 8.12594, 10.2938, 10.9824, 12.198, 13.4794, 13.7824, 14.3885, 15.2979, 16.5793, 17.3551, 18.4653, 20.2997, 23.6242, 25.8352, 27.3983, 28.6797, 29.9157, 30.7546, 32.036, 33.0792, 35.2351, 36.5165, 37.7979, 40.3608, 42.9236, 46.7679");
             values ( \
-              "0.0095188, 0.0107835, 0.0263815, 0.0703332, 0.0883705, 0.0967778, 0.104726, 0.106603, 0.10639, 0.103555, 0.100575, 0.0946728, 0.0808328, 0.0515259, 0.0350128, 0.0258992, 0.0154042, 0.00972579, 0.00771833, 0.00355368, 0.00156964" \
+              "0.00807523, 0.0153325, 0.0279678, 0.0703693, 0.0820937, 0.0969069, 0.104796, 0.105683, 0.10664, 0.106418, 0.103566, 0.100584, 0.0946791, 0.0808356, 0.0515243, 0.0350114, 0.0259008, 0.0199542, 0.0154028, 0.0128692, 0.00972421, 0.00771937, 0.00475762, 0.00355504, 0.00265115, 0.00146987, 0.000812596, 0.000333712" \
             );
           }
           vector (ccs_template) {
@@ -137797,7 +153181,7 @@
             index_2 ("0.0173032");
             index_3 ("9.54921, 9.58354, 9.60986, 9.64325, 9.6676, 9.68275, 9.71305, 9.7215, 9.73277, 9.74735, 9.7787, 9.79347, 9.80872, 9.83438, 9.92676, 9.95977, 9.99765, 10.0282, 10.0509, 10.0667, 10.0984, 10.1255, 10.1652, 10.2181, 10.2916");
             values ( \
-              "0.0179588, 0.0183647, 0.0384226, 0.0663669, 0.0824718, 0.089718, 0.0977343, 0.0987081, 0.099431, 0.0992439, 0.0963334, 0.0936137, 0.0899752, 0.081737, 0.0449501, 0.0340228, 0.0241143, 0.0179266, 0.0143077, 0.0121853, 0.00877133, 0.00659878, 0.00432316, 0.00241079, 0.00116063" \
+              "0.0179588, 0.0183647, 0.0384226, 0.0663669, 0.0824718, 0.089718, 0.0977343, 0.0987081, 0.099431, 0.0992439, 0.0963334, 0.0936137, 0.0899752, 0.081737, 0.0449501, 0.0340228, 0.0241143, 0.0179266, 0.0143077, 0.0121853, 0.00877133, 0.00659878, 0.00432316, 0.0024108, 0.00116063" \
             );
           }
           vector (ccs_template) {
@@ -137860,7 +153244,7 @@
             "0.00434319, 0.00434342, 0.00434385, 0.00434413, 0.00434423, 0.00434427", \
             "0.00456742, 0.00456731, 0.0045672, 0.0045672, 0.00456722, 0.00456723", \
             "0.00470024, 0.00470019, 0.00470008, 0.00469998, 0.00469994, 0.00469993", \
-            "0.00479254, 0.00479252, 0.00479247, 0.0047924, 0.00479367, 0.00479232", \
+            "0.00479254, 0.00479252, 0.00479247, 0.0047924, 0.00479367, 0.00479363", \
             "0.00487556, 0.00487555, 0.00487553, 0.00487549, 0.00487546, 0.00487543" \
           );
         }
@@ -137872,7 +153256,7 @@
             "0.00484869, 0.0048477, 0.00484686, 0.00484659, 0.00484652, 0.00484651", \
             "0.00531436, 0.005313, 0.00531081, 0.00530924, 0.00530865, 0.00530848", \
             "0.00596212, 0.0060001, 0.00603474, 0.0060501, 0.00605468, 0.00605596", \
-            "0.00568338, 0.00568322, 0.00568281, 0.00570923, 0.00584278, 0.00588623", \
+            "0.00568338, 0.00568322, 0.00568281, 0.00570923, 0.00584278, 0.00588454", \
             "0.00553367, 0.00553507, 0.00553435, 0.00553463, 0.00553551, 0.00564969" \
           );
         }
@@ -137889,7 +153273,7 @@
             "0.176936, 0.238174, 0.443454, 1.18886, 3.96444, 14.3124", \
             "0.22211, 0.283201, 0.48864, 1.23419, 4.01255, 14.3583", \
             "0.352608, 0.41775, 0.624297, 1.3703, 4.14744, 14.4935", \
-            "0.598293, 0.67514, 0.883888, 1.62742, 4.40494, 14.7471", \
+            "0.598293, 0.67514, 0.883888, 1.62742, 4.40494, 14.7493", \
             "1.15324, 1.24052, 1.44574, 2.18412, 4.96022, 15.2986" \
           );
         }
@@ -137901,7 +153285,7 @@
             "0.0311122, 0.0696603, 0.215002, 0.761088, 2.79576, 10.3766", \
             "0.0310996, 0.0696078, 0.215014, 0.761068, 2.79661, 10.3771", \
             "0.0335244, 0.0705196, 0.214901, 0.761055, 2.79623, 10.3773", \
-            "0.0420967, 0.0733009, 0.215061, 0.761032, 2.7963, 10.3772", \
+            "0.0420967, 0.0733009, 0.215061, 0.761032, 2.7963, 10.375", \
             "0.0571515, 0.0766202, 0.215065, 0.760912, 2.79621, 10.3781" \
           );
         }
@@ -138171,9 +153555,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.895166");
-            index_3 ("3.74439, 6.92121, 8.03882, 10.2958, 11.4277, 12.194, 13.4754, 14.3907, 15.2965, 16.578, 17.3546, 18.4644, 20.2988, 23.6233, 25.8343, 27.3976, 29.9148, 32.035, 33.0783, 36.516, 40.1779");
+            index_3 ("4.11993, 7.32367, 8.12594, 10.2938, 10.9824, 12.198, 13.4794, 13.7824, 14.3885, 15.2979, 16.5793, 17.3551, 18.4653, 20.2997, 23.6242, 25.8352, 27.3983, 28.6797, 29.9157, 30.7546, 32.036, 33.0792, 35.2351, 36.5165, 37.7979, 40.3608, 42.9236, 46.7679");
             values ( \
-              "0.0095188, 0.0107835, 0.0263815, 0.0703332, 0.0883705, 0.0967778, 0.104726, 0.106603, 0.10639, 0.103555, 0.100575, 0.0946728, 0.0808328, 0.0515259, 0.0350128, 0.0258992, 0.0154042, 0.00972579, 0.00771833, 0.00355368, 0.00156964" \
+              "0.00807523, 0.0153325, 0.0279678, 0.0703693, 0.0820937, 0.0969069, 0.104796, 0.105683, 0.10664, 0.106418, 0.103566, 0.100584, 0.0946791, 0.0808356, 0.0515243, 0.0350114, 0.0259008, 0.0199542, 0.0154028, 0.0128692, 0.00972421, 0.00771937, 0.00475762, 0.00355504, 0.00265115, 0.00146987, 0.000812596, 0.000333712" \
             );
           }
           vector (ccs_template) {
@@ -138200,7 +153584,7 @@
             index_2 ("0.0173032");
             index_3 ("9.54921, 9.58354, 9.60986, 9.64325, 9.6676, 9.68275, 9.71305, 9.7215, 9.73277, 9.74735, 9.7787, 9.79347, 9.80872, 9.83438, 9.92676, 9.95977, 9.99765, 10.0282, 10.0509, 10.0667, 10.0984, 10.1255, 10.1652, 10.2181, 10.2916");
             values ( \
-              "0.0179588, 0.0183647, 0.0384226, 0.0663669, 0.0824718, 0.089718, 0.0977343, 0.0987081, 0.099431, 0.0992439, 0.0963334, 0.0936137, 0.0899752, 0.081737, 0.0449501, 0.0340228, 0.0241143, 0.0179266, 0.0143077, 0.0121853, 0.00877133, 0.00659878, 0.00432316, 0.00241079, 0.00116063" \
+              "0.0179588, 0.0183647, 0.0384226, 0.0663669, 0.0824718, 0.089718, 0.0977343, 0.0987081, 0.099431, 0.0992439, 0.0963334, 0.0936137, 0.0899752, 0.081737, 0.0449501, 0.0340228, 0.0241143, 0.0179266, 0.0143077, 0.0121853, 0.00877133, 0.00659878, 0.00432316, 0.0024108, 0.00116063" \
             );
           }
           vector (ccs_template) {
@@ -138263,7 +153647,7 @@
             "0.00434319, 0.00434342, 0.00434385, 0.00434413, 0.00434423, 0.00434427", \
             "0.00456742, 0.00456731, 0.0045672, 0.0045672, 0.00456722, 0.00456723", \
             "0.00470024, 0.00470019, 0.00470008, 0.00469998, 0.00469994, 0.00469993", \
-            "0.00479254, 0.00479252, 0.00479247, 0.0047924, 0.00479367, 0.00479232", \
+            "0.00479254, 0.00479252, 0.00479247, 0.0047924, 0.00479367, 0.00479363", \
             "0.00487556, 0.00487555, 0.00487553, 0.00487549, 0.00487546, 0.00487543" \
           );
         }
@@ -138275,7 +153659,7 @@
             "0.00484869, 0.0048477, 0.00484686, 0.00484659, 0.00484652, 0.00484651", \
             "0.00531436, 0.005313, 0.00531081, 0.00530924, 0.00530865, 0.00530848", \
             "0.00596212, 0.0060001, 0.00603474, 0.0060501, 0.00605468, 0.00605596", \
-            "0.00568338, 0.00568322, 0.00568281, 0.00570923, 0.00584278, 0.00588623", \
+            "0.00568338, 0.00568322, 0.00568281, 0.00570923, 0.00584278, 0.00588454", \
             "0.00553367, 0.00553507, 0.00553435, 0.00553463, 0.00553551, 0.00564969" \
           );
         }
@@ -138319,7 +153703,7 @@
             "0.00684203, 0.00689371, 0.0068203, 0.00628551, 0.0042156, 0", \
             "0.00649081, 0.00654287, 0.00647285, 0.0059397, 0.00387075, 0", \
             "0.00684433, 0.00690587, 0.00683448, 0.00628136, 0.00422114, 0", \
-            "0.0104234, 0.0104534, 0.0104382, 0.00990671, 0.00777508, 5.29602e-05", \
+            "0.0104535, 0.0104534, 0.0103917, 0.00990671, 0.00777508, 5.29607e-05", \
             "0.0266636, 0.0266714, 0.0265994, 0.0260355, 0.0240007, 0.0159331" \
           );
         }
@@ -138370,7 +153754,7 @@
             "0.0159648, 0.0160051, 0.0159198, 0.0153713, 0.0132933, 0.00551483", \
             "0.0157427, 0.0157775, 0.0157079, 0.0151762, 0.0131031, 0.00532719", \
             "0.0163494, 0.0163462, 0.0162738, 0.0157652, 0.0136957, 0.00592408", \
-            "0.0213862, 0.0213091, 0.0211774, 0.020649, 0.0185603, 0.0108206", \
+            "0.0213798, 0.0213006, 0.0211668, 0.0206396, 0.0185641, 0.0108206", \
             "0.0412898, 0.0410586, 0.0407231, 0.04009, 0.0380143, 0.0300444" \
           );
         }
@@ -138414,7 +153798,7 @@
             "0.0108294, 0.0108682, 0.0107824, 0.0102322, 0.00815212, 0.000402768", \
             "0.0107141, 0.0107478, 0.0106789, 0.0101475, 0.00807344, 0.000334147", \
             "0.0110401, 0.0110458, 0.0109605, 0.0104648, 0.00840163, 0.000616701", \
-            "0.0135791, 0.0135142, 0.0133568, 0.012825, 0.0106732, 0.0030477", \
+            "0.0135921, 0.0135142, 0.0133568, 0.012825, 0.0106732, 0.0030477", \
             "0.0241625, 0.0238581, 0.0234186, 0.0227103, 0.0205837, 0.0127458" \
           );
         }
@@ -138458,7 +153842,7 @@
             "0.0133088, 0.0133502, 0.0132648, 0.0127142, 0.0106345, 0.00288148", \
             "0.013127, 0.0131611, 0.0130917, 0.0125587, 0.0104845, 0.0027419", \
             "0.0138387, 0.0138401, 0.01376, 0.0132654, 0.011206, 0.00342502", \
-            "0.0188384, 0.0187876, 0.0186193, 0.0180858, 0.0159691, 0.00826348", \
+            "0.0188384, 0.0187876, 0.0186193, 0.0180858, 0.0159691, 0.0082382", \
             "0.0408828, 0.0405693, 0.0401346, 0.0393913, 0.0372706, 0.0292931" \
           );
         }
@@ -138500,7 +153884,7 @@
             "0.0159648, 0.0160051, 0.0159198, 0.0153713, 0.0132933, 0.00551483", \
             "0.0157427, 0.0157775, 0.0157079, 0.0151762, 0.0131031, 0.00532719", \
             "0.0163494, 0.0163462, 0.0162738, 0.0157652, 0.0136957, 0.00592408", \
-            "0.0213862, 0.0213091, 0.0211774, 0.020649, 0.0185603, 0.0108206", \
+            "0.0213798, 0.0213006, 0.0211668, 0.0206396, 0.0185641, 0.0108206", \
             "0.0412898, 0.0410586, 0.0407231, 0.04009, 0.0380143, 0.0300444" \
           );
         }
@@ -138531,7 +153915,7 @@
             "0.325413, 0.355427, 0.45277, 0.803025, 2.10565, 6.96125", \
             "0.382207, 0.412331, 0.51002, 0.860439, 2.16298, 7.01865", \
             "0.417407, 0.447484, 0.544935, 0.895523, 2.19937, 7.05492", \
-            "0.176336, 0.206438, 0.30375, 0.653939, 1.9568, 6.81355" \
+            "0.176374, 0.206438, 0.30375, 0.653939, 1.95681, 6.81355" \
           );
         }
         rise_transition (delay_template) {
@@ -138543,7 +153927,7 @@
             "0.0638777, 0.096015, 0.228397, 0.746738, 2.68906, 9.92939", \
             "0.0641071, 0.0963324, 0.228999, 0.746789, 2.68912, 9.92937", \
             "0.0642442, 0.096341, 0.228715, 0.747329, 2.68971, 9.92942", \
-            "0.0652275, 0.0969871, 0.228637, 0.746749, 2.68924, 9.9299" \
+            "0.0652336, 0.0969871, 0.228637, 0.746749, 2.68931, 9.9299" \
           );
         }
         cell_fall (delay_template) {
@@ -138586,7 +153970,7 @@
             index_2 ("0.00464699");
             index_3 ("0.234837, 0.245085, 0.255236, 0.259868, 0.269693, 0.276685, 0.282546, 0.290362, 0.299914, 0.307736, 0.32331, 0.334838, 0.337101, 0.341629, 0.350503, 0.371961, 0.390872, 0.409979, 0.415541, 0.422957, 0.480796, 0.492124, 0.507229, 0.537439, 0.581905, 0.637312");
             values ( \
-              "0.0225721, 0.0267588, 0.0380436, 0.0421902, 0.048757, 0.0525383, 0.0551036, 0.0575833, 0.0591337, 0.059296, 0.0571863, 0.0544603, 0.0536511, 0.052788, 0.0486366, 0.0344177, 0.0240215, 0.0157421, 0.0136612, 0.0119197, 0.00447539, 0.00341584, 0.00238757, 0.0010524, 0.000320951, 3.44429e-05" \
+              "0.0225721, 0.0267588, 0.0380436, 0.0421902, 0.048757, 0.0525383, 0.0551036, 0.0575833, 0.0591337, 0.059296, 0.0571863, 0.0544603, 0.0536511, 0.052788, 0.0486366, 0.0344177, 0.0240215, 0.0157421, 0.0136612, 0.0119197, 0.00447539, 0.00341584, 0.00238757, 0.0010524, 0.000320953, 3.44432e-05" \
             );
           }
           vector (ccs_template) {
@@ -138710,7 +154094,7 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0646103");
-            index_3 ("0.420908, 0.465079, 0.473937, 0.491654, 0.51304, 0.522365, 0.541014, 0.57137, 0.613134, 0.792836, 0.93318, 1.05404, 1.09821, 1.14173, 1.38336, 1.49758, 1.6034, 1.6905, 1.75787, 1.85554, 1.94036, 2.02898, 2.15479, 2.31324, 2.43514, 2.59559, 2.77863, 3.02233, 3.35654");
+            index_3 ("0.420908, 0.465079, 0.473937, 0.491654, 0.51304, 0.522365, 0.541014, 0.57137, 0.613134, 0.792836, 0.933181, 1.05404, 1.09821, 1.14173, 1.38336, 1.49758, 1.6034, 1.6905, 1.75787, 1.85554, 1.94036, 2.02898, 2.15479, 2.31324, 2.43514, 2.59559, 2.77863, 3.02233, 3.35654");
             values ( \
               "0.108184, 0.110158, 0.114487, 0.119916, 0.122793, 0.123215, 0.123399, 0.122498, 0.120386, 0.10963, 0.100609, 0.0914591, 0.087307, 0.0827917, 0.055223, 0.0432338, 0.0336716, 0.0270341, 0.0226684, 0.0173057, 0.013782, 0.0109206, 0.00766855, 0.00488216, 0.00347227, 0.00209555, 0.00124518, 0.000532091, 0.00021531" \
             );
@@ -138827,9 +154211,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.240917");
-            index_3 ("2.5014, 2.50142, 3.12015, 3.88057, 4.28267, 4.50047, 4.71867, 5.02682, 5.8988, 6.28339, 6.68307, 6.88746, 7.26943, 7.59219, 7.85692, 8.16931, 8.62172, 9.08841, 9.44618, 9.98035, 10.6018, 11.3513, 12.3234");
+            index_3 ("2.5014, 2.50142, 3.12015, 3.88057, 4.28267, 4.50047, 4.71867, 5.02682, 5.8988, 6.28339, 6.68307, 6.88746, 7.26943, 7.59219, 7.85692, 8.16931, 8.62172, 9.08842, 9.44619, 9.98036, 10.6018, 11.3513, 12.3234");
             values ( \
-              "1e-22, 0.145991, 0.124666, 0.111528, 0.104201, 0.0996855, 0.0945319, 0.0858881, 0.0575862, 0.0459199, 0.0353852, 0.0307369, 0.0233334, 0.0182827, 0.0149356, 0.0117275, 0.00817608, 0.00561675, 0.00419617, 0.00267719, 0.00158531, 0.000822253, 0.000351437" \
+              "1e-22, 0.145991, 0.124666, 0.111528, 0.104201, 0.0996855, 0.0945319, 0.0858881, 0.0575863, 0.0459199, 0.0353853, 0.0307369, 0.0233334, 0.0182827, 0.0149356, 0.0117275, 0.00817608, 0.00561675, 0.00419616, 0.00267719, 0.00158531, 0.000822251, 0.000351435" \
             );
           }
           vector (ccs_template) {
@@ -138845,9 +154229,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("8.43779, 8.44083, 8.44746, 8.47527, 8.4865, 8.49507, 8.49979, 8.50955, 8.51972, 8.52725, 8.5429, 8.55981, 8.56417, 8.56885, 8.57151, 8.57506, 8.59286, 8.60189, 8.61064, 8.62501, 8.64418, 8.67032, 8.70221");
+            index_3 ("8.43773, 8.44779, 8.47641, 8.48665, 8.49509, 8.4998, 8.50924, 8.51973, 8.52726, 8.54292, 8.55983, 8.56887, 8.57153, 8.6019, 8.62503, 8.64419, 8.65179");
             values ( \
-              "0.0028646, 0.0034985, 0.00558081, 0.0183689, 0.0214414, 0.0228349, 0.0232293, 0.0227067, 0.0215462, 0.0210997, 0.0132578, 0.00728955, 0.00722081, 0.00738872, 0.00716977, 0.00675038, 0.00375964, 0.00259538, 0.00180577, 0.000984224, 0.000447232, 0.000120957, 4.83408e-05" \
+              "0.00281549, 0.00571933, 0.0187253, 0.0214771, 0.02285, 0.0232126, 0.0227445, 0.0215446, 0.0211028, 0.0132584, 0.00729, 0.00738897, 0.00716958, 0.00259481, 0.000983807, 0.000447889, 0.000352656" \
             );
           }
           vector (ccs_template) {
@@ -138865,25 +154249,25 @@
             index_2 ("0.0173275");
             index_3 ("8.45729, 8.4732, 8.49653, 8.50714, 8.51651, 8.52803, 8.53903, 8.56104, 8.57074, 8.58651, 8.60292, 8.63966, 8.67356, 8.7048, 8.77706, 8.82762, 8.85054, 8.87589, 8.90969, 8.94178, 8.99626, 9.03417, 9.08705, 9.13655, 9.19809, 9.27633, 9.38877");
             values ( \
-              "0.0527818, 0.0531269, 0.0781975, 0.0861323, 0.091263, 0.0954823, 0.0978104, 0.0990551, 0.0985659, 0.0970437, 0.0948087, 0.0884109, 0.0812031, 0.0721798, 0.0468996, 0.032136, 0.0266859, 0.021465, 0.0157486, 0.0122822, 0.00804396, 0.00582454, 0.00358973, 0.00224199, 0.00119208, 0.00054237, 0.00013966" \
+              "0.0527818, 0.0531269, 0.0781975, 0.0861323, 0.091263, 0.0954822, 0.0978104, 0.0990551, 0.0985659, 0.0970437, 0.0948087, 0.0884109, 0.0812031, 0.0721798, 0.0468996, 0.032136, 0.0266859, 0.021465, 0.0157486, 0.0122822, 0.00804395, 0.00582454, 0.00358974, 0.00224199, 0.00119208, 0.000542371, 0.00013966" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0646103");
-            index_3 ("8.47477, 8.52569, 8.55401, 8.57629, 8.60333, 8.67151, 8.90064, 8.98711, 9.10829, 9.18847, 9.46564, 9.64662, 9.77723, 9.92665, 10.0832, 10.2099, 10.3653, 10.4853, 10.6433, 10.8231, 10.9031");
+            index_3 ("8.47325, 8.52001, 8.54286, 8.56037, 8.57634, 8.60319, 8.67157, 8.88388, 8.98717, 9.10835, 9.18853, 9.4657, 9.64676, 9.77711, 9.92663, 10.0842, 10.2103, 10.3648, 10.4847, 10.8224, 10.8576");
             values ( \
-              "0.107889, 0.112272, 0.12072, 0.122914, 0.123207, 0.12021, 0.106258, 0.1006, 0.0914406, 0.0835975, 0.0521229, 0.0345577, 0.0248348, 0.0165505, 0.0108828, 0.00767732, 0.00494059, 0.00348304, 0.00216712, 0.00125359, 0.0011044" \
+              "0.103301, 0.109278, 0.118448, 0.121625, 0.122911, 0.123174, 0.120185, 0.107316, 0.1006, 0.0914409, 0.0835971, 0.0521222, 0.0345516, 0.024846, 0.0165537, 0.0108527, 0.00767074, 0.00494982, 0.00348885, 0.00125609, 0.00119026" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.240917");
-            index_3 ("8.50246, 8.50248, 9.13663, 10.0814, 10.2905, 10.6993, 11.0983, 12.1457, 12.5467, 13.0626, 13.4209, 13.632, 13.9921, 14.4036, 14.8133, 15.4311, 15.9385, 16.8112, 17.2149");
+            index_3 ("8.51231, 8.51233, 9.13551, 10.0713, 10.6987, 11.0431, 12.2686, 12.9018, 13.2375, 13.5911, 14.194, 14.6375, 15.2106, 15.643, 16.855");
             values ( \
-              "1e-22, 0.142536, 0.124628, 0.108138, 0.104165, 0.0952001, 0.0839235, 0.0501854, 0.0389712, 0.0272992, 0.0209548, 0.0178429, 0.0135574, 0.00979399, 0.0070608, 0.00426148, 0.00280793, 0.00134703, 0.00100562" \
+              "1e-22, 0.146905, 0.124649, 0.108321, 0.0952142, 0.0856089, 0.0465671, 0.0305969, 0.0240345, 0.0184009, 0.0115754, 0.00812196, 0.00510392, 0.00358502, 0.00138116" \
             );
           }
           vector (ccs_template) {
@@ -138905,7 +154289,7 @@
             "0.00737125, 0.00737125, 0.00737125, 0.00737124, 0.00737125, 0.00737125", \
             "0.00778055, 0.00778055, 0.00778055, 0.00778055, 0.00778055, 0.00778055", \
             "0.00820263, 0.00820263, 0.00820263, 0.00820263, 0.00820263, 0.00820263", \
-            "0.00815039, 0.00815036, 0.00815016, 0.00815011, 0.00815009, 0.00815023" \
+            "0.00815025, 0.00815036, 0.00815016, 0.00815026, 0.00815024, 0.00815023" \
           );
         }
         receiver_capacitance2_rise (delay_template) {
@@ -138917,7 +154301,7 @@
             "0.00870562, 0.00870564, 0.00870565, 0.00870565, 0.00870569, 0.00870569", \
             "0.00817676, 0.00817723, 0.00817723, 0.0081769, 0.00817672, 0.00817666", \
             "0.00772743, 0.0077244, 0.00772769, 0.00772586, 0.00773213, 0.00773254", \
-            "0.00777851, 0.00778236, 0.00778719, 0.00779664, 0.00777742, 0.00776184" \
+            "0.00778074, 0.00778236, 0.00778719, 0.00779678, 0.00779874, 0.00776184" \
           );
         }
         output_current_fall () {
@@ -139107,7 +154491,7 @@
             index_2 ("0.0173275");
             index_3 ("0.744674, 0.763512, 0.775732, 0.789887, 0.806966, 0.829249, 0.841223, 0.854246, 0.878358, 0.893178, 0.901147, 0.917084, 0.925776, 0.943159, 0.989078, 1.01391, 1.02749, 1.04076, 1.05685, 1.07749, 1.09574, 1.1166, 1.15832, 1.20875, 1.42447");
             values ( \
-              "-0.0723088, -0.0849793, -0.10183, -0.115848, -0.126507, -0.133094, -0.134378, -0.134712, -0.133316, -0.131335, -0.12997, -0.126028, -0.12251, -0.110394, -0.0610205, -0.0401691, -0.0314101, -0.024512, -0.0180683, -0.012012, -0.00833698, -0.00542118, -0.0020763, -0.000484754, -0.000253304" \
+              "-0.0723088, -0.0849793, -0.10183, -0.115848, -0.126507, -0.133094, -0.134378, -0.134712, -0.133316, -0.131335, -0.12997, -0.126028, -0.12251, -0.110394, -0.0610205, -0.0401691, -0.0314101, -0.024512, -0.0180683, -0.012012, -0.00833698, -0.00542118, -0.0020763, -0.000484753, -0.000253304" \
             );
           }
           vector (ccs_template) {
@@ -139143,7 +154527,7 @@
             index_2 ("0.00124625");
             index_3 ("2.29764, 2.30893, 2.31241, 2.32492, 2.33345, 2.34342, 2.36033, 2.36806, 2.37556, 2.38281, 2.39922, 2.40497, 2.41449, 2.42041, 2.46331");
             values ( \
-              "-0.0042735, -0.00906377, -0.0112706, -0.0169926, -0.0206812, -0.0242809, -0.0285877, -0.0296158, -0.0302448, -0.0271908, -0.0103379, -0.0066719, -0.00276847, -0.00165543, -0.000536121" \
+              "-0.00427349, -0.00906377, -0.0112706, -0.0169926, -0.0206812, -0.0242809, -0.0285877, -0.0296158, -0.0302448, -0.0271908, -0.0103379, -0.0066719, -0.00276847, -0.00165543, -0.000536121" \
             );
           }
           vector (ccs_template) {
@@ -139170,7 +154554,7 @@
             index_2 ("0.0646103");
             index_3 ("2.33137, 2.41888, 2.44522, 2.49085, 2.5621, 2.70929, 2.81481, 2.867, 2.9083, 3.12182, 3.22628, 3.34826, 3.50326, 3.56165");
             values ( \
-              "-0.113054, -0.155886, -0.161768, -0.164208, -0.162043, -0.153864, -0.145785, -0.138852, -0.129514, -0.0550373, -0.0313246, -0.0154088, -0.00596949, -0.00453463" \
+              "-0.113054, -0.155886, -0.161768, -0.164208, -0.162043, -0.153864, -0.145785, -0.138852, -0.129514, -0.0550373, -0.0313246, -0.0154088, -0.00596949, -0.00453464" \
             );
           }
           vector (ccs_template) {
@@ -139224,7 +154608,7 @@
             index_2 ("0.0646103");
             index_3 ("8.23777, 8.28371, 8.30674, 8.33044, 8.36089, 8.37221, 8.39486, 8.42807, 8.46478, 8.50064, 8.54911, 8.64856, 8.72565, 8.77799, 8.80652, 8.86359, 9.01573, 9.0617, 9.0963, 9.14147, 9.17841, 9.22169, 9.28287, 9.34731, 9.39685, 9.49593, 9.66872, 9.85172, 10.094");
             values ( \
-              "-0.0698713, -0.0856392, -0.109422, -0.129466, -0.146382, -0.150509, -0.156109, -0.159919, -0.160822, -0.160299, -0.158643, -0.153512, -0.148171, -0.142911, -0.138681, -0.124685, -0.0689693, -0.0549928, -0.0459275, -0.0359502, -0.0292509, -0.0228141, -0.0159027, -0.0108254, -0.00800711, -0.00428902, -0.00124872, -0.000341496, -0.000157936" \
+              "-0.0698713, -0.0856392, -0.109422, -0.129466, -0.146382, -0.150509, -0.156109, -0.159919, -0.160822, -0.160299, -0.158643, -0.153512, -0.148171, -0.142911, -0.138681, -0.124685, -0.0689693, -0.0549928, -0.0459276, -0.0359502, -0.0292509, -0.0228141, -0.0159027, -0.0108254, -0.00800711, -0.00428902, -0.00124872, -0.000341497, -0.000157936" \
             );
           }
           vector (ccs_template) {
@@ -139368,7 +154752,7 @@
             index_2 ("0.240917");
             index_3 ("0.0925739, 0.116847, 0.153615, 0.169886, 0.216467, 0.246088, 0.266845, 0.290568, 0.361697, 0.873752, 1.16157, 1.40277, 1.67266, 1.77513, 1.86987, 1.96997, 2.17017, 2.29953, 2.55825, 2.72473, 2.88807, 3.10585, 3.19295, 3.35563, 3.49879, 3.69952, 3.77817, 3.93548, 4.25009, 4.8682, 5.54908");
             values ( \
-              "-0.050769, -0.103196, -0.145396, -0.153888, -0.170098, -0.17493, -0.176104, -0.176491, -0.175824, -0.16824, -0.163729, -0.159574, -0.154009, -0.151306, -0.148198, -0.143877, -0.129696, -0.116465, -0.0880174, -0.0712691, -0.0569933, -0.0414052, -0.0362666, -0.0281713, -0.0224053, -0.0161429, -0.0141733, -0.0109159, -0.00635229, -0.00197641, -0.000495451" \
+              "-0.050769, -0.103196, -0.145396, -0.153888, -0.170098, -0.17493, -0.176104, -0.176491, -0.175824, -0.16824, -0.163729, -0.159574, -0.154009, -0.151306, -0.148198, -0.143877, -0.129696, -0.116465, -0.0880174, -0.0712691, -0.0569933, -0.0414052, -0.0362666, -0.0281713, -0.0224053, -0.0161429, -0.0141733, -0.0109159, -0.0063523, -0.00197641, -0.000495452" \
             );
           }
           vector (ccs_template) {
@@ -139703,7 +155087,7 @@
             "0.0457226, 0.0683677, 0.148594, 0.466602, 1.68048, 6.20703", \
             "0.0637841, 0.0834998, 0.153575, 0.467003, 1.68048, 6.20594", \
             "0.106785, 0.123981, 0.16908, 0.467754, 1.68105, 6.20706", \
-            "0.187286, 0.186793, 0.190391, 0.468242, 1.68133, 6.20996" \
+            "0.187286, 0.186793, 0.190361, 0.468242, 1.68133, 6.20996" \
           );
         }
         receiver_capacitance1_rise (delay_template) {
@@ -139780,9 +155164,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.898322");
-            index_3 ("0.0920815, 0.126206, 0.163198, 0.227475, 0.257857, 0.27839, 0.339948, 3.24145, 4.62313, 5.29086, 5.86748, 6.6347, 6.94105, 7.49106, 8.15531, 8.79708, 9.5643, 9.92636, 10.2962, 10.6943, 11.3672, 11.9218, 12.4791, 13.2463, 13.6128, 14.0911, 14.613, 15.3802, 16.1474, 16.9147, 18.4491, 19.9835, 20.7508");
+            index_3 ("0.0920814, 0.126206, 0.163198, 0.227475, 0.257857, 0.27839, 0.339948, 3.24144, 4.62314, 5.29085, 5.86748, 6.6347, 6.94105, 7.49106, 8.15531, 8.79708, 9.5643, 9.92636, 10.2962, 10.6943, 11.3672, 11.9219, 12.4791, 13.2463, 13.6128, 14.0911, 14.613, 15.3802, 16.1474, 16.9147, 18.4491, 19.9836, 20.7508");
             values ( \
-              "-0.0508987, -0.119192, -0.151172, -0.175562, -0.178985, -0.179799, -0.180077, -0.168416, -0.162243, -0.158821, -0.155281, -0.148979, -0.145197, -0.135428, -0.117582, -0.0982311, -0.0762132, -0.0669431, -0.0583073, -0.0500372, -0.0380362, -0.0301533, -0.0237934, -0.0168959, -0.0143509, -0.0115747, -0.00920101, -0.00640708, -0.00455354, -0.0031252, -0.00150443, -0.000714021, -0.000560532" \
+              "-0.0508987, -0.119192, -0.151172, -0.175562, -0.178985, -0.179799, -0.180077, -0.168416, -0.162243, -0.158821, -0.155281, -0.148979, -0.145197, -0.135428, -0.117582, -0.0982311, -0.0762131, -0.0669431, -0.0583074, -0.0500374, -0.0380362, -0.0301533, -0.0237933, -0.0168959, -0.0143509, -0.0115748, -0.00920108, -0.00640712, -0.00455356, -0.00312521, -0.00150442, -0.000714016, -0.000560528" \
             );
           }
           vector (ccs_template) {
@@ -139834,9 +155218,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.898322");
-            index_3 ("0.124021, 0.188567, 0.254718, 0.283634, 0.302247, 0.335876, 0.565162, 2.92377, 3.8879, 4.87754, 5.89956, 6.40916, 6.97247, 7.73969, 9.61224, 10.3118, 10.7814, 11.4084, 11.9395, 12.5022, 13.2694, 13.81, 14.1389, 14.7967, 15.5639, 16.3311, 17.0984, 17.8656, 19.4, 20.1672");
+            index_3 ("0.124021, 0.188567, 0.254718, 0.283634, 0.302247, 0.335876, 0.565162, 2.92377, 3.8879, 4.87754, 5.89956, 6.40915, 6.97247, 7.73969, 9.61224, 10.3118, 10.7814, 11.4084, 11.9395, 12.5022, 13.2695, 13.81, 14.1389, 14.7967, 15.5639, 16.3311, 17.0983, 17.8656, 19.4, 20.1672");
             values ( \
-              "-0.051143, -0.147882, -0.174582, -0.178586, -0.179578, -0.180064, -0.179319, -0.169852, -0.165763, -0.161135, -0.155336, -0.151402, -0.14526, -0.130202, -0.0758579, -0.0587375, -0.0489276, -0.0379565, -0.0303998, -0.0238505, -0.0170174, -0.0133599, -0.0115452, -0.00854054, -0.0060187, -0.0042001, -0.00295602, -0.00204598, -0.000990087, -0.000709438" \
+              "-0.051143, -0.147882, -0.174582, -0.178586, -0.179578, -0.180064, -0.179319, -0.169852, -0.165763, -0.161135, -0.155336, -0.151402, -0.14526, -0.130202, -0.0758578, -0.0587375, -0.0489278, -0.0379565, -0.0303998, -0.0238505, -0.0170174, -0.0133599, -0.0115453, -0.00854062, -0.00601874, -0.00420012, -0.00295603, -0.00204598, -0.000990085, -0.000709435" \
             );
           }
           vector (ccs_template) {
@@ -139888,9 +155272,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.898322");
-            index_3 ("0.269651, 0.297911, 0.334489, 0.40008, 0.428827, 0.447414, 0.482014, 0.545343, 3.06885, 4.17356, 5.02361, 5.79083, 6.04563, 6.55524, 7.11854, 7.88576, 8.33694, 8.99109, 9.75831, 10.1057, 10.4579, 10.9274, 11.5545, 12.0855, 12.6483, 13.4155, 13.9561, 14.285, 14.9428, 15.71, 16.4772, 17.2444, 18.0117, 18.7789, 20.3133");
+            index_3 ("0.269651, 0.297911, 0.334489, 0.40008, 0.428827, 0.447414, 0.482014, 0.545343, 3.06885, 4.17356, 5.02361, 5.79083, 6.04563, 6.55523, 7.11854, 7.88577, 8.33694, 8.99109, 9.75832, 10.1057, 10.4579, 10.9274, 11.5545, 12.0856, 12.6483, 13.4155, 13.9561, 14.285, 14.9428, 15.71, 16.4772, 17.2444, 18.0117, 18.7789, 20.3133");
             values ( \
-              "-0.04852, -0.108947, -0.14752, -0.174566, -0.178578, -0.179566, -0.180063, -0.17997, -0.169852, -0.165138, -0.161129, -0.15692, -0.15533, -0.151409, -0.145253, -0.130209, -0.117541, -0.0977424, -0.0758515, -0.0669732, -0.0587314, -0.0489335, -0.0379505, -0.030394, -0.0238564, -0.0170116, -0.0133654, -0.0115397, -0.00854605, -0.00601313, -0.00420561, -0.00295049, -0.00205147, -0.0014398, -0.000703974" \
+              "-0.04852, -0.108947, -0.14752, -0.174566, -0.178578, -0.179566, -0.180063, -0.17997, -0.169852, -0.165138, -0.161129, -0.15692, -0.15533, -0.151409, -0.145253, -0.130209, -0.117541, -0.0977424, -0.0758514, -0.0669732, -0.0587315, -0.0489337, -0.0379505, -0.0303939, -0.0238564, -0.0170115, -0.0133655, -0.0115397, -0.00854613, -0.00601318, -0.00420563, -0.0029505, -0.00205147, -0.0014398, -0.000703971" \
             );
           }
           vector (ccs_template) {
@@ -139942,9 +155326,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.898322");
-            index_3 ("0.762234, 0.835216, 0.908503, 0.95281, 0.972199, 0.998168, 1.05153, 1.08012, 2.65321, 4.04047, 5.32648, 5.99415, 6.57081, 7.33803, 7.64438, 8.19439, 8.85863, 9.5004, 10.2676, 10.6297, 10.9995, 11.4737, 12.0854, 12.5974, 13.1646, 13.9318, 14.4843, 14.8213, 15.4955, 16.2627, 17.0299, 17.7972, 18.5644, 19.3316, 20.866");
+            index_3 ("0.762234, 0.835216, 0.908503, 0.95281, 0.972199, 0.998168, 1.05153, 1.08012, 2.65321, 4.04047, 5.32648, 5.99414, 6.57081, 7.33803, 7.64438, 8.19439, 8.85863, 9.5004, 10.2676, 10.6297, 10.9995, 11.4737, 12.0854, 12.5974, 13.1646, 13.9318, 14.4843, 14.8213, 15.4955, 16.2627, 17.0299, 17.7972, 18.5644, 19.3316, 20.8661");
             values ( \
-              "-0.0275938, -0.107235, -0.165897, -0.177479, -0.178777, -0.179372, -0.179514, -0.179823, -0.173643, -0.167976, -0.162205, -0.158783, -0.155319, -0.148941, -0.145234, -0.135391, -0.117619, -0.0981935, -0.0762508, -0.0669807, -0.0583451, -0.048512, -0.037847, -0.0305555, -0.0239434, -0.0170705, -0.0133462, -0.0114747, -0.00843865, -0.0059317, -0.00415358, -0.0029085, -0.00202746, -0.00141758, -0.000691523" \
+              "-0.0275938, -0.107235, -0.165897, -0.177479, -0.178777, -0.179372, -0.179514, -0.179823, -0.173643, -0.167976, -0.162205, -0.158783, -0.155319, -0.148941, -0.145234, -0.135391, -0.117619, -0.0981935, -0.0762507, -0.0669807, -0.0583451, -0.048512, -0.037847, -0.0305555, -0.0239434, -0.0170705, -0.0133462, -0.0114747, -0.00843871, -0.00593173, -0.00415359, -0.00290851, -0.00202746, -0.00141758, -0.000691518" \
             );
           }
           vector (ccs_template) {
@@ -139953,16 +155337,16 @@
             index_2 ("0.00124625");
             index_3 ("2.46956, 2.49711, 2.50639, 2.5204, 2.54575, 2.58833, 2.60763, 2.62507, 2.64141, 2.65484, 2.65703, 2.6614, 2.67244, 2.67705, 2.68626, 2.70446, 2.72396, 2.738, 2.74863, 2.76285, 2.76467");
             values ( \
-              "-0.00250818, -0.00258541, -0.00312739, -0.0042835, -0.00697138, -0.0109303, -0.0123363, -0.0134002, -0.0140132, -0.014786, -0.0144616, -0.0146801, -0.014285, -0.0137602, -0.0113528, -0.00473655, -0.00241544, -0.00136788, -0.000845181, -0.000425001, -0.000400505" \
+              "-0.00250821, -0.00258541, -0.00312739, -0.0042835, -0.00697138, -0.0109303, -0.0123363, -0.0134002, -0.0140132, -0.014786, -0.0144616, -0.0146801, -0.014285, -0.0137602, -0.0113528, -0.00473655, -0.00241544, -0.00136788, -0.000845181, -0.000425001, -0.000400505" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00464699");
-            index_3 ("2.46885, 2.50042, 2.52187, 2.54345, 2.59506, 2.61776, 2.66868, 2.67685, 2.68505, 2.70309, 2.71163, 2.71906, 2.75387, 2.76581, 2.77892, 2.79062, 2.80621, 2.84084");
+            index_3 ("2.46885, 2.50042, 2.52187, 2.54345, 2.59506, 2.61776, 2.66868, 2.67685, 2.68505, 2.7031, 2.71161, 2.71906, 2.75387, 2.76581, 2.77892, 2.79062, 2.80621, 2.84082");
             values ( \
-              "-0.00409981, -0.00650649, -0.00987556, -0.0144078, -0.0270118, -0.0317497, -0.040434, -0.0425467, -0.0456786, -0.0552988, -0.057684, -0.0555095, -0.0176682, -0.0101368, -0.00512631, -0.00257945, -0.00132685, -0.000958132" \
+              "-0.00409987, -0.00650649, -0.00987557, -0.0144078, -0.0270118, -0.0317496, -0.040434, -0.0425463, -0.045678, -0.0553002, -0.0576831, -0.0555099, -0.0176682, -0.0101368, -0.00512632, -0.00257946, -0.00132685, -0.000958351" \
             );
           }
           vector (ccs_template) {
@@ -139989,16 +155373,16 @@
             index_2 ("0.240917");
             index_3 ("2.605, 2.69385, 2.71817, 2.74842, 2.78322, 2.8022, 2.83159, 2.95229, 3.39711, 3.65837, 4.00141, 4.26521, 4.44374, 4.494, 4.59453, 4.79559, 5.22053, 5.38773, 5.50165, 5.68842, 5.84948, 6.06423, 6.28917, 6.40999, 6.65162, 7.13489, 7.78099, 8.49423");
             values ( \
-              "-0.11726, -0.117355, -0.145684, -0.165569, -0.173628, -0.17512, -0.175855, -0.174627, -0.168076, -0.164021, -0.15804, -0.152278, -0.14622, -0.14387, -0.137821, -0.119472, -0.0739324, -0.0589656, -0.0501099, -0.0378997, -0.0295398, -0.0209203, -0.0144724, -0.011849, -0.00786633, -0.00330589, -0.000923168, -0.000243983" \
+              "-0.11726, -0.117355, -0.145684, -0.165569, -0.173628, -0.17512, -0.175855, -0.174627, -0.168076, -0.164021, -0.15804, -0.152278, -0.14622, -0.14387, -0.137821, -0.119472, -0.0739324, -0.0589656, -0.0501099, -0.0378997, -0.0295398, -0.0209203, -0.0144724, -0.011849, -0.00786633, -0.0033059, -0.000923169, -0.000243983" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.898322");
-            index_3 ("2.50573, 2.66634, 2.74629, 2.78106, 2.85959, 5.41489, 7.36864, 8.39064, 8.90021, 9.46355, 10.2308, 12.1033, 12.8029, 13.8995, 14.4306, 14.9933, 15.7605, 16.3011, 17.2878, 18.055, 19.5894, 21.1239, 22.6583");
+            index_3 ("2.50573, 2.66634, 2.74629, 2.78106, 2.85959, 5.41489, 7.36864, 8.39064, 8.9002, 9.46355, 10.2308, 12.1033, 12.8029, 13.8995, 14.4306, 14.9933, 15.7605, 16.3011, 17.2878, 18.055, 19.5894, 21.1239, 22.6583");
             values ( \
-              "-0.0150726, -0.0899198, -0.167525, -0.176556, -0.179764, -0.169836, -0.161117, -0.155317, -0.151422, -0.14524, -0.130222, -0.0758374, -0.0587174, -0.0379364, -0.0303799, -0.0238705, -0.0169975, -0.0133794, -0.00856002, -0.00599922, -0.00293658, -0.00142591, -0.000690093" \
+              "-0.0150726, -0.0899197, -0.167525, -0.176556, -0.179764, -0.169836, -0.161117, -0.155317, -0.151422, -0.14524, -0.130222, -0.0758373, -0.0587175, -0.0379365, -0.0303798, -0.0238704, -0.0169975, -0.0133794, -0.0085601, -0.00599927, -0.00293659, -0.00142591, -0.00069009" \
             );
           }
           vector (ccs_template) {
@@ -140007,52 +155391,52 @@
             index_2 ("0.00124625");
             index_3 ("9.03605, 9.12778, 9.14861, 9.17947, 9.21668, 9.24792, 9.33443, 9.35104, 9.38581, 9.41764, 9.43139, 9.44374, 9.44589, 9.45874, 9.47282, 9.49643, 9.5048, 9.51127, 9.54102, 9.54797, 9.55987, 9.56797, 9.58416, 9.59417");
             values ( \
-              "-0.000254221, -0.000724717, -0.000905901, -0.00126373, -0.00187772, -0.0026691, -0.00550499, -0.00599658, -0.00691144, -0.00752976, -0.00774669, -0.00802077, -0.00800171, -0.0082426, -0.00872791, -0.0103217, -0.0107394, -0.0099206, -0.00401517, -0.00293356, -0.0016566, -0.00111235, -0.000503219, -0.000348101" \
+              "-0.000254196, -0.000724717, -0.000905903, -0.00126374, -0.0018777, -0.0026691, -0.00550499, -0.00599658, -0.00691143, -0.00752976, -0.00774669, -0.00802077, -0.00800171, -0.0082426, -0.00872791, -0.0103217, -0.0107394, -0.0099206, -0.00401516, -0.00293356, -0.0016566, -0.00111235, -0.00050322, -0.000348099" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00464699");
-            index_3 ("9.0948, 9.16829, 9.18703, 9.21976, 9.26884, 9.30859, 9.35259, 9.44202, 9.46226, 9.47653, 9.49287, 9.5033, 9.52298, 9.53215, 9.53937, 9.55144, 9.56671, 9.57976, 9.58818, 9.60146, 9.61383, 9.63033, 9.67344");
+            index_3 ("9.0948, 9.16829, 9.18704, 9.21976, 9.26884, 9.30859, 9.35259, 9.44202, 9.46226, 9.47653, 9.49287, 9.5033, 9.52298, 9.53215, 9.53937, 9.55144, 9.56671, 9.57976, 9.58818, 9.60146, 9.61383, 9.63033, 9.67344");
             values ( \
-              "-0.00284786, -0.0030449, -0.00361102, -0.00491508, -0.00769487, -0.0110154, -0.0151007, -0.0222918, -0.0243368, -0.0268693, -0.031797, -0.0368288, -0.0484046, -0.0522558, -0.051716, -0.041636, -0.0249029, -0.0143438, -0.0096676, -0.00477865, -0.00250551, -0.00148053, -0.000799843" \
+              "-0.00284763, -0.00304494, -0.00361107, -0.00491508, -0.00769485, -0.0110155, -0.0151007, -0.0222918, -0.0243368, -0.0268693, -0.031797, -0.0368288, -0.0484046, -0.0522558, -0.051716, -0.041636, -0.0249029, -0.0143438, -0.0096676, -0.00477865, -0.00250551, -0.00148053, -0.000799842" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0173275");
-            index_3 ("9.14305, 9.2314, 9.27208, 9.28983, 9.32535, 9.41961, 9.45733, 9.46825, 9.48185, 9.49643, 9.52613, 9.54068, 9.54829, 9.55844, 9.56956, 9.59117, 9.60142, 9.61509, 9.62872, 9.64762, 9.68384, 9.70817, 9.73304, 9.7464, 9.76542, 9.78698, 9.80385, 9.83758, 9.8851, 9.89736");
+            index_3 ("9.14305, 9.2314, 9.27208, 9.28983, 9.32535, 9.41961, 9.45733, 9.46826, 9.48185, 9.49643, 9.52608, 9.54063, 9.54841, 9.55878, 9.56956, 9.59111, 9.60154, 9.61546, 9.62801, 9.64476, 9.68336, 9.70886, 9.73248, 9.74603, 9.76519, 9.78733, 9.80472, 9.83948, 9.88709, 9.89779");
             values ( \
-              "-0.00931889, -0.00971501, -0.0132544, -0.015203, -0.0199871, -0.0349927, -0.0428686, -0.0466417, -0.0537698, -0.065437, -0.0971758, -0.1099, -0.114958, -0.11996, -0.123491, -0.125906, -0.125335, -0.122636, -0.116225, -0.0993575, -0.0604518, -0.0401479, -0.0254512, -0.0197106, -0.0135518, -0.0088215, -0.00625496, -0.00299816, -0.000822845, -0.000729188" \
+              "-0.00931817, -0.00971518, -0.0132544, -0.015203, -0.0199869, -0.0349927, -0.0428686, -0.046642, -0.0537705, -0.0654381, -0.0971285, -0.109857, -0.115026, -0.1201, -0.12349, -0.125905, -0.12532, -0.122524, -0.116672, -0.102356, -0.0608792, -0.0396483, -0.0257068, -0.0198461, -0.0136033, -0.00875181, -0.00614256, -0.00286113, -0.000777449, -0.00070561" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0646103");
-            index_3 ("9.21843, 9.3581, 9.44565, 9.46283, 9.48175, 9.52332, 9.55478, 9.57162, 9.59306, 9.61711, 9.6411, 9.73751, 9.85627, 9.88903, 9.95454, 9.96987, 10.0005, 10.0611, 10.1808, 10.2573, 10.3425, 10.3854, 10.4462, 10.5096, 10.5582, 10.6554, 10.7713");
+            index_3 ("9.21842, 9.3581, 9.44565, 9.46283, 9.48175, 9.52332, 9.55478, 9.57162, 9.59306, 9.61711, 9.6411, 9.73751, 9.85627, 9.88903, 9.95454, 9.96987, 10.0005, 10.0611, 10.1808, 10.2573, 10.3425, 10.3854, 10.4462, 10.5096, 10.5582, 10.6554, 10.7713");
             values ( \
-              "-0.0210687, -0.0305078, -0.0489574, -0.0558703, -0.0693814, -0.120955, -0.148542, -0.155844, -0.160582, -0.162452, -0.162533, -0.158256, -0.150875, -0.148361, -0.14132, -0.138809, -0.132377, -0.112611, -0.0683772, -0.046455, -0.0290961, -0.022743, -0.0158759, -0.0108777, -0.00809572, -0.00439164, -0.00230919" \
+              "-0.0210673, -0.0305078, -0.0489574, -0.0558704, -0.0693814, -0.120955, -0.148542, -0.155844, -0.160582, -0.162452, -0.162533, -0.158256, -0.150875, -0.148361, -0.14132, -0.138809, -0.132377, -0.112611, -0.0683772, -0.046455, -0.0290961, -0.022743, -0.0158759, -0.0108777, -0.00809572, -0.00439164, -0.00230919" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.240917");
-            index_3 ("9.36116, 9.49593, 9.53263, 9.56607, 9.60746, 9.63531, 9.66629, 9.74997, 10.3303, 10.806, 11.0079, 11.2406, 11.2988, 11.4154, 11.6274, 12.0562, 12.346, 12.5052, 12.6329, 12.7892, 13.0089, 13.238, 13.4136, 13.7649, 14.4026, 15.0744");
+            index_3 ("9.36116, 9.49594, 9.53263, 9.56608, 9.60744, 9.63529, 9.66628, 9.74996, 10.3303, 10.806, 11.0079, 11.2406, 11.2988, 11.4154, 11.6274, 12.0562, 12.346, 12.5052, 12.6329, 12.7892, 13.0089, 13.238, 13.4136, 13.7649, 14.4026, 15.0744");
             values ( \
-              "-0.0680263, -0.0956217, -0.143293, -0.16516, -0.173802, -0.175333, -0.175665, -0.174812, -0.166166, -0.158087, -0.153769, -0.146497, -0.143804, -0.136616, -0.116561, -0.0710222, -0.0473237, -0.0372501, -0.030584, -0.0238639, -0.0166685, -0.0114187, -0.00849843, -0.00461408, -0.00134816, -0.00035838" \
+              "-0.0680155, -0.095628, -0.1433, -0.165162, -0.173801, -0.175332, -0.175665, -0.174812, -0.166166, -0.158087, -0.153769, -0.146497, -0.143804, -0.136616, -0.116561, -0.0710222, -0.0473237, -0.0372501, -0.030584, -0.0238639, -0.0166685, -0.0114187, -0.00849843, -0.00461408, -0.00134816, -0.00035838" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.898322");
-            index_3 ("9.15301, 9.4299, 9.57324, 9.70778, 12.6305, 14.6256, 15.202, 16.2758, 16.9279, 18.8655, 19.6758, 20.7302, 21.7857, 23.1246, 24.943, 26.4349");
+            index_3 ("9.15301, 9.42989, 9.57324, 9.70779, 12.6305, 14.6256, 15.202, 16.2758, 16.9279, 18.8655, 19.6758, 20.7302, 21.7857, 23.1246, 24.943, 26.4348");
             values ( \
-              "-0.0065557, -0.0477594, -0.168706, -0.179767, -0.168104, -0.158749, -0.155281, -0.145205, -0.133112, -0.0772196, -0.057412, -0.0376832, -0.0240814, -0.0133106, -0.00580573, -0.00332884" \
+              "-0.0065557, -0.0477582, -0.168705, -0.179767, -0.168104, -0.158749, -0.155281, -0.145205, -0.133112, -0.0772195, -0.057412, -0.0376832, -0.0240814, -0.0133106, -0.00580576, -0.00332894" \
             );
           }
         }
@@ -140077,7 +155461,7 @@
             "0.00494999, 0.00494864, 0.00494646, 0.00494491, 0.00494433, 0.00494416", \
             "0.00474901, 0.00478748, 0.00482232, 0.00483755, 0.00484226, 0.00484355", \
             "0.00398675, 0.00398659, 0.00398583, 0.00400852, 0.00413116, 0.00417082", \
-            "0.00393316, 0.00392882, 0.00392943, 0.00392982, 0.0039312, 0.00404858" \
+            "0.00393316, 0.00392882, 0.00392932, 0.00392982, 0.0039312, 0.00404858" \
           );
         }
       }
@@ -140212,9 +155596,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0173275");
-            index_3 ("0.130635, 0.144576, 0.161378, 0.167732, 0.18044, 0.195067, 0.229046, 0.244335, 0.250884, 0.263377, 0.276704, 0.29147, 0.315217, 0.320971, 0.327546, 0.340697, 0.379175, 0.404471, 0.428212, 0.441703, 0.467724, 0.482877, 0.500194, 0.53483, 0.584671, 0.611159");
+            index_3 ("0.130635, 0.144576, 0.161378, 0.167732, 0.18044, 0.195067, 0.229046, 0.244335, 0.250884, 0.263377, 0.276704, 0.29147, 0.315217, 0.320971, 0.327546, 0.340697, 0.379175, 0.404471, 0.428212, 0.441703, 0.467724, 0.482877, 0.500194, 0.53483, 0.584672, 0.611159");
             values ( \
-              "-0.0693767, -0.0748312, -0.098515, -0.105043, -0.114668, -0.121053, -0.12904, -0.131891, -0.132608, -0.133085, -0.132423, -0.130392, -0.123639, -0.120413, -0.115794, -0.103255, -0.0610659, -0.0398056, -0.0257213, -0.0198559, -0.0118713, -0.00876804, -0.00615359, -0.00287844, -0.000710951, -0.000652846" \
+              "-0.0693767, -0.0748312, -0.098515, -0.105043, -0.114668, -0.121053, -0.12904, -0.131891, -0.132608, -0.133085, -0.132423, -0.130392, -0.123639, -0.120413, -0.115794, -0.103255, -0.0610659, -0.0398056, -0.0257213, -0.0198559, -0.0118713, -0.00876804, -0.00615359, -0.00287844, -0.00071095, -0.000652845" \
             );
           }
           vector (ccs_template) {
@@ -140257,9 +155641,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00464699");
-            index_3 ("0.267357, 0.277792, 0.287485, 0.295774, 0.301637, 0.313363, 0.325205, 0.336259, 0.347182, 0.353114, 0.358156, 0.36622, 0.393338, 0.40004, 0.407609, 0.412886, 0.424197, 0.436899, 0.451416, 0.563565");
+            index_3 ("0.267357, 0.277792, 0.287485, 0.295774, 0.301637, 0.313363, 0.325205, 0.336259, 0.347182, 0.353115, 0.358156, 0.36622, 0.393338, 0.40004, 0.407609, 0.412886, 0.424197, 0.436899, 0.451416, 0.563565");
             values ( \
-              "-0.0337342, -0.0402791, -0.0523135, -0.0606096, -0.0652075, -0.071687, -0.0751149, -0.0764394, -0.0765523, -0.0760619, -0.0752201, -0.0694094, -0.0277285, -0.0204382, -0.0142197, -0.0109894, -0.00614057, -0.00293774, -0.00105987, -0.00017547" \
+              "-0.0337342, -0.0402791, -0.0523135, -0.0606096, -0.0652075, -0.071687, -0.0751149, -0.0764394, -0.0765523, -0.0760618, -0.07522, -0.0694092, -0.0277285, -0.0204382, -0.0142197, -0.0109894, -0.00614057, -0.00293774, -0.00105987, -0.000175471" \
             );
           }
           vector (ccs_template) {
@@ -140338,9 +155722,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.240917");
-            index_3 ("0.763366, 0.829726, 0.89774, 0.938397, 0.969977, 0.994386, 1.08412, 1.20676, 1.79595, 2.10766, 2.4296, 2.61025, 2.67496, 2.80436, 3.00344, 3.39291, 3.57107, 3.68801, 3.87096, 4.02816, 4.23776, 4.40755, 4.59362, 4.84171, 5.3379, 6.00585, 6.74898");
+            index_3 ("0.763366, 0.829726, 0.89774, 0.938397, 0.969977, 0.994386, 1.08412, 1.20676, 1.79595, 2.10766, 2.4296, 2.61025, 2.67496, 2.80436, 3.00344, 3.39291, 3.57107, 3.68801, 3.87096, 4.02816, 4.23776, 4.40755, 4.59362, 4.84171, 5.3379, 6.00585, 6.74899");
             values ( \
-              "-0.0269947, -0.0990328, -0.15621, -0.172363, -0.175419, -0.175913, -0.175469, -0.173772, -0.164869, -0.159576, -0.152703, -0.146821, -0.143874, -0.13568, -0.116574, -0.0747815, -0.0587856, -0.0497353, -0.0378217, -0.0296563, -0.0211889, -0.0160521, -0.0118001, -0.00774898, -0.00316307, -0.000842954, -0.000201986" \
+              "-0.0269947, -0.0990328, -0.15621, -0.172363, -0.175419, -0.175913, -0.175469, -0.173772, -0.164869, -0.159576, -0.152703, -0.146821, -0.143874, -0.13568, -0.116574, -0.0747815, -0.0587855, -0.0497352, -0.0378217, -0.0296563, -0.0211889, -0.0160521, -0.0118001, -0.00774897, -0.00316305, -0.000842949, -0.000201985" \
             );
           }
           vector (ccs_template) {
@@ -140367,7 +155751,7 @@
             index_2 ("0.00464699");
             index_3 ("2.46274, 2.49981, 2.5224, 2.54087, 2.59465, 2.61662, 2.66837, 2.67773, 2.68684, 2.70224, 2.70799, 2.71565, 2.72548, 2.74033, 2.75337, 2.765, 2.77898, 2.79118, 2.80745, 2.86486");
             values ( \
-              "-0.00259922, -0.00643366, -0.0100672, -0.0139235, -0.0269676, -0.0315948, -0.0403554, -0.0427306, -0.046331, -0.0545856, -0.0567954, -0.0569301, -0.0492119, -0.0308486, -0.0179495, -0.0105058, -0.00508717, -0.00249288, -0.00129785, -0.000604015" \
+              "-0.00259922, -0.00643366, -0.0100672, -0.0139235, -0.0269676, -0.0315948, -0.0403554, -0.0427306, -0.046331, -0.0545856, -0.0567954, -0.0569301, -0.049212, -0.0308484, -0.0179495, -0.0105059, -0.00508715, -0.0024928, -0.00129781, -0.000604008" \
             );
           }
           vector (ccs_template) {
@@ -140385,7 +155769,7 @@
             index_2 ("0.0646103");
             index_3 ("2.54326, 2.61424, 2.67545, 2.70873, 2.73837, 2.77074, 2.78567, 2.81171, 2.89279, 3.03504, 3.1108, 3.16167, 3.18435, 3.22971, 3.36169, 3.4118, 3.49468, 3.57863, 3.66492, 3.71708, 3.8214, 3.97796");
             values ( \
-              "-0.0486849, -0.0567273, -0.0880059, -0.126352, -0.150863, -0.161257, -0.162856, -0.163546, -0.160266, -0.151805, -0.145653, -0.138881, -0.134351, -0.121122, -0.0720538, -0.0564166, -0.0363141, -0.0225599, -0.0135364, -0.00988606, -0.00512384, -0.00185918" \
+              "-0.0486849, -0.0567273, -0.088006, -0.126352, -0.150863, -0.161257, -0.162856, -0.163546, -0.160266, -0.151805, -0.145653, -0.138881, -0.134351, -0.121122, -0.0720539, -0.0564165, -0.0363141, -0.02256, -0.0135364, -0.00988605, -0.00512381, -0.00185918" \
             );
           }
           vector (ccs_template) {
@@ -140511,7 +155895,7 @@
             "0.0457226, 0.0683677, 0.148594, 0.466602, 1.68048, 6.20703", \
             "0.0637841, 0.0834998, 0.153575, 0.467003, 1.68048, 6.20594", \
             "0.106785, 0.123981, 0.16908, 0.467754, 1.68105, 6.20706", \
-            "0.187286, 0.186793, 0.190391, 0.468242, 1.68133, 6.20996" \
+            "0.187286, 0.186793, 0.190361, 0.468242, 1.68133, 6.20996" \
           );
         }
         receiver_capacitance1_rise (delay_template) {
@@ -140588,9 +155972,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.898322");
-            index_3 ("0.0920815, 0.126206, 0.163198, 0.227475, 0.257857, 0.27839, 0.339948, 3.24145, 4.62313, 5.29086, 5.86748, 6.6347, 6.94105, 7.49106, 8.15531, 8.79708, 9.5643, 9.92636, 10.2962, 10.6943, 11.3672, 11.9218, 12.4791, 13.2463, 13.6128, 14.0911, 14.613, 15.3802, 16.1474, 16.9147, 18.4491, 19.9835, 20.7508");
+            index_3 ("0.0920814, 0.126206, 0.163198, 0.227475, 0.257857, 0.27839, 0.339948, 3.24144, 4.62314, 5.29085, 5.86748, 6.6347, 6.94105, 7.49106, 8.15531, 8.79708, 9.5643, 9.92636, 10.2962, 10.6943, 11.3672, 11.9219, 12.4791, 13.2463, 13.6128, 14.0911, 14.613, 15.3802, 16.1474, 16.9147, 18.4491, 19.9836, 20.7508");
             values ( \
-              "-0.0508987, -0.119192, -0.151172, -0.175562, -0.178985, -0.179799, -0.180077, -0.168416, -0.162243, -0.158821, -0.155281, -0.148979, -0.145197, -0.135428, -0.117582, -0.0982311, -0.0762132, -0.0669431, -0.0583073, -0.0500372, -0.0380362, -0.0301533, -0.0237934, -0.0168959, -0.0143509, -0.0115747, -0.00920101, -0.00640708, -0.00455354, -0.0031252, -0.00150443, -0.000714021, -0.000560532" \
+              "-0.0508987, -0.119192, -0.151172, -0.175562, -0.178985, -0.179799, -0.180077, -0.168416, -0.162243, -0.158821, -0.155281, -0.148979, -0.145197, -0.135428, -0.117582, -0.0982311, -0.0762131, -0.0669431, -0.0583074, -0.0500374, -0.0380362, -0.0301533, -0.0237933, -0.0168959, -0.0143509, -0.0115748, -0.00920108, -0.00640712, -0.00455356, -0.00312521, -0.00150442, -0.000714016, -0.000560528" \
             );
           }
           vector (ccs_template) {
@@ -140642,9 +156026,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.898322");
-            index_3 ("0.124021, 0.188567, 0.254718, 0.283634, 0.302247, 0.335876, 0.565162, 2.92377, 3.8879, 4.87754, 5.89956, 6.40916, 6.97247, 7.73969, 9.61224, 10.3118, 10.7814, 11.4084, 11.9395, 12.5022, 13.2694, 13.81, 14.1389, 14.7967, 15.5639, 16.3311, 17.0984, 17.8656, 19.4, 20.1672");
+            index_3 ("0.124021, 0.188567, 0.254718, 0.283634, 0.302247, 0.335876, 0.565162, 2.92377, 3.8879, 4.87754, 5.89956, 6.40915, 6.97247, 7.73969, 9.61224, 10.3118, 10.7814, 11.4084, 11.9395, 12.5022, 13.2695, 13.81, 14.1389, 14.7967, 15.5639, 16.3311, 17.0983, 17.8656, 19.4, 20.1672");
             values ( \
-              "-0.051143, -0.147882, -0.174582, -0.178586, -0.179578, -0.180064, -0.179319, -0.169852, -0.165763, -0.161135, -0.155336, -0.151402, -0.14526, -0.130202, -0.0758579, -0.0587375, -0.0489276, -0.0379565, -0.0303998, -0.0238505, -0.0170174, -0.0133599, -0.0115452, -0.00854054, -0.0060187, -0.0042001, -0.00295602, -0.00204598, -0.000990087, -0.000709438" \
+              "-0.051143, -0.147882, -0.174582, -0.178586, -0.179578, -0.180064, -0.179319, -0.169852, -0.165763, -0.161135, -0.155336, -0.151402, -0.14526, -0.130202, -0.0758578, -0.0587375, -0.0489278, -0.0379565, -0.0303998, -0.0238505, -0.0170174, -0.0133599, -0.0115453, -0.00854062, -0.00601874, -0.00420012, -0.00295603, -0.00204598, -0.000990085, -0.000709435" \
             );
           }
           vector (ccs_template) {
@@ -140696,9 +156080,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.898322");
-            index_3 ("0.269651, 0.297911, 0.334489, 0.40008, 0.428827, 0.447414, 0.482014, 0.545343, 3.06885, 4.17356, 5.02361, 5.79083, 6.04563, 6.55524, 7.11854, 7.88576, 8.33694, 8.99109, 9.75831, 10.1057, 10.4579, 10.9274, 11.5545, 12.0855, 12.6483, 13.4155, 13.9561, 14.285, 14.9428, 15.71, 16.4772, 17.2444, 18.0117, 18.7789, 20.3133");
+            index_3 ("0.269651, 0.297911, 0.334489, 0.40008, 0.428827, 0.447414, 0.482014, 0.545343, 3.06885, 4.17356, 5.02361, 5.79083, 6.04563, 6.55523, 7.11854, 7.88577, 8.33694, 8.99109, 9.75832, 10.1057, 10.4579, 10.9274, 11.5545, 12.0856, 12.6483, 13.4155, 13.9561, 14.285, 14.9428, 15.71, 16.4772, 17.2444, 18.0117, 18.7789, 20.3133");
             values ( \
-              "-0.04852, -0.108947, -0.14752, -0.174566, -0.178578, -0.179566, -0.180063, -0.17997, -0.169852, -0.165138, -0.161129, -0.15692, -0.15533, -0.151409, -0.145253, -0.130209, -0.117541, -0.0977424, -0.0758515, -0.0669732, -0.0587314, -0.0489335, -0.0379505, -0.030394, -0.0238564, -0.0170116, -0.0133654, -0.0115397, -0.00854605, -0.00601313, -0.00420561, -0.00295049, -0.00205147, -0.0014398, -0.000703974" \
+              "-0.04852, -0.108947, -0.14752, -0.174566, -0.178578, -0.179566, -0.180063, -0.17997, -0.169852, -0.165138, -0.161129, -0.15692, -0.15533, -0.151409, -0.145253, -0.130209, -0.117541, -0.0977424, -0.0758514, -0.0669732, -0.0587315, -0.0489337, -0.0379505, -0.0303939, -0.0238564, -0.0170115, -0.0133655, -0.0115397, -0.00854613, -0.00601318, -0.00420563, -0.0029505, -0.00205147, -0.0014398, -0.000703971" \
             );
           }
           vector (ccs_template) {
@@ -140750,9 +156134,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.898322");
-            index_3 ("0.762234, 0.835216, 0.908503, 0.95281, 0.972199, 0.998168, 1.05153, 1.08012, 2.65321, 4.04047, 5.32648, 5.99415, 6.57081, 7.33803, 7.64438, 8.19439, 8.85863, 9.5004, 10.2676, 10.6297, 10.9995, 11.4737, 12.0854, 12.5974, 13.1646, 13.9318, 14.4843, 14.8213, 15.4955, 16.2627, 17.0299, 17.7972, 18.5644, 19.3316, 20.866");
+            index_3 ("0.762234, 0.835216, 0.908503, 0.95281, 0.972199, 0.998168, 1.05153, 1.08012, 2.65321, 4.04047, 5.32648, 5.99414, 6.57081, 7.33803, 7.64438, 8.19439, 8.85863, 9.5004, 10.2676, 10.6297, 10.9995, 11.4737, 12.0854, 12.5974, 13.1646, 13.9318, 14.4843, 14.8213, 15.4955, 16.2627, 17.0299, 17.7972, 18.5644, 19.3316, 20.8661");
             values ( \
-              "-0.0275938, -0.107235, -0.165897, -0.177479, -0.178777, -0.179372, -0.179514, -0.179823, -0.173643, -0.167976, -0.162205, -0.158783, -0.155319, -0.148941, -0.145234, -0.135391, -0.117619, -0.0981935, -0.0762508, -0.0669807, -0.0583451, -0.048512, -0.037847, -0.0305555, -0.0239434, -0.0170705, -0.0133462, -0.0114747, -0.00843865, -0.0059317, -0.00415358, -0.0029085, -0.00202746, -0.00141758, -0.000691523" \
+              "-0.0275938, -0.107235, -0.165897, -0.177479, -0.178777, -0.179372, -0.179514, -0.179823, -0.173643, -0.167976, -0.162205, -0.158783, -0.155319, -0.148941, -0.145234, -0.135391, -0.117619, -0.0981935, -0.0762507, -0.0669807, -0.0583451, -0.048512, -0.037847, -0.0305555, -0.0239434, -0.0170705, -0.0133462, -0.0114747, -0.00843871, -0.00593173, -0.00415359, -0.00290851, -0.00202746, -0.00141758, -0.000691518" \
             );
           }
           vector (ccs_template) {
@@ -140761,16 +156145,16 @@
             index_2 ("0.00124625");
             index_3 ("2.46956, 2.49711, 2.50639, 2.5204, 2.54575, 2.58833, 2.60763, 2.62507, 2.64141, 2.65484, 2.65703, 2.6614, 2.67244, 2.67705, 2.68626, 2.70446, 2.72396, 2.738, 2.74863, 2.76285, 2.76467");
             values ( \
-              "-0.00250818, -0.00258541, -0.00312739, -0.0042835, -0.00697138, -0.0109303, -0.0123363, -0.0134002, -0.0140132, -0.014786, -0.0144616, -0.0146801, -0.014285, -0.0137602, -0.0113528, -0.00473655, -0.00241544, -0.00136788, -0.000845181, -0.000425001, -0.000400505" \
+              "-0.00250821, -0.00258541, -0.00312739, -0.0042835, -0.00697138, -0.0109303, -0.0123363, -0.0134002, -0.0140132, -0.014786, -0.0144616, -0.0146801, -0.014285, -0.0137602, -0.0113528, -0.00473655, -0.00241544, -0.00136788, -0.000845181, -0.000425001, -0.000400505" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00464699");
-            index_3 ("2.46885, 2.50042, 2.52187, 2.54345, 2.59506, 2.61776, 2.66868, 2.67685, 2.68505, 2.70309, 2.71163, 2.71906, 2.75387, 2.76581, 2.77892, 2.79062, 2.80621, 2.84084");
+            index_3 ("2.46885, 2.50042, 2.52187, 2.54345, 2.59506, 2.61776, 2.66868, 2.67685, 2.68505, 2.7031, 2.71161, 2.71906, 2.75387, 2.76581, 2.77892, 2.79062, 2.80621, 2.84082");
             values ( \
-              "-0.00409981, -0.00650649, -0.00987556, -0.0144078, -0.0270118, -0.0317497, -0.040434, -0.0425467, -0.0456786, -0.0552988, -0.057684, -0.0555095, -0.0176682, -0.0101368, -0.00512631, -0.00257945, -0.00132685, -0.000958132" \
+              "-0.00409987, -0.00650649, -0.00987557, -0.0144078, -0.0270118, -0.0317496, -0.040434, -0.0425463, -0.045678, -0.0553002, -0.0576831, -0.0555099, -0.0176682, -0.0101368, -0.00512632, -0.00257946, -0.00132685, -0.000958351" \
             );
           }
           vector (ccs_template) {
@@ -140797,16 +156181,16 @@
             index_2 ("0.240917");
             index_3 ("2.605, 2.69385, 2.71817, 2.74842, 2.78322, 2.8022, 2.83159, 2.95229, 3.39711, 3.65837, 4.00141, 4.26521, 4.44374, 4.494, 4.59453, 4.79559, 5.22053, 5.38773, 5.50165, 5.68842, 5.84948, 6.06423, 6.28917, 6.40999, 6.65162, 7.13489, 7.78099, 8.49423");
             values ( \
-              "-0.11726, -0.117355, -0.145684, -0.165569, -0.173628, -0.17512, -0.175855, -0.174627, -0.168076, -0.164021, -0.15804, -0.152278, -0.14622, -0.14387, -0.137821, -0.119472, -0.0739324, -0.0589656, -0.0501099, -0.0378997, -0.0295398, -0.0209203, -0.0144724, -0.011849, -0.00786633, -0.00330589, -0.000923168, -0.000243983" \
+              "-0.11726, -0.117355, -0.145684, -0.165569, -0.173628, -0.17512, -0.175855, -0.174627, -0.168076, -0.164021, -0.15804, -0.152278, -0.14622, -0.14387, -0.137821, -0.119472, -0.0739324, -0.0589656, -0.0501099, -0.0378997, -0.0295398, -0.0209203, -0.0144724, -0.011849, -0.00786633, -0.0033059, -0.000923169, -0.000243983" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.898322");
-            index_3 ("2.50573, 2.66634, 2.74629, 2.78106, 2.85959, 5.41489, 7.36864, 8.39064, 8.90021, 9.46355, 10.2308, 12.1033, 12.8029, 13.8995, 14.4306, 14.9933, 15.7605, 16.3011, 17.2878, 18.055, 19.5894, 21.1239, 22.6583");
+            index_3 ("2.50573, 2.66634, 2.74629, 2.78106, 2.85959, 5.41489, 7.36864, 8.39064, 8.9002, 9.46355, 10.2308, 12.1033, 12.8029, 13.8995, 14.4306, 14.9933, 15.7605, 16.3011, 17.2878, 18.055, 19.5894, 21.1239, 22.6583");
             values ( \
-              "-0.0150726, -0.0899198, -0.167525, -0.176556, -0.179764, -0.169836, -0.161117, -0.155317, -0.151422, -0.14524, -0.130222, -0.0758374, -0.0587174, -0.0379364, -0.0303799, -0.0238705, -0.0169975, -0.0133794, -0.00856002, -0.00599922, -0.00293658, -0.00142591, -0.000690093" \
+              "-0.0150726, -0.0899197, -0.167525, -0.176556, -0.179764, -0.169836, -0.161117, -0.155317, -0.151422, -0.14524, -0.130222, -0.0758373, -0.0587175, -0.0379365, -0.0303798, -0.0238704, -0.0169975, -0.0133794, -0.0085601, -0.00599927, -0.00293659, -0.00142591, -0.00069009" \
             );
           }
           vector (ccs_template) {
@@ -140815,52 +156199,52 @@
             index_2 ("0.00124625");
             index_3 ("9.03605, 9.12778, 9.14861, 9.17947, 9.21668, 9.24792, 9.33443, 9.35104, 9.38581, 9.41764, 9.43139, 9.44374, 9.44589, 9.45874, 9.47282, 9.49643, 9.5048, 9.51127, 9.54102, 9.54797, 9.55987, 9.56797, 9.58416, 9.59417");
             values ( \
-              "-0.000254221, -0.000724717, -0.000905901, -0.00126373, -0.00187772, -0.0026691, -0.00550499, -0.00599658, -0.00691144, -0.00752976, -0.00774669, -0.00802077, -0.00800171, -0.0082426, -0.00872791, -0.0103217, -0.0107394, -0.0099206, -0.00401517, -0.00293356, -0.0016566, -0.00111235, -0.000503219, -0.000348101" \
+              "-0.000254196, -0.000724717, -0.000905903, -0.00126374, -0.0018777, -0.0026691, -0.00550499, -0.00599658, -0.00691143, -0.00752976, -0.00774669, -0.00802077, -0.00800171, -0.0082426, -0.00872791, -0.0103217, -0.0107394, -0.0099206, -0.00401516, -0.00293356, -0.0016566, -0.00111235, -0.00050322, -0.000348099" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00464699");
-            index_3 ("9.0948, 9.16829, 9.18703, 9.21976, 9.26884, 9.30859, 9.35259, 9.44202, 9.46226, 9.47653, 9.49287, 9.5033, 9.52298, 9.53215, 9.53937, 9.55144, 9.56671, 9.57976, 9.58818, 9.60146, 9.61383, 9.63033, 9.67344");
+            index_3 ("9.0948, 9.16829, 9.18704, 9.21976, 9.26884, 9.30859, 9.35259, 9.44202, 9.46226, 9.47653, 9.49287, 9.5033, 9.52298, 9.53215, 9.53937, 9.55144, 9.56671, 9.57976, 9.58818, 9.60146, 9.61383, 9.63033, 9.67344");
             values ( \
-              "-0.00284786, -0.0030449, -0.00361102, -0.00491508, -0.00769487, -0.0110154, -0.0151007, -0.0222918, -0.0243368, -0.0268693, -0.031797, -0.0368288, -0.0484046, -0.0522558, -0.051716, -0.041636, -0.0249029, -0.0143438, -0.0096676, -0.00477865, -0.00250551, -0.00148053, -0.000799843" \
+              "-0.00284763, -0.00304494, -0.00361107, -0.00491508, -0.00769485, -0.0110155, -0.0151007, -0.0222918, -0.0243368, -0.0268693, -0.031797, -0.0368288, -0.0484046, -0.0522558, -0.051716, -0.041636, -0.0249029, -0.0143438, -0.0096676, -0.00477865, -0.00250551, -0.00148053, -0.000799842" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0173275");
-            index_3 ("9.14305, 9.2314, 9.27208, 9.28983, 9.32535, 9.41961, 9.45733, 9.46825, 9.48185, 9.49643, 9.52613, 9.54068, 9.54829, 9.55844, 9.56956, 9.59117, 9.60142, 9.61509, 9.62872, 9.64762, 9.68384, 9.70817, 9.73304, 9.7464, 9.76542, 9.78698, 9.80385, 9.83758, 9.8851, 9.89736");
+            index_3 ("9.14305, 9.2314, 9.27208, 9.28983, 9.32535, 9.41961, 9.45733, 9.46826, 9.48185, 9.49643, 9.52608, 9.54063, 9.54841, 9.55878, 9.56956, 9.59111, 9.60154, 9.61546, 9.62801, 9.64476, 9.68336, 9.70886, 9.73248, 9.74603, 9.76519, 9.78733, 9.80472, 9.83948, 9.88709, 9.89779");
             values ( \
-              "-0.00931889, -0.00971501, -0.0132544, -0.015203, -0.0199871, -0.0349927, -0.0428686, -0.0466417, -0.0537698, -0.065437, -0.0971758, -0.1099, -0.114958, -0.11996, -0.123491, -0.125906, -0.125335, -0.122636, -0.116225, -0.0993575, -0.0604518, -0.0401479, -0.0254512, -0.0197106, -0.0135518, -0.0088215, -0.00625496, -0.00299816, -0.000822845, -0.000729188" \
+              "-0.00931817, -0.00971518, -0.0132544, -0.015203, -0.0199869, -0.0349927, -0.0428686, -0.046642, -0.0537705, -0.0654381, -0.0971285, -0.109857, -0.115026, -0.1201, -0.12349, -0.125905, -0.12532, -0.122524, -0.116672, -0.102356, -0.0608792, -0.0396483, -0.0257068, -0.0198461, -0.0136033, -0.00875181, -0.00614256, -0.00286113, -0.000777449, -0.00070561" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0646103");
-            index_3 ("9.21843, 9.3581, 9.44565, 9.46283, 9.48175, 9.52332, 9.55478, 9.57162, 9.59306, 9.61711, 9.6411, 9.73751, 9.85627, 9.88903, 9.95454, 9.96987, 10.0005, 10.0611, 10.1808, 10.2573, 10.3425, 10.3854, 10.4462, 10.5096, 10.5582, 10.6554, 10.7713");
+            index_3 ("9.21842, 9.3581, 9.44565, 9.46283, 9.48175, 9.52332, 9.55478, 9.57162, 9.59306, 9.61711, 9.6411, 9.73751, 9.85627, 9.88903, 9.95454, 9.96987, 10.0005, 10.0611, 10.1808, 10.2573, 10.3425, 10.3854, 10.4462, 10.5096, 10.5582, 10.6554, 10.7713");
             values ( \
-              "-0.0210687, -0.0305078, -0.0489574, -0.0558703, -0.0693814, -0.120955, -0.148542, -0.155844, -0.160582, -0.162452, -0.162533, -0.158256, -0.150875, -0.148361, -0.14132, -0.138809, -0.132377, -0.112611, -0.0683772, -0.046455, -0.0290961, -0.022743, -0.0158759, -0.0108777, -0.00809572, -0.00439164, -0.00230919" \
+              "-0.0210673, -0.0305078, -0.0489574, -0.0558704, -0.0693814, -0.120955, -0.148542, -0.155844, -0.160582, -0.162452, -0.162533, -0.158256, -0.150875, -0.148361, -0.14132, -0.138809, -0.132377, -0.112611, -0.0683772, -0.046455, -0.0290961, -0.022743, -0.0158759, -0.0108777, -0.00809572, -0.00439164, -0.00230919" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.240917");
-            index_3 ("9.36116, 9.49593, 9.53263, 9.56607, 9.60746, 9.63531, 9.66629, 9.74997, 10.3303, 10.806, 11.0079, 11.2406, 11.2988, 11.4154, 11.6274, 12.0562, 12.346, 12.5052, 12.6329, 12.7892, 13.0089, 13.238, 13.4136, 13.7649, 14.4026, 15.0744");
+            index_3 ("9.36116, 9.49594, 9.53263, 9.56608, 9.60744, 9.63529, 9.66628, 9.74996, 10.3303, 10.806, 11.0079, 11.2406, 11.2988, 11.4154, 11.6274, 12.0562, 12.346, 12.5052, 12.6329, 12.7892, 13.0089, 13.238, 13.4136, 13.7649, 14.4026, 15.0744");
             values ( \
-              "-0.0680263, -0.0956217, -0.143293, -0.16516, -0.173802, -0.175333, -0.175665, -0.174812, -0.166166, -0.158087, -0.153769, -0.146497, -0.143804, -0.136616, -0.116561, -0.0710222, -0.0473237, -0.0372501, -0.030584, -0.0238639, -0.0166685, -0.0114187, -0.00849843, -0.00461408, -0.00134816, -0.00035838" \
+              "-0.0680155, -0.095628, -0.1433, -0.165162, -0.173801, -0.175332, -0.175665, -0.174812, -0.166166, -0.158087, -0.153769, -0.146497, -0.143804, -0.136616, -0.116561, -0.0710222, -0.0473237, -0.0372501, -0.030584, -0.0238639, -0.0166685, -0.0114187, -0.00849843, -0.00461408, -0.00134816, -0.00035838" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.898322");
-            index_3 ("9.15301, 9.4299, 9.57324, 9.70778, 12.6305, 14.6256, 15.202, 16.2758, 16.9279, 18.8655, 19.6758, 20.7302, 21.7857, 23.1246, 24.943, 26.4349");
+            index_3 ("9.15301, 9.42989, 9.57324, 9.70779, 12.6305, 14.6256, 15.202, 16.2758, 16.9279, 18.8655, 19.6758, 20.7302, 21.7857, 23.1246, 24.943, 26.4348");
             values ( \
-              "-0.0065557, -0.0477594, -0.168706, -0.179767, -0.168104, -0.158749, -0.155281, -0.145205, -0.133112, -0.0772196, -0.057412, -0.0376832, -0.0240814, -0.0133106, -0.00580573, -0.00332884" \
+              "-0.0065557, -0.0477582, -0.168705, -0.179767, -0.168104, -0.158749, -0.155281, -0.145205, -0.133112, -0.0772195, -0.057412, -0.0376832, -0.0240814, -0.0133106, -0.00580576, -0.00332894" \
             );
           }
         }
@@ -140885,7 +156269,7 @@
             "0.00494999, 0.00494864, 0.00494646, 0.00494491, 0.00494433, 0.00494416", \
             "0.00474901, 0.00478748, 0.00482232, 0.00483755, 0.00484226, 0.00484355", \
             "0.00398675, 0.00398659, 0.00398583, 0.00400852, 0.00413116, 0.00417082", \
-            "0.00393316, 0.00392882, 0.00392943, 0.00392982, 0.0039312, 0.00404858" \
+            "0.00393316, 0.00392882, 0.00392932, 0.00392982, 0.0039312, 0.00404858" \
           );
         }
       }
@@ -140929,7 +156313,7 @@
             "0.00761874, 0.00764532, 0.00758607, 0.00710208, 0.00514597, 0", \
             "0.00776563, 0.00779867, 0.00773414, 0.00724423, 0.00531021, 0", \
             "0.00977834, 0.00977692, 0.00974008, 0.00925723, 0.00730672, 0", \
-            "0.0207323, 0.020745, 0.0206655, 0.0201169, 0.0181635, 0.0108954" \
+            "0.0207352, 0.020745, 0.0206655, 0.0201162, 0.0181663, 0.0108954" \
           );
         }
         fall_power (power_template) {
@@ -141024,12 +156408,12 @@
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
           index_2 ("0.00124625, 0.00464699, 0.0173275, 0.0646103, 0.240917, 0.898322");
           values ( \
-            "0.0108702, 0.0109076, 0.0108179, 0.0102655, 0.00816999, 0.000369002", \
+            "0.0108702, 0.0109076, 0.0108179, 0.0102655, 0.00816999, 0.000369003", \
             "0.0108153, 0.010855, 0.0107704, 0.0102153, 0.00812356, 0.000299323", \
             "0.0106991, 0.0107352, 0.0106671, 0.010129, 0.00804259, 0.000206211", \
             "0.0110248, 0.0110197, 0.0109518, 0.0104394, 0.00835598, 0.000567134", \
             "0.0136289, 0.0135632, 0.0134005, 0.0129925, 0.0107554, 0.00298196", \
-            "0.0239524, 0.0236544, 0.0232183, 0.0225215, 0.0204123, 0.0122878" \
+            "0.0239524, 0.0236544, 0.0232179, 0.0225215, 0.0204123, 0.0122878" \
           );
         }
       }
@@ -142201,8 +157585,8 @@
             "0.304279, 0.358974, 0.546971, 1.25004, 4.06577, 15.3866", \
             "0.313273, 0.367943, 0.555857, 1.25877, 4.0708, 15.3879", \
             "0.344457, 0.399073, 0.587298, 1.28987, 4.1024, 15.4251", \
-            "0.397799, 0.452289, 0.639751, 1.34256, 4.15455, 15.4797", \
-            "0.425156, 0.480721, 0.669087, 1.37163, 4.18133, 15.5083", \
+            "0.397799, 0.452304, 0.639751, 1.34247, 4.15455, 15.4797", \
+            "0.425737, 0.479999, 0.668192, 1.37099, 4.18188, 15.5022", \
             "0.157921, 0.215807, 0.408366, 1.1133, 3.92262, 15.2397" \
           );
         }
@@ -142213,8 +157597,8 @@
             "0.0263726, 0.0554193, 0.174612, 0.659943, 2.61831, 10.5073", \
             "0.0263622, 0.0554111, 0.17452, 0.659939, 2.6179, 10.5062", \
             "0.0264426, 0.0554959, 0.174602, 0.659859, 2.61827, 10.5073", \
-            "0.026366, 0.0553776, 0.174449, 0.659704, 2.61858, 10.5074", \
-            "0.0265514, 0.0554995, 0.174686, 0.659782, 2.61926, 10.5091", \
+            "0.026366, 0.0553381, 0.174449, 0.659781, 2.61858, 10.5074", \
+            "0.0265829, 0.0555364, 0.174577, 0.659747, 2.61928, 10.5064", \
             "0.0279289, 0.0564352, 0.174847, 0.659805, 2.61887, 10.5095" \
           );
         }
@@ -142292,7 +157676,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("1.32303");
-            index_3 ("1.24398, 5.75526, 7.04487, 8.61641, 9.90602, 10.6221, 11.9117, 12.2707, 12.9886, 13.8293, 15.1189, 15.3929, 15.9409, 17.0372, 18.3268, 18.8684, 22.1686, 23.4582, 24.3765, 25.0435, 25.9328, 27.2225, 28.4378, 29.2646, 30.5542, 31.6002, 32.5345, 33.7801, 35.0697, 36.3593, 38.9385, 41.5178, 45.3866");
+            index_3 ("1.24398, 5.75526, 7.04487, 8.61642, 9.90602, 10.6221, 11.9117, 12.2707, 12.9886, 13.8293, 15.1189, 15.3929, 15.9409, 17.0372, 18.3268, 18.8684, 22.1686, 23.4582, 24.3765, 25.0435, 25.9328, 27.2225, 28.4378, 29.2646, 30.5542, 31.6002, 32.5345, 33.7801, 35.0697, 36.3593, 38.9385, 41.5178, 45.3866");
             values ( \
               "0.00792563, 0.0226245, 0.0542127, 0.0978191, 0.125873, 0.136841, 0.149086, 0.151009, 0.15325, 0.153778, 0.150983, 0.149924, 0.147209, 0.139605, 0.126476, 0.119953, 0.0766268, 0.0614832, 0.0519354, 0.0457403, 0.0384186, 0.0294814, 0.0228284, 0.0191368, 0.0144005, 0.0114429, 0.00929237, 0.00698819, 0.00523341, 0.00387165, 0.00213201, 0.00116818, 0.000495392" \
             );
@@ -142328,9 +157712,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.081505");
-            index_3 ("0.373364, 0.668337, 0.751178, 0.865541, 0.94904, 0.991327, 1.0759, 1.095, 1.1332, 1.19291, 1.29311, 1.34647, 1.39415, 1.48951, 1.70195, 1.85558, 1.95652, 2.04561, 2.12884, 2.23983, 2.30524, 2.47745, 2.67426, 2.86565");
+            index_3 ("0.373364, 0.668337, 0.751178, 0.865541, 0.94904, 0.991327, 1.0759, 1.095, 1.1332, 1.19291, 1.29311, 1.34647, 1.39415, 1.48951, 1.70195, 1.85558, 1.95652, 2.04561, 2.12884, 2.23983, 2.30523, 2.47745, 2.67426, 2.86565");
             values ( \
-              "0.00666596, 0.0181008, 0.0478366, 0.0967124, 0.124137, 0.134202, 0.146369, 0.148117, 0.149984, 0.150986, 0.14718, 0.142751, 0.137189, 0.121555, 0.0777125, 0.0507163, 0.0372186, 0.0278053, 0.0210344, 0.0143478, 0.0113635, 0.00617759, 0.00291712, 0.00163389" \
+              "0.00666596, 0.0181008, 0.0478366, 0.0967124, 0.124137, 0.134202, 0.146369, 0.148117, 0.149984, 0.150986, 0.14718, 0.142751, 0.137189, 0.121555, 0.0777125, 0.0507163, 0.0372185, 0.0278053, 0.0210344, 0.0143478, 0.0113635, 0.00617758, 0.00291711, 0.00163389" \
             );
           }
           vector (ccs_template) {
@@ -142418,27 +157802,27 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0050211");
-            index_3 ("0.895638, 0.914441, 0.922024, 0.939195, 0.946981, 0.952124, 0.956185, 0.964641, 0.972864, 0.981089, 0.983999, 1.00656, 1.01927, 1.02972, 1.0391, 1.05427, 1.07164, 1.08409, 1.09357");
+            index_3 ("0.903792, 0.918825, 0.935392, 0.946858, 0.956074, 0.963908, 0.968019, 0.972687, 0.980896, 0.98244, 0.987098, 1.00224, 1.01242, 1.02461, 1.03171, 1.04078, 1.05449, 1.07097, 1.08265, 1.10284");
             values ( \
-              "1e-22, 0.0134882, 0.0293322, 0.0743497, 0.0911401, 0.0994225, 0.104264, 0.109867, 0.109988, 0.104772, 0.101016, 0.0561823, 0.0367229, 0.0252267, 0.0177165, 0.0099053, 0.00494107, 0.00295169, 0.00219938" \
+              "0.00135724, 0.0227081, 0.0653585, 0.0912694, 0.104403, 0.109666, 0.110452, 0.109938, 0.104751, 0.102878, 0.0956805, 0.0639649, 0.0461473, 0.0302219, 0.0232724, 0.016502, 0.00977927, 0.00505373, 0.0031233, 0.00139093" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0202298");
-            index_3 ("0.000116538, 0.670569, 0.781502, 0.797536, 0.839091, 0.860873, 0.873008, 0.897277, 0.92798, 0.961505, 0.983426, 0.998816, 1.04887, 1.07021, 1.08076, 1.10185, 1.10839, 1.12149, 1.13437, 1.16018, 1.17098, 1.18737, 1.21745, 1.26889, 1.30768, 1.34034, 1.36217, 1.38053, 1.39949, 1.43823, 1.46158, 1.50829, 1.56179");
+            index_3 ("0.000116534, 0.670569, 0.781502, 0.797536, 0.839091, 0.860873, 0.873008, 0.897277, 0.92798, 0.961505, 0.983426, 0.998816, 1.04887, 1.07021, 1.08076, 1.10185, 1.10839, 1.12149, 1.13437, 1.16018, 1.17098, 1.18737, 1.21745, 1.26889, 1.30768, 1.34033, 1.36217, 1.38053, 1.39949, 1.43823, 1.46158, 1.50829, 1.56179");
             values ( \
-              "1e-22, 2e-22, 0.000183121, 0.000757462, 0.00377076, 0.00444596, 0.00434535, 0.00342558, 0.00122484, 0.000998949, 0.0108996, 0.0250826, 0.0925982, 0.115932, 0.124453, 0.135922, 0.138063, 0.140758, 0.141567, 0.138894, 0.136077, 0.129703, 0.110987, 0.0722334, 0.048158, 0.0330387, 0.0253151, 0.0201314, 0.0158044, 0.00952139, 0.00697647, 0.00366511, 0.00193413" \
+              "1e-22, 2e-22, 0.000183121, 0.000757462, 0.00377076, 0.00444596, 0.00434535, 0.00342558, 0.00122484, 0.000998949, 0.0108996, 0.0250826, 0.0925982, 0.115932, 0.124453, 0.135922, 0.138063, 0.140758, 0.141567, 0.138894, 0.136077, 0.129703, 0.110987, 0.0722334, 0.048158, 0.0330388, 0.0253151, 0.0201313, 0.0158044, 0.00952139, 0.00697648, 0.00366513, 0.00193413" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.081505");
-            index_3 ("0.950725, 1.24671, 1.32178, 1.43747, 1.52336, 1.56314, 1.64271, 1.66671, 1.7147, 1.7646, 1.86502, 1.89294, 1.92485, 1.96584, 2.0478, 2.14139, 2.24528, 2.28909, 2.37671, 2.44054, 2.51, 2.60262, 2.63654, 2.69354, 2.75868, 2.86207, 2.92531, 2.9646, 3.04318, 3.20033, 3.50757, 3.87214");
+            index_3 ("0.944538, 1.18172, 1.21387, 1.27818, 1.43778, 1.52193, 1.5634, 1.64633, 1.70834, 1.76489, 1.86238, 1.9211, 1.96612, 2.05616, 2.2483, 2.39589, 2.54889, 2.68765, 2.75956, 2.86132, 3.04593, 3.20588, 3.36216");
             values ( \
-              "0.00534889, 0.0200567, 0.047492, 0.096895, 0.124937, 0.13428, 0.145938, 0.148114, 0.150337, 0.15097, 0.147163, 0.145045, 0.142066, 0.137186, 0.124041, 0.105201, 0.0834052, 0.0747407, 0.0587803, 0.0487554, 0.0393783, 0.02923, 0.0260971, 0.0215876, 0.0172484, 0.0120256, 0.00960156, 0.00837384, 0.00629771, 0.0035425, 0.000993223, 0.000214005" \
+              "0.0181981, 0.00656497, 0.011781, 0.0302014, 0.0968189, 0.124579, 0.134186, 0.146366, 0.150219, 0.150904, 0.147366, 0.142481, 0.137196, 0.122546, 0.082854, 0.0556527, 0.0348683, 0.0220172, 0.0172462, 0.0120406, 0.00626691, 0.00344966, 0.00220569" \
             );
           }
           vector (ccs_template) {
@@ -142454,7 +157838,7 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32303");
-            index_3 ("2.21523e-05, 0.6708, 0.782108, 0.904861, 0.975915, 1.04085, 1.14011, 1.88803, 2.33372, 2.9026, 3.77558, 4.22131, 4.48681, 4.78261, 5.177, 5.96578, 7.25538, 9.22365, 10.5133, 11.2297, 12.5193, 12.8783, 13.5964, 14.437, 15.7266, 16.0006, 16.5486, 17.6448, 18.9344, 19.476, 22.7763, 24.0659, 24.9842, 25.6512, 26.5405, 27.8301, 29.0455, 29.8723, 31.1619, 32.2079, 33.1422, 34.3878, 35.6774, 36.967, 39.5462, 42.1254, 45.9943");
+            index_3 ("2.21518e-05, 0.6708, 0.782108, 0.904861, 0.975915, 1.04085, 1.14011, 1.88803, 2.33372, 2.9026, 3.77558, 4.22131, 4.48681, 4.78261, 5.177, 5.96578, 7.25538, 9.22365, 10.5133, 11.2297, 12.5193, 12.8783, 13.5964, 14.437, 15.7266, 16.0006, 16.5486, 17.6448, 18.9344, 19.476, 22.7763, 24.0659, 24.9842, 25.6512, 26.5405, 27.8301, 29.0455, 29.8723, 31.1619, 32.2079, 33.1422, 34.3878, 35.6774, 36.967, 39.5462, 42.1254, 45.9943");
             values ( \
               "1e-22, 1.83969e-05, 0.000411137, 0.0200632, 0.0248254, 0.0258434, 0.0252696, 0.0174302, 0.0138408, 0.0102287, 0.00636775, 0.00524026, 0.00502645, 0.00537572, 0.00709837, 0.0156362, 0.0435327, 0.0977927, 0.125864, 0.136828, 0.149087, 0.151, 0.153253, 0.153771, 0.150988, 0.149918, 0.147215, 0.139599, 0.126482, 0.119947, 0.0766328, 0.0614772, 0.0519414, 0.0457463, 0.0384126, 0.0294875, 0.0228346, 0.0191306, 0.0144067, 0.0114368, 0.00928613, 0.00699441, 0.00522716, 0.00387788, 0.00213825, 0.00117444, 0.000489115" \
             );
@@ -142463,54 +157847,54 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.47417, 2.48068, 2.49467, 2.49941, 2.50359, 2.50755, 2.51148, 2.51542, 2.52154, 2.52575, 2.5295, 2.53563, 2.53989, 2.54516, 2.55002, 2.55337, 2.5598, 2.56837, 2.58273, 2.60013");
+            index_3 ("2.47541, 2.48127, 2.49217, 2.50018, 2.50437, 2.50833, 2.51227, 2.51621, 2.5208, 2.52415, 2.52659, 2.5303, 2.53384, 2.53639, 2.54068, 2.54597, 2.55082, 2.55629, 2.56055, 2.56907, 2.57157");
             values ( \
-              "0.00047178, 0.0133266, 0.04347, 0.0513381, 0.0558499, 0.0576258, 0.0563902, 0.0499305, 0.032318, 0.0237419, 0.0180233, 0.0114661, 0.00830926, 0.00554804, 0.00385666, 0.00295424, 0.00180821, 0.000970056, 0.000276644, 9.63109e-05" \
+              "0.00582329, 0.0127831, 0.0368925, 0.0512831, 0.0557244, 0.0576227, 0.0563173, 0.0499512, 0.036126, 0.0282598, 0.0236321, 0.018036, 0.0139215, 0.0115248, 0.00834179, 0.00557409, 0.00382064, 0.00252362, 0.00184846, 0.000943229, 0.000833632" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0050211");
-            index_3 ("2.49052, 2.50479, 2.50915, 2.52996, 2.53734, 2.54661, 2.55506, 2.56331, 2.57155, 2.57442, 2.58101, 2.58807, 2.59697, 2.6025, 2.60972, 2.6204, 2.62959, 2.63989, 2.64881, 2.66047, 2.67601, 2.69335");
+            index_3 ("2.48515, 2.50426, 2.51013, 2.53276, 2.53661, 2.54431, 2.54905, 2.55435, 2.56261, 2.57086, 2.57365, 2.58032, 2.58773, 2.59603, 2.60121, 2.60888, 2.61564, 2.62065, 2.62713, 2.63248, 2.63889, 2.64795, 2.65754, 2.6649, 2.67964, 2.69537");
             values ( \
-              "1e-22, 0.0145968, 0.0228312, 0.0750878, 0.0908902, 0.104009, 0.109739, 0.109781, 0.104724, 0.10098, 0.0891302, 0.0736696, 0.0562667, 0.0469842, 0.0367412, 0.02503, 0.0177066, 0.0118666, 0.00831188, 0.00520249, 0.00272171, 0.00144988" \
+              "1e-22, 0.0142394, 0.0261134, 0.0826702, 0.090404, 0.102056, 0.10656, 0.109402, 0.109705, 0.104562, 0.101034, 0.0891066, 0.0728862, 0.0567191, 0.047947, 0.0369148, 0.0290721, 0.024179, 0.0189425, 0.0154346, 0.0120158, 0.00837358, 0.00571037, 0.00423589, 0.00229399, 0.00134191" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202298");
-            index_3 ("0, 2.20809, 2.34875, 2.36722, 2.37544, 2.39209, 2.4135, 2.43023, 2.44681, 2.45782, 2.47729, 2.50394, 2.53664, 2.57046, 2.58172, 2.59738, 2.64646, 2.67153, 2.69933, 2.72537, 2.75133, 2.77839, 2.79368, 2.80851, 2.86238, 2.8996, 2.93121, 2.95284, 2.97219, 2.99799, 3.01965, 3.04866, 3.08735, 3.1613, 3.24745");
+            index_3 ("0, 2.2194, 2.34253, 2.37055, 2.38022, 2.42987, 2.4399, 2.45807, 2.47902, 2.53899, 2.57291, 2.58148, 2.60025, 2.63739, 2.65845, 2.67101, 2.69612, 2.70396, 2.71442, 2.7247, 2.74526, 2.7506, 2.76127, 2.77769, 2.80512, 2.86087, 2.89875, 2.93083, 2.95232, 2.97134, 2.9967, 3.01881, 3.04844, 3.08795, 3.16219, 3.1647");
             values ( \
-              "1e-22, 2e-22, 8.42498e-05, 0.000223359, 0.000406273, 0.00128097, 0.00276724, 0.0036919, 0.00419311, 0.0042317, 0.00375601, 0.00227194, 4.48497e-05, 0.00838165, 0.0172306, 0.034306, 0.100431, 0.124103, 0.138072, 0.1417, 0.13893, 0.12983, 0.121245, 0.110969, 0.070511, 0.04769, 0.033085, 0.0254291, 0.0199711, 0.014323, 0.0108012, 0.00736227, 0.00434148, 0.00146066, 0.000380526" \
+              "1.1351e-11, 2.41947e-07, 7.34852e-05, 0.000285365, 0.000590492, 0.00369726, 0.0040641, 0.00422952, 0.00366489, 1.62123e-05, 0.0102513, 0.0173957, 0.0383964, 0.0900752, 0.11389, 0.124155, 0.13731, 0.139386, 0.14096, 0.141563, 0.139763, 0.138802, 0.136, 0.129738, 0.113057, 0.0710926, 0.047789, 0.032989, 0.0253862, 0.0200201, 0.0144524, 0.010826, 0.00731737, 0.00427152, 0.00142291, 0.00139248" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.081505");
-            index_3 ("2.53749, 2.85381, 3.02971, 3.11301, 3.1553, 3.23988, 3.29695, 3.35678, 3.45698, 3.55799, 3.6522, 3.85237, 4.00931, 4.1431, 4.25792, 4.43321, 4.5928, 4.71825, 4.89734");
+            index_3 ("2.53765, 2.84887, 3.02927, 3.11303, 3.15486, 3.23854, 3.29829, 3.35633, 3.45658, 3.55754, 3.64929, 3.84054, 3.99298, 4.13359, 4.27906, 4.45375, 4.64146, 4.80429, 4.88055");
             values ( \
-              "0.00147375, 0.0246049, 0.0969071, 0.124316, 0.134282, 0.146489, 0.150068, 0.150991, 0.147197, 0.137202, 0.121772, 0.0803868, 0.052255, 0.0345777, 0.0238442, 0.0130263, 0.00740558, 0.00469141, 0.00260388" \
+              "0.00262421, 0.0231553, 0.0969188, 0.124423, 0.134296, 0.146387, 0.150116, 0.150998, 0.147193, 0.137199, 0.122237, 0.0826847, 0.0547308, 0.0356273, 0.0221582, 0.0120836, 0.0061975, 0.00339244, 0.00278282" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.32838");
-            index_3 ("2.70459, 3.91882, 4.57132, 5.07036, 5.48259, 5.87161, 6.26975, 6.6701, 7.12461, 8.46027, 8.98583, 9.51877, 10.2085, 10.9491, 11.5915, 11.8236");
+            index_3 ("2.70444, 3.92995, 4.57165, 5.07066, 5.48291, 5.87192, 6.2609, 6.67042, 7.12493, 8.46053, 8.9863, 9.51898, 10.2088, 10.9496, 11.5922, 11.8405");
             values ( \
-              "0.00299371, 0.027713, 0.097582, 0.136263, 0.150605, 0.153449, 0.149402, 0.139157, 0.11956, 0.0532244, 0.0352981, 0.0225926, 0.0123195, 0.00633286, 0.00345809, 0.00298092" \
+              "0.00228645, 0.0286705, 0.0975786, 0.136257, 0.150605, 0.153447, 0.149566, 0.139157, 0.11956, 0.053227, 0.0352939, 0.0225946, 0.0123198, 0.00633196, 0.00345668, 0.00294641" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.32303");
-            index_3 ("3.28118, 7.98543, 10.8268, 12.243, 12.829, 13.7296, 14.4763, 15.7659, 16.5913, 17.6046, 18.8942, 19.2476, 21.0734, 24.0794, 26.3578, 28.5975, 30.5041, 31.5281, 32.8177, 33.8288, 35.9056, 37.1952, 38.4848, 41.0641, 41.626");
+            index_3 ("3.26154, 7.85764, 9.14724, 11.0724, 11.6037, 12.6665, 13.0913, 13.6578, 14.4535, 15.7431, 16.5675, 17.5813, 18.8709, 19.2242, 19.9308, 21.05, 24.0561, 25.0449, 26.3345, 27.693, 28.5742, 29.7127, 30.4807, 31.5047, 32.7943, 33.8055, 35.8823, 37.1719, 38.4615, 41.0407, 43.6199, 47.4887");
             values ( \
-              "0.00641872, 0.0230939, 0.0976217, 0.127904, 0.136643, 0.146116, 0.150811, 0.153814, 0.153003, 0.149856, 0.142408, 0.139574, 0.119976, 0.0803965, 0.054197, 0.0350368, 0.0235525, 0.0188979, 0.0142411, 0.0113799, 0.00713645, 0.00532144, 0.00396061, 0.00218726, 0.00197316" \
+              "0.00813202, 0.0209346, 0.0518303, 0.104424, 0.116395, 0.134872, 0.140214, 0.145761, 0.150834, 0.15384, 0.153024, 0.149855, 0.142419, 0.139569, 0.132883, 0.11997, 0.0804029, 0.068275, 0.054203, 0.0417898, 0.0350416, 0.0276857, 0.0235479, 0.0189026, 0.0142365, 0.0113845, 0.00713202, 0.00532581, 0.00395623, 0.00218293, 0.00120028, 0.000496049" \
             );
           }
           vector (ccs_template) {
@@ -142519,7 +157903,7 @@
             index_2 ("0.00124625");
             index_3 ("8.45469, 8.45683, 8.46143, 8.47518, 8.48262, 8.48656, 8.4882, 8.49039, 8.49129, 8.49308, 8.49538, 8.4995, 8.5031, 8.50592, 8.50927, 8.51351, 8.5184, 8.52242, 8.52502, 8.52937, 8.53457, 8.53806, 8.54496, 8.55416, 8.56157");
             values ( \
-              "0.00448512, 0.00579473, 0.0107969, 0.0354694, 0.0472838, 0.0518563, 0.0532008, 0.0544543, 0.0547232, 0.0549551, 0.054212, 0.0486547, 0.0384102, 0.0312375, 0.0245373, 0.0181008, 0.0127284, 0.00945925, 0.00777735, 0.00560171, 0.00379316, 0.00290154, 0.00172956, 0.000878397, 0.000566376" \
+              "0.00448513, 0.00579473, 0.0107969, 0.0354694, 0.0472838, 0.0518563, 0.0532008, 0.0544543, 0.0547232, 0.0549551, 0.054212, 0.0486547, 0.0384102, 0.0312375, 0.0245373, 0.0181008, 0.0127284, 0.00945925, 0.00777735, 0.00560169, 0.00379315, 0.00290154, 0.00172957, 0.000878405, 0.000566375" \
             );
           }
           vector (ccs_template) {
@@ -142528,7 +157912,7 @@
             index_2 ("0.0050211");
             index_3 ("8.48525, 8.49557, 8.51482, 8.52252, 8.53213, 8.54079, 8.54919, 8.55757, 8.56218, 8.58102, 8.58916, 8.59606, 8.60869, 8.61851, 8.62436, 8.63431, 8.64572, 8.6548, 8.67298, 8.68489");
             values ( \
-              "0.0224965, 0.0271624, 0.0712751, 0.0868684, 0.101124, 0.107337, 0.108356, 0.103553, 0.0976066, 0.0598819, 0.046067, 0.0364347, 0.0230803, 0.0159176, 0.0126758, 0.00853479, 0.00541248, 0.00373824, 0.00173456, 0.00119601" \
+              "0.0224964, 0.0271624, 0.0712751, 0.0868684, 0.101124, 0.107337, 0.108356, 0.103553, 0.0976066, 0.0598819, 0.046067, 0.0364347, 0.0230803, 0.0159176, 0.0126758, 0.00853479, 0.00541248, 0.00373824, 0.00173456, 0.00119601" \
             );
           }
           vector (ccs_template) {
@@ -142544,27 +157928,27 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.081505");
-            index_3 ("8.50593, 8.79887, 8.90025, 9.02203, 9.10521, 9.14753, 9.23219, 9.28903, 9.34901, 9.44922, 9.50306, 9.55023, 9.64458, 9.84614, 10.0019, 10.1344, 10.2709, 10.3432, 10.4452, 10.6316, 10.7932, 10.9247");
+            index_3 ("8.50593, 8.79887, 8.90025, 9.02203, 9.10521, 9.14753, 9.23219, 9.28903, 9.34901, 9.44922, 9.50306, 9.55023, 9.64458, 9.84613, 10.0019, 10.1344, 10.2709, 10.3432, 10.4452, 10.6316, 10.7932, 10.9247");
             values ( \
-              "0.0098466, 0.0121068, 0.0451352, 0.0970342, 0.124327, 0.134313, 0.146483, 0.150044, 0.150997, 0.147191, 0.142706, 0.137195, 0.121744, 0.0800788, 0.0521949, 0.0346832, 0.0221183, 0.017247, 0.012086, 0.00620961, 0.00343414, 0.00236067" \
+              "0.00984659, 0.0121068, 0.0451352, 0.0970342, 0.124327, 0.134313, 0.146483, 0.150044, 0.150997, 0.147191, 0.142706, 0.137195, 0.121744, 0.0800796, 0.0521951, 0.0346825, 0.0221185, 0.0172471, 0.0120861, 0.0062095, 0.003434, 0.00236067" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.32838");
-            index_3 ("8.69801, 9.42343, 9.54126, 9.69836, 10.0126, 10.6149, 10.8861, 11.0677, 11.431, 11.5759, 11.7232, 11.868, 12.1576, 12.2577, 12.4547, 12.6663, 13.0824, 13.9109, 14.4735, 14.946, 15.542, 15.9148, 16.2403, 16.6674, 17.1228, 17.8599, 18.2045");
+            index_3 ("8.69801, 9.42343, 9.54126, 9.69836, 10.0126, 10.6149, 10.886, 11.0677, 11.4311, 11.5757, 11.7232, 11.868, 12.1578, 12.2577, 12.4547, 12.6663, 13.0824, 13.9109, 14.4735, 14.946, 15.542, 15.9148, 16.2403, 16.6674, 17.1228, 17.8599, 18.2045");
             values ( \
-              "0.0287978, 0.00480578, 0.00647173, 0.0123057, 0.0367831, 0.102122, 0.12506, 0.136167, 0.149493, 0.151887, 0.153057, 0.153181, 0.150862, 0.149344, 0.145266, 0.139094, 0.121528, 0.0780282, 0.0525898, 0.0363654, 0.0220966, 0.0159562, 0.0119543, 0.00814686, 0.0054858, 0.00277474, 0.00216956" \
+              "0.0287978, 0.00480578, 0.00647172, 0.0123057, 0.036783, 0.102121, 0.125057, 0.136167, 0.149495, 0.151885, 0.153057, 0.153181, 0.150859, 0.149344, 0.145266, 0.139094, 0.121528, 0.0780282, 0.0525898, 0.0363654, 0.0220966, 0.0159562, 0.0119543, 0.00814686, 0.00548581, 0.00277475, 0.00216966" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("1.32303");
-            index_3 ("9.43297, 13.809, 15.0986, 16.9658, 17.4835, 18.0744, 18.795, 20.0846, 20.4448, 21.1652, 22.004, 23.2936, 23.5678, 24.1164, 25.2122, 26.5018, 28.0109, 30.3437, 31.2845, 32.4907, 33.3395, 34.3175, 35.6071, 36.7752, 37.874, 39.1636, 40.5118, 41.3026, 42.5922, 43.8818, 45.1714, 47.7506, 50.3298, 54.1986");
+            index_3 ("9.43298, 13.809, 15.0986, 16.9658, 17.4835, 18.0744, 18.795, 20.0846, 20.4448, 21.1652, 22.004, 23.2936, 23.5678, 24.1164, 25.2122, 26.5018, 28.0109, 30.3437, 31.2845, 32.4907, 33.3395, 34.3175, 35.6071, 36.7752, 37.874, 39.1636, 40.5118, 41.3026, 42.5922, 43.8818, 45.1714, 47.7506, 50.3298, 54.1986");
             values ( \
-              "0.0101272, 0.0201266, 0.050676, 0.102055, 0.114037, 0.125634, 0.136679, 0.14902, 0.150916, 0.153226, 0.153721, 0.150988, 0.149885, 0.147217, 0.139576, 0.126496, 0.107377, 0.0766481, 0.0653829, 0.0525534, 0.0447018, 0.0368144, 0.0282395, 0.022062, 0.0173825, 0.0130915, 0.00968969, 0.00812337, 0.00605239, 0.00451777, 0.00335118, 0.00184684, 0.00101445, 0.00042072" \
+              "0.0101272, 0.0201265, 0.0506759, 0.102055, 0.114037, 0.125634, 0.136679, 0.14902, 0.150916, 0.153226, 0.153721, 0.150988, 0.149885, 0.147217, 0.139576, 0.126496, 0.107377, 0.0766481, 0.0653829, 0.0525534, 0.0447018, 0.0368144, 0.0282395, 0.022062, 0.0173825, 0.0130915, 0.00968969, 0.00812337, 0.00605239, 0.00451777, 0.00335118, 0.00184684, 0.00101445, 0.00042072" \
             );
           }
         }
@@ -142575,8 +157959,8 @@
             "0.0062266, 0.00622719, 0.00622784, 0.00622816, 0.00622826, 0.00622829", \
             "0.00704407, 0.00704399, 0.00704398, 0.00704407, 0.00704405, 0.00704405", \
             "0.0076576, 0.00765759, 0.00765759, 0.00765761, 0.00765761, 0.00765761", \
-            "0.00815746, 0.00815512, 0.00815746, 0.00815745, 0.00815745, 0.00815511", \
-            "0.00879886, 0.00879393, 0.00879393, 0.00879393, 0.00879886, 0.00879886", \
+            "0.00815746, 0.00815746, 0.00815746, 0.00815511, 0.00815745, 0.00815511", \
+            "0.00879393, 0.00879886, 0.00879886, 0.00879886, 0.00879393, 0.00879393", \
             "0.00937235, 0.00937235, 0.00937263, 0.00937311, 0.00937339, 0.00937347" \
           );
         }
@@ -142587,9 +157971,9 @@
             "0.00760245, 0.00760195, 0.00760171, 0.00760165, 0.00760165, 0.00760165", \
             "0.00825145, 0.00825131, 0.00825104, 0.00825082, 0.00825082, 0.0082508", \
             "0.0097678, 0.00976779, 0.00976775, 0.00976768, 0.00976765, 0.00976764", \
-            "0.0102827, 0.0102804, 0.0102694, 0.0102672, 0.0102668, 0.0102709", \
-            "0.00875435, 0.00876192, 0.00876185, 0.00876832, 0.00875729, 0.00875769", \
-            "0.00786696, 0.00786815, 0.00786714, 0.00786663, 0.00786908, 0.0078634" \
+            "0.0102827, 0.010276, 0.0102694, 0.0102713, 0.0102668, 0.0102709", \
+            "0.00876174, 0.00875402, 0.00875393, 0.0087616, 0.00876324, 0.00876123", \
+            "0.00786696, 0.00786815, 0.00786714, 0.00786663, 0.00786908, 0.00786341" \
           );
         }
         output_current_fall () {
@@ -142644,7 +158028,7 @@
             index_2 ("1.32303");
             index_3 ("0.0673615, 0.211613, 0.238997, 0.377872, 2.09747, 3.85118, 5.00856, 6.16593, 6.76971, 9.27772, 10.7575, 11.8096, 12.7508, 13.4173, 14.8516, 15.4054, 16.1951, 17.5018, 18.2995, 18.8101, 19.8314, 21.9497, 23.0995, 24.1516, 24.6197, 25.556, 26.212, 26.9345, 27.8978, 29.0552, 31.3699, 33.6847");
             values ( \
-              "-9.07026e-05, -0.000350014, -0.00107689, -0.0142317, -0.00767864, -0.00368549, -0.00381309, -0.0142277, -0.0252424, -0.084968, -0.116954, -0.136126, -0.150447, -0.159018, -0.173066, -0.176921, -0.181021, -0.183735, -0.181996, -0.178462, -0.160547, -0.0955388, -0.0660562, -0.0455762, -0.038313, -0.0269041, -0.0208008, -0.0156615, -0.010703, -0.00661164, -0.00251715, -0.00093693" \
+              "-9.07026e-05, -0.000350014, -0.00107689, -0.0142317, -0.00767864, -0.00368549, -0.00381309, -0.0142277, -0.0252424, -0.084968, -0.116955, -0.136126, -0.150447, -0.159018, -0.173066, -0.176921, -0.181021, -0.183735, -0.181996, -0.178462, -0.160547, -0.0955388, -0.0660562, -0.0455762, -0.038313, -0.0269041, -0.0208008, -0.0156615, -0.010703, -0.00661164, -0.00251715, -0.00093693" \
             );
           }
           vector (ccs_template) {
@@ -142815,7 +158199,7 @@
             index_2 ("0.00124625");
             index_3 ("2.53078, 2.534, 2.53515, 2.53951, 2.54426, 2.55185, 2.55846, 2.56168, 2.56491, 2.57258, 2.57658, 2.5805, 2.58575, 2.59144, 2.5942");
             values ( \
-              "-0.00359717, -0.0243425, -0.0245024, -0.0420502, -0.0527571, -0.0652218, -0.0702989, -0.0689227, -0.0598403, -0.0237769, -0.0126301, -0.00645038, -0.00268968, -0.000965268, -0.000884619" \
+              "-0.00359718, -0.0243425, -0.0245024, -0.0420502, -0.0527571, -0.0652218, -0.0702989, -0.0689227, -0.0598403, -0.0237769, -0.0126301, -0.00645038, -0.00268968, -0.000965269, -0.000884619" \
             );
           }
           vector (ccs_template) {
@@ -142824,16 +158208,16 @@
             index_2 ("0.0050211");
             index_3 ("2.5506, 2.56203, 2.56553, 2.57222, 2.58244, 2.59093, 2.59856, 2.60573, 2.61268, 2.61962, 2.62659, 2.64167, 2.64917, 2.65589, 2.66501, 2.67227, 2.67631");
             values ( \
-              "-0.00419106, -0.0435269, -0.0589718, -0.0772947, -0.0998367, -0.113317, -0.123518, -0.128631, -0.131834, -0.128091, -0.110604, -0.0497332, -0.0295489, -0.0179147, -0.00884582, -0.00498908, -0.00394909" \
+              "-0.00419105, -0.0435269, -0.0589718, -0.0772947, -0.0998367, -0.113317, -0.123518, -0.128631, -0.131834, -0.128091, -0.110604, -0.0497332, -0.0295489, -0.0179147, -0.00884582, -0.00498909, -0.00394909" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202298");
-            index_3 ("2.6142, 2.63977, 2.68551, 2.70701, 2.74206, 2.75242, 2.77604, 2.78912, 2.798, 2.81393, 2.82211, 2.83588, 2.85797, 2.88912, 2.90567, 2.91886, 2.93135, 2.95668, 2.97384, 2.98735, 3.01437, 3.05973, 3.11382");
+            index_3 ("2.6142, 2.63977, 2.68551, 2.70701, 2.74206, 2.75242, 2.77604, 2.78912, 2.798, 2.81393, 2.82211, 2.83588, 2.85797, 2.88912, 2.90567, 2.91886, 2.93135, 2.95667, 2.97384, 2.98735, 3.01437, 3.05973, 3.11382");
             values ( \
-              "-0.0226881, -0.0343261, -0.0925329, -0.115456, -0.143467, -0.149619, -0.160163, -0.164352, -0.166203, -0.167615, -0.16698, -0.162896, -0.139558, -0.0868124, -0.0631632, -0.0479028, -0.0364547, -0.0203096, -0.0135209, -0.00974716, -0.00492838, -0.00140668, -0.000288577" \
+              "-0.0226881, -0.0343261, -0.0925329, -0.115456, -0.143467, -0.149619, -0.160163, -0.164352, -0.166203, -0.167615, -0.16698, -0.162896, -0.139558, -0.0868124, -0.0631632, -0.0479027, -0.0364548, -0.0203097, -0.0135208, -0.00974706, -0.00492827, -0.00140665, -0.000288566" \
             );
           }
           vector (ccs_template) {
@@ -142869,7 +158253,7 @@
             index_2 ("0.00124625");
             index_3 ("8.55092, 8.55667, 8.55828, 8.56132, 8.56612, 8.57375, 8.57712, 8.58038, 8.58361, 8.58684, 8.59452, 8.59853, 8.60245, 8.60758, 8.61043");
             values ( \
-              "-9.56518e-06, -0.0233729, -0.0326586, -0.0414679, -0.0522891, -0.0648713, -0.0680413, -0.0700268, -0.0688563, -0.0596697, -0.0237946, -0.0126309, -0.00640575, -0.00276826, -0.0018575" \
+              "-9.56516e-06, -0.0233729, -0.0326586, -0.0414679, -0.0522891, -0.0648713, -0.0680413, -0.0700268, -0.0688563, -0.0596697, -0.0237946, -0.0126309, -0.00640575, -0.00276826, -0.0018575" \
             );
           }
           vector (ccs_template) {
@@ -142878,7 +158262,7 @@
             index_2 ("0.0050211");
             index_3 ("8.57482, 8.58294, 8.59123, 8.60434, 8.61284, 8.62048, 8.62765, 8.6346, 8.64155, 8.6492, 8.66338, 8.67006, 8.67936, 8.68917, 8.69602");
             values ( \
-              "-0.0228629, -0.040868, -0.0695331, -0.0989806, -0.113864, -0.12281, -0.129119, -0.131247, -0.128528, -0.107956, -0.0503809, -0.0318486, -0.0159621, -0.007416, -0.00448434" \
+              "-0.0228629, -0.040868, -0.0695332, -0.0989806, -0.113864, -0.12281, -0.129119, -0.131247, -0.128528, -0.107956, -0.0503809, -0.0318486, -0.0159621, -0.007416, -0.00448434" \
             );
           }
           vector (ccs_template) {
@@ -142896,7 +158280,7 @@
             index_2 ("0.081505");
             index_3 ("5.59123, 8.4003, 8.44709, 8.47047, 8.52713, 8.54021, 8.55901, 8.58952, 8.66493, 8.75387, 8.83059, 8.93695, 8.97266, 9.14606, 9.19602, 9.27399, 9.37564, 9.46601, 9.55074, 9.63314, 9.71546, 9.7485, 9.77531, 9.91292, 9.98563, 10.0514, 10.0806, 10.139, 10.1958, 10.2263, 10.2872, 10.4092, 10.4798");
             values ( \
-              "-2.99876e-07, -0.000145589, -0.000388969, -0.00100979, -0.0071137, -0.00787943, -0.00824155, -0.00765737, -0.00481277, -0.00216527, -0.0020359, -0.0193366, -0.0307148, -0.0949168, -0.111412, -0.133185, -0.155607, -0.169018, -0.177058, -0.179563, -0.174798, -0.167769, -0.158867, -0.0937503, -0.0646383, -0.0446822, -0.037664, -0.0264573, -0.0186244, -0.0153793, -0.0104215, -0.00456565, -0.00321965" \
+              "-2.99876e-07, -0.000145589, -0.000388969, -0.00100979, -0.0071137, -0.00787943, -0.00824155, -0.00765736, -0.00481277, -0.00216527, -0.0020359, -0.0193366, -0.0307148, -0.0949168, -0.111412, -0.133185, -0.155607, -0.169018, -0.177058, -0.179563, -0.174798, -0.167769, -0.158867, -0.0937503, -0.0646383, -0.0446822, -0.037664, -0.0264573, -0.0186244, -0.0153793, -0.0104215, -0.00456565, -0.00321965" \
             );
           }
           vector (ccs_template) {
@@ -142978,8 +158362,8 @@
             "0.0215508, 0.0380386, 0.101739, 0.356014, 1.37945, 5.50267", \
             "0.0318729, 0.0486631, 0.112713, 0.367179, 1.39069, 5.51415", \
             "0.0550625, 0.0858157, 0.158152, 0.412332, 1.43584, 5.55912", \
-            "0.0883298, 0.152518, 0.296267, 0.597269, 1.619, 5.74195", \
-            "0.110946, 0.236935, 0.533624, 1.14757, 2.36593, 6.47512", \
+            "0.0880659, 0.152231, 0.296267, 0.597269, 1.619, 5.74195", \
+            "0.110946, 0.236898, 0.533624, 1.14757, 2.36593, 6.47512", \
             "0.0223542, 0.247044, 0.819485, 2.06298, 4.56073, 9.49657" \
           );
         }
@@ -142990,8 +158374,8 @@
             "0.0144959, 0.0357078, 0.120759, 0.462954, 1.84264, 7.40251", \
             "0.0168275, 0.0357666, 0.120711, 0.462933, 1.84256, 7.40274", \
             "0.0377605, 0.0584274, 0.125317, 0.462948, 1.84262, 7.4025", \
-            "0.0898853, 0.130641, 0.225522, 0.487193, 1.84256, 7.4027", \
-            "0.23825, 0.3159, 0.50067, 0.888111, 1.95158, 7.4025", \
+            "0.090233, 0.130964, 0.225522, 0.487193, 1.84256, 7.4027", \
+            "0.23825, 0.315961, 0.50067, 0.888111, 1.95158, 7.4025", \
             "0.761348, 0.871925, 1.21524, 1.98312, 3.55315, 7.82616" \
           );
         }
@@ -143074,7 +158458,7 @@
             index_2 ("0.0202298");
             index_3 ("0.0510206, 0.0663195, 0.0678291, 0.069181, 0.0718848, 0.100282, 0.136309, 0.172977, 0.189475, 0.201435, 0.225356, 0.276801, 0.318442, 0.345278, 0.361488, 0.38843, 0.420118, 0.448338, 0.477306, 0.499434, 0.54369, 0.626561, 0.724352, 0.849552, 1.01575, 62.9516");
             values ( \
-              "0.0214323, 0.151998, 0.162395, 0.165355, 0.166401, 0.158631, 0.147731, 0.135431, 0.128876, 0.123265, 0.109274, 0.0734305, 0.0488748, 0.0365105, 0.030326, 0.0220505, 0.014954, 0.0104791, 0.00724678, 0.00543888, 0.00302109, 0.000900186, 0.000197386, 1.68294e-05, 1e-22, 9.58932e-07" \
+              "0.0214323, 0.151998, 0.162395, 0.165355, 0.166401, 0.158631, 0.147731, 0.135431, 0.128876, 0.123265, 0.109274, 0.0734305, 0.0488748, 0.0365105, 0.030326, 0.0220505, 0.014954, 0.0104791, 0.00724678, 0.00543888, 0.00302109, 0.000900186, 0.000197386, 1.68294e-05, 1e-22, 9.58931e-07" \
             );
           }
           vector (ccs_template) {
@@ -143200,7 +158584,7 @@
             index_2 ("0.32838");
             index_3 ("0.520909, 0.828165, 1.04107, 1.05941, 1.08387, 1.10612, 1.35296, 2.18502, 2.69306, 2.99497, 3.36181, 4.40352, 4.92208, 5.15208, 5.39322, 5.70591, 5.96799, 6.26214, 6.67873, 6.94215, 7.10475, 7.42997, 8.0804, 9.30373, 10.5628, 11.8218, 14.3398, 62.9518");
             values ( \
-              "0.00362939, 0.0890877, 0.17404, 0.175873, 0.174973, 0.17484, 0.169673, 0.151913, 0.13964, 0.130525, 0.116222, 0.0677737, 0.0475093, 0.0401013, 0.0333196, 0.0259998, 0.0210059, 0.0164272, 0.0115167, 0.00917418, 0.00798055, 0.00599402, 0.00334301, 0.000986805, 0.000285895, 7.25716e-05, 1e-22, 6.01522e-06" \
+              "0.00362939, 0.0890877, 0.17404, 0.175873, 0.174973, 0.17484, 0.169673, 0.151913, 0.13964, 0.130525, 0.116222, 0.0677737, 0.0475093, 0.0401013, 0.0333196, 0.0259998, 0.0210059, 0.0164272, 0.0115167, 0.00917418, 0.00798055, 0.00599402, 0.00334301, 0.000986805, 0.000285895, 7.25717e-05, 1e-22, 6.01522e-06" \
             );
           }
           vector (ccs_template) {
@@ -143234,9 +158618,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202298");
-            index_3 ("1.93219, 2.1419, 2.17608, 2.22133, 2.24396, 2.297, 2.35783, 2.44349, 2.51714, 2.63396, 2.68662, 2.73117, 2.78516, 2.8605, 2.9488, 2.97356, 2.99833, 3.0197, 3.04107, 3.06244, 3.08381, 3.10519, 3.12656, 3.14793, 3.17015, 3.18886, 3.20949, 3.31269, 3.34096, 3.38162, 3.41857, 3.45065, 3.47541, 3.48956, 3.50371, 3.53201, 3.54616, 3.60149, 3.62916, 3.65682, 3.79418, 3.93154, 4.0689, 4.26523, 4.28862, 4.31201, 4.35879, 4.40557, 4.96696, 54.1882");
+            index_3 ("1.93219, 2.1419, 2.17608, 2.19871, 2.24396, 2.297, 2.35783, 2.44349, 2.51714, 2.63396, 2.68662, 2.73117, 2.78516, 2.8605, 2.9488, 2.97356, 2.99833, 3.0197, 3.04107, 3.06244, 3.08381, 3.10519, 3.12656, 3.14793, 3.17015, 3.18886, 3.20949, 3.31269, 3.34096, 3.38162, 3.41857, 3.45065, 3.47541, 3.48956, 3.50371, 3.53201, 3.54616, 3.60149, 3.62916, 3.65682, 3.79418, 3.93154, 4.0689, 4.26523, 4.28862, 4.31201, 4.35879, 4.40557, 4.96696, 54.1882");
             values ( \
-              "0.00418018, 0.00474751, 0.00577283, 0.00746692, 0.00838576, 0.0107399, 0.0137493, 0.0183815, 0.0221018, 0.0276838, 0.0300432, 0.0318864, 0.0339752, 0.0366623, 0.0396066, 0.0405554, 0.0416173, 0.0416106, 0.0415416, 0.0414101, 0.0412161, 0.0409598, 0.040641, 0.0402598, 0.0397723, 0.0379947, 0.035552, 0.02117, 0.0175986, 0.0129636, 0.0097397, 0.00737329, 0.00573011, 0.0048966, 0.00425498, 0.00312488, 0.0026364, 0.00152393, 0.00102974, 0.000576926, 0.000478734, 0.000375284, 0.000266573, 0.000102059, 7.35658e-05, 4.02762e-05, 1.98955e-05, 2.20783e-06, 9.77796e-09, 1.84622e-09" \
+              "0.00418018, 0.00474751, 0.00577284, 0.00659595, 0.00838576, 0.0107399, 0.0137492, 0.0183814, 0.022102, 0.0276838, 0.0300432, 0.0318864, 0.0339752, 0.0366623, 0.0396066, 0.0405554, 0.0416173, 0.0416106, 0.0415416, 0.0414101, 0.0412161, 0.0409598, 0.040641, 0.0402598, 0.0397723, 0.0379947, 0.035552, 0.02117, 0.0175986, 0.0129636, 0.0097397, 0.00737329, 0.00573011, 0.0048966, 0.00425498, 0.00312488, 0.0026364, 0.00152393, 0.00102974, 0.000576926, 0.000478734, 0.000375284, 0.000266573, 0.000102059, 7.35658e-05, 4.02762e-05, 1.98955e-05, 2.20783e-06, 9.77796e-09, 1.84622e-09" \
             );
           }
           vector (ccs_template) {
@@ -143272,7 +158656,7 @@
             index_2 ("0.00124625");
             index_3 ("7.07776, 8.10361, 8.33446, 8.4456, 8.54418, 8.65684, 8.94502, 9.10076, 9.23949, 9.36972, 9.42554, 9.61931, 9.74293, 9.82025, 9.97406, 10.0841, 10.1799, 10.2778, 10.4357, 10.6462, 11.0456, 11.5072, 12.0814, 12.8254, 13.92, 15.179, 16.4381, 16.6636, 16.7764, 17.002, 17.1989, 17.5928, 18.3806, 19.6397, 20.8987, 22.1577, 23.4167, 24.6758, 25.9348, 27.1938, 28.4529, 29.7119, 30.9709, 32.23, 33.489, 34.748, 36.0071, 37.2661, 62.9485");
             values ( \
-              "1.93134e-05, 9.21057e-05, 0.000153563, 0.000214139, 0.000305472, 0.000492446, 0.00127871, 0.00151238, 0.00163257, 0.00171271, 0.00173535, 0.00177723, 0.00174718, 0.00163756, 0.000587132, 0.000261774, 0.000172439, 0.000128378, 8.81464e-05, 5.59714e-05, 1.71369e-05, 7.77553e-06, 1e-22, 1.2538e-06, 1e-22, 1.09735e-06, 1e-22, 9.7663e-07, 4.03163e-06, 1e-22, 2.29915e-06, 1e-22, 1.80698e-06, 1e-22, 1.628e-06, 1e-22, 1.46192e-06, 1e-22, 1.30806e-06, 1e-22, 1.16552e-06, 1e-22, 1.03345e-06, 1e-22, 9.11098e-07, 1e-22, 7.97753e-07, 1e-22, 2e-22" \
+              "1.93134e-05, 9.21057e-05, 0.000153563, 0.000214139, 0.000305472, 0.000492446, 0.00127871, 0.00151238, 0.00163257, 0.00171271, 0.00173535, 0.00177723, 0.00174718, 0.00163756, 0.000587132, 0.000261774, 0.000172439, 0.000128378, 8.81464e-05, 5.59714e-05, 1.71369e-05, 7.77553e-06, 1e-22, 1.2538e-06, 1e-22, 1.09735e-06, 1e-22, 9.7663e-07, 4.03163e-06, 1e-22, 2.29915e-06, 1e-22, 1.80698e-06, 1e-22, 1.628e-06, 1e-22, 1.46192e-06, 1e-22, 1.30806e-06, 1e-22, 1.16552e-06, 1e-22, 1.03345e-06, 1e-22, 9.11098e-07, 1e-22, 7.97752e-07, 1e-22, 2e-22" \
             );
           }
           vector (ccs_template) {
@@ -143290,7 +158674,7 @@
             index_2 ("0.0202298");
             index_3 ("7.08033, 8.19313, 8.33703, 8.56092, 8.63689, 8.78883, 9.4269, 9.71496, 9.96045, 10.1821, 10.3893, 10.5883, 10.7845, 10.8299, 10.906, 10.9964, 11.2264, 11.3233, 11.48, 11.6752, 11.8945, 12.1636, 12.5054, 16.6662, 16.8149, 16.874, 16.9923, 17.702, 62.9511");
             values ( \
-              "0.000258031, 0.00132406, 0.00168432, 0.00249661, 0.0029257, 0.0040214, 0.0109734, 0.0135438, 0.015315, 0.0166176, 0.0175145, 0.0180715, 0.0180356, 0.0177807, 0.0167432, 0.0142124, 0.00639953, 0.00409392, 0.00181369, 0.000553093, 0.000120734, 1.73633e-05, 1e-22, 2e-22, 2.97407e-05, 1.51236e-05, 5.8335e-07, 1e-22, 8.90534e-07" \
+              "0.000258031, 0.00132406, 0.00168432, 0.00249661, 0.0029257, 0.0040214, 0.0109734, 0.0135438, 0.015315, 0.0166176, 0.0175145, 0.0180715, 0.0180356, 0.0177807, 0.0167432, 0.0142124, 0.00639953, 0.00409392, 0.00181369, 0.000553093, 0.000120734, 1.73633e-05, 1e-22, 2e-22, 2.97407e-05, 1.51236e-05, 5.83349e-07, 1e-22, 8.90535e-07" \
             );
           }
           vector (ccs_template) {
@@ -143299,7 +158683,7 @@
             index_2 ("0.081505");
             index_3 ("7.08124, 8.32792, 8.63876, 8.9119, 9.01916, 10.0799, 10.4767, 10.7529, 11.1787, 11.6124, 11.9127, 12.2494, 12.5863, 12.6427, 12.835, 13.316, 13.4459, 13.6837, 13.8015, 13.9586, 14.2727, 14.7282, 15.2703, 16.6671, 16.9819, 17.7874, 62.952");
             values ( \
-              "0.00112582, 0.0036595, 0.00552719, 0.00787366, 0.00903154, 0.0234021, 0.0282255, 0.0313335, 0.0357182, 0.0395282, 0.0416275, 0.0430829, 0.0411449, 0.0399132, 0.0340328, 0.0164567, 0.01273, 0.00755555, 0.00572723, 0.00387778, 0.0016079, 0.00030355, 1e-22, 2e-22, 1.41773e-05, 1e-22, 5.34095e-07" \
+              "0.00112582, 0.0036595, 0.00552719, 0.00787366, 0.00903154, 0.0234021, 0.0282255, 0.0313335, 0.0357182, 0.0395282, 0.0416275, 0.0430829, 0.0411449, 0.0399132, 0.0340328, 0.0164567, 0.01273, 0.00755555, 0.00572723, 0.00387778, 0.0016079, 0.00030355, 1e-22, 2e-22, 1.41773e-05, 1e-22, 5.34094e-07" \
             );
           }
           vector (ccs_template) {
@@ -143326,9 +158710,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.00124625");
-            index_3 ("0.0188191, 0.0197746, 0.0202237, 0.020902, 0.0218591, 0.0228162, 0.0238483, 0.0250582, 0.0261278, 0.0271727, 0.029329, 0.0302225, 0.0307605, 0.0326138, 0.0338049, 0.0347193, 0.039003, 0.0418116, 0.0435368, 0.0452816, 0.0467052, 0.0483398, 0.0501353, 0.0518161, 0.0543373, 0.0551776, 0.0566076, 0.0580375, 0.0594675, 0.0608974, 0.0626003, 0.0643031, 0.066006, 0.0677088, 0.0698852, 0.0720615, 0.0742378, 0.0764142, 0.0823495, 0.0882849, 0.0982725, 0.10826, 0.118247, 0.138223, 0.14821, 0.1641, 0.17999, 0.21177, 0.390488, 40.2078, 45.9005");
+            index_3 ("0.0188191, 0.0197746, 0.0202237, 0.020902, 0.0218591, 0.0228162, 0.0238483, 0.0250582, 0.0261278, 0.0271727, 0.029329, 0.0302225, 0.0307605, 0.0326138, 0.0338049, 0.0347193, 0.039003, 0.0418116, 0.0435368, 0.0452816, 0.0467052, 0.0483398, 0.0501353, 0.0518161, 0.0543373, 0.0551776, 0.0566076, 0.0580375, 0.0594675, 0.0608974, 0.0626003, 0.0643031, 0.066006, 0.0677088, 0.0698852, 0.0720615, 0.0742378, 0.0764142, 0.0823495, 0.0882849, 0.0982725, 0.10826, 0.128235, 0.138223, 0.14821, 0.1641, 0.17999, 0.21177, 0.390488, 40.2078, 45.9004");
             values ( \
-              "-0.104358, -0.104386, -0.104737, -0.105054, -0.104972, -0.104836, -0.104404, -0.103725, -0.102908, -0.101955, -0.0996184, -0.0982106, -0.0971041, -0.0917523, -0.0871549, -0.0816756, -0.0499401, -0.0324277, -0.0244326, -0.0179486, -0.0138161, -0.0103132, -0.00714605, -0.0049112, -0.00291795, -0.00239401, -0.00186118, -0.00140021, -0.00101109, -0.000693836, -0.000527004, -0.000383919, -0.000264582, -0.000168991, -0.000131109, -9.88704e-05, -7.22751e-05, -5.13233e-05, -4.30694e-05, -3.63837e-05, -3.05683e-05, -2.54026e-05, -2.12564e-05, -1.41735e-05, -1.12367e-05, -8.50606e-06, -6.05545e-06, -1.99441e-06, -2.05624e-08, -9.09098e-12, -2.56453e-05" \
+              "-0.104358, -0.104386, -0.104737, -0.105054, -0.104972, -0.104836, -0.104404, -0.103725, -0.102908, -0.101955, -0.0996184, -0.0982106, -0.0971041, -0.0917523, -0.0871549, -0.0816756, -0.0499401, -0.0324277, -0.0244326, -0.0179486, -0.0138161, -0.0103132, -0.00714605, -0.0049112, -0.00291795, -0.00239401, -0.00186118, -0.00140021, -0.00101109, -0.000693836, -0.000527004, -0.000383919, -0.000264582, -0.000168991, -0.000131109, -9.88704e-05, -7.22751e-05, -5.13233e-05, -4.30694e-05, -3.63837e-05, -3.05683e-05, -2.54026e-05, -1.75133e-05, -1.41735e-05, -1.12367e-05, -8.50606e-06, -6.05545e-06, -1.99441e-06, -2.05624e-08, -1.39774e-10, -2.56451e-05" \
             );
           }
           vector (ccs_template) {
@@ -143380,9 +158764,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.00124625");
-            index_3 ("0.0469791, 0.0494354, 0.052504, 0.0585759, 0.0613998, 0.0633435, 0.0643858, 0.0650056, 0.0656732, 0.06603, 0.0662084, 0.0663867, 0.0667648, 0.0675208, 0.0682769, 0.0690431, 0.0706876, 0.0742966, 0.0769679, 0.0783251, 0.07982, 0.0808679, 0.0822059, 0.0844928, 0.0861137, 0.0873294, 0.0881399, 0.0897609, 0.0911427, 0.0925245, 0.0939063, 0.0952881, 0.0969284, 0.0985688, 0.100209, 0.10185, 0.10393, 0.106011, 0.108092, 0.110172, 0.118581, 0.121384, 0.12599, 0.139809, 0.157752, 0.175696, 0.191637, 0.239462, 0.418135, 11.2401, 12.113");
+            index_3 ("0.0469791, 0.0494354, 0.052504, 0.0585759, 0.0613998, 0.0633435, 0.0643858, 0.0650056, 0.0656732, 0.0658516, 0.0662084, 0.0663867, 0.0667648, 0.0675208, 0.0682769, 0.0690431, 0.0706876, 0.0742966, 0.0769679, 0.0783251, 0.07982, 0.0808679, 0.0822059, 0.0844928, 0.0861137, 0.0873294, 0.0881399, 0.0897609, 0.0911427, 0.0925245, 0.0939063, 0.0952881, 0.0969284, 0.0985688, 0.100209, 0.10185, 0.10393, 0.106011, 0.108092, 0.110172, 0.118581, 0.121384, 0.12599, 0.139809, 0.157752, 0.175696, 0.191637, 0.239462, 0.418135, 11.2401, 12.113");
             values ( \
-              "-0.043783, -0.0448592, -0.0550459, -0.073518, -0.0809983, -0.0855492, -0.0876651, -0.0919623, -0.0928039, -0.0929915, -0.0930168, -0.0929965, -0.0926524, -0.0911837, -0.088723, -0.085075, -0.0741065, -0.0469526, -0.030891, -0.024715, -0.019048, -0.0156096, -0.0122943, -0.00795505, -0.0055634, -0.00433271, -0.00366431, -0.0025351, -0.00198262, -0.00150355, -0.00109788, -0.000765611, -0.000583108, -0.000426292, -0.000295162, -0.000189718, -0.000144875, -0.000106725, -7.52666e-05, -5.05009e-05, -3.59016e-05, -3.22446e-05, -2.98267e-05, -2.32581e-05, -1.64825e-05, -1.09975e-05, -8.32257e-06, -1.94741e-06, -2.0475e-08, -3.08444e-11, -0.000159168" \
+              "-0.043783, -0.0448592, -0.0550459, -0.073518, -0.0809983, -0.0855492, -0.0876651, -0.0919623, -0.0928039, -0.0929206, -0.0930168, -0.0929965, -0.0926524, -0.0911837, -0.088723, -0.085075, -0.0741065, -0.0469526, -0.030891, -0.024715, -0.019048, -0.0156096, -0.0122943, -0.00795505, -0.0055634, -0.00433271, -0.00366431, -0.0025351, -0.00198262, -0.00150355, -0.00109788, -0.000765611, -0.000583108, -0.000426292, -0.000295162, -0.000189718, -0.000144875, -0.000106725, -7.52666e-05, -5.05009e-05, -3.59016e-05, -3.22446e-05, -2.98267e-05, -2.32581e-05, -1.64825e-05, -1.09975e-05, -8.32257e-06, -1.94741e-06, -2.0475e-08, -1.02815e-11, -0.000159168" \
             );
           }
           vector (ccs_template) {
@@ -143391,7 +158775,7 @@
             index_2 ("0.0050211");
             index_3 ("0.0480459, 0.0520065, 0.0649205, 0.0660222, 0.0668236, 0.0679009, 0.0696596, 0.0748817, 0.0802348, 0.0830517, 0.085772, 0.0913693, 0.0956787, 0.107832, 0.112852, 0.116652, 0.120173, 0.124867, 0.12825, 0.132071, 0.137164, 0.147351, 0.161464, 0.178824, 0.201003, 0.233444, 0.289684, 0.395942, 0.535606, 0.741189, 1.08343, 1.7679, 48.2599");
             values ( \
-              "-0.0277137, -0.0896765, -0.156485, -0.167217, -0.169564, -0.170191, -0.169634, -0.165996, -0.161389, -0.158387, -0.154831, -0.143135, -0.126555, -0.0665404, -0.0475417, -0.0362226, -0.0279221, -0.0194791, -0.0149604, -0.0110767, -0.00736107, -0.00310998, -0.000893047, -0.000188912, -4.53215e-05, -2.1318e-05, -1.06131e-05, -1e-22, -1.46415e-06, -1e-22, -1.45004e-06, -1e-22, -1.35749e-06" \
+              "-0.0277137, -0.0896765, -0.156485, -0.167217, -0.169564, -0.170191, -0.169634, -0.165996, -0.161389, -0.158387, -0.154831, -0.143135, -0.126555, -0.0665404, -0.0475417, -0.0362226, -0.0279221, -0.0194791, -0.0149604, -0.0110767, -0.00736107, -0.00310998, -0.000893047, -0.000188912, -4.53215e-05, -2.1318e-05, -1.06131e-05, -1e-22, -1.46415e-06, -1e-22, -1.45005e-06, -1e-22, -1.35749e-06" \
             );
           }
           vector (ccs_template) {
@@ -143488,18 +158872,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.471807, 0.502939, 0.50474, 0.508341, 0.511477, 0.517749, 0.520885, 0.535568, 0.549889, 0.571275, 0.57616, 0.584266, 0.592372, 0.599597, 0.620139, 0.623295, 0.62645, 0.629606, 0.632762, 0.635843, 0.638924, 0.642005, 0.645086, 0.646645, 0.648203, 0.649762, 0.652879, 0.655391, 0.658251, 0.669429, 0.671825, 0.67723, 0.68289, 0.685638, 0.689301, 0.692965, 0.696161, 0.699358, 0.702554, 0.70575, 0.713246, 0.720742, 0.740001, 0.79731, 0.87257, 0.928727, 0.984884, 1.04104, 1.05729, 1.13014");
+            index_3 ("0.487301, 0.52081, 0.52756, 0.534309, 0.547807, 0.570766, 0.575686, 0.583831, 0.591976, 0.599226, 0.606476, 0.61983, 0.622993, 0.626156, 0.629318, 0.632481, 0.635568, 0.638655, 0.641742, 0.644829, 0.64639, 0.647952, 0.649513, 0.651075, 0.652636, 0.655152, 0.658013, 0.669202, 0.671599, 0.674704, 0.677011, 0.680386, 0.682681, 0.685434, 0.689105, 0.692777, 0.69598, 0.699183, 0.702386, 0.705589, 0.713093, 0.720596, 0.739887, 0.797361, 0.872631, 0.928743, 0.984855, 1.04096, 1.05716, 1.12984");
             values ( \
-              "-0.00289587, -0.0029911, -0.00314986, -0.00349272, -0.00383817, -0.00460265, -0.00502168, -0.00719801, -0.00917995, -0.0119655, -0.0125634, -0.0134801, -0.0143467, -0.0150719, -0.0170051, -0.0172407, -0.0174392, -0.0176007, -0.0177251, -0.0177721, -0.0177687, -0.0177147, -0.0176103, -0.01748, -0.0173236, -0.017055, -0.016267, -0.0153602, -0.0140466, -0.00753176, -0.00636336, -0.00419104, -0.00247754, -0.00184405, -0.00129983, -0.000865779, -0.000657846, -0.000479904, -0.000331952, -0.000213989, -0.000124562, -6.0925e-05, -1.56797e-05, -6.78889e-06, -1.30909e-05, -3.1091e-05, -6.04558e-05, -0.000101185, -1.2894e-05, -1.14991e-06" \
+              "-0.00497655, -0.00498285, -0.00602161, -0.00701364, -0.00889178, -0.0118903, -0.0124932, -0.0134167, -0.0142897, -0.0150189, -0.0157188, -0.0169636, -0.0172006, -0.0174005, -0.0175633, -0.017689, -0.0177375, -0.0177357, -0.0176834, -0.0175807, -0.0174514, -0.0172961, -0.0170286, -0.0166777, -0.0162432, -0.0153392, -0.0140296, -0.00752458, -0.00635838, -0.00503377, -0.00418619, -0.00312031, -0.00247376, -0.00184051, -0.00129693, -0.000863434, -0.00065589, -0.00047831, -0.000330694, -0.000213042, -0.000124157, -6.08725e-05, -1.56789e-05, -6.75423e-06, -1.31151e-05, -3.11738e-05, -6.06075e-05, -0.000101416, -1.29533e-05, -1.15696e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0050211");
-            index_3 ("0.476558, 0.509948, 0.515394, 0.52084, 0.525862, 0.535904, 0.545947, 0.560138, 0.573894, 0.614532, 0.631245, 0.648965, 0.664739, 0.679453, 0.689328, 0.693893, 0.698458, 0.703023, 0.707588, 0.712059, 0.71653, 0.721001, 0.725472, 0.729988, 0.731687, 0.736786, 0.74056, 0.745314, 0.760792, 0.764727, 0.770629, 0.779367, 0.783258, 0.786372, 0.787928, 0.791041, 0.794155, 0.800381, 0.810658, 0.820934, 0.880195, 0.939455, 0.998716, 1.05797, 1.07262, 1.08727, 1.16542, 5.46695, 9.76848, 42.1027");
+            index_3 ("0.495848, 0.537954, 0.546511, 0.559262, 0.572012, 0.584763, 0.610614, 0.624925, 0.636774, 0.648623, 0.664433, 0.679177, 0.689068, 0.69364, 0.698212, 0.702784, 0.707355, 0.711832, 0.716308, 0.720785, 0.725261, 0.727522, 0.729783, 0.731484, 0.736587, 0.740365, 0.745121, 0.750993, 0.760608, 0.764547, 0.770455, 0.773532, 0.779192, 0.783082, 0.786194, 0.78775, 0.790863, 0.797087, 0.8002, 0.810475, 0.820751, 0.880066, 0.93938, 0.998695, 1.05801, 1.07268, 1.08735, 1.16568, 15.5645, 40.1771");
             values ( \
-              "-0.00733822, -0.00738881, -0.00829053, -0.00926916, -0.010308, -0.012604, -0.0151, -0.0188577, -0.0223466, -0.0322262, -0.035988, -0.0396593, -0.0427073, -0.0454327, -0.0474257, -0.0478093, -0.0481214, -0.0483621, -0.0485314, -0.0486279, -0.0486559, -0.0486154, -0.0485064, -0.0473528, -0.0464873, -0.0433386, -0.0404526, -0.0362337, -0.0209592, -0.0176715, -0.0135956, -0.00877632, -0.00695264, -0.00571964, -0.00516936, -0.00434942, -0.00360724, -0.00235613, -0.00135722, -0.000434005, -0.000508029, -0.000485294, -0.000365799, -0.000149545, -8.11854e-05, -6.91517e-06, -1.35747e-06, -5.57298e-07, -1e-22, -3.86205e-11" \
+              "-0.0126407, -0.0130719, -0.0152262, -0.0186179, -0.0218555, -0.0250187, -0.0312727, -0.0345858, -0.0371271, -0.0395567, -0.0426197, -0.0453517, -0.0473487, -0.047735, -0.04805, -0.0482937, -0.0484661, -0.0485658, -0.0485971, -0.04856, -0.0484545, -0.0479379, -0.0473058, -0.0464423, -0.0433005, -0.0404234, -0.0362101, -0.0302059, -0.0209481, -0.0176614, -0.0135864, -0.0117648, -0.00877282, -0.00695119, -0.00571937, -0.00516956, -0.00435008, -0.00294411, -0.00235763, -0.00135831, -0.00043465, -0.000507834, -0.000484665, -0.000365141, -0.000149263, -8.10081e-05, -6.85931e-06, -1.34646e-06, -1e-22, -3.70632e-11" \
             );
           }
           vector (ccs_template) {
@@ -143551,9 +158935,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0050211");
-            index_3 ("1.51565, 1.61144, 1.76973, 1.83111, 1.92486, 1.98958, 2.03778, 2.15035, 2.26117, 2.31178, 2.35842, 2.40265, 2.44574, 2.45665, 2.47846, 2.49041, 2.54814, 2.57738, 2.6033, 2.64244, 2.6898, 2.75074, 2.84185, 3.0179, 4.16481, 4.20885, 4.29694, 4.42131, 4.6675, 5.04855, 5.81065, 6.77585, 7.74105, 8.70625, 9.67145, 10.6366, 11.6018, 12.567, 13.5322, 14.4974, 15.4626, 16.4278, 17.393, 43.4534, 44.4186, 45.3839, 46.349, 47.3142, 48.2598");
+            index_3 ("1.61144, 1.83472, 1.87026, 1.91765, 1.94618, 2.00326, 2.15033, 2.26113, 2.31175, 2.35839, 2.40263, 2.44573, 2.45663, 2.47845, 2.49039, 2.54813, 2.57737, 2.60329, 2.64234, 2.6897, 2.75058, 2.84168, 3.01712, 4.16482, 4.20901, 4.29739, 4.42205, 4.66903, 5.05087, 5.81455, 6.77975, 7.74495, 8.71015, 9.67535, 10.6406, 11.6058, 12.571, 13.5362, 14.5014, 15.4666, 16.4318, 17.397, 44.4226, 45.3878, 46.353, 47.3182, 48.2598");
             values ( \
-              "-0.000104407, -0.000257274, -0.000887575, -0.00145032, -0.00281094, -0.00453789, -0.00655346, -0.0119718, -0.0164687, -0.0181552, -0.0193722, -0.0202393, -0.020473, -0.0203326, -0.0192824, -0.017724, -0.00567498, -0.00243642, -0.00108691, -0.000333441, -0.000154884, -8.36623e-05, -4.306e-05, -1e-22, -2e-22, -4.32337e-05, -1e-22, -1.18762e-05, -1e-22, -7.54074e-06, -1e-22, -6.3184e-06, -1e-22, -5.34776e-06, -1e-22, -4.47184e-06, -1e-22, -3.68182e-06, -1e-22, -2.96959e-06, -1e-22, -2.32781e-06, -1e-22, -2e-22, -2.1323e-06, -1e-22, -2.24573e-06, -1e-22, -2.34668e-06" \
+              "-0.000166937, -0.00147932, -0.00192392, -0.00267417, -0.00331564, -0.00503182, -0.0119799, -0.0164552, -0.0181627, -0.0193611, -0.0202462, -0.0204628, -0.0203395, -0.019273, -0.0177309, -0.0056799, -0.00243343, -0.00109014, -0.000331493, -0.000158047, -8.08122e-05, -4.60871e-05, -1e-22, -2e-22, -4.31186e-05, -1e-22, -1.1894e-05, -1e-22, -7.56952e-06, -1e-22, -6.34745e-06, -1e-22, -5.37582e-06, -1e-22, -4.49898e-06, -1e-22, -3.7081e-06, -1e-22, -2.99508e-06, -1e-22, -2.35257e-06, -1e-22, -2.11361e-06, -1e-22, -2.22728e-06, -1e-22, -2.32864e-06" \
             );
           }
           vector (ccs_template) {
@@ -143580,7 +158964,7 @@
             index_2 ("0.32838");
             index_3 ("2.08247, 2.55475, 3.5468, 3.82583, 4.16393, 4.20501, 4.27234, 4.441, 4.69105, 4.86998, 4.99584, 5.15164, 5.34404, 5.61886, 5.85706, 6.0885, 6.27328, 6.43421, 6.59572, 6.82623, 6.92455, 7.12119, 7.51447, 8.16138, 8.92652, 9.88433, 10.8495, 48.2589");
             values ( \
-              "-0.034146, -0.0564829, -0.153235, -0.179022, -0.207992, -0.207418, -0.205931, -0.201863, -0.194621, -0.187546, -0.180476, -0.16739, -0.144584, -0.109145, -0.0818832, -0.0603028, -0.0465454, -0.0368895, -0.0290241, -0.0204295, -0.0175652, -0.0129385, -0.00684807, -0.00220932, -0.000533641, -7.1742e-05, -8.33069e-06, -9.74816e-07" \
+              "-0.034146, -0.0564829, -0.153235, -0.179022, -0.207992, -0.207418, -0.205931, -0.201863, -0.194621, -0.187546, -0.180476, -0.16739, -0.144584, -0.109145, -0.0818832, -0.0603028, -0.0465454, -0.0368895, -0.0290241, -0.0204295, -0.0175652, -0.0129385, -0.00684807, -0.00220932, -0.000533641, -7.1742e-05, -8.33069e-06, -9.74815e-07" \
             );
           }
           vector (ccs_template) {
@@ -144825,7 +160209,7 @@
             index_2 ("0.32838");
             index_3 ("9.37971, 9.5822, 9.64536, 9.85758, 10.3146, 10.7032, 10.9546, 11.2263, 11.4638, 12.1395, 12.6468, 13.0487, 13.4059, 13.7408, 14.0666, 14.3921, 14.5301, 14.6704, 15.2419, 15.5045, 15.8178, 16.2085, 16.6172, 17.0059, 17.4065");
             values ( \
-              "-3.04274e-05, -0.000238474, -0.00114684, -0.0118853, -0.00612172, -0.00306265, -0.00368218, -0.0135381, -0.0317837, -0.0962156, -0.135691, -0.158546, -0.1724, -0.180383, -0.183004, -0.177949, -0.170323, -0.157356, -0.0876601, -0.0619768, -0.0395099, -0.0217083, -0.0113611, -0.00613291, -0.00372045" \
+              "-3.04274e-05, -0.000238474, -0.00114684, -0.0118853, -0.00612172, -0.00306265, -0.00368218, -0.013538, -0.0317838, -0.0962156, -0.135691, -0.158546, -0.1724, -0.180383, -0.183004, -0.177949, -0.170323, -0.157356, -0.0876601, -0.0619768, -0.0395099, -0.0217083, -0.0113611, -0.00613291, -0.00372045" \
             );
           }
           vector (ccs_template) {
@@ -144834,7 +160218,7 @@
             index_2 ("1.32303");
             index_3 ("9.4004, 9.57856, 9.64172, 9.8752, 11.3621, 12.7413, 13.7975, 14.9815, 15.7211, 16.1084, 16.8787, 18.8585, 19.9838, 21.1679, 21.884, 23.2299, 24.3093, 25.3403, 25.6536, 26.2803, 26.9578, 28.1419, 28.5241, 29.0337, 29.705, 31.5335, 32.1926, 33.0713, 33.5971, 34.2538, 35.1293, 35.7246, 36.3247, 37.1248, 38.3089, 39.4929, 40.677, 41.8611, 44.2292");
             values ( \
-              "-3.56936e-05, -0.000243991, -0.00120934, -0.0140798, -0.00827454, -0.0046918, -0.00316975, -0.00661951, -0.0157951, -0.022843, -0.0398404, -0.0878411, -0.112346, -0.134462, -0.145826, -0.163039, -0.173048, -0.179609, -0.181018, -0.182989, -0.183707, -0.179644, -0.175614, -0.166596, -0.148558, -0.0919421, -0.0745412, -0.0551411, -0.0456922, -0.0358789, -0.0257003, -0.0203905, -0.0161178, -0.0117248, -0.0072238, -0.00444539, -0.00271091, -0.00166606, -0.000623566" \
+              "-3.56936e-05, -0.000243991, -0.00120934, -0.0140798, -0.00827454, -0.0046918, -0.00316975, -0.00661951, -0.0157951, -0.022843, -0.0398404, -0.0878411, -0.112346, -0.134462, -0.145825, -0.163039, -0.173048, -0.179609, -0.181018, -0.182989, -0.183707, -0.179644, -0.175614, -0.166596, -0.148558, -0.0919421, -0.0745412, -0.0551411, -0.0456922, -0.0358789, -0.0257003, -0.0203905, -0.0161178, -0.0117248, -0.0072238, -0.00444539, -0.00271091, -0.00166606, -0.000623566" \
             );
           }
         }
@@ -144967,7 +160351,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("1.32303");
-            index_3 ("0.0845802, 0.2333, 2.01334, 3.75117, 4.93453, 6.11789, 6.91844, 9.64385, 10.8272, 12.6112, 13.2915, 14.726, 15.2284, 16.0696, 17.2529, 17.6229, 18.1162, 18.6848, 19.8222, 21.726, 22.6477, 23.8507, 25.0488, 25.9706, 26.9176, 27.6411, 28.8244, 30.0078, 31.1912, 33.5579");
+            index_3 ("0.0845801, 0.2333, 2.01334, 3.75117, 4.93453, 6.11789, 6.91844, 9.64385, 10.8272, 12.6112, 13.2915, 14.726, 15.2284, 16.0696, 17.2529, 17.6229, 18.1162, 18.6848, 19.8222, 21.726, 22.6477, 23.8507, 25.0488, 25.9706, 26.9176, 27.6411, 28.8244, 30.0078, 31.1912, 33.5579");
             values ( \
               "-0.000280625, -0.0143817, -0.0075693, -0.00365943, -0.00397154, -0.0154627, -0.0311171, -0.0961719, -0.12077, -0.150274, -0.158983, -0.173038, -0.176623, -0.180994, -0.183744, -0.183562, -0.182225, -0.178495, -0.157507, -0.0984872, -0.0736848, -0.0485576, -0.0312165, -0.0218087, -0.0150451, -0.0113212, -0.00693831, -0.00430582, -0.00259013, -0.000947927" \
             );
@@ -145021,7 +160405,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("1.32303");
-            index_3 ("0.131598, 0.290044, 2.34703, 3.18757, 4.37093, 5.55429, 6.11661, 6.71165, 9.20257, 10.6836, 11.7335, 12.6739, 13.3404, 14.5238, 15.2761, 16.1179, 17.4244, 18.1634, 18.7329, 19.8719, 21.7719, 22.6985, 23.8994, 25.0959, 26.0181, 26.9664, 27.6911, 28.8745, 31.2412, 33.6079");
+            index_3 ("0.131598, 0.290044, 2.34703, 3.18757, 4.37093, 5.55429, 6.11661, 6.71166, 9.20257, 10.6836, 11.7335, 12.6739, 13.3404, 14.5238, 15.2761, 16.1179, 17.4244, 18.1634, 18.7329, 19.8719, 21.7719, 22.6985, 23.8994, 25.0959, 26.0181, 26.9664, 27.6911, 28.8745, 31.2412, 33.6079");
             values ( \
               "-0.00026346, -0.0144059, -0.00673414, -0.00473395, -0.00311654, -0.00759118, -0.0147116, -0.0256916, -0.0850792, -0.117078, -0.136188, -0.150499, -0.159057, -0.171054, -0.176625, -0.181042, -0.183752, -0.182266, -0.178482, -0.157491, -0.0985276, -0.0735926, -0.0485226, -0.0312503, -0.0217897, -0.0150168, -0.0113351, -0.00690931, -0.00256474, -0.000923945" \
             );
@@ -145075,7 +160459,7 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.32303");
-            index_3 ("0.267275, 0.425514, 1.76169, 3.03523, 4.11869, 5.30205, 6.35735, 6.56332, 10.4734, 11.8642, 12.7732, 13.472, 14.9066, 16.2501, 17.4335, 18.2966, 18.8654, 20.0029, 22.8285, 24.0313, 25.2293, 26.1511, 27.0982, 27.8131, 28.9965, 30.6642");
+            index_3 ("0.267275, 0.425513, 1.76169, 3.03523, 4.11869, 5.30205, 6.35735, 6.56332, 10.4734, 11.8642, 12.7732, 13.472, 14.9066, 16.2501, 17.4335, 18.2966, 18.8654, 20.0029, 22.8285, 24.0313, 25.2293, 26.1511, 27.0982, 27.8131, 28.9965, 30.6642");
             values ( \
               "-0.000257819, -0.0144136, -0.00893225, -0.00534893, -0.00340241, -0.00478621, -0.0164209, -0.020082, -0.110111, -0.136098, -0.150012, -0.158997, -0.173052, -0.181007, -0.183736, -0.182237, -0.178486, -0.157513, -0.0736662, -0.0485456, -0.0312284, -0.0217986, -0.0150338, -0.0113711, -0.00695212, -0.00387746" \
             );
@@ -145131,7 +160515,7 @@
             index_2 ("1.32303");
             index_3 ("0.78593, 0.968438, 2.08578, 3.13365, 4.01913, 5.20249, 6.38584, 7.35486, 10.3694, 11.5528, 12.4069, 13.3336, 14.014, 15.4483, 15.95, 16.7917, 17.975, 18.3446, 18.8374, 19.4067, 20.5454, 22.4461, 23.3718, 24.5731, 25.7699, 26.692, 27.6401, 28.3646, 29.5479, 30.7313, 31.9146, 34.2813");
             values ( \
-              "-0.000150693, -0.0143848, -0.009699, -0.00643408, -0.00441936, -0.00314453, -0.0092163, -0.0250498, -0.0963172, -0.120876, -0.136158, -0.150308, -0.159034, -0.173077, -0.176633, -0.181025, -0.183747, -0.183564, -0.18225, -0.178492, -0.157487, -0.0985267, -0.0736169, -0.0485359, -0.0312373, -0.0217998, -0.0150286, -0.0113261, -0.0069212, -0.0043153, -0.00257593, -0.000934849" \
+              "-0.000150693, -0.0143848, -0.009699, -0.00643408, -0.00441936, -0.00314453, -0.0092163, -0.0250498, -0.0963172, -0.120876, -0.136158, -0.150308, -0.159034, -0.173077, -0.176633, -0.181025, -0.183747, -0.183564, -0.18225, -0.178492, -0.157487, -0.0985268, -0.0736169, -0.0485359, -0.0312373, -0.0217998, -0.0150285, -0.0113261, -0.0069212, -0.0043153, -0.00257593, -0.000934849" \
             );
           }
           vector (ccs_template) {
@@ -145183,9 +160567,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.32303");
-            index_3 ("2.57332, 2.67248, 2.82512, 4.15782, 5.29404, 6.11616, 7.29952, 8.48288, 9.56321, 12.217, 13.4003, 15.1828, 15.8631, 17.2974, 17.7994, 18.6409, 19.8242, 20.1939, 20.6869, 21.256, 22.3942, 24.2959, 25.2204, 26.4222, 27.6194, 28.5414, 29.4892, 30.2133, 31.3967, 32.5801, 33.7634, 36.1301");
+            index_3 ("2.57332, 2.67248, 2.82512, 4.15782, 5.29404, 6.11616, 7.29952, 8.48288, 9.56321, 12.217, 13.4003, 15.1828, 15.8631, 17.2974, 17.7994, 18.6409, 19.8242, 20.1939, 20.6869, 21.256, 22.3943, 24.2959, 25.2204, 26.4222, 27.6194, 28.5414, 29.4892, 30.2133, 31.3967, 32.5801, 33.7634, 36.1301");
             values ( \
-              "-8.25629e-05, -0.00104029, -0.0142268, -0.00890583, -0.00566214, -0.00397534, -0.00344202, -0.0123494, -0.0328249, -0.0962666, -0.120825, -0.150291, -0.159026, -0.173072, -0.176623, -0.181023, -0.183739, -0.183556, -0.182249, -0.178486, -0.1575, -0.098508, -0.0736309, -0.0485359, -0.0312376, -0.0217959, -0.0150271, -0.0113311, -0.00691989, -0.00431891, -0.00257374, -0.000932327" \
+              "-8.25629e-05, -0.00104029, -0.0142268, -0.00890583, -0.00566214, -0.00397534, -0.00344202, -0.0123494, -0.0328248, -0.0962666, -0.120825, -0.150291, -0.159026, -0.173072, -0.176623, -0.181023, -0.183739, -0.183556, -0.182249, -0.178486, -0.1575, -0.0985081, -0.0736309, -0.0485359, -0.0312376, -0.0217959, -0.0150271, -0.0113311, -0.00691989, -0.00431891, -0.00257374, -0.000932327" \
             );
           }
           vector (ccs_template) {
@@ -145194,7 +160578,7 @@
             index_2 ("0.00124625");
             index_3 ("9.75612, 9.75885, 9.7606, 9.76411, 9.76698, 9.77219, 9.7797, 9.78393, 9.78779, 9.79142, 9.79498, 9.79853, 9.80388, 9.80799, 9.81187, 9.81484, 9.81686, 9.82091, 9.82475");
             values ( \
-              "-0.00939409, -0.0122828, -0.0134707, -0.0199551, -0.0278774, -0.0376352, -0.0501218, -0.0559722, -0.060431, -0.0629793, -0.0633667, -0.055973, -0.0324195, -0.0182921, -0.00988745, -0.0060589, -0.00436875, -0.00217844, -0.0012381" \
+              "-0.00939409, -0.0122828, -0.0134707, -0.0199551, -0.0278774, -0.0376352, -0.0501218, -0.0559722, -0.060431, -0.0629793, -0.0633667, -0.055973, -0.0324195, -0.0182921, -0.00988745, -0.0060589, -0.00436875, -0.00217844, -0.00123809" \
             );
           }
           vector (ccs_template) {
@@ -145203,7 +160587,7 @@
             index_2 ("0.0050211");
             index_3 ("9.78784, 9.79545, 9.79627, 9.79791, 9.7997, 9.80239, 9.80431, 9.80636, 9.81063, 9.81505, 9.81741, 9.81951, 9.82228, 9.82759, 9.83023, 9.83111, 9.83334, 9.83656, 9.8395, 9.84126, 9.84301, 9.84446, 9.84591, 9.84736, 9.84881, 9.85018, 9.85155, 9.85291, 9.854, 9.85509, 9.85618, 9.85726, 9.85904, 9.86083, 9.86261, 9.86439, 9.86503, 9.86631, 9.87396, 9.87752, 9.87931, 9.88097, 9.8831, 9.88539, 9.88661, 9.88783, 9.89028, 9.89272, 9.8953, 9.89745");
             values ( \
-              "-0.0352313, -0.0363684, -0.038543, -0.0433035, -0.0491356, -0.0564982, -0.0613641, -0.0663042, -0.0760982, -0.0855123, -0.0902171, -0.0942395, -0.0992914, -0.108004, -0.111799, -0.112977, -0.115722, -0.119343, -0.122317, -0.123711, -0.12494, -0.125827, -0.126603, -0.127266, -0.127817, -0.127933, -0.127855, -0.127583, -0.126806, -0.125813, -0.124604, -0.123178, -0.12037, -0.11698, -0.113007, -0.108452, -0.106417, -0.101279, -0.0692942, -0.0557636, -0.0499003, -0.0449576, -0.038977, -0.0328319, -0.0300322, -0.027452, -0.0231196, -0.0193282, -0.0159643, -0.0133725" \
+              "-0.0352313, -0.0363683, -0.0385429, -0.0433037, -0.0491361, -0.0564979, -0.0613639, -0.0663043, -0.076098, -0.0855124, -0.0902174, -0.0942398, -0.0992911, -0.108004, -0.111799, -0.112977, -0.115722, -0.119343, -0.122317, -0.123711, -0.12494, -0.125827, -0.126603, -0.127266, -0.127817, -0.127933, -0.127855, -0.127583, -0.126806, -0.125813, -0.124604, -0.123178, -0.12037, -0.11698, -0.113007, -0.108452, -0.106417, -0.101279, -0.0692942, -0.0557636, -0.0499003, -0.0449576, -0.038977, -0.0328319, -0.0300322, -0.027452, -0.0231196, -0.0193282, -0.0159643, -0.0133725" \
             );
           }
           vector (ccs_template) {
@@ -145212,16 +160596,16 @@
             index_2 ("0.0202298");
             index_3 ("9.85569, 9.88035, 9.8833, 9.90246, 9.92554, 9.95873, 9.98207, 9.99261, 10.0154, 10.0321, 10.0421, 10.054, 10.0758, 10.0832, 10.0908, 10.1001, 10.1304, 10.1415, 10.1562, 10.1647, 10.1753, 10.1893, 10.2032, 10.224, 10.2519, 10.296, 10.3488");
             values ( \
-              "-0.0231534, -0.0359301, -0.0385931, -0.064546, -0.0928526, -0.125731, -0.143045, -0.149364, -0.15994, -0.164891, -0.166656, -0.167547, -0.163058, -0.15796, -0.149849, -0.136127, -0.0847571, -0.0686211, -0.0506061, -0.0421673, -0.0333471, -0.0241119, -0.0174223, -0.0105519, -0.00522819, -0.00155707, -0.000339161" \
+              "-0.0231534, -0.0359301, -0.0385931, -0.064546, -0.0928526, -0.125731, -0.143045, -0.149364, -0.15994, -0.164891, -0.166656, -0.167547, -0.163058, -0.15796, -0.149849, -0.136127, -0.0847571, -0.0686211, -0.050606, -0.0421673, -0.0333472, -0.024112, -0.0174223, -0.0105518, -0.00522808, -0.00155703, -0.00033915" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.081505");
-            index_3 ("9.31917, 9.61363, 9.66384, 9.78904, 9.82387, 10.0075, 10.0447, 10.0906, 10.1696, 10.3382, 10.4926, 10.5939, 10.6841, 10.7687, 10.8511, 10.9333, 10.9677, 11.0027, 11.1787, 11.2571, 11.3343, 11.4165, 11.466, 11.5652, 11.6463");
+            index_3 ("9.31917, 9.61363, 9.66384, 9.78903, 9.82387, 10.0075, 10.0447, 10.0906, 10.1695, 10.3382, 10.4926, 10.5939, 10.6841, 10.7687, 10.8511, 10.9333, 10.9677, 11.0027, 11.1787, 11.2571, 11.3343, 11.4165, 11.466, 11.5652, 11.6463");
             values ( \
-              "-1.67527e-05, -0.000425114, -0.00158662, -0.00689402, -0.00658643, -0.00162893, -0.00198329, -0.00566974, -0.0242665, -0.086563, -0.133708, -0.155983, -0.169289, -0.177268, -0.179712, -0.174908, -0.167461, -0.155062, -0.0737014, -0.0479116, -0.0304008, -0.0183038, -0.013377, -0.00696958, -0.00455276" \
+              "-1.67527e-05, -0.000425115, -0.00158662, -0.00689402, -0.00658643, -0.00162893, -0.00198329, -0.00566974, -0.0242665, -0.0865629, -0.133708, -0.155983, -0.169289, -0.177268, -0.179712, -0.174908, -0.167461, -0.155062, -0.0737014, -0.0479116, -0.0304008, -0.0183038, -0.013377, -0.00696958, -0.00455275" \
             );
           }
           vector (ccs_template) {
@@ -145230,7 +160614,7 @@
             index_2 ("0.32838");
             index_3 ("9.37182, 9.58182, 9.63025, 9.69322, 9.78714, 9.82187, 9.86702, 10.3204, 10.7017, 10.9508, 11.2091, 11.3247, 11.4927, 12.2062, 12.3675, 12.6324, 13.0345, 13.3918, 13.7267, 14.0525, 14.378, 14.5157, 14.6556, 14.7378, 15.228, 15.4897, 15.6625, 15.8043, 15.9663, 16.1947, 16.426, 16.6023, 16.7909, 17.0681, 17.3407, 17.5688");
             values ( \
-              "-2.7828e-05, -0.000240935, -0.000866712, -0.00370033, -0.0107654, -0.0117503, -0.011599, -0.00590527, -0.00301458, -0.0038234, -0.013359, -0.0213445, -0.0356871, -0.103276, -0.116498, -0.135699, -0.158484, -0.172428, -0.180328, -0.183037, -0.177901, -0.170345, -0.157426, -0.147886, -0.0876331, -0.0620381, -0.0485697, -0.0394783, -0.0309315, -0.0217029, -0.0150879, -0.0113752, -0.00855909, -0.00545657, -0.00347565, -0.00257884" \
+              "-2.7828e-05, -0.000240935, -0.000866712, -0.00370033, -0.0107654, -0.0117503, -0.011599, -0.00590527, -0.00301458, -0.0038234, -0.013359, -0.0213445, -0.035687, -0.103276, -0.116498, -0.135699, -0.158484, -0.172428, -0.180328, -0.183037, -0.177901, -0.170345, -0.157426, -0.147886, -0.0876331, -0.0620381, -0.0485697, -0.0394783, -0.0309315, -0.0217029, -0.0150879, -0.0113752, -0.00855909, -0.00545657, -0.00347565, -0.00257884" \
             );
           }
           vector (ccs_template) {
@@ -145239,7 +160623,7 @@
             index_2 ("1.32303");
             index_3 ("9.39148, 9.62781, 9.86448, 11.3611, 12.7008, 13.7113, 14.8947, 15.6693, 16.1822, 18.7209, 20.2018, 21.2544, 22.1958, 22.8622, 24.2968, 25.6405, 26.8238, 27.6875, 28.2558, 29.3926, 31.2978, 32.2177, 33.4215, 34.6202, 35.5418, 36.4883, 37.2114, 38.3948, 40.7615, 41.1351");
             values ( \
-              "-3.27388e-05, -0.000907024, -0.0139276, -0.00824385, -0.00475712, -0.0032068, -0.00605577, -0.015158, -0.0245778, -0.0848936, -0.116918, -0.136071, -0.150435, -0.158977, -0.173037, -0.180997, -0.183725, -0.182231, -0.178478, -0.157534, -0.0984515, -0.0736967, -0.0485489, -0.0312256, -0.0217943, -0.015034, -0.0113375, -0.00692751, -0.00257802, -0.00237375" \
+              "-3.27388e-05, -0.000907024, -0.0139276, -0.00824386, -0.00475712, -0.0032068, -0.00605576, -0.015158, -0.0245778, -0.0848935, -0.116918, -0.136071, -0.150435, -0.158977, -0.173037, -0.180997, -0.183725, -0.182231, -0.178478, -0.157534, -0.0984516, -0.0736967, -0.0485489, -0.0312256, -0.0217943, -0.015034, -0.0113375, -0.0069275, -0.00257802, -0.00237374" \
             );
           }
         }
@@ -145525,7 +160909,7 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.32838");
-            index_3 ("0.767663, 0.827444, 0.915984, 1.51092, 1.72282, 2.03202, 2.32873, 2.64013, 3.25295, 3.7607, 4.16275, 4.51996, 4.85488, 5.18073, 5.50623, 5.6438, 5.78355, 6.4833, 6.79063, 7.0947, 7.32301, 7.55412, 7.73017, 8.08226, 8.47233");
+            index_3 ("0.767663, 0.827444, 0.915984, 1.51092, 1.72282, 2.03202, 2.32873, 2.64014, 3.25295, 3.7607, 4.16275, 4.51996, 4.85488, 5.18073, 5.50623, 5.6438, 5.78355, 6.4833, 6.79063, 7.0947, 7.32301, 7.55412, 7.73017, 8.08226, 8.47233");
             values ( \
               "-0.000141638, -0.00179445, -0.0126113, -0.00530902, -0.0036078, -0.00322272, -0.0128104, -0.0374638, -0.0961022, -0.135634, -0.158515, -0.172371, -0.180369, -0.182988, -0.177945, -0.170353, -0.157463, -0.074424, -0.0485775, -0.0309251, -0.021698, -0.0150903, -0.011384, -0.00636, -0.00376394" \
             );
@@ -145777,9 +161161,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("1.32303");
-            index_3 ("0.0824326, 0.244983, 1.38943, 2.47606, 3.39877, 4.58253, 5.76629, 6.25246, 7.03305, 9.22653, 10.4824, 12.2289, 13.2903, 14.0546, 14.7222, 16.0675, 16.8851, 17.3732, 18.3495, 18.6823, 19.3451, 20.1171, 21.945, 22.61, 24.011, 24.6539, 25.5111, 26.7494, 27.5774, 28.7612, 29.9449, 31.1287, 32.3125, 34.68");
+            index_3 ("0.0824337, 0.244985, 1.38943, 2.47607, 3.39877, 4.58253, 5.76629, 6.25246, 7.03305, 9.22653, 10.4824, 12.2288, 13.2903, 14.0546, 14.7222, 16.0675, 16.8851, 17.3732, 18.3495, 18.6823, 19.3451, 20.1171, 21.945, 22.61, 24.011, 24.6539, 25.5111, 26.7494, 27.5774, 28.7612, 29.9449, 31.1287, 32.3125, 34.68");
             values ( \
-              "-0.000284863, -0.0144093, -0.00961476, -0.00627698, -0.00421181, -0.00323782, -0.0104256, -0.017769, -0.0337688, -0.086758, -0.114036, -0.144788, -0.159024, -0.167228, -0.173034, -0.181024, -0.183354, -0.183703, -0.181052, -0.178471, -0.168757, -0.148595, -0.0919919, -0.0744391, -0.045689, -0.0360629, -0.0260142, -0.0160526, -0.0115356, -0.0071152, -0.00437024, -0.00267358, -0.00163488, -0.000608791" \
+              "-0.000284863, -0.0144093, -0.00961476, -0.00627698, -0.00421181, -0.00323782, -0.0104257, -0.017769, -0.0337687, -0.086758, -0.114036, -0.144786, -0.159024, -0.167228, -0.173034, -0.181024, -0.183354, -0.183703, -0.181052, -0.178471, -0.168757, -0.148595, -0.0919919, -0.0744391, -0.045689, -0.0360629, -0.0260142, -0.0160526, -0.0115356, -0.0071152, -0.00437023, -0.00267358, -0.00163488, -0.00060879" \
             );
           }
           vector (ccs_template) {
@@ -145797,7 +161181,7 @@
             index_2 ("0.0050211");
             index_3 ("0.256338, 0.285479, 0.289489, 0.296122, 0.305384, 0.312491, 0.319396, 0.322673, 0.326282, 0.333228, 0.348212, 0.355698, 0.362386, 0.371672, 0.379064, 0.386137");
             values ( \
-              "-0.00460271, -0.0928606, -0.100976, -0.112568, -0.124243, -0.129905, -0.13221, -0.131698, -0.128665, -0.110674, -0.0499579, -0.0297074, -0.0180397, -0.00878883, -0.00489491, -0.00311953" \
+              "-0.00460271, -0.0928606, -0.100976, -0.112568, -0.124243, -0.129905, -0.13221, -0.131698, -0.128665, -0.110674, -0.0499579, -0.0297074, -0.0180397, -0.00878883, -0.0048949, -0.00311953" \
             );
           }
           vector (ccs_template) {
@@ -145831,9 +161215,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("1.32303");
-            index_3 ("0.127453, 0.285751, 1.35372, 2.36533, 3.21722, 4.40098, 5.58474, 6.11136, 6.70584, 9.20098, 10.6733, 11.7291, 12.67, 13.3361, 14.7702, 15.2709, 16.1135, 17.2973, 17.6656, 18.1567, 18.7286, 19.8723, 21.7616, 22.7024, 23.8971, 25.0893, 26.0125, 26.9646, 27.6927, 28.8765, 30.0602, 31.244, 33.6115");
+            index_3 ("0.127453, 0.285751, 1.35372, 2.36533, 3.21722, 4.40098, 5.58474, 6.11136, 6.70584, 9.20098, 10.6733, 11.7291, 12.67, 13.3361, 14.7702, 15.2709, 16.1135, 17.2973, 17.6656, 18.1567, 18.7286, 19.8724, 21.7616, 22.7024, 23.8971, 25.0893, 26.0125, 26.9646, 27.6927, 28.8765, 30.0602, 31.244, 33.6115");
             values ( \
-              "-0.000265948, -0.0144116, -0.00989009, -0.0066667, -0.00467074, -0.00310755, -0.0079341, -0.0146858, -0.0256497, -0.0851516, -0.116969, -0.136175, -0.150513, -0.159046, -0.173085, -0.176629, -0.181032, -0.183747, -0.183565, -0.182266, -0.178492, -0.157354, -0.0987123, -0.0734036, -0.0484968, -0.0312712, -0.0218095, -0.0150103, -0.0113005, -0.00689976, -0.00430555, -0.00256522, -0.000928858" \
+              "-0.000265948, -0.0144116, -0.00989009, -0.0066667, -0.00467074, -0.00310755, -0.0079341, -0.0146858, -0.0256497, -0.0851515, -0.116969, -0.136175, -0.150513, -0.159046, -0.173085, -0.176629, -0.181032, -0.183747, -0.183565, -0.182266, -0.178492, -0.157354, -0.0987124, -0.0734035, -0.0484968, -0.0312712, -0.0218095, -0.0150103, -0.0113005, -0.00689974, -0.00430554, -0.00256522, -0.000928855" \
             );
           }
           vector (ccs_template) {
@@ -145885,9 +161269,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.32303");
-            index_3 ("0.275703, 0.432683, 1.83921, 3.18592, 4.33364, 5.5174, 6.28503, 6.80103, 9.83964, 11.0234, 11.8767, 12.8032, 13.4837, 14.9179, 15.4187, 16.2612, 17.445, 17.8133, 18.3043, 18.8762, 20.0201, 21.9093, 22.8501, 24.0448, 25.2369, 26.1602, 27.1123, 27.8098, 28.9936, 30.1774, 31.3611, 31.4445");
+            index_3 ("0.275703, 0.432683, 1.83921, 3.18592, 4.33364, 5.5174, 6.28503, 6.80103, 9.83964, 11.0234, 11.8767, 12.8032, 13.4837, 14.9179, 15.4187, 16.2612, 17.445, 17.8133, 18.3043, 18.8762, 20.0201, 21.9093, 22.8502, 24.0448, 25.2369, 26.1602, 27.1123, 27.8098, 28.9936, 30.1774, 31.3611, 31.4445");
             values ( \
-              "-0.00026055, -0.0144217, -0.00870952, -0.0050442, -0.00319248, -0.006093, -0.015109, -0.024582, -0.0963489, -0.120903, -0.136177, -0.150313, -0.159048, -0.173088, -0.176629, -0.181034, -0.183746, -0.183563, -0.182268, -0.17849, -0.157356, -0.0987109, -0.0733994, -0.0484937, -0.0312743, -0.0218067, -0.0150072, -0.0114441, -0.00698445, -0.00436282, -0.0025956, -0.00254986" \
+              "-0.00026055, -0.0144217, -0.00870952, -0.0050442, -0.00319248, -0.006093, -0.015109, -0.024582, -0.0963489, -0.120903, -0.136177, -0.150313, -0.159048, -0.173088, -0.176629, -0.181034, -0.183746, -0.183563, -0.182268, -0.17849, -0.157355, -0.0987111, -0.0733992, -0.0484937, -0.0312743, -0.0218067, -0.0150071, -0.011444, -0.00698444, -0.00436281, -0.00259559, -0.00254986" \
             );
           }
           vector (ccs_template) {
@@ -145930,18 +161314,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.32838");
-            index_3 ("0.775933, 0.839671, 0.894232, 0.933564, 1.46265, 1.89504, 2.26148, 2.42546, 2.55923, 3.30916, 3.76983, 4.13312, 4.52861, 4.86386, 5.00049, 5.18905, 5.51323, 5.62768, 5.74212, 5.87443, 6.32591, 6.50148, 6.82173, 7.13429, 7.43149, 7.60035, 7.93806, 8.56509, 9.2952");
+            index_3 ("0.775933, 0.839671, 0.894232, 0.933564, 1.46265, 1.89504, 2.26148, 2.42546, 2.55923, 3.30916, 3.76983, 4.13312, 4.52861, 4.86386, 5.00048, 5.18905, 5.51323, 5.62768, 5.74211, 5.87443, 6.32591, 6.50148, 6.82173, 7.13429, 7.43149, 7.60034, 7.93805, 8.56508, 9.29519");
             values ( \
-              "-0.000153972, -0.00164089, -0.011173, -0.0127934, -0.00586873, -0.00282498, -0.00874605, -0.0184125, -0.0291142, -0.100127, -0.135495, -0.156521, -0.172279, -0.180218, -0.181944, -0.182909, -0.177835, -0.172002, -0.162593, -0.147884, -0.0920076, -0.0735125, -0.0469972, -0.0294899, -0.0185651, -0.0142003, -0.00816478, -0.00265437, -0.000668916" \
+              "-0.000153972, -0.00164089, -0.011173, -0.0127934, -0.00586873, -0.00282498, -0.00874605, -0.0184125, -0.0291142, -0.100127, -0.135495, -0.156522, -0.172279, -0.180218, -0.181943, -0.182909, -0.177835, -0.172002, -0.162593, -0.147884, -0.0920075, -0.0735126, -0.0469973, -0.0294897, -0.0185651, -0.0142004, -0.0081649, -0.00265442, -0.000668932" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32303");
-            index_3 ("0.774734, 0.945545, 1.88948, 2.76247, 3.48486, 4.53357, 5.71733, 6.90109, 7.95649, 9.96381, 11.1312, 12.8513, 13.9995, 15.4328, 16.1274, 16.7765, 18.0832, 18.821, 19.3919, 20.5336, 22.4278, 23.3622, 24.5598, 25.0959, 25.7539, 26.6767, 27.6271, 28.3536, 29.5374, 30.7212, 31.9049, 34.2724");
+            index_3 ("0.774734, 0.945544, 1.88948, 2.76247, 3.48486, 4.53357, 5.71733, 6.90109, 7.95647, 9.96381, 11.1312, 12.8514, 13.9995, 15.4328, 16.1274, 16.7765, 18.0832, 18.821, 19.3919, 20.5336, 22.4278, 23.3622, 24.5598, 25.0959, 25.7539, 26.6767, 27.6271, 28.3536, 29.5374, 30.7212, 31.9049, 34.2724");
             values ( \
-              "-0.00015233, -0.0143636, -0.0103925, -0.00743265, -0.00553769, -0.00353746, -0.00427036, -0.0166655, -0.0387107, -0.0873738, -0.112788, -0.143443, -0.159, -0.173038, -0.177757, -0.180999, -0.183721, -0.182247, -0.178461, -0.157412, -0.0986193, -0.0734803, -0.0485007, -0.0398897, -0.0312684, -0.0217934, -0.0150062, -0.011323, -0.00689661, -0.00432074, -0.00255749, -0.000919354" \
+              "-0.00015233, -0.0143636, -0.0103925, -0.00743265, -0.00553769, -0.00353746, -0.00427036, -0.0166655, -0.0387102, -0.0873739, -0.112788, -0.143443, -0.159, -0.173038, -0.177757, -0.180999, -0.183721, -0.182247, -0.178461, -0.157412, -0.0986194, -0.0734802, -0.0485007, -0.0398897, -0.0312684, -0.0217934, -0.0150062, -0.011323, -0.00689659, -0.00432074, -0.00255748, -0.000919351" \
             );
           }
           vector (ccs_template) {
@@ -145993,9 +161377,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.32303");
-            index_3 ("2.58824, 2.8395, 4.70038, 5.72783, 6.91159, 8.09536, 8.65473, 9.24969, 11.7383, 13.2229, 14.2703, 15.2105, 15.8772, 17.061, 17.812, 18.6547, 19.9612, 20.6977, 21.2697, 22.4136, 24.3026, 25.2437, 26.4383, 27.6303, 28.5536, 29.5058, 30.234, 31.4177, 33.4829");
+            index_3 ("2.58824, 2.8395, 4.70038, 5.72783, 6.91159, 8.09536, 8.65472, 9.24969, 11.7383, 13.2229, 14.2703, 15.2105, 15.8772, 17.061, 17.812, 18.6547, 19.9612, 20.6977, 21.2697, 22.4136, 24.3026, 25.2437, 26.4383, 27.6303, 28.5536, 29.5058, 30.234, 31.4177, 33.4829");
             values ( \
-              "-8.42091e-05, -0.0142298, -0.00725352, -0.00473151, -0.00312082, -0.00763403, -0.0147373, -0.0257227, -0.0850566, -0.11713, -0.136192, -0.150498, -0.15906, -0.171058, -0.17662, -0.181044, -0.183754, -0.182277, -0.178482, -0.157361, -0.0987066, -0.0733883, -0.0484858, -0.0312821, -0.0217997, -0.0149999, -0.0113099, -0.00688927, -0.00310845" \
+              "-8.42091e-05, -0.0142298, -0.00725352, -0.00473151, -0.00312082, -0.00763403, -0.0147373, -0.0257227, -0.0850566, -0.11713, -0.136192, -0.150498, -0.15906, -0.171058, -0.17662, -0.181044, -0.183754, -0.182277, -0.178482, -0.157361, -0.0987067, -0.0733882, -0.0484857, -0.0312821, -0.0217997, -0.0149999, -0.0113099, -0.00688925, -0.00310845" \
             );
           }
           vector (ccs_template) {
@@ -146004,7 +161388,7 @@
             index_2 ("0.00124625");
             index_3 ("9.75657, 9.76111, 9.76372, 9.76768, 9.77232, 9.78021, 9.78443, 9.78829, 9.79192, 9.79548, 9.79903, 9.80438, 9.80849, 9.81237, 9.81534, 9.81736, 9.82141, 9.82522");
             values ( \
-              "-0.00938123, -0.0134666, -0.0179907, -0.0282784, -0.0369595, -0.0501142, -0.056005, -0.0604164, -0.0630089, -0.0633498, -0.0559981, -0.0324338, -0.0182813, -0.00989775, -0.00606907, -0.00435968, -0.00218849, -0.00149606" \
+              "-0.00938124, -0.0134666, -0.0179907, -0.0282784, -0.0369595, -0.0501142, -0.056005, -0.0604164, -0.0630089, -0.0633498, -0.0559981, -0.0324338, -0.0182813, -0.00989775, -0.00606907, -0.00435968, -0.00218849, -0.00149602" \
             );
           }
           vector (ccs_template) {
@@ -146013,7 +161397,7 @@
             index_2 ("0.0050211");
             index_3 ("9.7885, 9.79663, 9.79834, 9.8002, 9.80298, 9.8049, 9.80688, 9.81114, 9.81558, 9.81909, 9.82094, 9.82352, 9.82566, 9.82809, 9.83073, 9.83161, 9.83385, 9.83706, 9.84, 9.84176, 9.84352, 9.84497, 9.84641, 9.84786, 9.84931, 9.85068, 9.85206, 9.85343, 9.85452, 9.8556, 9.85668, 9.85777, 9.85955, 9.86133, 9.86312, 9.8649, 9.86554, 9.86682, 9.87065, 9.87447, 9.87803, 9.88041, 9.88255, 9.8859, 9.88712, 9.88834, 9.89078, 9.89322, 9.89581, 9.89796");
             values ( \
-              "-0.0352642, -0.0381418, -0.0430775, -0.0490688, -0.056664, -0.0615324, -0.0663356, -0.0761143, -0.0855488, -0.0924697, -0.0959254, -0.100498, -0.104124, -0.107989, -0.11177, -0.112947, -0.115719, -0.119355, -0.122316, -0.123701, -0.124924, -0.125808, -0.126583, -0.127247, -0.127801, -0.127917, -0.127841, -0.127572, -0.126801, -0.125813, -0.124609, -0.123187, -0.120378, -0.116984, -0.113003, -0.108436, -0.106424, -0.101315, -0.0850999, -0.0693099, -0.0557815, -0.0480629, -0.0418567, -0.0328528, -0.0300418, -0.0274533, -0.0231125, -0.0193217, -0.0159729, -0.0133712" \
+              "-0.0352626, -0.038141, -0.0430792, -0.0490739, -0.0566613, -0.0615306, -0.0663362, -0.0761133, -0.0855475, -0.0924708, -0.0959256, -0.100498, -0.104124, -0.107989, -0.11177, -0.112947, -0.115719, -0.119355, -0.122316, -0.123701, -0.124924, -0.125808, -0.126583, -0.127247, -0.127801, -0.127917, -0.127841, -0.127572, -0.126801, -0.125813, -0.124609, -0.123187, -0.120378, -0.116984, -0.113003, -0.108436, -0.106424, -0.101315, -0.0850999, -0.0693099, -0.0557815, -0.0480629, -0.0418567, -0.0328528, -0.0300418, -0.0274533, -0.0231125, -0.0193217, -0.0159729, -0.0133712" \
             );
           }
           vector (ccs_template) {
@@ -146022,7 +161406,7 @@
             index_2 ("0.0202298");
             index_3 ("9.85626, 9.88089, 9.88383, 9.90301, 9.92608, 9.95928, 9.98262, 9.99313, 10.0071, 10.0161, 10.0327, 10.0418, 10.0546, 10.0764, 10.0835, 10.0908, 10.1006, 10.1311, 10.1418, 10.1561, 10.1652, 10.1765, 10.1917, 10.2039, 10.2224, 10.2471, 10.2905, 10.3418");
             values ( \
-              "-0.0232054, -0.0359318, -0.038572, -0.0645478, -0.0928531, -0.125731, -0.143056, -0.149353, -0.156397, -0.159994, -0.164892, -0.166535, -0.167551, -0.163054, -0.158155, -0.150447, -0.136131, -0.0845846, -0.0689172, -0.0513244, -0.0421935, -0.0327979, -0.0231359, -0.0173584, -0.0111306, -0.00600395, -0.00183536, -0.000421809" \
+              "-0.0232053, -0.0359318, -0.038572, -0.0645478, -0.0928531, -0.125731, -0.143056, -0.149353, -0.156397, -0.159994, -0.164892, -0.166535, -0.167551, -0.163054, -0.158155, -0.150446, -0.136131, -0.0845845, -0.0689173, -0.0513245, -0.0421935, -0.0327978, -0.0231357, -0.0173584, -0.0111308, -0.00600414, -0.00183543, -0.000421829" \
             );
           }
           vector (ccs_template) {
@@ -146031,7 +161415,7 @@
             index_2 ("0.081505");
             index_3 ("9.31983, 9.61425, 9.66448, 9.78966, 9.82439, 10.008, 10.0451, 10.0911, 10.1701, 10.366, 10.4931, 10.5944, 10.6846, 10.7692, 10.8516, 10.9338, 10.9682, 11.0032, 11.1792, 11.2575, 11.3348, 11.417, 11.4665, 11.5656, 11.6469");
             values ( \
-              "-1.68452e-05, -0.000425803, -0.00159181, -0.00689681, -0.00658815, -0.00162953, -0.0019818, -0.00566893, -0.0242673, -0.0958256, -0.13371, -0.155976, -0.169293, -0.177263, -0.179716, -0.174903, -0.167463, -0.155066, -0.073709, -0.0479132, -0.0303995, -0.0183035, -0.0133778, -0.00697028, -0.00454717" \
+              "-1.68452e-05, -0.000425805, -0.00159181, -0.00689681, -0.00658815, -0.00162953, -0.0019818, -0.00566888, -0.0242673, -0.0958256, -0.13371, -0.155976, -0.169293, -0.177263, -0.179716, -0.174903, -0.167463, -0.155066, -0.073709, -0.0479132, -0.0303995, -0.0183035, -0.0133778, -0.00697028, -0.00454717" \
             );
           }
           vector (ccs_template) {
@@ -146040,7 +161424,7 @@
             index_2 ("0.32838");
             index_3 ("9.37312, 9.58212, 9.63064, 9.69341, 9.78752, 9.82214, 9.86734, 10.3205, 10.5421, 10.7025, 10.9521, 11.2084, 11.326, 11.4987, 12.206, 12.3663, 12.6327, 13.0348, 13.392, 13.7269, 14.0528, 14.3783, 14.516, 14.656, 14.7381, 15.2283, 15.49, 15.6628, 15.8045, 15.9665, 16.1949, 16.4264, 16.6027, 16.7894, 17.0652, 17.3362, 17.5868");
             values ( \
-              "-2.81439e-05, -0.00024188, -0.000868605, -0.00369758, -0.0107728, -0.0117525, -0.0115984, -0.00590565, -0.00397953, -0.00301307, -0.00383795, -0.013304, -0.0214217, -0.0362234, -0.103238, -0.116382, -0.135703, -0.158486, -0.17243, -0.180329, -0.183038, -0.177901, -0.170343, -0.157419, -0.147886, -0.0876355, -0.0620323, -0.0485679, -0.0394814, -0.0309331, -0.0217036, -0.0150871, -0.0113737, -0.00858017, -0.00548324, -0.00350207, -0.00250746" \
+              "-2.81439e-05, -0.000241881, -0.000868609, -0.00369759, -0.0107728, -0.0117525, -0.0115984, -0.00590566, -0.00397953, -0.00301307, -0.00383793, -0.0133041, -0.0214216, -0.0362227, -0.103238, -0.116382, -0.135703, -0.158486, -0.17243, -0.180329, -0.183038, -0.177901, -0.170343, -0.157419, -0.147886, -0.0876355, -0.0620323, -0.0485679, -0.0394814, -0.0309331, -0.0217036, -0.0150871, -0.0113737, -0.00858017, -0.00548323, -0.00350207, -0.00250745" \
             );
           }
           vector (ccs_template) {
@@ -146049,7 +161433,7 @@
             index_2 ("1.32303");
             index_3 ("9.39275, 9.62845, 9.86505, 11.3637, 12.705, 13.7174, 14.9011, 15.669, 16.1848, 18.7243, 20.1967, 21.2549, 22.1968, 22.8628, 24.2974, 25.641, 26.8248, 27.6856, 28.2564, 29.398, 31.2923, 32.2266, 33.4242, 34.6184, 35.5411, 36.4915, 37.218, 38.4017, 40.7692, 43.1368");
             values ( \
-              "-3.31179e-05, -0.000909014, -0.0139276, -0.00823789, -0.00474995, -0.00320226, -0.00609999, -0.0151446, -0.0246209, -0.0849613, -0.116807, -0.136072, -0.150442, -0.158978, -0.173038, -0.180998, -0.183726, -0.182241, -0.178479, -0.157405, -0.0986296, -0.0734959, -0.0485134, -0.0312561, -0.0218052, -0.0150182, -0.0113117, -0.00690857, -0.00256928, -0.000931067" \
+              "-3.31179e-05, -0.000909018, -0.0139276, -0.0082379, -0.00474996, -0.00320227, -0.00609993, -0.0151446, -0.0246211, -0.0849615, -0.116806, -0.136072, -0.150442, -0.158978, -0.173038, -0.180998, -0.183726, -0.182241, -0.178479, -0.157404, -0.0986297, -0.0734957, -0.0485134, -0.0312561, -0.0218052, -0.0150182, -0.0113117, -0.00690856, -0.00256927, -0.000931064" \
             );
           }
         }
@@ -146443,7 +161827,7 @@
             index_2 ("0.32838");
             index_3 ("9.37971, 9.5822, 9.64536, 9.85758, 10.3146, 10.7032, 10.9546, 11.2263, 11.4638, 12.1395, 12.6468, 13.0487, 13.4059, 13.7408, 14.0666, 14.3921, 14.5301, 14.6704, 15.2419, 15.5045, 15.8178, 16.2085, 16.6172, 17.0059, 17.4065");
             values ( \
-              "-3.04274e-05, -0.000238474, -0.00114684, -0.0118853, -0.00612172, -0.00306265, -0.00368218, -0.0135381, -0.0317837, -0.0962156, -0.135691, -0.158546, -0.1724, -0.180383, -0.183004, -0.177949, -0.170323, -0.157356, -0.0876601, -0.0619768, -0.0395099, -0.0217083, -0.0113611, -0.00613291, -0.00372045" \
+              "-3.04274e-05, -0.000238474, -0.00114684, -0.0118853, -0.00612172, -0.00306265, -0.00368218, -0.013538, -0.0317838, -0.0962156, -0.135691, -0.158546, -0.1724, -0.180383, -0.183004, -0.177949, -0.170323, -0.157356, -0.0876601, -0.0619768, -0.0395099, -0.0217083, -0.0113611, -0.00613291, -0.00372045" \
             );
           }
           vector (ccs_template) {
@@ -146452,7 +161836,7 @@
             index_2 ("1.32303");
             index_3 ("9.4004, 9.57856, 9.64172, 9.8752, 11.3621, 12.7413, 13.7975, 14.9815, 15.7211, 16.1084, 16.8787, 18.8585, 19.9838, 21.1679, 21.884, 23.2299, 24.3093, 25.3403, 25.6536, 26.2803, 26.9578, 28.1419, 28.5241, 29.0337, 29.705, 31.5335, 32.1926, 33.0713, 33.5971, 34.2538, 35.1293, 35.7246, 36.3247, 37.1248, 38.3089, 39.4929, 40.677, 41.8611, 44.2292");
             values ( \
-              "-3.56936e-05, -0.000243991, -0.00120934, -0.0140798, -0.00827454, -0.0046918, -0.00316975, -0.00661951, -0.0157951, -0.022843, -0.0398404, -0.0878411, -0.112346, -0.134462, -0.145826, -0.163039, -0.173048, -0.179609, -0.181018, -0.182989, -0.183707, -0.179644, -0.175614, -0.166596, -0.148558, -0.0919421, -0.0745412, -0.0551411, -0.0456922, -0.0358789, -0.0257003, -0.0203905, -0.0161178, -0.0117248, -0.0072238, -0.00444539, -0.00271091, -0.00166606, -0.000623566" \
+              "-3.56936e-05, -0.000243991, -0.00120934, -0.0140798, -0.00827454, -0.0046918, -0.00316975, -0.00661951, -0.0157951, -0.022843, -0.0398404, -0.0878411, -0.112346, -0.134462, -0.145825, -0.163039, -0.173048, -0.179609, -0.181018, -0.182989, -0.183707, -0.179644, -0.175614, -0.166596, -0.148558, -0.0919421, -0.0745412, -0.0551411, -0.0456922, -0.0358789, -0.0257003, -0.0203905, -0.0161178, -0.0117248, -0.0072238, -0.00444539, -0.00271091, -0.00166606, -0.000623566" \
             );
           }
         }
@@ -146494,7 +161878,7 @@
             "0.244384, 0.298846, 0.486438, 1.1875, 3.99838, 15.3214", \
             "0.254153, 0.308625, 0.496192, 1.1972, 4.00849, 15.3364", \
             "0.289403, 0.343735, 0.531391, 1.23204, 4.04414, 15.3667", \
-            "0.351047, 0.405416, 0.593368, 1.29424, 4.10481, 15.4307", \
+            "0.351047, 0.405416, 0.593368, 1.29424, 4.1049, 15.4307", \
             "0.399987, 0.454763, 0.642895, 1.34417, 4.15547, 15.4737", \
             "0.194667, 0.253993, 0.446952, 1.15026, 3.96129, 15.2782" \
           );
@@ -146506,7 +161890,7 @@
             "0.0261532, 0.0553517, 0.174338, 0.659882, 2.61751, 10.5068", \
             "0.0261214, 0.0553524, 0.174345, 0.659861, 2.61826, 10.5071", \
             "0.0261474, 0.0554158, 0.174339, 0.65963, 2.61806, 10.5073", \
-            "0.0261398, 0.055431, 0.174226, 0.659577, 2.61869, 10.5075", \
+            "0.0261398, 0.055431, 0.174226, 0.659577, 2.61923, 10.5075", \
             "0.0264663, 0.0555915, 0.174353, 0.659753, 2.61869, 10.508", \
             "0.029272, 0.0571013, 0.174732, 0.659832, 2.61764, 10.508" \
           );
@@ -146660,9 +162044,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.32838");
-            index_3 ("0.00357588, 0.301859, 0.392061, 0.475201, 0.916973, 1.2447, 1.33789, 1.44728, 1.57522, 1.80504, 2.48966, 2.81599, 2.98882, 3.33448, 3.53033, 3.78805, 4.18587, 4.5871, 4.96008, 5.73791, 6.3669, 6.92145, 7.26621, 7.64341, 8.25002, 9.02211, 9.84567, 10.0171");
+            index_3 ("0.00357588, 0.301859, 0.392061, 0.475201, 0.916973, 1.2447, 1.33789, 1.44728, 1.57522, 1.80504, 2.48966, 2.81599, 2.98882, 3.33448, 3.53033, 3.78805, 4.18587, 4.5871, 4.96008, 5.73791, 6.3669, 6.92145, 7.26621, 7.64341, 8.25002, 9.02211, 9.84566, 10.0171");
             values ( \
-              "1e-22, 0.000767154, 0.0168811, 0.0205355, 0.00949958, 0.00481135, 0.00477369, 0.00617947, 0.0103157, 0.0251543, 0.0977619, 0.125898, 0.136388, 0.149155, 0.152286, 0.153268, 0.149318, 0.139122, 0.12361, 0.0827675, 0.0536205, 0.0347579, 0.0260996, 0.0188889, 0.0110173, 0.00546586, 0.00249152, 0.00224214" \
+              "1e-22, 0.000767154, 0.0168811, 0.0205355, 0.00949958, 0.00481135, 0.00477369, 0.00617947, 0.0103157, 0.0251543, 0.0977619, 0.125898, 0.136388, 0.149155, 0.152286, 0.153268, 0.149318, 0.139122, 0.12361, 0.0827675, 0.0536205, 0.0347579, 0.0260996, 0.0188889, 0.0110173, 0.00546586, 0.00249152, 0.00224215" \
             );
           }
           vector (ccs_template) {
@@ -146705,18 +162089,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.081505");
-            index_3 ("0.000960944, 0.734531, 0.760495, 0.820437, 0.842104, 0.860489, 0.901557, 1.06382, 1.09724, 1.13074, 1.17876, 1.22999, 1.39069, 1.47481, 1.5161, 1.59868, 1.66147, 1.71745, 1.8149, 1.87483, 1.91864, 2.00627, 2.19964, 2.34032, 2.45163, 2.52888, 2.62298, 2.78124, 2.85649, 2.97157, 3.125, 3.3045");
+            index_3 ("0.000960947, 0.734531, 0.760495, 0.820437, 0.842104, 0.860489, 0.901557, 1.06382, 1.09724, 1.13074, 1.17876, 1.22999, 1.39069, 1.47481, 1.5161, 1.59868, 1.66147, 1.71745, 1.8149, 1.87483, 1.91864, 2.00627, 2.19964, 2.34032, 2.45163, 2.52888, 2.62298, 2.78124, 2.85649, 2.97157, 3.125, 3.3045");
             values ( \
-              "1e-22, 0.00027362, 0.00154196, 0.0109477, 0.0123873, 0.0126852, 0.0115181, 0.00373167, 0.00378751, 0.00611098, 0.0143961, 0.0298597, 0.0970535, 0.124801, 0.134329, 0.146447, 0.150315, 0.150946, 0.147401, 0.142375, 0.137208, 0.122999, 0.083093, 0.0569803, 0.0407821, 0.0318967, 0.0233384, 0.0135074, 0.0103673, 0.00687574, 0.00392786, 0.00226202" \
+              "1e-22, 0.00027362, 0.00154196, 0.0109477, 0.0123873, 0.0126852, 0.0115181, 0.00373167, 0.00378751, 0.00611098, 0.0143961, 0.0298597, 0.0970535, 0.124801, 0.134329, 0.146447, 0.150315, 0.150946, 0.147401, 0.142375, 0.137208, 0.122999, 0.083093, 0.0569803, 0.0407821, 0.0318967, 0.0233384, 0.0135073, 0.0103673, 0.00687575, 0.00392787, 0.00226202" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.32838");
-            index_3 ("0.00122935, 0.734814, 0.842271, 0.875706, 0.938229, 1.45207, 1.73806, 1.81988, 1.92896, 2.14714, 2.51766, 2.93683, 3.26442, 3.437, 3.78214, 3.98046, 4.23667, 4.62385, 4.84749, 5.03583, 5.41251, 6.23012, 6.83008, 7.31539, 7.9034, 8.18297, 8.75344, 9.09755, 9.78578, 10.5667");
+            index_3 ("0, 0.726916, 0.833977, 0.928814, 1.4019, 1.71297, 1.8607, 2.05768, 2.42842, 3.10502, 3.43097, 3.84317, 4.23217, 4.64088, 5.03065, 5.48514, 6.82111, 7.34576, 7.87982, 8.56924, 9.30893, 9.95047, 10.226");
             values ( \
-              "1e-22, 0.000291544, 0.0168546, 0.0194224, 0.0206041, 0.00785854, 0.00467493, 0.00498893, 0.00692377, 0.0169788, 0.0510202, 0.0973482, 0.12577, 0.136185, 0.1491, 0.152289, 0.153183, 0.149513, 0.144733, 0.139115, 0.12343, 0.0805378, 0.0530586, 0.0363487, 0.0222477, 0.0174469, 0.0105032, 0.00770647, 0.00402999, 0.00214488" \
+              "1.46577e-06, 0.000277256, 0.0164706, 0.0205951, 0.0087015, 0.00471947, 0.00556644, 0.012046, 0.0420431, 0.113736, 0.136292, 0.150594, 0.153473, 0.149214, 0.139156, 0.11956, 0.0532115, 0.0353143, 0.0225853, 0.0123198, 0.0063351, 0.00346561, 0.00290464" \
             );
           }
           vector (ccs_template) {
@@ -146743,14 +162127,14 @@
             index_2 ("0.0050211");
             index_3 ("2.46843, 2.4831, 2.50433, 2.51128, 2.52058, 2.52905, 2.53731, 2.54557, 2.54841, 2.55504, 2.56225, 2.5709, 2.57628, 2.5837, 2.59499, 2.60175, 2.60746, 2.61379, 2.62279, 2.63215, 2.63931, 2.65363, 2.67273");
             values ( \
-              "0.00162564, 0.0230292, 0.0760494, 0.0906488, 0.103818, 0.109455, 0.10963, 0.104518, 0.1009, 0.0890448, 0.0732694, 0.0564277, 0.0473799, 0.0367934, 0.0245283, 0.0190174, 0.0152863, 0.0119374, 0.00834011, 0.00574272, 0.00429602, 0.00237131, 0.00118844" \
+              "0.00162563, 0.0230292, 0.0760494, 0.0906488, 0.103818, 0.109455, 0.10963, 0.104518, 0.1009, 0.0890448, 0.0732694, 0.0564277, 0.0473799, 0.0367934, 0.0245283, 0.0190174, 0.0152863, 0.0119374, 0.00834011, 0.00574272, 0.00429602, 0.00237131, 0.00118844" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202298");
-            index_3 ("3.84794e-05, 2.08358, 2.32439, 2.34119, 2.3567, 2.40457, 2.41746, 2.4276, 2.43828, 2.44978, 2.46748, 2.49723, 2.51052, 2.52192, 2.53374, 2.54697, 2.55578, 2.57757, 2.61264, 2.63001, 2.64597, 2.67362, 2.69958, 2.72554, 2.75144, 2.78262, 2.83623, 2.87364, 2.90537, 2.92696, 2.94623, 2.97191, 2.99369, 3.02287, 3.06177, 3.13385");
+            index_3 ("3.8481e-05, 2.08358, 2.32439, 2.34119, 2.3567, 2.40457, 2.41746, 2.4276, 2.43828, 2.44978, 2.46748, 2.49723, 2.51052, 2.52192, 2.53374, 2.54697, 2.55578, 2.57757, 2.61264, 2.63001, 2.64597, 2.67362, 2.69958, 2.72554, 2.75144, 2.78262, 2.83623, 2.87364, 2.90537, 2.92696, 2.94623, 2.97191, 2.99369, 3.02287, 3.06177, 3.13385");
             values ( \
               "1e-22, 5.65016e-07, 8.45759e-05, 0.000205699, 0.000617301, 0.0037953, 0.00430959, 0.00447246, 0.00430631, 0.00394927, 0.00294584, 0.00066901, 4.72592e-05, 0.00067958, 0.00351316, 0.0101016, 0.0169926, 0.041779, 0.0913417, 0.111127, 0.125056, 0.138481, 0.142153, 0.139003, 0.130583, 0.111025, 0.0706993, 0.0477278, 0.0330565, 0.0254236, 0.0199881, 0.0143519, 0.0108111, 0.00735333, 0.00431844, 0.0015624" \
             );
@@ -146761,7 +162145,7 @@
             index_2 ("0.081505");
             index_3 ("2.51135, 2.80436, 3.04675, 3.12794, 3.23173, 3.32972, 3.4277, 3.5307, 3.64521, 3.83435, 3.98182, 4.11756, 4.25514, 4.42435, 4.63818, 4.8272, 4.8411");
             values ( \
-              "0.00672602, 0.0179077, 0.113008, 0.134381, 0.148414, 0.151192, 0.147514, 0.137262, 0.117751, 0.0785801, 0.0523152, 0.0343925, 0.0220172, 0.0122289, 0.00570711, 0.00280908, 0.00271893" \
+              "0.00672601, 0.0179077, 0.113008, 0.134381, 0.148414, 0.151192, 0.147514, 0.137262, 0.117751, 0.0785801, 0.0523152, 0.0343925, 0.0220172, 0.0122289, 0.00570711, 0.00280908, 0.00271892" \
             );
           }
           vector (ccs_template) {
@@ -146779,7 +162163,7 @@
             index_2 ("1.32303");
             index_3 ("3.38358, 8.34805, 10.9796, 12.1948, 13.6747, 14.4224, 15.7127, 16.5353, 17.5503, 19.1932, 21.019, 26.3031, 28.5438, 30.4494, 33.7742, 37.1426, 39.4121");
             values ( \
-              "0.000810488, 0.0320176, 0.10292, 0.12808, 0.146158, 0.150845, 0.153836, 0.153022, 0.149864, 0.139577, 0.119978, 0.0541986, 0.0350294, 0.023557, 0.0113777, 0.00531615, 0.00348233" \
+              "0.000810471, 0.0320176, 0.10292, 0.12808, 0.146158, 0.150845, 0.153836, 0.153022, 0.149864, 0.139577, 0.119978, 0.0541986, 0.0350294, 0.023557, 0.0113777, 0.00531615, 0.00348233" \
             );
           }
           vector (ccs_template) {
@@ -146788,16 +162172,16 @@
             index_2 ("0.00124625");
             index_3 ("8.48609, 8.49515, 8.49977, 8.51326, 8.51885, 8.52365, 8.52805, 8.53234, 8.53662, 8.54216, 8.54494, 8.54763, 8.55119, 8.5543, 8.55671, 8.56057, 8.56406, 8.56871, 8.57265, 8.57826, 8.58575, 8.5977");
             values ( \
-              "0.00234189, 0.00858582, 0.0152605, 0.0361513, 0.0441185, 0.0494398, 0.0524231, 0.0524885, 0.0475007, 0.0328432, 0.0270117, 0.022336, 0.0174113, 0.0139705, 0.011735, 0.0088311, 0.00682689, 0.00481948, 0.00359328, 0.002372, 0.00135575, 0.000577225" \
+              "0.00234189, 0.00858582, 0.0152605, 0.0361513, 0.0441185, 0.0494398, 0.0524231, 0.0524885, 0.0475007, 0.0328432, 0.0270117, 0.022336, 0.0174113, 0.0139705, 0.011735, 0.0088311, 0.00682689, 0.00481948, 0.00359328, 0.002372, 0.00135575, 0.000577224" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0050211");
-            index_3 ("8.51061, 8.52823, 8.5289, 8.53295, 8.55899, 8.5665, 8.56996, 8.57687, 8.57879, 8.58263, 8.58728, 8.59574, 8.60076, 8.60529, 8.61984, 8.63024, 8.63502, 8.64239, 8.65081, 8.65796, 8.6631, 8.67301, 8.68352, 8.69184, 8.70847, 8.72732");
+            index_3 ("8.51061, 8.52823, 8.5289, 8.53295, 8.55899, 8.5665, 8.56996, 8.57687, 8.57879, 8.58263, 8.58728, 8.59574, 8.60076, 8.60529, 8.61984, 8.63024, 8.63502, 8.64239, 8.65081, 8.65796, 8.6631, 8.67301, 8.68352, 8.69184, 8.70846, 8.72732");
             values ( \
-              "0.00188461, 0.0186443, 0.0197485, 0.0271601, 0.0819703, 0.0943099, 0.0986275, 0.104601, 0.105584, 0.106841, 0.106921, 0.102827, 0.0964543, 0.0882986, 0.058716, 0.0418569, 0.0356002, 0.0273584, 0.0199957, 0.0152385, 0.0124752, 0.00841336, 0.00553497, 0.00395051, 0.00196972, 0.0010088" \
+              "0.00188461, 0.0186443, 0.0197485, 0.0271601, 0.0819703, 0.0943099, 0.0986275, 0.104601, 0.105584, 0.106841, 0.106921, 0.102827, 0.0964542, 0.0882986, 0.0587159, 0.0418567, 0.0356002, 0.0273586, 0.019996, 0.0152382, 0.0124746, 0.00841322, 0.00553518, 0.00395087, 0.00197014, 0.00100877" \
             );
           }
           vector (ccs_template) {
@@ -146806,7 +162190,7 @@
             index_2 ("0.0202298");
             index_3 ("0, 7.63426, 8.26819, 8.30283, 8.33748, 8.35327, 8.37259, 8.4275, 8.44687, 8.46236, 8.48551, 8.51158, 8.52672, 8.5445, 8.55878, 8.57123, 8.58416, 8.59867, 8.60909, 8.62048, 8.63402, 8.66683, 8.68478, 8.70049, 8.7283, 8.75435, 8.78033, 8.8063, 8.82264, 8.83751, 8.86725, 8.89123, 8.90771, 8.92857, 8.94397, 8.96025, 8.98187, 9.00116, 9.0269, 9.04863, 9.05834, 9.07775, 9.11658, 9.19293, 9.28183");
             values ( \
-              "5.12628e-14, 1.70013e-08, 1.6807e-05, 3.68501e-05, 0.000145485, 0.000322882, 0.000700258, 0.00209795, 0.00248678, 0.00270327, 0.00282949, 0.00241699, 0.00179283, 0.00088958, 0.000332528, 0.000914619, 0.00347158, 0.00993547, 0.0174593, 0.0286158, 0.0453014, 0.0900649, 0.110487, 0.124056, 0.13794, 0.141698, 0.138857, 0.130394, 0.121262, 0.110968, 0.0879516, 0.0706187, 0.0597282, 0.0477084, 0.0400648, 0.0330651, 0.0254231, 0.0199793, 0.0143397, 0.0108055, 0.00950363, 0.00735495, 0.00432638, 0.00139507, 0.000341456" \
+              "5.12594e-14, 1.70012e-08, 1.6807e-05, 3.68501e-05, 0.000145485, 0.000322882, 0.000700258, 0.00209795, 0.00248678, 0.00270327, 0.00282949, 0.00241699, 0.00179283, 0.00088958, 0.000332528, 0.000914619, 0.00347158, 0.00993547, 0.0174593, 0.0286158, 0.0453014, 0.0900649, 0.110487, 0.124056, 0.13794, 0.141698, 0.138857, 0.130394, 0.121262, 0.110968, 0.0879516, 0.0706187, 0.0597282, 0.0477084, 0.0400648, 0.0330651, 0.0254231, 0.0199793, 0.0143397, 0.0108055, 0.00950363, 0.00735495, 0.00432638, 0.00139507, 0.000341456" \
             );
           }
           vector (ccs_template) {
@@ -146824,7 +162208,7 @@
             index_2 ("0.32838");
             index_3 ("8.73259, 9.81494, 10.1158, 10.6059, 10.9415, 11.1053, 11.433, 11.5161, 11.6823, 11.9044, 12.3031, 12.4143, 12.5413, 12.7035, 13.028, 13.1595, 13.9826, 14.3261, 14.5367, 14.863, 15.2359, 15.3602, 15.5689, 15.8074, 16.2369, 16.5053, 16.6662, 17.0258, 17.2238, 17.6197, 18.4117, 19.7019");
             values ( \
-              "0.0110872, 0.0171106, 0.0437277, 0.097717, 0.126467, 0.136389, 0.148665, 0.150483, 0.152558, 0.153254, 0.149287, 0.147114, 0.14405, 0.13911, 0.125886, 0.119482, 0.0762638, 0.0601834, 0.0515467, 0.0400174, 0.0295044, 0.0265739, 0.0222767, 0.0181124, 0.0123805, 0.00973213, 0.00843442, 0.00615801, 0.00514255, 0.00356972, 0.00166822, 0.000423052" \
+              "0.0110872, 0.0171105, 0.0437277, 0.097717, 0.126467, 0.136389, 0.148665, 0.150483, 0.152558, 0.153254, 0.149287, 0.147114, 0.14405, 0.13911, 0.125886, 0.119482, 0.0762638, 0.0601834, 0.0515467, 0.0400174, 0.0295044, 0.0265739, 0.0222767, 0.0181124, 0.0123805, 0.00973213, 0.00843442, 0.00615801, 0.00514255, 0.00356972, 0.00166822, 0.000423052" \
             );
           }
           vector (ccs_template) {
@@ -146833,7 +162217,7 @@
             index_2 ("1.32303");
             index_3 ("9.44029, 13.9232, 17.0564, 18.3395, 18.854, 19.7508, 20.5019, 21.7921, 22.6148, 23.6298, 25.2727, 27.0985, 30.1041, 32.1443, 34.1311, 35.3591, 36.7737, 38.7999, 39.8401, 42.0033, 43.2936, 47.1643, 47.5335");
             values ( \
-              "0.00871868, 0.0211848, 0.102884, 0.129145, 0.136711, 0.146115, 0.150857, 0.153822, 0.153008, 0.149877, 0.139591, 0.119991, 0.0803868, 0.0566251, 0.0387078, 0.0300935, 0.0223441, 0.0143682, 0.0114384, 0.00700615, 0.00525243, 0.00213379, 0.00204028" \
+              "0.00871869, 0.0211848, 0.102884, 0.129145, 0.136711, 0.146115, 0.150857, 0.153822, 0.153008, 0.149877, 0.139591, 0.119991, 0.0803868, 0.0566251, 0.0387078, 0.0300935, 0.0223441, 0.0143682, 0.0114384, 0.00700615, 0.00525243, 0.00213379, 0.00204028" \
             );
           }
         }
@@ -146844,7 +162228,7 @@
             "0.00197365, 0.00197367, 0.00197369, 0.0019737, 0.0019737, 0.0019737", \
             "0.00236542, 0.00236541, 0.00236541, 0.00236541, 0.00236541, 0.00236541", \
             "0.00255448, 0.00255447, 0.00255447, 0.00255447, 0.00255447, 0.00255447", \
-            "0.0027061, 0.0027061, 0.00270553, 0.00270553, 0.00270553, 0.00270553", \
+            "0.0027061, 0.0027061, 0.00270553, 0.00270553, 0.00270638, 0.00270553", \
             "0.00286406, 0.00286406, 0.00286406, 0.00286406, 0.00286406, 0.00286406", \
             "0.00307424, 0.00307425, 0.00307426, 0.00307429, 0.00307431, 0.00307432" \
           );
@@ -146856,7 +162240,7 @@
             "0.00254786, 0.00254783, 0.0025478, 0.0025478, 0.0025478, 0.0025478", \
             "0.00255717, 0.00255715, 0.002557, 0.00255698, 0.00255698, 0.00255697", \
             "0.00286989, 0.00286989, 0.00286988, 0.00286987, 0.00286987, 0.00286986", \
-            "0.00330416, 0.00330201, 0.00330016, 0.00329911, 0.00329881, 0.00329872", \
+            "0.00330416, 0.00330201, 0.00330016, 0.00329911, 0.00329749, 0.00329872", \
             "0.00306561, 0.003066, 0.00306606, 0.00306672, 0.00306581, 0.00306529", \
             "0.00271958, 0.00271834, 0.0027184, 0.00271866, 0.00271915, 0.00271654" \
           );
@@ -146899,7 +162283,7 @@
             "0.245715, 0.300413, 0.48851, 1.18897, 3.99875, 15.3184", \
             "0.255448, 0.310115, 0.498236, 1.19926, 4.01037, 15.3281", \
             "0.290568, 0.345389, 0.533467, 1.23391, 4.0445, 15.372", \
-            "0.353044, 0.4077, 0.595997, 1.29658, 4.10823, 15.4335", \
+            "0.353044, 0.407493, 0.595997, 1.29697, 4.10823, 15.4335", \
             "0.40349, 0.458629, 0.647497, 1.34927, 4.15839, 15.4772", \
             "0.201917, 0.262225, 0.45646, 1.15902, 3.97016, 15.2873" \
           );
@@ -146911,7 +162295,7 @@
             "0.0261946, 0.0554712, 0.174324, 0.659766, 2.61828, 10.5047", \
             "0.0261721, 0.0555163, 0.174219, 0.660055, 2.61754, 10.5051", \
             "0.0262667, 0.0554952, 0.174211, 0.659862, 2.61876, 10.5068", \
-            "0.026208, 0.0555326, 0.1742, 0.659616, 2.61926, 10.5075", \
+            "0.026208, 0.0555384, 0.1742, 0.659527, 2.61926, 10.5075", \
             "0.0264947, 0.0556967, 0.174364, 0.65991, 2.61858, 10.5044", \
             "0.0295664, 0.057525, 0.174696, 0.659604, 2.61866, 10.5043" \
           );
@@ -146950,7 +162334,7 @@
             index_2 ("0.081505");
             index_3 ("0.0346163, 0.112885, 0.134608, 0.191838, 0.204803, 0.238255, 0.264882, 0.316241, 0.42268, 0.461112, 0.508995, 0.522519, 0.576615, 0.648742, 0.772028, 0.852087, 0.897516, 0.988373, 1.02681, 1.07807, 1.14109, 1.1972, 1.29596, 1.35955, 1.41489, 1.61857, 1.75739, 1.87468, 1.95555, 2.02795, 2.12449, 2.20473, 2.31214, 2.45535, 2.74178, 2.74627");
             values ( \
-              "2.3822e-05, 0.000254183, 0.000879091, 0.00981976, 0.0112344, 0.0126059, 0.012041, 0.00998513, 0.00449899, 0.00355309, 0.00570446, 0.00732476, 0.0185903, 0.044287, 0.0969531, 0.123492, 0.134313, 0.147109, 0.149601, 0.151048, 0.15009, 0.147175, 0.137868, 0.128063, 0.117721, 0.0757025, 0.0514256, 0.0357819, 0.0275091, 0.0215911, 0.0154515, 0.0116845, 0.00798645, 0.00471212, 0.00151251, 0.00149693" \
+              "2.3822e-05, 0.000254183, 0.000879091, 0.00981976, 0.0112344, 0.0126059, 0.012041, 0.00998513, 0.00449899, 0.00355309, 0.00570446, 0.00732476, 0.0185903, 0.044287, 0.0969531, 0.123492, 0.134313, 0.147109, 0.149601, 0.151048, 0.15009, 0.147175, 0.137868, 0.128063, 0.117721, 0.0757025, 0.0514256, 0.0357819, 0.0275091, 0.0215911, 0.0154515, 0.0116845, 0.00798645, 0.00471212, 0.0015125, 0.00149693" \
             );
           }
           vector (ccs_template) {
@@ -147085,16 +162469,16 @@
             index_2 ("0.00124625");
             index_3 ("0.841475, 0.853822, 0.860883, 0.865501, 0.869607, 0.873506, 0.877406, 0.8813, 0.886048, 0.891013, 0.896889, 0.899626, 0.905202, 0.911668, 0.918131, 0.922005, 0.929755, 0.93726");
             values ( \
-              "0.000808374, 0.0297057, 0.044721, 0.0524941, 0.0567524, 0.0583518, 0.0568769, 0.0502217, 0.0357428, 0.0248096, 0.0161469, 0.0131743, 0.00864336, 0.00526105, 0.0031942, 0.0024355, 0.00128784, 0.00083742" \
+              "0.000808373, 0.0297057, 0.044721, 0.0524941, 0.0567524, 0.0583518, 0.0568769, 0.0502217, 0.0357428, 0.0248096, 0.0161469, 0.0131743, 0.00864336, 0.00526105, 0.0031942, 0.0024355, 0.00128784, 0.00083742" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0050211");
-            index_3 ("0.868561, 0.878756, 0.882325, 0.890627, 0.902143, 0.911417, 0.919869, 0.928127, 0.936372, 0.942342, 0.957662, 0.967435, 0.974592, 0.980536, 0.985095, 0.992369, 0.998603, 1.00691, 1.01427, 1.02425, 1.03755, 1.04737, 1.06405, 1.06926");
+            index_3 ("0.852561, 0.86946, 0.902094, 0.91137, 0.919823, 0.928082, 0.936328, 0.939207, 0.961777, 0.974531, 0.985183, 0.998492, 1.01753, 1.04081, 1.04654");
             values ( \
-              "0.0276587, 0.0337618, 0.0440858, 0.0654123, 0.0911051, 0.103874, 0.109745, 0.109572, 0.104713, 0.0959478, 0.0640053, 0.0468201, 0.036697, 0.0297398, 0.0251717, 0.0191465, 0.0150805, 0.010878, 0.00811808, 0.00544117, 0.00314277, 0.00217305, 0.00110152, 0.000926378" \
+              "1e-22, 0.0137944, 0.090948, 0.103974, 0.109621, 0.109672, 0.104607, 0.100881, 0.0562194, 0.0367157, 0.0250482, 0.0151202, 0.00711914, 0.0027257, 0.00237807" \
             );
           }
           vector (ccs_template) {
@@ -147110,9 +162494,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.081505");
-            index_3 ("0, 0.737631, 0.816286, 0.850728, 0.922577, 1.05585, 1.09454, 1.12412, 1.20273, 1.47494, 1.51626, 1.5989, 1.66158, 1.71764, 1.81794, 1.91884, 2.007, 2.34233, 2.44993, 2.62464, 2.79298, 2.95615, 3.08794, 3.27912");
+            index_3 ("0.000886934, 0.611253, 0.730412, 0.755979, 0.820091, 0.852593, 0.871617, 0.925505, 1.05775, 1.07684, 1.09539, 1.12408, 1.16555, 1.22086, 1.39328, 1.47913, 1.51876, 1.59803, 1.62225, 1.67069, 1.7201, 1.82053, 1.88129, 1.9213, 2.00132, 2.20075, 2.33233, 2.46526, 2.55763, 2.64947, 2.71528, 2.81792, 2.86115, 2.94761, 3.12053, 3.33291");
             values ( \
-              "5.14266e-07, 0.000319682, 0.010521, 0.0125249, 0.0104881, 0.00402157, 0.00376329, 0.00540921, 0.0208181, 0.124638, 0.134403, 0.146324, 0.1502, 0.151036, 0.1472, 0.1372, 0.122905, 0.0566744, 0.0410184, 0.0232194, 0.012957, 0.00726559, 0.00451866, 0.00238932" \
+              "1e-22, 5.13903e-06, 0.000251998, 0.00097966, 0.0106619, 0.0125151, 0.0124202, 0.0104626, 0.00403812, 0.00356911, 0.00372532, 0.00518822, 0.0109679, 0.0257976, 0.0970731, 0.125141, 0.134392, 0.146025, 0.148174, 0.150431, 0.150997, 0.147183, 0.141979, 0.137198, 0.124399, 0.0834027, 0.0587525, 0.0394026, 0.0292706, 0.0215506, 0.0171809, 0.012008, 0.0102892, 0.0075775, 0.00397591, 0.00198512" \
             );
           }
           vector (ccs_template) {
@@ -147121,14 +162505,14 @@
             index_2 ("0.32838");
             index_3 ("0, 0.633733, 0.746998, 0.851078, 0.884348, 0.939554, 1.01655, 1.3233, 1.4508, 1.65452, 1.73899, 1.82258, 1.93403, 2.15694, 2.52847, 2.93779, 3.12965, 3.43781, 3.84992, 4.23892, 4.64759, 4.7947, 5.0374, 5.18654, 5.49191, 6.24745, 6.82773, 7.12459, 7.35281, 7.6462, 7.88634, 8.17244, 8.57591, 8.99505, 9.31603, 9.95798, 11.1803, 12.4708");
             values ( \
-              "1.57649e-08, 2.40667e-05, 0.000575033, 0.017596, 0.0194581, 0.0205296, 0.0194387, 0.0104966, 0.00790284, 0.00510339, 0.00467684, 0.00500641, 0.00703341, 0.0175643, 0.052084, 0.0974278, 0.115259, 0.136302, 0.15058, 0.153482, 0.149215, 0.146152, 0.139155, 0.133559, 0.119558, 0.0797443, 0.0532158, 0.042371, 0.0353049, 0.0276835, 0.0225904, 0.0176396, 0.0123213, 0.00846841, 0.00633246, 0.00346362, 0.000977404, 0.000243606" \
+              "1.5765e-08, 2.40667e-05, 0.000575033, 0.017596, 0.0194581, 0.0205296, 0.0194387, 0.0104966, 0.00790284, 0.00510339, 0.00467684, 0.00500641, 0.00703341, 0.0175643, 0.052084, 0.0974278, 0.115259, 0.136302, 0.15058, 0.153482, 0.149215, 0.146152, 0.139155, 0.133559, 0.119558, 0.0797443, 0.0532158, 0.042371, 0.0353049, 0.0276835, 0.0225904, 0.0176396, 0.0123213, 0.00846841, 0.00633246, 0.00346362, 0.000977404, 0.000243606" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32303");
-            index_3 ("0.00548455, 0.639633, 0.752897, 1.02181, 2.04759, 3.02836, 3.88137, 4.3994, 4.70221, 5.30784, 6.51909, 9.18259, 10.4702, 11.1889, 12.4793, 13.554, 14.3962, 15.6866, 15.9598, 16.5063, 17.6041, 18.8945, 22.7355, 24.9436, 26.499, 27.7894, 29.005, 31.1225, 32.1676, 34.3454, 35.6358, 39.5071, 40.3269");
+            index_3 ("0.00548457, 0.639633, 0.752897, 1.02181, 2.04759, 3.02836, 3.88137, 4.3994, 4.70221, 5.30784, 6.51909, 9.18259, 10.4702, 11.1889, 12.4793, 13.554, 14.3962, 15.6866, 15.9598, 16.5063, 17.6041, 18.8945, 22.7355, 24.9436, 26.499, 27.7894, 29.005, 31.1225, 32.1676, 34.3454, 35.6358, 39.5071, 40.3269");
             values ( \
               "1e-22, 2.59193e-05, 0.000587747, 0.0259493, 0.0157408, 0.00936356, 0.0059248, 0.00503616, 0.00528349, 0.00834785, 0.0266833, 0.0977689, 0.125828, 0.136818, 0.1491, 0.153258, 0.153763, 0.150994, 0.14991, 0.147232, 0.139591, 0.126481, 0.0766404, 0.0519471, 0.038412, 0.0294943, 0.0228397, 0.0144084, 0.0114295, 0.00700307, 0.00522208, 0.00214343, 0.0019324" \
             );
@@ -147182,9 +162566,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.32303");
-            index_3 ("3.37128, 8.33166, 10.793, 11.4696, 12.76, 13.3366, 13.9129, 14.4425, 15.2402, 16.0021, 17.2925, 17.5663, 18.1137, 19.2107, 20.5011, 24.3423, 26.5504, 28.1059, 29.3963, 30.6117, 32.729, 33.7743, 35.9528, 37.2432, 41.1145, 41.8714");
+            index_3 ("3.37128, 8.33167, 10.793, 11.4696, 12.76, 13.3367, 13.9129, 14.4425, 15.2402, 16.0021, 17.2925, 17.5663, 18.1137, 19.2107, 20.5011, 24.3423, 26.5504, 28.1059, 29.3963, 30.6117, 32.729, 33.7743, 35.9528, 37.2432, 41.1145, 41.8714");
             values ( \
-              "0.00134995, 0.0311484, 0.0977775, 0.113799, 0.136374, 0.143001, 0.147827, 0.150857, 0.153318, 0.153693, 0.150962, 0.149874, 0.147205, 0.139574, 0.126479, 0.0766442, 0.0519506, 0.0384075, 0.0294969, 0.0228439, 0.0144131, 0.0114264, 0.0070057, 0.0052179, 0.00214667, 0.00195103" \
+              "0.00134971, 0.0311487, 0.0977775, 0.113799, 0.136374, 0.143001, 0.147827, 0.150857, 0.153318, 0.153693, 0.150962, 0.149874, 0.147205, 0.139574, 0.126479, 0.0766442, 0.0519506, 0.0384075, 0.0294969, 0.0228439, 0.0144131, 0.0114264, 0.0070057, 0.0052179, 0.00214667, 0.00195102" \
             );
           }
           vector (ccs_template) {
@@ -147236,7 +162620,7 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("1.32303");
-            index_3 ("9.2976, 14.3829, 17.1067, 17.7531, 18.5971, 19.3639, 20.3862, 21.1796, 21.8672, 22.4408, 23.0256, 23.6239, 24.8205, 25.2665, 26.1097, 27.0934, 30.0972, 32.1405, 34.1242, 35.3561, 36.7657, 38.7937, 39.8341, 41.998, 43.2884, 44.5788, 47.1597, 48.3395");
+            index_3 ("9.2976, 14.3829, 17.1067, 17.7531, 18.5971, 19.3639, 20.3862, 21.1796, 21.8672, 22.4407, 23.0256, 23.6239, 24.8205, 25.2665, 26.1097, 27.0934, 30.0972, 32.1405, 34.1242, 35.3561, 36.7657, 38.7937, 39.8341, 41.998, 43.2884, 44.5788, 47.1597, 48.3395");
             values ( \
               "0.000144268, 0.0311089, 0.104275, 0.118613, 0.133281, 0.142681, 0.150357, 0.153105, 0.153754, 0.153261, 0.151944, 0.149801, 0.143062, 0.139544, 0.131427, 0.11995, 0.080416, 0.0566206, 0.0386983, 0.0300928, 0.0223718, 0.0143866, 0.0114212, 0.00702282, 0.00523405, 0.0038957, 0.00215072, 0.00170891" \
             );
@@ -147249,7 +162633,7 @@
             "0.00197368, 0.00197369, 0.00197372, 0.00197373, 0.00197373, 0.00197373", \
             "0.00236543, 0.00236543, 0.00236542, 0.00236542, 0.00236542, 0.00236542", \
             "0.00255446, 0.00255446, 0.00255445, 0.00255445, 0.00255445, 0.00255445", \
-            "0.00270552, 0.00270552, 0.00270552, 0.00270636, 0.00270551, 0.00270551", \
+            "0.00270552, 0.00270637, 0.00270552, 0.00270552, 0.00270551, 0.00270551", \
             "0.00286401, 0.00286401, 0.00286401, 0.00286401, 0.00286401, 0.00286401", \
             "0.00307101, 0.00307101, 0.00307103, 0.00307106, 0.00307108, 0.00307109" \
           );
@@ -147261,7 +162645,7 @@
             "0.00254789, 0.00254785, 0.00254783, 0.00254783, 0.00254783, 0.00254783", \
             "0.00255709, 0.00255707, 0.00255692, 0.0025569, 0.0025569, 0.0025569", \
             "0.00286876, 0.00286876, 0.00286876, 0.00286875, 0.00286874, 0.00286874", \
-            "0.00329845, 0.00329659, 0.0032946, 0.00329242, 0.00329343, 0.00329336", \
+            "0.00329845, 0.00329536, 0.0032946, 0.00329367, 0.00329343, 0.00329336", \
             "0.00306731, 0.0030662, 0.00306613, 0.00306686, 0.00306539, 0.00306627", \
             "0.0027233, 0.00272377, 0.00272374, 0.00272394, 0.00272452, 0.00272328" \
           );
@@ -147304,7 +162688,7 @@
             "0.245056, 0.299617, 0.487885, 1.18879, 4.00007, 15.3223", \
             "0.254807, 0.309517, 0.497684, 1.19839, 4.00943, 15.3292", \
             "0.290011, 0.344579, 0.532846, 1.23357, 4.04318, 15.3623", \
-            "0.351691, 0.406563, 0.59469, 1.29574, 4.10718, 15.4323", \
+            "0.351841, 0.406563, 0.594732, 1.29549, 4.10718, 15.425", \
             "0.400692, 0.455762, 0.644538, 1.34563, 4.15702, 15.4846", \
             "0.196134, 0.256057, 0.449936, 1.1528, 3.96429, 15.2782" \
           );
@@ -147316,7 +162700,7 @@
             "0.0261684, 0.0555494, 0.174346, 0.659766, 2.61745, 10.5031", \
             "0.0261429, 0.0554758, 0.174339, 0.659529, 2.61759, 10.5079", \
             "0.0261439, 0.0555407, 0.174334, 0.659551, 2.61866, 10.5029", \
-            "0.0261704, 0.0555407, 0.174249, 0.659538, 2.61765, 10.5075", \
+            "0.0261739, 0.0555407, 0.174231, 0.659684, 2.61765, 10.5044", \
             "0.0265349, 0.0556623, 0.174373, 0.659504, 2.61814, 10.5064", \
             "0.0293587, 0.0572846, 0.174763, 0.659888, 2.61924, 10.5085" \
           );
@@ -147470,16 +162854,16 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.32838");
-            index_3 ("0, 0.205884, 0.29777, 0.383188, 0.417681, 0.486965, 0.540671, 0.905527, 1.07832, 1.23492, 1.31436, 1.39321, 1.49206, 1.68975, 2.0587, 2.48429, 2.80642, 2.98419, 3.33974, 3.50717, 3.73041, 3.89104, 4.17299, 4.30854, 4.58248, 4.99648, 5.81729, 6.38749, 6.94936, 7.26262, 7.65565, 8.29787, 8.9859, 9.77222, 10.1992");
+            index_3 ("0, 0.205884, 0.29777, 0.383188, 0.417681, 0.486965, 0.540671, 0.905527, 1.07832, 1.23492, 1.31436, 1.39321, 1.49206, 1.68975, 2.0587, 2.48429, 2.80642, 2.98419, 3.33974, 3.50717, 3.73041, 3.89104, 4.17299, 4.30854, 4.58248, 4.99648, 5.81729, 6.38749, 6.94936, 7.26262, 7.65565, 8.29787, 8.9859, 9.77221, 10.1992");
             values ( \
-              "8.47409e-08, 3.67819e-05, 0.000755991, 0.0161594, 0.0190343, 0.0205403, 0.0200074, 0.00969679, 0.00656194, 0.00486105, 0.0046967, 0.00528805, 0.00737396, 0.01669, 0.0503525, 0.0974036, 0.125428, 0.1362, 0.149365, 0.152094, 0.153248, 0.152667, 0.149309, 0.146726, 0.139067, 0.121662, 0.0784962, 0.0526492, 0.033882, 0.0261165, 0.0186498, 0.0105053, 0.00566123, 0.00264009, 0.00199375" \
+              "8.47409e-08, 3.67819e-05, 0.000755991, 0.0161594, 0.0190343, 0.0205403, 0.0200074, 0.00969679, 0.00656194, 0.00486105, 0.0046967, 0.00528805, 0.00737396, 0.01669, 0.0503525, 0.0974036, 0.125428, 0.1362, 0.149365, 0.152094, 0.153248, 0.152667, 0.149309, 0.146726, 0.139067, 0.121662, 0.0784962, 0.0526492, 0.033882, 0.0261165, 0.0186498, 0.0105053, 0.00566123, 0.0026401, 0.00199375" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.32303");
-            index_3 ("0.00276181, 0.20912, 0.301004, 0.402055, 0.543763, 1.33858, 2.16802, 2.85085, 3.54818, 3.85628, 4.12516, 4.48366, 5.11039, 6.32567, 8.3944, 9.38818, 10.6785, 11.4084, 12.1361, 12.8492, 13.8, 14.2008, 14.7353, 15.499, 16.7893, 17.1421, 17.8478, 18.9681, 21.9737, 24.1715, 25.764, 26.9291, 28.2725, 30.0352, 31.3255, 33.3504, 34.6407, 35.931, 38.5116, 40.1261");
+            index_3 ("0.00276186, 0.20912, 0.301004, 0.402055, 0.543764, 1.33858, 2.16802, 2.85085, 3.54818, 3.85628, 4.12516, 4.48366, 5.11039, 6.32567, 8.3944, 9.38818, 10.6785, 11.4084, 12.1361, 12.8492, 13.8, 14.2008, 14.7353, 15.499, 16.7893, 17.1421, 17.8478, 18.9681, 21.9737, 24.1715, 25.764, 26.9291, 28.2725, 30.0352, 31.3255, 33.3504, 34.6407, 35.931, 38.5116, 40.1261");
             values ( \
               "1e-22, 4.05426e-05, 0.000772281, 0.0194393, 0.0258976, 0.0179304, 0.0116363, 0.00803312, 0.00560903, 0.00508661, 0.00509876, 0.00604918, 0.0108768, 0.0326929, 0.0891456, 0.113587, 0.136247, 0.144367, 0.149686, 0.15261, 0.153771, 0.153449, 0.15241, 0.14982, 0.142388, 0.139558, 0.132875, 0.11997, 0.0804019, 0.0550195, 0.0405551, 0.0320332, 0.0241974, 0.0165443, 0.0124365, 0.00789723, 0.00589287, 0.00438634, 0.00242294, 0.00174181" \
             );
@@ -147488,9 +162872,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.841167, 0.843698, 0.846115, 0.857453, 0.863635, 0.868216, 0.872109, 0.873917, 0.875993, 0.879901, 0.884546, 0.889986, 0.895426, 0.897778, 0.903434, 0.909498, 0.914375, 0.920005, 0.933227, 0.939248");
+            index_3 ("0.841227, 0.843883, 0.846245, 0.857598, 0.863791, 0.868367, 0.872261, 0.874048, 0.876145, 0.880053, 0.884701, 0.890128, 0.89558, 0.897942, 0.903589, 0.909647, 0.914525, 0.920162, 0.924575, 0.9334, 0.939681");
             values ( \
-              "0.00733855, 0.0102553, 0.0142189, 0.0406438, 0.0518386, 0.0568107, 0.0583297, 0.0580202, 0.0568488, 0.050163, 0.0359898, 0.0241135, 0.0162083, 0.0136107, 0.00886934, 0.00565891, 0.00380949, 0.0024724, 0.000868351, 0.000709135" \
+              "0.00723644, 0.0103306, 0.0141781, 0.0405957, 0.0518119, 0.0567787, 0.0583012, 0.0580451, 0.056824, 0.0501834, 0.0360013, 0.024147, 0.0162211, 0.0136136, 0.00888199, 0.00564591, 0.00382473, 0.00248602, 0.00182978, 0.00088122, 0.000635712" \
             );
           }
           vector (ccs_template) {
@@ -147506,18 +162890,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0202298");
-            index_3 ("0, 0.609935, 0.731938, 0.749576, 0.75738, 0.78951, 0.799282, 0.812225, 0.822326, 0.83506, 0.850829, 0.884562, 0.899503, 0.911295, 0.924074, 0.940523, 0.948213, 0.957274, 0.969354, 1.00225, 1.01803, 1.0356, 1.04058, 1.05054, 1.06167, 1.071, 1.08009, 1.08897, 1.10672, 1.11492, 1.12798, 1.14196, 1.16946, 1.22553, 1.24201, 1.26309, 1.27876, 1.29497, 1.31652, 1.33568, 1.36123, 1.38315, 1.41253, 1.4517, 1.52803, 1.6178");
+            index_3 ("0.0015211, 0.60196, 0.734059, 0.746044, 0.755075, 0.793747, 0.803968, 0.814936, 0.82984, 0.845212, 0.860479, 0.880142, 0.887524, 0.90063, 0.906353, 0.909487, 0.918889, 0.928599, 0.939731, 0.949017, 0.967632, 1.00627, 1.021, 1.03643, 1.04135, 1.05119, 1.06879, 1.08064, 1.09436, 1.10808, 1.119, 1.13229, 1.14965, 1.17301, 1.21597, 1.23458, 1.2639, 1.28363, 1.31195, 1.33649, 1.36204, 1.39375");
             values ( \
-              "9.94433e-10, 2.37659e-06, 0.000177082, 0.000463085, 0.000891104, 0.00383581, 0.00434307, 0.0046499, 0.00462474, 0.00423938, 0.00340228, 0.000753028, 1e-22, 0.000563371, 0.00368086, 0.0127927, 0.0195596, 0.0292489, 0.0449065, 0.0911618, 0.10954, 0.124976, 0.128221, 0.133053, 0.137417, 0.139745, 0.141038, 0.141455, 0.140215, 0.138736, 0.135273, 0.129699, 0.113049, 0.0708041, 0.059957, 0.047729, 0.0399619, 0.0330438, 0.02539, 0.0199879, 0.0144085, 0.0108043, 0.00732581, 0.00430804, 0.00137295, 0.000345056" \
+              "4.24121e-06, 2.48919e-06, 0.000220759, 0.000377429, 0.000775641, 0.00407707, 0.00451468, 0.00460677, 0.00438426, 0.00370894, 0.00272802, 0.000974849, 0.000564713, 0.000350657, 0.000500543, 0.000834305, 0.00258477, 0.00634543, 0.0126001, 0.0195122, 0.0416842, 0.0962467, 0.112008, 0.124541, 0.131999, 0.134066, 0.139332, 0.14141, 0.140884, 0.139856, 0.1378, 0.13344, 0.125257, 0.110916, 0.078024, 0.0650062, 0.0476845, 0.0378655, 0.0271548, 0.0196698, 0.0142964, 0.00929051" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.081505");
-            index_3 ("0.00102717, 0.611217, 0.733291, 0.758737, 0.813678, 0.836478, 0.852538, 0.871364, 0.924351, 1.05778, 1.07674, 1.09507, 1.1233, 1.16377, 1.21774, 1.39219, 1.47775, 1.51767, 1.5975, 1.62116, 1.66848, 1.71901, 1.81942, 1.87957, 1.92021, 2.00149, 2.19965, 2.33114, 2.46429, 2.55681, 2.64809, 2.71349, 2.81659, 2.87957, 2.99675, 3.15299, 3.32053");
+            index_3 ("0.00100141, 0.611392, 0.734581, 0.753501, 0.762593, 0.820314, 0.835153, 0.86144, 0.924414, 1.04183, 1.07761, 1.09674, 1.13558, 1.21154, 1.39159, 1.47693, 1.51728, 1.59798, 1.62085, 1.66658, 1.71873, 1.8191, 1.87783, 1.91995, 2.00417, 2.19937, 2.33064, 2.46432, 2.5572, 2.64713, 2.71155, 2.81575, 2.8797, 2.99947, 3.15915, 3.31975");
             values ( \
-              "1e-22, 4.79636e-06, 0.000265197, 0.00131118, 0.0100787, 0.0120524, 0.0125312, 0.0124443, 0.010478, 0.00399955, 0.00355807, 0.00374091, 0.00521516, 0.0108353, 0.025121, 0.0970812, 0.12506, 0.134396, 0.146078, 0.148182, 0.150395, 0.151004, 0.147186, 0.142046, 0.137198, 0.124169, 0.0834052, 0.05877, 0.0393883, 0.0292455, 0.0215728, 0.0172226, 0.0120183, 0.0096062, 0.00631684, 0.00356452, 0.00216544" \
+              "1e-22, 5.31802e-06, 0.000271027, 0.000841957, 0.00180788, 0.0108045, 0.0119705, 0.0125657, 0.0104694, 0.0045459, 0.00355116, 0.00378167, 0.00644772, 0.0230335, 0.0967935, 0.124791, 0.134273, 0.146088, 0.148129, 0.150304, 0.150979, 0.147176, 0.142192, 0.137193, 0.123627, 0.0834114, 0.0588125, 0.0393544, 0.0291849, 0.0216256, 0.0173231, 0.0120426, 0.00959196, 0.00624819, 0.00347926, 0.00217089" \
             );
           }
           vector (ccs_template) {
@@ -147533,9 +162917,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32303");
-            index_3 ("0.00498608, 0.639246, 0.750787, 1.02111, 2.03727, 3.02391, 3.87821, 4.3976, 4.70186, 5.31039, 6.52745, 9.18087, 10.4651, 11.1872, 12.4775, 13.5526, 14.3945, 15.6848, 15.9581, 16.5048, 17.6024, 18.8927, 22.7338, 24.9419, 26.4974, 27.7877, 29.0033, 31.1206, 32.1658, 34.344, 35.6343, 39.5052, 40.3636");
+            index_3 ("0.0102697, 0.646381, 0.759673, 0.861445, 1.00406, 2.45922, 3.00389, 3.8495, 4.40346, 4.73367, 5.3941, 6.6844, 9.18268, 9.87994, 11.1702, 11.7869, 12.3304, 12.8324, 13.6297, 14.392, 15.6823, 15.9562, 16.5039, 17.6007, 18.891, 22.7322, 24.9403, 26.496, 27.7863, 29.0016, 29.8285, 31.1188, 32.1642, 34.343, 35.6333, 36.9236, 39.5042, 40.5189");
             values ( \
-              "1e-22, 2.6408e-05, 0.000555187, 0.0259361, 0.0158116, 0.00937757, 0.0059289, 0.00503607, 0.00528604, 0.00838255, 0.0269006, 0.0977643, 0.125766, 0.136814, 0.149098, 0.153258, 0.153762, 0.150994, 0.149909, 0.14723, 0.139591, 0.126482, 0.0766404, 0.0519474, 0.038411, 0.0294944, 0.02284, 0.0144092, 0.0114296, 0.00700279, 0.00522183, 0.00214363, 0.0019226" \
+              "1e-22, 2.9335e-05, 0.000734236, 0.0193195, 0.0258952, 0.0127226, 0.00950741, 0.00602837, 0.00503639, 0.00534417, 0.00906599, 0.0302968, 0.0977634, 0.114228, 0.13664, 0.143583, 0.148009, 0.150851, 0.153311, 0.153691, 0.150959, 0.149874, 0.147201, 0.139575, 0.126479, 0.0766433, 0.0519499, 0.0384074, 0.0294961, 0.0228434, 0.0191211, 0.0144131, 0.0114272, 0.00700463, 0.0052184, 0.00388624, 0.00214612, 0.00176717" \
             );
           }
           vector (ccs_template) {
@@ -147553,16 +162937,16 @@
             index_2 ("0.0050211");
             index_3 ("2.47251, 2.48397, 2.50058, 2.51219, 2.52, 2.5245, 2.52998, 2.53826, 2.54654, 2.55221, 2.57158, 2.58451, 2.59662, 2.60805, 2.62364, 2.64096, 2.65603, 2.66458");
             values ( \
-              "0.00864888, 0.0228994, 0.0646313, 0.090257, 0.101872, 0.106097, 0.109081, 0.109396, 0.104346, 0.0963397, 0.0569475, 0.0369923, 0.0239505, 0.0155289, 0.00838847, 0.00418457, 0.00223282, 0.00173641" \
+              "0.00864887, 0.0228994, 0.0646313, 0.090257, 0.101872, 0.106097, 0.109081, 0.109396, 0.104346, 0.0963397, 0.0569475, 0.0369923, 0.0239505, 0.0155289, 0.00838847, 0.00418457, 0.00223282, 0.00173641" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202298");
-            index_3 ("4.74275e-05, 2.08359, 2.32449, 2.34114, 2.35614, 2.37288, 2.39916, 2.41045, 2.42627, 2.44052, 2.45351, 2.47503, 2.50519, 2.51678, 2.52937, 2.54253, 2.55827, 2.57228, 2.61424, 2.63458, 2.6476, 2.67526, 2.70123, 2.7272, 2.75418, 2.78428, 2.83775, 2.87526, 2.90706, 2.92863, 2.94785, 2.97347, 2.99531, 3.02458, 3.06361, 3.13607");
+            index_3 ("4.74359e-05, 2.08359, 2.32449, 2.34114, 2.35614, 2.37288, 2.39916, 2.41045, 2.42627, 2.44052, 2.45351, 2.47503, 2.50519, 2.51678, 2.52937, 2.54253, 2.55827, 2.57228, 2.61424, 2.63458, 2.6476, 2.67526, 2.70123, 2.7272, 2.75418, 2.78428, 2.83775, 2.87526, 2.90706, 2.92863, 2.94785, 2.97347, 2.99531, 3.02458, 3.06361, 3.13607");
             values ( \
-              "1e-22, 5.71873e-07, 8.42007e-05, 0.000203755, 0.000581664, 0.00155301, 0.00347815, 0.00402989, 0.00440962, 0.00425504, 0.00376988, 0.00245579, 0.000224273, 0.000102806, 0.0018353, 0.00666364, 0.0178209, 0.0328875, 0.0910169, 0.114132, 0.124803, 0.138625, 0.141959, 0.139164, 0.129925, 0.111013, 0.0707905, 0.0477396, 0.0330458, 0.0254146, 0.0199924, 0.0143734, 0.010812, 0.00734429, 0.004311, 0.00153931" \
+              "1e-22, 5.71873e-07, 8.42007e-05, 0.000203755, 0.000581664, 0.00155301, 0.00347815, 0.00402989, 0.00440962, 0.00425504, 0.00376988, 0.00245579, 0.000224273, 0.000102806, 0.0018353, 0.00666364, 0.0178209, 0.0328875, 0.0910169, 0.114132, 0.124803, 0.138625, 0.141959, 0.139164, 0.129925, 0.111013, 0.0707905, 0.0477396, 0.0330458, 0.0254146, 0.0199924, 0.0143734, 0.010812, 0.00734429, 0.004311, 0.0015393" \
             );
           }
           vector (ccs_template) {
@@ -147616,7 +163000,7 @@
             index_2 ("0.0202298");
             index_3 ("0.000119773, 7.63439, 8.26828, 8.30295, 8.33762, 8.35304, 8.37214, 8.38587, 8.40984, 8.42466, 8.45654, 8.47736, 8.50055, 8.50919, 8.52648, 8.54138, 8.55609, 8.56828, 8.58155, 8.59897, 8.6113, 8.61577, 8.62473, 8.66986, 8.6832, 8.7036, 8.73142, 8.75748, 8.78346, 8.81052, 8.82574, 8.84064, 8.87043, 8.89426, 8.91078, 8.93168, 8.94715, 8.96341, 8.98501, 9.00427, 9.02996, 9.05174, 9.06147, 9.08093, 9.11984, 9.19614, 9.2852");
             values ( \
-              "1e-22, 1.95077e-08, 1.66487e-05, 3.68023e-05, 0.000143947, 0.00031092, 0.000658964, 0.000988537, 0.00165471, 0.00200194, 0.0025617, 0.00276102, 0.00263558, 0.00242853, 0.0018401, 0.00105087, 0.000430218, 0.00045975, 0.00215482, 0.00845443, 0.016856, 0.0208388, 0.0299678, 0.0898017, 0.105613, 0.123917, 0.137963, 0.141595, 0.13891, 0.129807, 0.121278, 0.11096, 0.0879116, 0.0706865, 0.0597698, 0.0477182, 0.0400422, 0.0330559, 0.0254177, 0.0199836, 0.0143543, 0.0108072, 0.00950523, 0.00734935, 0.00431992, 0.00139259, 0.00034131" \
+              "1e-22, 1.95076e-08, 1.66487e-05, 3.68023e-05, 0.000143947, 0.00031092, 0.000658964, 0.000988537, 0.00165471, 0.00200194, 0.0025617, 0.00276102, 0.00263558, 0.00242853, 0.0018401, 0.00105087, 0.000430218, 0.00045975, 0.00215482, 0.00845443, 0.016856, 0.0208388, 0.0299678, 0.0898017, 0.105613, 0.123917, 0.137963, 0.141595, 0.13891, 0.129807, 0.121278, 0.11096, 0.0879116, 0.0706865, 0.0597698, 0.0477182, 0.0400422, 0.0330559, 0.0254177, 0.0199836, 0.0143543, 0.0108072, 0.00950523, 0.00734935, 0.00431992, 0.00139259, 0.00034131" \
             );
           }
           vector (ccs_template) {
@@ -147632,9 +163016,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.32838");
-            index_3 ("8.76298, 9.84623, 10.2102, 10.607, 10.8293, 11.1064, 11.5186, 11.9076, 12.2965, 12.4636, 12.706, 12.854, 13.1605, 13.9162, 14.4964, 14.7929, 15.0212, 15.3147, 15.5551, 15.8412, 16.2446, 16.6636, 17.2129, 17.7632, 18.8636, 20.1539");
+            index_3 ("8.76298, 9.84623, 10.2102, 10.607, 10.8293, 11.1064, 11.5186, 11.9076, 12.2965, 12.4636, 12.706, 12.854, 13.1605, 13.9162, 14.4964, 14.7929, 15.0212, 15.3147, 15.5551, 15.8412, 16.2446, 16.6636, 17.2129, 17.7631, 18.8635, 20.1538");
             values ( \
-              "0.0102135, 0.0190419, 0.0535731, 0.097487, 0.117906, 0.136249, 0.150635, 0.153429, 0.14959, 0.146148, 0.139158, 0.133602, 0.119562, 0.0797388, 0.0532154, 0.0423789, 0.0353136, 0.0276827, 0.0225848, 0.0176409, 0.0123181, 0.00846667, 0.00514718, 0.00308161, 0.00101158, 0.000256688" \
+              "0.0102135, 0.0190419, 0.0535732, 0.097487, 0.117907, 0.136249, 0.150635, 0.153429, 0.14959, 0.146148, 0.139158, 0.133602, 0.119562, 0.0797388, 0.0532154, 0.0423789, 0.0353136, 0.0276827, 0.0225848, 0.0176409, 0.0123181, 0.00846666, 0.00514727, 0.00308171, 0.00101166, 0.000256708" \
             );
           }
           vector (ccs_template) {
@@ -147654,7 +163038,7 @@
             "0.00197366, 0.00197368, 0.0019737, 0.00197371, 0.00197371, 0.00197371", \
             "0.00236542, 0.00236542, 0.00236541, 0.00236541, 0.00236541, 0.00236541", \
             "0.00255447, 0.00255447, 0.00255447, 0.00255447, 0.00255446, 0.00255446", \
-            "0.00270609, 0.00270609, 0.00270609, 0.00270609, 0.00270637, 0.00270552", \
+            "0.00270553, 0.00270609, 0.00270553, 0.00270552, 0.00270637, 0.00270637", \
             "0.00286406, 0.00286406, 0.00286406, 0.00286406, 0.00286406, 0.00286406", \
             "0.00307424, 0.00307425, 0.00307426, 0.00307429, 0.00307431, 0.00307432" \
           );
@@ -147666,7 +163050,7 @@
             "0.00254788, 0.00254784, 0.00254782, 0.00254782, 0.00254782, 0.00254782", \
             "0.00255714, 0.00255712, 0.00255697, 0.00255695, 0.00255695, 0.00255695", \
             "0.00286987, 0.00286987, 0.00286987, 0.00286986, 0.00286985, 0.00286985", \
-            "0.00329959, 0.00329754, 0.00329549, 0.00329459, 0.00329373, 0.00329491", \
+            "0.00330019, 0.00329754, 0.00329624, 0.00329527, 0.00329373, 0.00329365", \
             "0.0030663, 0.00306596, 0.00306606, 0.00306674, 0.00306556, 0.00306554", \
             "0.00271898, 0.00271883, 0.0027184, 0.0027188, 0.00271905, 0.00271503" \
           );
@@ -147707,7 +163091,7 @@
             "0.245056, 0.299617, 0.487885, 1.18879, 4.00007, 15.3223", \
             "0.254807, 0.309517, 0.497684, 1.19839, 4.00943, 15.3292", \
             "0.290011, 0.344579, 0.532846, 1.23357, 4.04318, 15.3623", \
-            "0.351691, 0.406563, 0.59469, 1.29574, 4.10718, 15.4323", \
+            "0.351841, 0.406563, 0.594732, 1.29549, 4.10718, 15.425", \
             "0.400692, 0.455762, 0.644538, 1.34563, 4.15702, 15.4846", \
             "0.196134, 0.256057, 0.449936, 1.1528, 3.96429, 15.2782" \
           );
@@ -147719,7 +163103,7 @@
             "0.0261684, 0.0555494, 0.174346, 0.659766, 2.61745, 10.5031", \
             "0.0261429, 0.0554758, 0.174339, 0.659529, 2.61759, 10.5079", \
             "0.0261439, 0.0555407, 0.174334, 0.659551, 2.61866, 10.5029", \
-            "0.0261704, 0.0555407, 0.174249, 0.659538, 2.61765, 10.5075", \
+            "0.0261739, 0.0555407, 0.174231, 0.659684, 2.61765, 10.5044", \
             "0.0265349, 0.0556623, 0.174373, 0.659504, 2.61814, 10.5064", \
             "0.0293587, 0.0572846, 0.174763, 0.659888, 2.61924, 10.5085" \
           );
@@ -147873,16 +163257,16 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.32838");
-            index_3 ("0, 0.205884, 0.29777, 0.383188, 0.417681, 0.486965, 0.540671, 0.905527, 1.07832, 1.23492, 1.31436, 1.39321, 1.49206, 1.68975, 2.0587, 2.48429, 2.80642, 2.98419, 3.33974, 3.50717, 3.73041, 3.89104, 4.17299, 4.30854, 4.58248, 4.99648, 5.81729, 6.38749, 6.94936, 7.26262, 7.65565, 8.29787, 8.9859, 9.77222, 10.1992");
+            index_3 ("0, 0.205884, 0.29777, 0.383188, 0.417681, 0.486965, 0.540671, 0.905527, 1.07832, 1.23492, 1.31436, 1.39321, 1.49206, 1.68975, 2.0587, 2.48429, 2.80642, 2.98419, 3.33974, 3.50717, 3.73041, 3.89104, 4.17299, 4.30854, 4.58248, 4.99648, 5.81729, 6.38749, 6.94936, 7.26262, 7.65565, 8.29787, 8.9859, 9.77221, 10.1992");
             values ( \
-              "8.47409e-08, 3.67819e-05, 0.000755991, 0.0161594, 0.0190343, 0.0205403, 0.0200074, 0.00969679, 0.00656194, 0.00486105, 0.0046967, 0.00528805, 0.00737396, 0.01669, 0.0503525, 0.0974036, 0.125428, 0.1362, 0.149365, 0.152094, 0.153248, 0.152667, 0.149309, 0.146726, 0.139067, 0.121662, 0.0784962, 0.0526492, 0.033882, 0.0261165, 0.0186498, 0.0105053, 0.00566123, 0.00264009, 0.00199375" \
+              "8.47409e-08, 3.67819e-05, 0.000755991, 0.0161594, 0.0190343, 0.0205403, 0.0200074, 0.00969679, 0.00656194, 0.00486105, 0.0046967, 0.00528805, 0.00737396, 0.01669, 0.0503525, 0.0974036, 0.125428, 0.1362, 0.149365, 0.152094, 0.153248, 0.152667, 0.149309, 0.146726, 0.139067, 0.121662, 0.0784962, 0.0526492, 0.033882, 0.0261165, 0.0186498, 0.0105053, 0.00566123, 0.0026401, 0.00199375" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("1.32303");
-            index_3 ("0.00276181, 0.20912, 0.301004, 0.402055, 0.543763, 1.33858, 2.16802, 2.85085, 3.54818, 3.85628, 4.12516, 4.48366, 5.11039, 6.32567, 8.3944, 9.38818, 10.6785, 11.4084, 12.1361, 12.8492, 13.8, 14.2008, 14.7353, 15.499, 16.7893, 17.1421, 17.8478, 18.9681, 21.9737, 24.1715, 25.764, 26.9291, 28.2725, 30.0352, 31.3255, 33.3504, 34.6407, 35.931, 38.5116, 40.1261");
+            index_3 ("0.00276186, 0.20912, 0.301004, 0.402055, 0.543764, 1.33858, 2.16802, 2.85085, 3.54818, 3.85628, 4.12516, 4.48366, 5.11039, 6.32567, 8.3944, 9.38818, 10.6785, 11.4084, 12.1361, 12.8492, 13.8, 14.2008, 14.7353, 15.499, 16.7893, 17.1421, 17.8478, 18.9681, 21.9737, 24.1715, 25.764, 26.9291, 28.2725, 30.0352, 31.3255, 33.3504, 34.6407, 35.931, 38.5116, 40.1261");
             values ( \
               "1e-22, 4.05426e-05, 0.000772281, 0.0194393, 0.0258976, 0.0179304, 0.0116363, 0.00803312, 0.00560903, 0.00508661, 0.00509876, 0.00604918, 0.0108768, 0.0326929, 0.0891456, 0.113587, 0.136247, 0.144367, 0.149686, 0.15261, 0.153771, 0.153449, 0.15241, 0.14982, 0.142388, 0.139558, 0.132875, 0.11997, 0.0804019, 0.0550195, 0.0405551, 0.0320332, 0.0241974, 0.0165443, 0.0124365, 0.00789723, 0.00589287, 0.00438634, 0.00242294, 0.00174181" \
             );
@@ -147891,9 +163275,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.841167, 0.843698, 0.846115, 0.857453, 0.863635, 0.868216, 0.872109, 0.873917, 0.875993, 0.879901, 0.884546, 0.889986, 0.895426, 0.897778, 0.903434, 0.909498, 0.914375, 0.920005, 0.933227, 0.939248");
+            index_3 ("0.841227, 0.843883, 0.846245, 0.857598, 0.863791, 0.868367, 0.872261, 0.874048, 0.876145, 0.880053, 0.884701, 0.890128, 0.89558, 0.897942, 0.903589, 0.909647, 0.914525, 0.920162, 0.924575, 0.9334, 0.939681");
             values ( \
-              "0.00733855, 0.0102553, 0.0142189, 0.0406438, 0.0518386, 0.0568107, 0.0583297, 0.0580202, 0.0568488, 0.050163, 0.0359898, 0.0241135, 0.0162083, 0.0136107, 0.00886934, 0.00565891, 0.00380949, 0.0024724, 0.000868351, 0.000709135" \
+              "0.00723644, 0.0103306, 0.0141781, 0.0405957, 0.0518119, 0.0567787, 0.0583012, 0.0580451, 0.056824, 0.0501834, 0.0360013, 0.024147, 0.0162211, 0.0136136, 0.00888199, 0.00564591, 0.00382473, 0.00248602, 0.00182978, 0.00088122, 0.000635712" \
             );
           }
           vector (ccs_template) {
@@ -147909,18 +163293,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0202298");
-            index_3 ("0, 0.609935, 0.731938, 0.749576, 0.75738, 0.78951, 0.799282, 0.812225, 0.822326, 0.83506, 0.850829, 0.884562, 0.899503, 0.911295, 0.924074, 0.940523, 0.948213, 0.957274, 0.969354, 1.00225, 1.01803, 1.0356, 1.04058, 1.05054, 1.06167, 1.071, 1.08009, 1.08897, 1.10672, 1.11492, 1.12798, 1.14196, 1.16946, 1.22553, 1.24201, 1.26309, 1.27876, 1.29497, 1.31652, 1.33568, 1.36123, 1.38315, 1.41253, 1.4517, 1.52803, 1.6178");
+            index_3 ("0.0015211, 0.60196, 0.734059, 0.746044, 0.755075, 0.793747, 0.803968, 0.814936, 0.82984, 0.845212, 0.860479, 0.880142, 0.887524, 0.90063, 0.906353, 0.909487, 0.918889, 0.928599, 0.939731, 0.949017, 0.967632, 1.00627, 1.021, 1.03643, 1.04135, 1.05119, 1.06879, 1.08064, 1.09436, 1.10808, 1.119, 1.13229, 1.14965, 1.17301, 1.21597, 1.23458, 1.2639, 1.28363, 1.31195, 1.33649, 1.36204, 1.39375");
             values ( \
-              "9.94433e-10, 2.37659e-06, 0.000177082, 0.000463085, 0.000891104, 0.00383581, 0.00434307, 0.0046499, 0.00462474, 0.00423938, 0.00340228, 0.000753028, 1e-22, 0.000563371, 0.00368086, 0.0127927, 0.0195596, 0.0292489, 0.0449065, 0.0911618, 0.10954, 0.124976, 0.128221, 0.133053, 0.137417, 0.139745, 0.141038, 0.141455, 0.140215, 0.138736, 0.135273, 0.129699, 0.113049, 0.0708041, 0.059957, 0.047729, 0.0399619, 0.0330438, 0.02539, 0.0199879, 0.0144085, 0.0108043, 0.00732581, 0.00430804, 0.00137295, 0.000345056" \
+              "4.24121e-06, 2.48919e-06, 0.000220759, 0.000377429, 0.000775641, 0.00407707, 0.00451468, 0.00460677, 0.00438426, 0.00370894, 0.00272802, 0.000974849, 0.000564713, 0.000350657, 0.000500543, 0.000834305, 0.00258477, 0.00634543, 0.0126001, 0.0195122, 0.0416842, 0.0962467, 0.112008, 0.124541, 0.131999, 0.134066, 0.139332, 0.14141, 0.140884, 0.139856, 0.1378, 0.13344, 0.125257, 0.110916, 0.078024, 0.0650062, 0.0476845, 0.0378655, 0.0271548, 0.0196698, 0.0142964, 0.00929051" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.081505");
-            index_3 ("0.00102717, 0.611217, 0.733291, 0.758737, 0.813678, 0.836478, 0.852538, 0.871364, 0.924351, 1.05778, 1.07674, 1.09507, 1.1233, 1.16377, 1.21774, 1.39219, 1.47775, 1.51767, 1.5975, 1.62116, 1.66848, 1.71901, 1.81942, 1.87957, 1.92021, 2.00149, 2.19965, 2.33114, 2.46429, 2.55681, 2.64809, 2.71349, 2.81659, 2.87957, 2.99675, 3.15299, 3.32053");
+            index_3 ("0.00100141, 0.611392, 0.734581, 0.753501, 0.762593, 0.820314, 0.835153, 0.86144, 0.924414, 1.04183, 1.07761, 1.09674, 1.13558, 1.21154, 1.39159, 1.47693, 1.51728, 1.59798, 1.62085, 1.66658, 1.71873, 1.8191, 1.87783, 1.91995, 2.00417, 2.19937, 2.33064, 2.46432, 2.5572, 2.64713, 2.71155, 2.81575, 2.8797, 2.99947, 3.15915, 3.31975");
             values ( \
-              "1e-22, 4.79636e-06, 0.000265197, 0.00131118, 0.0100787, 0.0120524, 0.0125312, 0.0124443, 0.010478, 0.00399955, 0.00355807, 0.00374091, 0.00521516, 0.0108353, 0.025121, 0.0970812, 0.12506, 0.134396, 0.146078, 0.148182, 0.150395, 0.151004, 0.147186, 0.142046, 0.137198, 0.124169, 0.0834052, 0.05877, 0.0393883, 0.0292455, 0.0215728, 0.0172226, 0.0120183, 0.0096062, 0.00631684, 0.00356452, 0.00216544" \
+              "1e-22, 5.31802e-06, 0.000271027, 0.000841957, 0.00180788, 0.0108045, 0.0119705, 0.0125657, 0.0104694, 0.0045459, 0.00355116, 0.00378167, 0.00644772, 0.0230335, 0.0967935, 0.124791, 0.134273, 0.146088, 0.148129, 0.150304, 0.150979, 0.147176, 0.142192, 0.137193, 0.123627, 0.0834114, 0.0588125, 0.0393544, 0.0291849, 0.0216256, 0.0173231, 0.0120426, 0.00959196, 0.00624819, 0.00347926, 0.00217089" \
             );
           }
           vector (ccs_template) {
@@ -147936,9 +163320,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32303");
-            index_3 ("0.00498608, 0.639246, 0.750787, 1.02111, 2.03727, 3.02391, 3.87821, 4.3976, 4.70186, 5.31039, 6.52745, 9.18087, 10.4651, 11.1872, 12.4775, 13.5526, 14.3945, 15.6848, 15.9581, 16.5048, 17.6024, 18.8927, 22.7338, 24.9419, 26.4974, 27.7877, 29.0033, 31.1206, 32.1658, 34.344, 35.6343, 39.5052, 40.3636");
+            index_3 ("0.0102697, 0.646381, 0.759673, 0.861445, 1.00406, 2.45922, 3.00389, 3.8495, 4.40346, 4.73367, 5.3941, 6.6844, 9.18268, 9.87994, 11.1702, 11.7869, 12.3304, 12.8324, 13.6297, 14.392, 15.6823, 15.9562, 16.5039, 17.6007, 18.891, 22.7322, 24.9403, 26.496, 27.7863, 29.0016, 29.8285, 31.1188, 32.1642, 34.343, 35.6333, 36.9236, 39.5042, 40.5189");
             values ( \
-              "1e-22, 2.6408e-05, 0.000555187, 0.0259361, 0.0158116, 0.00937757, 0.0059289, 0.00503607, 0.00528604, 0.00838255, 0.0269006, 0.0977643, 0.125766, 0.136814, 0.149098, 0.153258, 0.153762, 0.150994, 0.149909, 0.14723, 0.139591, 0.126482, 0.0766404, 0.0519474, 0.038411, 0.0294944, 0.02284, 0.0144092, 0.0114296, 0.00700279, 0.00522183, 0.00214363, 0.0019226" \
+              "1e-22, 2.9335e-05, 0.000734236, 0.0193195, 0.0258952, 0.0127226, 0.00950741, 0.00602837, 0.00503639, 0.00534417, 0.00906599, 0.0302968, 0.0977634, 0.114228, 0.13664, 0.143583, 0.148009, 0.150851, 0.153311, 0.153691, 0.150959, 0.149874, 0.147201, 0.139575, 0.126479, 0.0766433, 0.0519499, 0.0384074, 0.0294961, 0.0228434, 0.0191211, 0.0144131, 0.0114272, 0.00700463, 0.0052184, 0.00388624, 0.00214612, 0.00176717" \
             );
           }
           vector (ccs_template) {
@@ -147956,16 +163340,16 @@
             index_2 ("0.0050211");
             index_3 ("2.47251, 2.48397, 2.50058, 2.51219, 2.52, 2.5245, 2.52998, 2.53826, 2.54654, 2.55221, 2.57158, 2.58451, 2.59662, 2.60805, 2.62364, 2.64096, 2.65603, 2.66458");
             values ( \
-              "0.00864888, 0.0228994, 0.0646313, 0.090257, 0.101872, 0.106097, 0.109081, 0.109396, 0.104346, 0.0963397, 0.0569475, 0.0369923, 0.0239505, 0.0155289, 0.00838847, 0.00418457, 0.00223282, 0.00173641" \
+              "0.00864887, 0.0228994, 0.0646313, 0.090257, 0.101872, 0.106097, 0.109081, 0.109396, 0.104346, 0.0963397, 0.0569475, 0.0369923, 0.0239505, 0.0155289, 0.00838847, 0.00418457, 0.00223282, 0.00173641" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202298");
-            index_3 ("4.74275e-05, 2.08359, 2.32449, 2.34114, 2.35614, 2.37288, 2.39916, 2.41045, 2.42627, 2.44052, 2.45351, 2.47503, 2.50519, 2.51678, 2.52937, 2.54253, 2.55827, 2.57228, 2.61424, 2.63458, 2.6476, 2.67526, 2.70123, 2.7272, 2.75418, 2.78428, 2.83775, 2.87526, 2.90706, 2.92863, 2.94785, 2.97347, 2.99531, 3.02458, 3.06361, 3.13607");
+            index_3 ("4.74359e-05, 2.08359, 2.32449, 2.34114, 2.35614, 2.37288, 2.39916, 2.41045, 2.42627, 2.44052, 2.45351, 2.47503, 2.50519, 2.51678, 2.52937, 2.54253, 2.55827, 2.57228, 2.61424, 2.63458, 2.6476, 2.67526, 2.70123, 2.7272, 2.75418, 2.78428, 2.83775, 2.87526, 2.90706, 2.92863, 2.94785, 2.97347, 2.99531, 3.02458, 3.06361, 3.13607");
             values ( \
-              "1e-22, 5.71873e-07, 8.42007e-05, 0.000203755, 0.000581664, 0.00155301, 0.00347815, 0.00402989, 0.00440962, 0.00425504, 0.00376988, 0.00245579, 0.000224273, 0.000102806, 0.0018353, 0.00666364, 0.0178209, 0.0328875, 0.0910169, 0.114132, 0.124803, 0.138625, 0.141959, 0.139164, 0.129925, 0.111013, 0.0707905, 0.0477396, 0.0330458, 0.0254146, 0.0199924, 0.0143734, 0.010812, 0.00734429, 0.004311, 0.00153931" \
+              "1e-22, 5.71873e-07, 8.42007e-05, 0.000203755, 0.000581664, 0.00155301, 0.00347815, 0.00402989, 0.00440962, 0.00425504, 0.00376988, 0.00245579, 0.000224273, 0.000102806, 0.0018353, 0.00666364, 0.0178209, 0.0328875, 0.0910169, 0.114132, 0.124803, 0.138625, 0.141959, 0.139164, 0.129925, 0.111013, 0.0707905, 0.0477396, 0.0330458, 0.0254146, 0.0199924, 0.0143734, 0.010812, 0.00734429, 0.004311, 0.0015393" \
             );
           }
           vector (ccs_template) {
@@ -148019,7 +163403,7 @@
             index_2 ("0.0202298");
             index_3 ("0.000119773, 7.63439, 8.26828, 8.30295, 8.33762, 8.35304, 8.37214, 8.38587, 8.40984, 8.42466, 8.45654, 8.47736, 8.50055, 8.50919, 8.52648, 8.54138, 8.55609, 8.56828, 8.58155, 8.59897, 8.6113, 8.61577, 8.62473, 8.66986, 8.6832, 8.7036, 8.73142, 8.75748, 8.78346, 8.81052, 8.82574, 8.84064, 8.87043, 8.89426, 8.91078, 8.93168, 8.94715, 8.96341, 8.98501, 9.00427, 9.02996, 9.05174, 9.06147, 9.08093, 9.11984, 9.19614, 9.2852");
             values ( \
-              "1e-22, 1.95077e-08, 1.66487e-05, 3.68023e-05, 0.000143947, 0.00031092, 0.000658964, 0.000988537, 0.00165471, 0.00200194, 0.0025617, 0.00276102, 0.00263558, 0.00242853, 0.0018401, 0.00105087, 0.000430218, 0.00045975, 0.00215482, 0.00845443, 0.016856, 0.0208388, 0.0299678, 0.0898017, 0.105613, 0.123917, 0.137963, 0.141595, 0.13891, 0.129807, 0.121278, 0.11096, 0.0879116, 0.0706865, 0.0597698, 0.0477182, 0.0400422, 0.0330559, 0.0254177, 0.0199836, 0.0143543, 0.0108072, 0.00950523, 0.00734935, 0.00431992, 0.00139259, 0.00034131" \
+              "1e-22, 1.95076e-08, 1.66487e-05, 3.68023e-05, 0.000143947, 0.00031092, 0.000658964, 0.000988537, 0.00165471, 0.00200194, 0.0025617, 0.00276102, 0.00263558, 0.00242853, 0.0018401, 0.00105087, 0.000430218, 0.00045975, 0.00215482, 0.00845443, 0.016856, 0.0208388, 0.0299678, 0.0898017, 0.105613, 0.123917, 0.137963, 0.141595, 0.13891, 0.129807, 0.121278, 0.11096, 0.0879116, 0.0706865, 0.0597698, 0.0477182, 0.0400422, 0.0330559, 0.0254177, 0.0199836, 0.0143543, 0.0108072, 0.00950523, 0.00734935, 0.00431992, 0.00139259, 0.00034131" \
             );
           }
           vector (ccs_template) {
@@ -148035,9 +163419,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.32838");
-            index_3 ("8.76298, 9.84623, 10.2102, 10.607, 10.8293, 11.1064, 11.5186, 11.9076, 12.2965, 12.4636, 12.706, 12.854, 13.1605, 13.9162, 14.4964, 14.7929, 15.0212, 15.3147, 15.5551, 15.8412, 16.2446, 16.6636, 17.2129, 17.7632, 18.8636, 20.1539");
+            index_3 ("8.76298, 9.84623, 10.2102, 10.607, 10.8293, 11.1064, 11.5186, 11.9076, 12.2965, 12.4636, 12.706, 12.854, 13.1605, 13.9162, 14.4964, 14.7929, 15.0212, 15.3147, 15.5551, 15.8412, 16.2446, 16.6636, 17.2129, 17.7631, 18.8635, 20.1538");
             values ( \
-              "0.0102135, 0.0190419, 0.0535731, 0.097487, 0.117906, 0.136249, 0.150635, 0.153429, 0.14959, 0.146148, 0.139158, 0.133602, 0.119562, 0.0797388, 0.0532154, 0.0423789, 0.0353136, 0.0276827, 0.0225848, 0.0176409, 0.0123181, 0.00846667, 0.00514718, 0.00308161, 0.00101158, 0.000256688" \
+              "0.0102135, 0.0190419, 0.0535732, 0.097487, 0.117907, 0.136249, 0.150635, 0.153429, 0.14959, 0.146148, 0.139158, 0.133602, 0.119562, 0.0797388, 0.0532154, 0.0423789, 0.0353136, 0.0276827, 0.0225848, 0.0176409, 0.0123181, 0.00846666, 0.00514727, 0.00308171, 0.00101166, 0.000256708" \
             );
           }
           vector (ccs_template) {
@@ -148057,7 +163441,7 @@
             "0.00197366, 0.00197368, 0.0019737, 0.00197371, 0.00197371, 0.00197371", \
             "0.00236542, 0.00236542, 0.00236541, 0.00236541, 0.00236541, 0.00236541", \
             "0.00255447, 0.00255447, 0.00255447, 0.00255447, 0.00255446, 0.00255446", \
-            "0.00270609, 0.00270609, 0.00270609, 0.00270609, 0.00270637, 0.00270552", \
+            "0.00270553, 0.00270609, 0.00270553, 0.00270552, 0.00270637, 0.00270637", \
             "0.00286406, 0.00286406, 0.00286406, 0.00286406, 0.00286406, 0.00286406", \
             "0.00307424, 0.00307425, 0.00307426, 0.00307429, 0.00307431, 0.00307432" \
           );
@@ -148069,7 +163453,7 @@
             "0.00254788, 0.00254784, 0.00254782, 0.00254782, 0.00254782, 0.00254782", \
             "0.00255714, 0.00255712, 0.00255697, 0.00255695, 0.00255695, 0.00255695", \
             "0.00286987, 0.00286987, 0.00286987, 0.00286986, 0.00286985, 0.00286985", \
-            "0.00329959, 0.00329754, 0.00329549, 0.00329459, 0.00329373, 0.00329491", \
+            "0.00330019, 0.00329754, 0.00329624, 0.00329527, 0.00329373, 0.00329365", \
             "0.0030663, 0.00306596, 0.00306606, 0.00306674, 0.00306556, 0.00306554", \
             "0.00271898, 0.00271883, 0.0027184, 0.0027188, 0.00271905, 0.00271503" \
           );
@@ -148161,7 +163545,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.081505");
-            index_3 ("0.262264, 0.543886, 0.593524, 0.768656, 0.859942, 0.910293, 0.980275, 1.07838, 1.17653, 1.28893, 1.39434, 1.70874, 1.86162, 2.00182, 2.17509, 2.3585, 2.51731, 2.59646");
+            index_3 ("0.262264, 0.543885, 0.593524, 0.768656, 0.859942, 0.910293, 0.980275, 1.07838, 1.17653, 1.28893, 1.39434, 1.70874, 1.86162, 2.00182, 2.17509, 2.3585, 2.51731, 2.59646");
             values ( \
               "0.00921985, 0.0153675, 0.0307181, 0.10347, 0.130645, 0.140162, 0.148012, 0.151068, 0.147374, 0.13601, 0.117731, 0.0557821, 0.0349516, 0.0219772, 0.0120379, 0.00628399, 0.00348261, 0.0028434" \
             );
@@ -148226,7 +163610,7 @@
             index_2 ("0.32838");
             index_3 ("0.0873789, 0.137114, 0.14998, 0.237077, 0.270758, 0.295533, 0.334596, 0.366863, 0.408238, 0.59578, 0.685623, 0.813981, 1.02603, 1.1225, 1.16153, 1.23958, 1.39569, 1.61963, 1.86188, 2.33525, 2.66858, 2.83461, 3.16668, 3.24541, 3.40287, 3.63369, 4.03217, 4.14089, 4.26514, 4.43281, 4.76816, 4.88885, 5.71175, 6.05489, 6.26597, 6.40606, 6.59286, 6.96644, 7.08983, 7.29687, 7.53349, 7.96508, 8.2354, 8.40917, 8.75673, 9.45184, 10.688, 12.0095");
             values ( \
-              "0.000235562, 0.000367241, 0.000930703, 0.0167399, 0.0193851, 0.020171, 0.020696, 0.0204813, 0.0195406, 0.0136323, 0.0112609, 0.00847666, 0.00531627, 0.00468617, 0.00468836, 0.0052421, 0.00924456, 0.022558, 0.0455507, 0.0977071, 0.126355, 0.136368, 0.148813, 0.150465, 0.152522, 0.153233, 0.149294, 0.147175, 0.144198, 0.139113, 0.12538, 0.119482, 0.0762744, 0.0602053, 0.0515507, 0.0463302, 0.0400014, 0.0294739, 0.0265682, 0.0223024, 0.0181643, 0.012392, 0.00972547, 0.00833038, 0.00605964, 0.00315519, 0.000860217, 0.000217015" \
+              "0.000235562, 0.000367241, 0.000930703, 0.0167399, 0.0193851, 0.020171, 0.020696, 0.0204813, 0.0195406, 0.0136323, 0.0112609, 0.00847666, 0.00531627, 0.00468617, 0.00468836, 0.0052421, 0.00924456, 0.022558, 0.0455507, 0.0977071, 0.126355, 0.136368, 0.148813, 0.150465, 0.152522, 0.153233, 0.149294, 0.147175, 0.144198, 0.139113, 0.12538, 0.119482, 0.0762744, 0.0602053, 0.0515507, 0.0463302, 0.0400014, 0.0294739, 0.0265682, 0.0223024, 0.0181643, 0.012392, 0.00972547, 0.00833038, 0.00605964, 0.00315519, 0.000860216, 0.000217015" \
             );
           }
           vector (ccs_template) {
@@ -148415,7 +163799,7 @@
             index_2 ("0.0050211");
             index_3 ("6.40877, 9.37134, 9.44661, 9.48338, 9.51816, 9.55376, 9.60005, 9.64176, 9.65841, 9.67766, 9.69784, 9.72125, 9.80626, 9.86265, 9.8688, 9.87231, 9.87889, 9.88522, 9.90411, 9.91277, 9.92513, 9.92875, 9.9325, 9.94038, 9.9527, 9.96349, 9.97363, 9.98377, 9.9846, 9.98571, 9.98792, 9.99662, 10.0063, 10.0158, 10.0184, 10.0213, 10.0263, 10.0328, 10.0345, 10.0379, 10.0445, 10.0538, 10.058, 10.0599, 10.0638, 10.0715, 10.0868, 10.0935");
             values ( \
-              "1e-22, 1.68598e-06, 1.04285e-05, 1.97662e-05, 3.7668e-05, 6.02239e-05, 8.25971e-05, 8.74567e-05, 8.26045e-05, 6.07462e-05, 2.68989e-05, 1e-22, 2e-22, 0.00559754, 0.00836719, 0.0101499, 0.0140497, 0.0186203, 0.0337082, 0.0409974, 0.052131, 0.0556157, 0.0592944, 0.0673114, 0.0796044, 0.0878944, 0.0904784, 0.0831175, 0.0815302, 0.0798664, 0.0761715, 0.0609452, 0.0456507, 0.033738, 0.0309499, 0.0279442, 0.0234007, 0.0184733, 0.0173473, 0.0152693, 0.0118627, 0.0082521, 0.00700295, 0.00649517, 0.00558356, 0.00411238, 0.0021893, 0.00181237" \
+              "1e-22, 1.68598e-06, 1.04285e-05, 1.97662e-05, 3.7668e-05, 6.02239e-05, 8.25971e-05, 8.74567e-05, 8.26045e-05, 6.07462e-05, 2.68989e-05, 1e-22, 2e-22, 0.00559754, 0.00836719, 0.0101499, 0.0140497, 0.0186203, 0.0337082, 0.0409974, 0.052131, 0.0556156, 0.0592943, 0.0673114, 0.0796044, 0.0878944, 0.0904784, 0.0831175, 0.0815302, 0.0798664, 0.0761715, 0.0609452, 0.0456507, 0.033738, 0.0309499, 0.0279442, 0.0234007, 0.0184733, 0.0173473, 0.0152693, 0.0118627, 0.0082521, 0.00700295, 0.00649517, 0.00558356, 0.00411238, 0.0021893, 0.00181237" \
             );
           }
           vector (ccs_template) {
@@ -148442,7 +163826,7 @@
             index_2 ("0.32838");
             index_3 ("6.57488, 9.51617, 9.88487, 10.0605, 10.4055, 10.7403, 10.8475, 10.9902, 11.1602, 11.4932, 11.9976, 12.4971, 12.909, 13.2979, 13.7072, 14.0964, 14.4703, 15.8847, 16.4052, 16.9215, 17.4502, 17.806, 18.326, 19.0194, 19.2395");
             values ( \
-              "1.98566e-07, 0.000368775, 0.00773856, 0.0184907, 0.0101114, 0.00497768, 0.00483507, 0.00703907, 0.0141928, 0.0421465, 0.0976623, 0.136405, 0.150633, 0.153499, 0.149212, 0.139159, 0.123512, 0.053252, 0.0354649, 0.0231388, 0.0145942, 0.0106345, 0.00664429, 0.00345995, 0.00300678" \
+              "1.98566e-07, 0.000368775, 0.00773856, 0.0184907, 0.0101114, 0.00497768, 0.00483507, 0.00703907, 0.0141928, 0.0421465, 0.0976623, 0.136405, 0.150633, 0.153499, 0.149212, 0.139159, 0.123512, 0.053252, 0.0354649, 0.0231388, 0.0145942, 0.0106345, 0.00664428, 0.00345995, 0.00300678" \
             );
           }
           vector (ccs_template) {
@@ -148518,7 +163902,7 @@
             "0.235566, 0.290231, 0.478295, 1.17911, 3.98952, 15.3181", \
             "0.276829, 0.331485, 0.519516, 1.21994, 4.03204, 15.3558", \
             "0.412857, 0.470123, 0.661043, 1.36301, 4.1735, 15.4961", \
-            "0.729254, 0.799003, 0.999782, 1.70236, 4.51498, 15.837", \
+            "0.729254, 0.799003, 0.999782, 1.70236, 4.51498, 15.8371", \
             "1.53973, 1.63385, 1.84349, 2.54179, 5.34987, 16.6733" \
           );
         }
@@ -148530,7 +163914,7 @@
             "0.0262575, 0.0554828, 0.174198, 0.659674, 2.61823, 10.5044", \
             "0.0262163, 0.055446, 0.174308, 0.659751, 2.61824, 10.5028", \
             "0.0274231, 0.0562898, 0.174412, 0.659905, 2.6183, 10.5046", \
-            "0.0334952, 0.0608203, 0.174775, 0.659675, 2.61789, 10.5045", \
+            "0.0334952, 0.0608203, 0.174775, 0.659675, 2.61789, 10.5044", \
             "0.0467441, 0.0719795, 0.175325, 0.659512, 2.61825, 10.5059" \
           );
         }
@@ -148541,7 +163925,7 @@
             index_2 ("0.00124625");
             index_3 ("0.207499, 0.215487, 0.21836, 0.219888, 0.221416, 0.222434, 0.224471, 0.22503, 0.225309, 0.226147, 0.226705, 0.227822, 0.228947, 0.229891, 0.230646, 0.232435, 0.234, 0.234969, 0.235937, 0.236906, 0.237623, 0.238363, 0.239012, 0.239661, 0.240756, 0.24112, 0.244007, 0.246038, 0.247782, 0.248363, 0.250107, 0.251156, 0.252204, 0.253252, 0.2543, 0.257492, 0.257803, 0.259048, 0.260292, 0.26123, 0.263106, 0.264336, 0.265212, 0.26638, 0.267548, 0.268716, 0.271053, 0.272221, 0.274058, 0.277314");
             values ( \
-              "0.0300217, 0.0341081, 0.0404686, 0.0435365, 0.0463698, 0.0481531, 0.0515114, 0.0523837, 0.0527584, 0.053813, 0.0544196, 0.0555707, 0.0566459, 0.0574647, 0.0580547, 0.0578802, 0.0576203, 0.0574092, 0.0571597, 0.0568719, 0.0560193, 0.0549186, 0.0537538, 0.0524153, 0.0497374, 0.0487727, 0.0401994, 0.0345004, 0.0300518, 0.028822, 0.0253982, 0.0235491, 0.0218324, 0.0202483, 0.0187967, 0.0148067, 0.014442, 0.0132012, 0.0120466, 0.0112688, 0.00978875, 0.00887303, 0.00824728, 0.00751854, 0.00685439, 0.0062984, 0.0052931, 0.00484378, 0.00423354, 0.00324743" \
+              "0.0300217, 0.0341081, 0.0404686, 0.0435365, 0.0463698, 0.0481531, 0.0515114, 0.0523837, 0.0527584, 0.053813, 0.0544196, 0.0555707, 0.0566459, 0.0574647, 0.0580547, 0.0578802, 0.0576203, 0.0574092, 0.0571597, 0.0568719, 0.0560193, 0.0549187, 0.0537538, 0.0524153, 0.0497373, 0.0487727, 0.0401994, 0.0345004, 0.0300518, 0.028822, 0.0253982, 0.0235491, 0.0218324, 0.0202483, 0.0187967, 0.0148067, 0.014442, 0.0132012, 0.0120466, 0.0112688, 0.00978875, 0.00887303, 0.00824728, 0.00751854, 0.00685439, 0.0062984, 0.0052931, 0.00484378, 0.00423354, 0.00324743" \
             );
           }
           vector (ccs_template) {
@@ -148584,7 +163968,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("1.32303");
-            index_3 ("0.0514109, 0.117786, 0.223704, 0.357064, 1.15666, 1.97464, 2.48639, 3.30136, 3.75169, 3.99276, 4.47492, 5.15504, 6.40153, 8.4599, 8.97233, 10.0339, 10.5294, 11.425, 12.1771, 13.4981, 14.2285, 15.3041, 15.9146, 16.9455, 17.7869, 18.7723, 21.7565, 23.7677, 24.7346, 25.9053, 27.1995, 28.2662, 29.7916, 31.1126, 31.8625, 33.1834, 34.5044, 35.8253, 37.1463, 38.4673, 39.7882, 42.4301, 45.072");
+            index_3 ("0.051411, 0.117786, 0.223704, 0.357064, 1.15666, 1.97464, 2.48639, 3.30136, 3.75169, 3.99276, 4.47492, 5.15504, 6.40153, 8.4599, 8.97233, 10.0339, 10.5294, 11.425, 12.1771, 13.4981, 14.2285, 15.3041, 15.9146, 16.9455, 17.7869, 18.7723, 21.7565, 23.7677, 24.7346, 25.9053, 27.1995, 28.2662, 29.7916, 31.1126, 31.8625, 33.1834, 34.5044, 35.8253, 37.1463, 38.4673, 39.7882, 42.4301, 45.072");
             values ( \
               "0.000231291, 0.00178333, 0.0209744, 0.0259318, 0.0178029, 0.0116145, 0.00885073, 0.00571872, 0.00501834, 0.00519805, 0.00700406, 0.0138925, 0.0393096, 0.0959861, 0.108622, 0.12949, 0.136676, 0.146141, 0.150821, 0.153869, 0.153168, 0.149885, 0.146854, 0.139569, 0.131426, 0.119973, 0.080645, 0.0571382, 0.0477193, 0.0379355, 0.0290567, 0.0232144, 0.016695, 0.0125021, 0.0105446, 0.00786415, 0.00579001, 0.00431521, 0.00315129, 0.00235882, 0.00170392, 0.000915078, 0.000486631" \
             );
@@ -148640,7 +164024,7 @@
             index_2 ("1.32303");
             index_3 ("0.091762, 0.262249, 0.392032, 1.11144, 1.85685, 2.40215, 3.21285, 3.62135, 4.13322, 4.81572, 5.72925, 6.69145, 8.57791, 9.84643, 10.5821, 11.903, 12.2305, 12.8855, 13.7889, 15.1099, 15.3529, 15.8389, 16.9971, 18.0471, 18.8241, 21.8052, 23.6443, 24.8349, 26.2117, 27.4534, 28.4391, 29.5807, 30.9016, 32.3213, 34.3402, 35.6612, 38.3031, 40.945, 44.9079");
             values ( \
-              "0.000200992, 0.0208128, 0.0259414, 0.0186304, 0.0126605, 0.00943522, 0.00611305, 0.00516578, 0.00536428, 0.00940918, 0.0229446, 0.0458739, 0.0979322, 0.125625, 0.136876, 0.149349, 0.151028, 0.153177, 0.153779, 0.15091, 0.149915, 0.147582, 0.139586, 0.129177, 0.119932, 0.0806916, 0.0590056, 0.0472415, 0.0360047, 0.0278786, 0.0226411, 0.0176792, 0.0132261, 0.00963319, 0.00610291, 0.00452489, 0.00246789, 0.00134312, 0.000526323" \
+              "0.000200992, 0.0208128, 0.0259414, 0.0186303, 0.0126605, 0.00943522, 0.00611305, 0.00516578, 0.00536428, 0.00940918, 0.0229446, 0.0458739, 0.0979322, 0.125625, 0.136876, 0.149349, 0.151028, 0.153177, 0.153779, 0.15091, 0.149915, 0.147582, 0.139586, 0.129177, 0.119932, 0.0806916, 0.0590056, 0.0472415, 0.0360047, 0.0278786, 0.0226411, 0.0176792, 0.0132261, 0.00963319, 0.00610291, 0.00452489, 0.00246789, 0.00134312, 0.000526323" \
             );
           }
           vector (ccs_template) {
@@ -148773,36 +164157,36 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202298");
-            index_3 ("1.94509, 2.53352, 2.6036, 2.7162, 2.77687, 2.83514, 2.85561, 2.87104, 2.88619, 2.90674, 2.91443, 2.92808, 2.96867, 2.9906, 3.00258, 3.02653, 3.03815, 3.05364, 3.06212, 3.07218, 3.08241, 3.10285, 3.1095, 3.1396, 3.19061, 3.22961, 3.26415, 3.30186, 3.32112, 3.34841, 3.37644, 3.39785, 3.44067, 3.48396");
+            index_3 ("1.94509, 2.53352, 2.6036, 2.7162, 2.77686, 2.83514, 2.85561, 2.87104, 2.88619, 2.90673, 2.91443, 2.92808, 2.96867, 2.9906, 3.00258, 3.02653, 3.03815, 3.05364, 3.06212, 3.07218, 3.0824, 3.10285, 3.1095, 3.1396, 3.19061, 3.22961, 3.26415, 3.30186, 3.32112, 3.34841, 3.37644, 3.39785, 3.44067, 3.48396");
             values ( \
-              "3.595e-07, 1.94337e-05, 0.000268732, 0.00174843, 0.0016611, 0.000663969, 0.000560573, 0.00151908, 0.00478496, 0.0151183, 0.0215118, 0.0358056, 0.0893051, 0.11354, 0.123567, 0.136283, 0.139419, 0.141271, 0.141203, 0.140281, 0.138623, 0.132516, 0.129629, 0.110927, 0.0725195, 0.0482673, 0.0323778, 0.0203465, 0.0159065, 0.0111403, 0.00770771, 0.00578167, 0.00321477, 0.00198938" \
+              "3.595e-07, 1.94336e-05, 0.000268733, 0.00174843, 0.0016611, 0.000663969, 0.000560574, 0.00151908, 0.00478496, 0.0151183, 0.0215118, 0.0358056, 0.0893051, 0.11354, 0.123567, 0.136283, 0.139419, 0.141271, 0.141203, 0.140281, 0.138623, 0.132516, 0.129629, 0.110927, 0.0725195, 0.0482673, 0.0323778, 0.0203465, 0.0159065, 0.0111403, 0.00770771, 0.00578167, 0.00321477, 0.00198938" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.081505");
-            index_3 ("1.96585, 2.52411, 2.58681, 2.64543, 2.74276, 2.8841, 2.92251, 3.03257, 3.07927, 3.11642, 3.16247, 3.20253, 3.34066, 3.3996, 3.48546, 3.58912, 3.68723, 3.7852, 3.82305, 3.88821, 3.9409, 4.00272, 4.16539, 4.29585, 4.4212, 4.49746, 4.59419, 4.64846, 4.757, 4.82734, 4.93513, 5.07884, 5.27861");
+            index_3 ("1.96585, 2.52411, 2.58681, 2.64543, 2.74276, 2.8841, 2.92252, 3.03257, 3.07927, 3.11642, 3.16247, 3.20254, 3.34066, 3.3996, 3.48546, 3.58913, 3.68723, 3.7852, 3.82305, 3.88821, 3.9409, 4.00272, 4.16539, 4.29585, 4.4212, 4.49746, 4.59419, 4.64846, 4.757, 4.82734, 4.93513, 5.07884, 5.27861");
             values ( \
-              "1.5327e-06, 3.55001e-05, 0.000250437, 0.00158096, 0.00570626, 0.00894257, 0.00849852, 0.00407442, 0.00469919, 0.00860641, 0.0184431, 0.0313835, 0.0895521, 0.111605, 0.134522, 0.148406, 0.151239, 0.14748, 0.144514, 0.137257, 0.129223, 0.117746, 0.0838762, 0.0595041, 0.0409168, 0.0320906, 0.0232952, 0.0193898, 0.0132665, 0.0103606, 0.00705686, 0.00417492, 0.0021812" \
+              "1.5327e-06, 3.55001e-05, 0.000250437, 0.00158096, 0.00570626, 0.00894257, 0.00849852, 0.00407442, 0.00469918, 0.00860641, 0.0184431, 0.0313835, 0.0895521, 0.111605, 0.134522, 0.148406, 0.151239, 0.14748, 0.144514, 0.137257, 0.129223, 0.117746, 0.0838762, 0.0595041, 0.0409168, 0.0320906, 0.0232952, 0.0193898, 0.0132665, 0.0103606, 0.00705686, 0.00417492, 0.0021812" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.32838");
-            index_3 ("2.03109, 2.52472, 2.60202, 2.89159, 2.95053, 3.35922, 3.53071, 3.68134, 3.76859, 3.87933, 4.02698, 4.33893, 4.91203, 5.24093, 5.41118, 5.7517, 5.96281, 6.21035, 6.60843, 7.00943, 7.36745, 8.32087, 8.70721, 9.31931, 9.86198, 10.1468, 10.5493, 10.9649, 11.2827, 11.9184, 12.5857");
+            index_3 ("2.03109, 2.52472, 2.60202, 2.89159, 2.95053, 3.35922, 3.53071, 3.68134, 3.76859, 3.87933, 4.02698, 4.33893, 4.91203, 5.24093, 5.41118, 5.7517, 5.96281, 6.21035, 6.60843, 7.00943, 7.36745, 8.32087, 8.7072, 9.31931, 9.86198, 10.1468, 10.5493, 10.9649, 11.2827, 11.9184, 12.5857");
             values ( \
-              "4.51473e-06, 5.239e-05, 0.000500049, 0.0177946, 0.0192751, 0.0090992, 0.00618752, 0.00476714, 0.00483567, 0.00641551, 0.0117037, 0.03536, 0.097737, 0.126087, 0.136367, 0.149041, 0.152393, 0.15324, 0.149308, 0.139118, 0.124318, 0.0746732, 0.0569693, 0.0354716, 0.0225166, 0.0176093, 0.0123023, 0.00848192, 0.00636823, 0.00349906, 0.00211124" \
+              "4.51473e-06, 5.239e-05, 0.000500048, 0.0177946, 0.0192751, 0.00909921, 0.00618752, 0.00476714, 0.00483567, 0.00641551, 0.0117038, 0.0353599, 0.097737, 0.126087, 0.136367, 0.149041, 0.152393, 0.15324, 0.149308, 0.139118, 0.124318, 0.0746733, 0.0569695, 0.0354715, 0.0225167, 0.0176093, 0.0123023, 0.00848191, 0.00636821, 0.00349903, 0.00211124" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.32303");
-            index_3 ("2.09915, 2.52611, 2.62204, 3.0095, 4.1691, 5.63405, 6.55765, 7.27451, 8.23033, 11.1492, 13.1539, 14.4748, 15.4566, 16.3605, 17.9245, 19.5687, 21.3957, 26.6557, 28.9608, 30.8023, 34.1298, 37.6298, 39.3147");
+            index_3 ("2.09927, 2.52624, 2.62217, 3.00962, 4.16922, 5.6342, 6.55776, 7.27455, 8.23027, 11.1493, 13.1541, 14.475, 15.4569, 16.3607, 17.9246, 19.5688, 21.3959, 26.6559, 28.961, 30.8025, 34.13, 37.63, 39.31");
             values ( \
-              "6.64582e-06, 5.99246e-05, 0.000972344, 0.0253975, 0.0144864, 0.00662599, 0.0051212, 0.00836864, 0.021488, 0.0978314, 0.136884, 0.149348, 0.153173, 0.153784, 0.14992, 0.139582, 0.119937, 0.0544199, 0.0347305, 0.0236612, 0.0114289, 0.00518358, 0.00386495" \
+              "6.64582e-06, 5.99246e-05, 0.000972344, 0.0253975, 0.0144864, 0.00662589, 0.00512118, 0.00836791, 0.0214845, 0.0978309, 0.136888, 0.14935, 0.153175, 0.153785, 0.149921, 0.139582, 0.119937, 0.0544199, 0.0347305, 0.0236612, 0.0114289, 0.00518359, 0.00386878" \
             );
           }
           vector (ccs_template) {
@@ -149025,9 +164409,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.081505");
-            index_3 ("0.0828885, 0.12414, 0.143768, 0.151363, 0.213523, 0.229274, 0.244954, 0.261748, 0.277818, 0.315012, 0.454254, 0.490806, 0.525405, 0.543353, 0.567283, 0.601661, 0.66604, 0.788918, 0.869411, 0.914329, 1.00417, 1.01796, 1.04555, 1.10072, 1.14603, 1.2139, 1.25873, 1.3166, 1.37658, 1.4314, 1.63223, 1.69341, 1.77306, 1.83177, 1.89222, 1.97251, 2.04362, 2.13845, 2.22043, 2.33026, 2.4767, 2.74713, 3.08128");
+            index_3 ("0.0828885, 0.12414, 0.143768, 0.151363, 0.213523, 0.229274, 0.244954, 0.261748, 0.277818, 0.315012, 0.454254, 0.490806, 0.525405, 0.543353, 0.567283, 0.601661, 0.66604, 0.788918, 0.869411, 0.914329, 1.00417, 1.01796, 1.04555, 1.10072, 1.14603, 1.2139, 1.25873, 1.3166, 1.37659, 1.4314, 1.63223, 1.69341, 1.77306, 1.83177, 1.89222, 1.97251, 2.04362, 2.13845, 2.22043, 2.33026, 2.47669, 2.74713, 3.08127");
             values ( \
-              "0.000265027, 0.000271637, 0.000491715, 0.000919408, 0.0104825, 0.0118639, 0.0125153, 0.0125785, 0.0121722, 0.010716, 0.00395073, 0.00366604, 0.00571036, 0.00793505, 0.0122126, 0.0210589, 0.0445574, 0.0970719, 0.123681, 0.13437, 0.147032, 0.148248, 0.149695, 0.151092, 0.150469, 0.147302, 0.143766, 0.137248, 0.127997, 0.117652, 0.0762199, 0.0647892, 0.0515142, 0.0431265, 0.0357025, 0.027432, 0.0216174, 0.0156279, 0.0116832, 0.00790605, 0.00466156, 0.00156943, 0.000410972" \
+              "0.000265027, 0.000271637, 0.000491715, 0.000919408, 0.0104825, 0.0118639, 0.0125153, 0.0125785, 0.0121722, 0.010716, 0.00395073, 0.00366604, 0.00571036, 0.00793505, 0.0122126, 0.0210589, 0.0445574, 0.0970719, 0.123681, 0.13437, 0.147032, 0.148248, 0.149695, 0.151092, 0.150469, 0.147302, 0.143766, 0.137248, 0.127997, 0.117652, 0.0762195, 0.0647884, 0.051514, 0.0431268, 0.0357027, 0.027432, 0.0216173, 0.0156277, 0.0116831, 0.00790611, 0.00466166, 0.00156948, 0.000410988" \
             );
           }
           vector (ccs_template) {
@@ -149162,7 +164546,7 @@
             index_2 ("0.00124625");
             index_3 ("2.77226, 2.78256, 2.79162, 2.79441, 2.80231, 2.80432, 2.80685, 2.80814, 2.80944, 2.81073, 2.81202, 2.81325, 2.81448, 2.81571, 2.81694, 2.81817, 2.81939, 2.82062, 2.82185, 2.82319, 2.82534, 2.82749, 2.82912, 2.83021, 2.83129, 2.83238, 2.83347, 2.83455, 2.83564, 2.83666, 2.83818, 2.83917, 2.84017, 2.84116, 2.84215, 2.84314, 2.84414, 2.84513, 2.84696, 2.84883, 2.84946, 2.85072, 2.85198, 2.85323, 2.85449, 2.85575, 2.85701, 2.85785, 2.85955, 2.86162");
             values ( \
-              "0.0158438, 0.017186, 0.0269492, 0.0299075, 0.0379094, 0.0398489, 0.0421841, 0.0432701, 0.0442929, 0.0452526, 0.0461491, 0.0460575, 0.0458896, 0.0456454, 0.0453248, 0.044929, 0.0444572, 0.0439094, 0.0432856, 0.0407161, 0.0360789, 0.0313033, 0.0278819, 0.0259058, 0.0240741, 0.0224482, 0.0209255, 0.019506, 0.0181897, 0.0171337, 0.0154106, 0.0143451, 0.0133893, 0.0124967, 0.0117027, 0.0109485, 0.0102341, 0.00955947, 0.00843269, 0.00733647, 0.00698395, 0.00637435, 0.00581693, 0.00534306, 0.00490045, 0.00448912, 0.00410907, 0.00388354, 0.00344912, 0.00294831" \
+              "0.0158439, 0.017186, 0.0269492, 0.0299076, 0.0379094, 0.039849, 0.0421841, 0.0432701, 0.0442929, 0.0452525, 0.0461491, 0.0460575, 0.0458896, 0.0456454, 0.0453248, 0.044929, 0.0444572, 0.0439094, 0.0432856, 0.0407161, 0.0360789, 0.0313033, 0.0278819, 0.0259058, 0.0240741, 0.0224482, 0.0209255, 0.019506, 0.0181897, 0.0171337, 0.0154106, 0.0143451, 0.0133893, 0.0124967, 0.0117027, 0.0109485, 0.0102341, 0.00955947, 0.00843269, 0.00733647, 0.00698395, 0.00637435, 0.00581693, 0.00534306, 0.00490045, 0.00448912, 0.00410907, 0.00388354, 0.00344912, 0.00294831" \
             );
           }
           vector (ccs_template) {
@@ -149171,16 +164555,16 @@
             index_2 ("0.0050211");
             index_3 ("2.78965, 2.8133, 2.81546, 2.82523, 2.86288, 2.87261, 2.88169, 2.89072, 2.89974, 2.91254, 2.92671, 2.93732, 2.945, 2.95144, 2.96112, 2.96961, 2.97993, 2.9937, 3.0191, 3.04888");
             values ( \
-              "4.12057e-05, 0.0152562, 0.0175238, 0.0310562, 0.0883105, 0.0975899, 0.101408, 0.0990676, 0.0881402, 0.0628114, 0.040038, 0.0277434, 0.0209196, 0.0164346, 0.0113102, 0.00807751, 0.00535169, 0.00305095, 0.000979231, 0.000257153" \
+              "4.11979e-05, 0.0152562, 0.0175238, 0.0310562, 0.0883105, 0.0975899, 0.101408, 0.0990676, 0.0881402, 0.0628114, 0.040038, 0.0277434, 0.0209196, 0.0164346, 0.0113102, 0.00807751, 0.00535169, 0.00305095, 0.000979231, 0.000257153" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202298");
-            index_3 ("1.91206, 2.55172, 2.6075, 2.68172, 2.7167, 2.7395, 2.77208, 2.80687, 2.83361, 2.85535, 2.87156, 2.887, 2.9077, 2.92068, 2.93772, 2.96927, 2.99174, 3.00321, 3.02614, 3.03103, 3.04081, 3.05709, 3.08301, 3.08611, 3.09568, 3.1135, 3.13372, 3.17473, 3.19834, 3.22532, 3.24826, 3.26419, 3.28506, 3.30302, 3.32208, 3.34922, 3.36099, 3.38453, 3.43161, 3.50913, 3.60117");
+            index_3 ("1.91206, 2.55172, 2.6075, 2.68172, 2.7167, 2.7395, 2.77208, 2.80687, 2.83361, 2.85535, 2.87156, 2.887, 2.9077, 2.92068, 2.93772, 2.96927, 2.99174, 3.00321, 3.02614, 3.03103, 3.04081, 3.05709, 3.08301, 3.08611, 3.09568, 3.1135, 3.13371, 3.17474, 3.19832, 3.22528, 3.2483, 3.26429, 3.28508, 3.30297, 3.32205, 3.3492, 3.37671, 3.39767, 3.4396, 3.52129, 3.61766");
             values ( \
-              "2.74543e-07, 4.04817e-05, 0.000316623, 0.00143083, 0.00174487, 0.00184945, 0.00171453, 0.00121948, 0.000682726, 0.000536587, 0.0015174, 0.00488998, 0.0154144, 0.0267632, 0.0469783, 0.089197, 0.113968, 0.123491, 0.13587, 0.137532, 0.139758, 0.141289, 0.138682, 0.138009, 0.135355, 0.127996, 0.115638, 0.0844074, 0.0676389, 0.0508665, 0.0393174, 0.0326104, 0.0252572, 0.0201863, 0.0158454, 0.0110967, 0.00952705, 0.00694982, 0.00364157, 0.00112963, 0.00027311" \
+              "2.74543e-07, 4.04816e-05, 0.000316622, 0.00143083, 0.00174487, 0.00184945, 0.00171453, 0.00121948, 0.000682727, 0.000536586, 0.0015174, 0.00488997, 0.0154144, 0.0267632, 0.0469785, 0.089197, 0.113968, 0.123491, 0.13587, 0.137532, 0.139758, 0.141289, 0.138682, 0.138009, 0.135355, 0.127997, 0.115646, 0.0844054, 0.0676509, 0.05089, 0.0392972, 0.0325696, 0.025249, 0.0201995, 0.0158522, 0.0110995, 0.00773239, 0.00585076, 0.00328295, 0.000953805, 0.00019632" \
             );
           }
           vector (ccs_template) {
@@ -149216,7 +164600,7 @@
             index_2 ("0.00124625");
             index_3 ("9.80176, 9.8146, 9.8176, 9.82359, 9.83569, 9.85814, 9.86638, 9.87383, 9.88077, 9.8876, 9.89441, 9.89996, 9.90695, 9.91251, 9.91783, 9.92452, 9.93011, 9.93513, 9.94816, 9.9617, 9.97428, 10.0097");
             values ( \
-              "0.00304237, 0.00395094, 0.00478317, 0.00730188, 0.0142617, 0.0254384, 0.0288997, 0.0314761, 0.033035, 0.0328578, 0.0269215, 0.0193645, 0.0130844, 0.00943488, 0.0068309, 0.0045594, 0.00325389, 0.00244264, 0.00122854, 0.000634257, 0.000346447, 4.2166e-05" \
+              "0.00304238, 0.00395094, 0.00478317, 0.00730188, 0.0142617, 0.0254384, 0.0288997, 0.0314761, 0.033035, 0.0328578, 0.0269215, 0.0193645, 0.0130844, 0.00943488, 0.0068309, 0.0045594, 0.00325389, 0.00244264, 0.00122854, 0.000634257, 0.000346447, 4.2166e-05" \
             );
           }
           vector (ccs_template) {
@@ -149225,7 +164609,7 @@
             index_2 ("0.0050211");
             index_3 ("6.41607, 9.37195, 9.47605, 9.54238, 9.60194, 9.63308, 9.6491, 9.6699, 9.68817, 9.71593, 9.75683, 9.80465, 9.86752, 9.87554, 9.88471, 9.89052, 9.90759, 9.9185, 9.92899, 9.95626, 9.96089, 9.96618, 9.96788, 9.97128, 9.97813, 9.98833, 9.99329, 9.9986, 10.0068, 10.0161, 10.0209, 10.0264, 10.0337, 10.0392, 10.0414, 10.0457, 10.0543, 10.0605, 10.0626, 10.0668, 10.0753, 10.0921, 10.0982");
             values ( \
-              "1e-22, 1.70699e-06, 1.65155e-05, 4.85639e-05, 8.62879e-05, 9.02797e-05, 8.52132e-05, 6.9567e-05, 4.1285e-05, 6.6157e-06, 1e-22, 2e-22, 0.00571854, 0.00968029, 0.0153158, 0.0197386, 0.0332252, 0.0422914, 0.0514487, 0.077489, 0.0815415, 0.0854225, 0.086443, 0.0880876, 0.0892681, 0.0825077, 0.0744172, 0.0652206, 0.0518284, 0.0388972, 0.0333214, 0.0276187, 0.0212674, 0.0173725, 0.0160355, 0.0136294, 0.00977258, 0.00768077, 0.00707408, 0.00599295, 0.00428504, 0.0021343, 0.00179991" \
+              "1e-22, 1.70699e-06, 1.65155e-05, 4.85639e-05, 8.62879e-05, 9.02797e-05, 8.52132e-05, 6.9567e-05, 4.1285e-05, 6.6157e-06, 1e-22, 2e-22, 0.00571854, 0.00968032, 0.0153159, 0.0197386, 0.0332252, 0.0422919, 0.0514487, 0.077489, 0.0815416, 0.0854226, 0.086443, 0.0880875, 0.0892681, 0.0825077, 0.0744173, 0.0652208, 0.051828, 0.038897, 0.0333213, 0.027619, 0.0212678, 0.0173725, 0.0160354, 0.013629, 0.00977204, 0.00768063, 0.00707405, 0.00599307, 0.00428534, 0.00213469, 0.00180001" \
             );
           }
           vector (ccs_template) {
@@ -149234,7 +164618,7 @@
             index_2 ("0.0202298");
             index_3 ("9.96594, 10.0082, 10.0864, 10.0977, 10.1204, 10.1364, 10.1519, 10.1779, 10.2051, 10.2352, 10.2861, 10.3251, 10.3602, 10.3972, 10.4439, 10.4938, 10.537, 10.5597");
             values ( \
-              "0.0125575, 0.0219381, 0.112924, 0.122294, 0.134979, 0.139368, 0.140809, 0.13848, 0.129512, 0.110862, 0.0725839, 0.0482889, 0.0321967, 0.0204007, 0.0111477, 0.00575897, 0.00317496, 0.00254949" \
+              "0.0125575, 0.0219381, 0.112924, 0.122294, 0.134979, 0.139368, 0.140809, 0.13848, 0.129512, 0.110862, 0.0725839, 0.0482889, 0.0321967, 0.0204007, 0.0111477, 0.00575897, 0.00317496, 0.00254948" \
             );
           }
           vector (ccs_template) {
@@ -149243,16 +164627,16 @@
             index_2 ("0.081505");
             index_3 ("6.44505, 9.44658, 9.57647, 9.75037, 9.87529, 9.98306, 10.0278, 10.1273, 10.1745, 10.2549, 10.535, 10.5765, 10.6596, 10.7205, 10.7777, 10.878, 10.9788, 11.0656, 11.3977, 11.5961, 11.7283, 11.8233, 11.9619, 12.055, 12.2411, 12.3077");
             values ( \
-              "1.34696e-08, 6.80356e-05, 0.000660807, 0.00275217, 0.00352709, 0.00853491, 0.0081407, 0.00437319, 0.00530226, 0.0190914, 0.125063, 0.134637, 0.146619, 0.150335, 0.151034, 0.147222, 0.137216, 0.123161, 0.0574487, 0.0311744, 0.0200563, 0.0144038, 0.00886415, 0.00632157, 0.00317243, 0.00267335" \
+              "1.34696e-08, 6.80356e-05, 0.000660807, 0.00275217, 0.00352709, 0.00853491, 0.0081407, 0.00437319, 0.00530226, 0.0190914, 0.125063, 0.134637, 0.146619, 0.150335, 0.151034, 0.147222, 0.137216, 0.123161, 0.0574486, 0.0311744, 0.0200563, 0.0144037, 0.00886416, 0.00632159, 0.00317246, 0.00267336" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.32838");
-            index_3 ("6.58343, 9.50944, 9.63399, 9.87653, 9.98356, 10.0537, 10.3904, 10.6623, 10.8037, 10.9394, 11.1203, 11.482, 11.9974, 12.3178, 12.4969, 12.8551, 13.0148, 13.2277, 13.4351, 13.6866, 14.1304, 14.5515, 15.3757, 15.9107, 16.3654, 16.9265, 17.4756, 17.808, 18.2941, 18.9423, 19.5517");
+            index_3 ("6.58343, 9.50944, 9.63399, 9.87653, 9.98356, 10.0537, 10.3904, 10.6623, 10.8037, 10.9394, 11.1203, 11.482, 11.9974, 12.3178, 12.4969, 12.8551, 13.0148, 13.2277, 13.4351, 13.6866, 14.1304, 14.5515, 15.3757, 15.9107, 16.3654, 16.9265, 17.4756, 17.808, 18.2942, 18.9423, 19.5517");
             values ( \
-              "1.99592e-07, 0.000294176, 0.0017881, 0.00723882, 0.01646, 0.0187292, 0.0104557, 0.0057287, 0.0047466, 0.00587183, 0.0119961, 0.0408962, 0.0974536, 0.125317, 0.1362, 0.149408, 0.152021, 0.153246, 0.15244, 0.149351, 0.137933, 0.1195, 0.0762464, 0.0522784, 0.0366476, 0.0230389, 0.0142658, 0.0106139, 0.00684137, 0.00372865, 0.00237315" \
+              "1.99592e-07, 0.000294176, 0.0017881, 0.00723882, 0.01646, 0.0187292, 0.0104557, 0.0057287, 0.0047466, 0.00587183, 0.0119961, 0.0408962, 0.0974536, 0.125317, 0.1362, 0.149408, 0.152021, 0.153246, 0.15244, 0.149351, 0.137933, 0.1195, 0.0762465, 0.0522784, 0.0366476, 0.0230389, 0.0142658, 0.0106139, 0.00684136, 0.00372864, 0.00237315" \
             );
           }
           vector (ccs_template) {
@@ -149261,7 +164645,7 @@
             index_2 ("1.32303");
             index_3 ("6.95142, 9.53073, 10.114, 11.5504, 12.8801, 13.7737, 14.4204, 15.7138, 18.8803, 20.2014, 21.0877, 21.8549, 23.176, 23.909, 24.9834, 26.628, 28.4535, 33.723, 36.0118, 37.868, 41.1966, 44.6653, 46.8754");
             values ( \
-              "9.88633e-07, 0.000578777, 0.0251526, 0.0123551, 0.00605275, 0.00535294, 0.00897843, 0.0301114, 0.113261, 0.136424, 0.145797, 0.150697, 0.153766, 0.153086, 0.149842, 0.139568, 0.11998, 0.0543514, 0.0347967, 0.0236396, 0.0114104, 0.0052106, 0.00347454" \
+              "9.88633e-07, 0.000578778, 0.0251526, 0.0123551, 0.00605275, 0.00535294, 0.00897843, 0.0301114, 0.113261, 0.136424, 0.145797, 0.150697, 0.153766, 0.153086, 0.149842, 0.139568, 0.11998, 0.0543514, 0.0347967, 0.0236396, 0.0114104, 0.0052106, 0.00347455" \
             );
           }
         }
@@ -149326,7 +164710,7 @@
             "0.235566, 0.290231, 0.478295, 1.17911, 3.98952, 15.3181", \
             "0.276829, 0.331485, 0.519516, 1.21994, 4.03204, 15.3558", \
             "0.412857, 0.470123, 0.661043, 1.36301, 4.1735, 15.4961", \
-            "0.729254, 0.799003, 0.999782, 1.70236, 4.51498, 15.837", \
+            "0.729254, 0.799003, 0.999782, 1.70236, 4.51498, 15.8371", \
             "1.53973, 1.63385, 1.84349, 2.54179, 5.34987, 16.6733" \
           );
         }
@@ -149338,7 +164722,7 @@
             "0.0262575, 0.0554828, 0.174198, 0.659674, 2.61823, 10.5044", \
             "0.0262163, 0.055446, 0.174308, 0.659751, 2.61824, 10.5028", \
             "0.0274231, 0.0562898, 0.174412, 0.659905, 2.6183, 10.5046", \
-            "0.0334952, 0.0608203, 0.174775, 0.659675, 2.61789, 10.5045", \
+            "0.0334952, 0.0608203, 0.174775, 0.659675, 2.61789, 10.5044", \
             "0.0467441, 0.0719795, 0.175325, 0.659512, 2.61825, 10.5059" \
           );
         }
@@ -149349,7 +164733,7 @@
             index_2 ("0.00124625");
             index_3 ("0.207499, 0.215487, 0.21836, 0.219888, 0.221416, 0.222434, 0.224471, 0.22503, 0.225309, 0.226147, 0.226705, 0.227822, 0.228947, 0.229891, 0.230646, 0.232435, 0.234, 0.234969, 0.235937, 0.236906, 0.237623, 0.238363, 0.239012, 0.239661, 0.240756, 0.24112, 0.244007, 0.246038, 0.247782, 0.248363, 0.250107, 0.251156, 0.252204, 0.253252, 0.2543, 0.257492, 0.257803, 0.259048, 0.260292, 0.26123, 0.263106, 0.264336, 0.265212, 0.26638, 0.267548, 0.268716, 0.271053, 0.272221, 0.274058, 0.277314");
             values ( \
-              "0.0300217, 0.0341081, 0.0404686, 0.0435365, 0.0463698, 0.0481531, 0.0515114, 0.0523837, 0.0527584, 0.053813, 0.0544196, 0.0555707, 0.0566459, 0.0574647, 0.0580547, 0.0578802, 0.0576203, 0.0574092, 0.0571597, 0.0568719, 0.0560193, 0.0549186, 0.0537538, 0.0524153, 0.0497374, 0.0487727, 0.0401994, 0.0345004, 0.0300518, 0.028822, 0.0253982, 0.0235491, 0.0218324, 0.0202483, 0.0187967, 0.0148067, 0.014442, 0.0132012, 0.0120466, 0.0112688, 0.00978875, 0.00887303, 0.00824728, 0.00751854, 0.00685439, 0.0062984, 0.0052931, 0.00484378, 0.00423354, 0.00324743" \
+              "0.0300217, 0.0341081, 0.0404686, 0.0435365, 0.0463698, 0.0481531, 0.0515114, 0.0523837, 0.0527584, 0.053813, 0.0544196, 0.0555707, 0.0566459, 0.0574647, 0.0580547, 0.0578802, 0.0576203, 0.0574092, 0.0571597, 0.0568719, 0.0560193, 0.0549187, 0.0537538, 0.0524153, 0.0497373, 0.0487727, 0.0401994, 0.0345004, 0.0300518, 0.028822, 0.0253982, 0.0235491, 0.0218324, 0.0202483, 0.0187967, 0.0148067, 0.014442, 0.0132012, 0.0120466, 0.0112688, 0.00978875, 0.00887303, 0.00824728, 0.00751854, 0.00685439, 0.0062984, 0.0052931, 0.00484378, 0.00423354, 0.00324743" \
             );
           }
           vector (ccs_template) {
@@ -149392,7 +164776,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("1.32303");
-            index_3 ("0.0514109, 0.117786, 0.223704, 0.357064, 1.15666, 1.97464, 2.48639, 3.30136, 3.75169, 3.99276, 4.47492, 5.15504, 6.40153, 8.4599, 8.97233, 10.0339, 10.5294, 11.425, 12.1771, 13.4981, 14.2285, 15.3041, 15.9146, 16.9455, 17.7869, 18.7723, 21.7565, 23.7677, 24.7346, 25.9053, 27.1995, 28.2662, 29.7916, 31.1126, 31.8625, 33.1834, 34.5044, 35.8253, 37.1463, 38.4673, 39.7882, 42.4301, 45.072");
+            index_3 ("0.051411, 0.117786, 0.223704, 0.357064, 1.15666, 1.97464, 2.48639, 3.30136, 3.75169, 3.99276, 4.47492, 5.15504, 6.40153, 8.4599, 8.97233, 10.0339, 10.5294, 11.425, 12.1771, 13.4981, 14.2285, 15.3041, 15.9146, 16.9455, 17.7869, 18.7723, 21.7565, 23.7677, 24.7346, 25.9053, 27.1995, 28.2662, 29.7916, 31.1126, 31.8625, 33.1834, 34.5044, 35.8253, 37.1463, 38.4673, 39.7882, 42.4301, 45.072");
             values ( \
               "0.000231291, 0.00178333, 0.0209744, 0.0259318, 0.0178029, 0.0116145, 0.00885073, 0.00571872, 0.00501834, 0.00519805, 0.00700406, 0.0138925, 0.0393096, 0.0959861, 0.108622, 0.12949, 0.136676, 0.146141, 0.150821, 0.153869, 0.153168, 0.149885, 0.146854, 0.139569, 0.131426, 0.119973, 0.080645, 0.0571382, 0.0477193, 0.0379355, 0.0290567, 0.0232144, 0.016695, 0.0125021, 0.0105446, 0.00786415, 0.00579001, 0.00431521, 0.00315129, 0.00235882, 0.00170392, 0.000915078, 0.000486631" \
             );
@@ -149448,7 +164832,7 @@
             index_2 ("1.32303");
             index_3 ("0.091762, 0.262249, 0.392032, 1.11144, 1.85685, 2.40215, 3.21285, 3.62135, 4.13322, 4.81572, 5.72925, 6.69145, 8.57791, 9.84643, 10.5821, 11.903, 12.2305, 12.8855, 13.7889, 15.1099, 15.3529, 15.8389, 16.9971, 18.0471, 18.8241, 21.8052, 23.6443, 24.8349, 26.2117, 27.4534, 28.4391, 29.5807, 30.9016, 32.3213, 34.3402, 35.6612, 38.3031, 40.945, 44.9079");
             values ( \
-              "0.000200992, 0.0208128, 0.0259414, 0.0186304, 0.0126605, 0.00943522, 0.00611305, 0.00516578, 0.00536428, 0.00940918, 0.0229446, 0.0458739, 0.0979322, 0.125625, 0.136876, 0.149349, 0.151028, 0.153177, 0.153779, 0.15091, 0.149915, 0.147582, 0.139586, 0.129177, 0.119932, 0.0806916, 0.0590056, 0.0472415, 0.0360047, 0.0278786, 0.0226411, 0.0176792, 0.0132261, 0.00963319, 0.00610291, 0.00452489, 0.00246789, 0.00134312, 0.000526323" \
+              "0.000200992, 0.0208128, 0.0259414, 0.0186303, 0.0126605, 0.00943522, 0.00611305, 0.00516578, 0.00536428, 0.00940918, 0.0229446, 0.0458739, 0.0979322, 0.125625, 0.136876, 0.149349, 0.151028, 0.153177, 0.153779, 0.15091, 0.149915, 0.147582, 0.139586, 0.129177, 0.119932, 0.0806916, 0.0590056, 0.0472415, 0.0360047, 0.0278786, 0.0226411, 0.0176792, 0.0132261, 0.00963319, 0.00610291, 0.00452489, 0.00246789, 0.00134312, 0.000526323" \
             );
           }
           vector (ccs_template) {
@@ -149581,36 +164965,36 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202298");
-            index_3 ("1.94509, 2.53352, 2.6036, 2.7162, 2.77687, 2.83514, 2.85561, 2.87104, 2.88619, 2.90674, 2.91443, 2.92808, 2.96867, 2.9906, 3.00258, 3.02653, 3.03815, 3.05364, 3.06212, 3.07218, 3.08241, 3.10285, 3.1095, 3.1396, 3.19061, 3.22961, 3.26415, 3.30186, 3.32112, 3.34841, 3.37644, 3.39785, 3.44067, 3.48396");
+            index_3 ("1.94509, 2.53352, 2.6036, 2.7162, 2.77686, 2.83514, 2.85561, 2.87104, 2.88619, 2.90673, 2.91443, 2.92808, 2.96867, 2.9906, 3.00258, 3.02653, 3.03815, 3.05364, 3.06212, 3.07218, 3.0824, 3.10285, 3.1095, 3.1396, 3.19061, 3.22961, 3.26415, 3.30186, 3.32112, 3.34841, 3.37644, 3.39785, 3.44067, 3.48396");
             values ( \
-              "3.595e-07, 1.94337e-05, 0.000268732, 0.00174843, 0.0016611, 0.000663969, 0.000560573, 0.00151908, 0.00478496, 0.0151183, 0.0215118, 0.0358056, 0.0893051, 0.11354, 0.123567, 0.136283, 0.139419, 0.141271, 0.141203, 0.140281, 0.138623, 0.132516, 0.129629, 0.110927, 0.0725195, 0.0482673, 0.0323778, 0.0203465, 0.0159065, 0.0111403, 0.00770771, 0.00578167, 0.00321477, 0.00198938" \
+              "3.595e-07, 1.94336e-05, 0.000268733, 0.00174843, 0.0016611, 0.000663969, 0.000560574, 0.00151908, 0.00478496, 0.0151183, 0.0215118, 0.0358056, 0.0893051, 0.11354, 0.123567, 0.136283, 0.139419, 0.141271, 0.141203, 0.140281, 0.138623, 0.132516, 0.129629, 0.110927, 0.0725195, 0.0482673, 0.0323778, 0.0203465, 0.0159065, 0.0111403, 0.00770771, 0.00578167, 0.00321477, 0.00198938" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.081505");
-            index_3 ("1.96585, 2.52411, 2.58681, 2.64543, 2.74276, 2.8841, 2.92251, 3.03257, 3.07927, 3.11642, 3.16247, 3.20253, 3.34066, 3.3996, 3.48546, 3.58912, 3.68723, 3.7852, 3.82305, 3.88821, 3.9409, 4.00272, 4.16539, 4.29585, 4.4212, 4.49746, 4.59419, 4.64846, 4.757, 4.82734, 4.93513, 5.07884, 5.27861");
+            index_3 ("1.96585, 2.52411, 2.58681, 2.64543, 2.74276, 2.8841, 2.92252, 3.03257, 3.07927, 3.11642, 3.16247, 3.20254, 3.34066, 3.3996, 3.48546, 3.58913, 3.68723, 3.7852, 3.82305, 3.88821, 3.9409, 4.00272, 4.16539, 4.29585, 4.4212, 4.49746, 4.59419, 4.64846, 4.757, 4.82734, 4.93513, 5.07884, 5.27861");
             values ( \
-              "1.5327e-06, 3.55001e-05, 0.000250437, 0.00158096, 0.00570626, 0.00894257, 0.00849852, 0.00407442, 0.00469919, 0.00860641, 0.0184431, 0.0313835, 0.0895521, 0.111605, 0.134522, 0.148406, 0.151239, 0.14748, 0.144514, 0.137257, 0.129223, 0.117746, 0.0838762, 0.0595041, 0.0409168, 0.0320906, 0.0232952, 0.0193898, 0.0132665, 0.0103606, 0.00705686, 0.00417492, 0.0021812" \
+              "1.5327e-06, 3.55001e-05, 0.000250437, 0.00158096, 0.00570626, 0.00894257, 0.00849852, 0.00407442, 0.00469918, 0.00860641, 0.0184431, 0.0313835, 0.0895521, 0.111605, 0.134522, 0.148406, 0.151239, 0.14748, 0.144514, 0.137257, 0.129223, 0.117746, 0.0838762, 0.0595041, 0.0409168, 0.0320906, 0.0232952, 0.0193898, 0.0132665, 0.0103606, 0.00705686, 0.00417492, 0.0021812" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.32838");
-            index_3 ("2.03109, 2.52472, 2.60202, 2.89159, 2.95053, 3.35922, 3.53071, 3.68134, 3.76859, 3.87933, 4.02698, 4.33893, 4.91203, 5.24093, 5.41118, 5.7517, 5.96281, 6.21035, 6.60843, 7.00943, 7.36745, 8.32087, 8.70721, 9.31931, 9.86198, 10.1468, 10.5493, 10.9649, 11.2827, 11.9184, 12.5857");
+            index_3 ("2.03109, 2.52472, 2.60202, 2.89159, 2.95053, 3.35922, 3.53071, 3.68134, 3.76859, 3.87933, 4.02698, 4.33893, 4.91203, 5.24093, 5.41118, 5.7517, 5.96281, 6.21035, 6.60843, 7.00943, 7.36745, 8.32087, 8.7072, 9.31931, 9.86198, 10.1468, 10.5493, 10.9649, 11.2827, 11.9184, 12.5857");
             values ( \
-              "4.51473e-06, 5.239e-05, 0.000500049, 0.0177946, 0.0192751, 0.0090992, 0.00618752, 0.00476714, 0.00483567, 0.00641551, 0.0117037, 0.03536, 0.097737, 0.126087, 0.136367, 0.149041, 0.152393, 0.15324, 0.149308, 0.139118, 0.124318, 0.0746732, 0.0569693, 0.0354716, 0.0225166, 0.0176093, 0.0123023, 0.00848192, 0.00636823, 0.00349906, 0.00211124" \
+              "4.51473e-06, 5.239e-05, 0.000500048, 0.0177946, 0.0192751, 0.00909921, 0.00618752, 0.00476714, 0.00483567, 0.00641551, 0.0117038, 0.0353599, 0.097737, 0.126087, 0.136367, 0.149041, 0.152393, 0.15324, 0.149308, 0.139118, 0.124318, 0.0746733, 0.0569695, 0.0354715, 0.0225167, 0.0176093, 0.0123023, 0.00848191, 0.00636821, 0.00349903, 0.00211124" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("1.32303");
-            index_3 ("2.09915, 2.52611, 2.62204, 3.0095, 4.1691, 5.63405, 6.55765, 7.27451, 8.23033, 11.1492, 13.1539, 14.4748, 15.4566, 16.3605, 17.9245, 19.5687, 21.3957, 26.6557, 28.9608, 30.8023, 34.1298, 37.6298, 39.3147");
+            index_3 ("2.09927, 2.52624, 2.62217, 3.00962, 4.16922, 5.6342, 6.55776, 7.27455, 8.23027, 11.1493, 13.1541, 14.475, 15.4569, 16.3607, 17.9246, 19.5688, 21.3959, 26.6559, 28.961, 30.8025, 34.13, 37.63, 39.31");
             values ( \
-              "6.64582e-06, 5.99246e-05, 0.000972344, 0.0253975, 0.0144864, 0.00662599, 0.0051212, 0.00836864, 0.021488, 0.0978314, 0.136884, 0.149348, 0.153173, 0.153784, 0.14992, 0.139582, 0.119937, 0.0544199, 0.0347305, 0.0236612, 0.0114289, 0.00518358, 0.00386495" \
+              "6.64582e-06, 5.99246e-05, 0.000972344, 0.0253975, 0.0144864, 0.00662589, 0.00512118, 0.00836791, 0.0214845, 0.0978309, 0.136888, 0.14935, 0.153175, 0.153785, 0.149921, 0.139582, 0.119937, 0.0544199, 0.0347305, 0.0236612, 0.0114289, 0.00518359, 0.00386878" \
             );
           }
           vector (ccs_template) {
@@ -149755,8 +165139,8 @@
             "0.00978274, 0.00983079, 0.00974064, 0.00875211, 0.00451213, 0", \
             "0.0096048, 0.0096533, 0.00956616, 0.00857583, 0.00433887, 0", \
             "0.00926652, 0.0093116, 0.00922642, 0.00823595, 0.00399731, 0", \
-            "0.00953723, 0.00958795, 0.00950091, 0.00850553, 0.00426225, 0", \
-            "0.013092, 0.013304, 0.0132193, 0.0122716, 0.00783116, 0", \
+            "0.00953723, 0.00959329, 0.00950091, 0.0084961, 0.00426225, 0", \
+            "0.0132711, 0.0131276, 0.0130367, 0.012088, 0.00801222, 0", \
             "0.0292545, 0.0292516, 0.0291315, 0.0281087, 0.0239506, 0.00690376" \
           );
         }
@@ -149857,7 +165241,7 @@
             "0.0178333, 0.0178827, 0.0177748, 0.0167678, 0.0125204, -0.00452738", \
             "0.0177382, 0.017787, 0.0176796, 0.0166727, 0.0124204, -0.00463081", \
             "0.0175117, 0.0175616, 0.0174516, 0.0164461, 0.0122045, -0.00484815", \
-            "0.0176396, 0.0176877, 0.0175231, 0.0165354, 0.0122823, -0.00480295", \
+            "0.0176396, 0.0176877, 0.0175231, 0.0165354, 0.0122726, -0.00480295", \
             "0.0196579, 0.0196889, 0.0195832, 0.0186384, 0.0143151, -0.0027116", \
             "0.0309034, 0.0308935, 0.0307483, 0.0297245, 0.025544, 0.00850708" \
           );
@@ -149959,7 +165343,7 @@
             "0.01526, 0.0153074, 0.0152016, 0.0141929, 0.00994061, -0.00244156", \
             "0.0151594, 0.0152068, 0.0151028, 0.0140976, 0.00984883, -0.00233416", \
             "0.0149362, 0.0149894, 0.0148804, 0.0138674, 0.00961359, -0.00218739", \
-            "0.0150666, 0.0151113, 0.0149512, 0.0140098, 0.00970623, -0.00239093", \
+            "0.0150666, 0.0151166, 0.0149512, 0.0139572, 0.00970623, -0.00239093", \
             "0.0171137, 0.0171504, 0.0170314, 0.016101, 0.0117073, -0.00458685", \
             "0.0284011, 0.0284051, 0.0282601, 0.027235, 0.0230746, 0.00601281" \
           );
@@ -150061,7 +165445,7 @@
             "0.0151909, 0.0152417, 0.0151358, 0.0141281, 0.00988196, -0.00248236", \
             "0.0150957, 0.0151512, 0.0150399, 0.0140322, 0.00977913, -0.00237481", \
             "0.014874, 0.014921, 0.0148152, 0.0138087, 0.00955207, -0.00222049", \
-            "0.015011, 0.0150218, 0.0149047, 0.013914, 0.00967256, -0.00242545", \
+            "0.0150003, 0.0150218, 0.0148944, 0.0139004, 0.00967256, -0.00242545", \
             "0.0170631, 0.0170962, 0.016989, 0.0160393, 0.0117314, -0.00458461", \
             "0.0283299, 0.0283166, 0.0281808, 0.0271527, 0.0229329, 0.00587637" \
           );
@@ -150110,7 +165494,7 @@
             "0.0178333, 0.0178827, 0.0177748, 0.0167678, 0.0125204, -0.00452738", \
             "0.0177382, 0.017787, 0.0176796, 0.0166727, 0.0124204, -0.00463081", \
             "0.0175117, 0.0175616, 0.0174516, 0.0164461, 0.0122045, -0.00484815", \
-            "0.0176396, 0.0176877, 0.0175231, 0.0165354, 0.0122823, -0.00480295", \
+            "0.0176396, 0.0176877, 0.0175231, 0.0165354, 0.0122726, -0.00480295", \
             "0.0196579, 0.0196889, 0.0195832, 0.0186384, 0.0143151, -0.0027116", \
             "0.0309034, 0.0308935, 0.0307483, 0.0297245, 0.025544, 0.00850708" \
           );
@@ -150250,7 +165634,7 @@
             "0.0171999, 0.0172536, 0.0171591, 0.0161604, 0.011921, -0.00514472", \
             "0.0169743, 0.0170296, 0.0169304, 0.0159413, 0.011707, -0.00535061", \
             "0.0168139, 0.0168266, 0.0167155, 0.0157587, 0.0115159, -0.00554078", \
-            "0.0188362, 0.0187461, 0.018599, 0.0176945, 0.0133079, -0.0036711", \
+            "0.0188362, 0.0187461, 0.0186135, 0.0176945, 0.0133079, -0.0036711", \
             "0.0309595, 0.0307659, 0.030373, 0.0292231, 0.0249547, 0.00627212" \
           );
         }
@@ -150345,7 +165729,7 @@
             "0.26449, 0.289495, 0.363772, 0.60385, 1.53808, 5.3002", \
             "0.273472, 0.298509, 0.37276, 0.612804, 1.54702, 5.3092", \
             "0.304738, 0.329747, 0.403991, 0.64409, 1.57829, 5.34045", \
-            "0.358076, 0.382991, 0.456968, 0.696569, 1.63069, 5.3929", \
+            "0.358076, 0.382991, 0.456968, 0.696522, 1.63075, 5.39293", \
             "0.385773, 0.411034, 0.485833, 0.726052, 1.65899, 5.42058", \
             "0.114866, 0.142871, 0.222529, 0.466435, 1.40082, 5.16172" \
           );
@@ -150357,7 +165741,7 @@
             "0.0531354, 0.0721366, 0.143494, 0.438141, 1.67822, 6.6934", \
             "0.0531311, 0.0721426, 0.143491, 0.438143, 1.67823, 6.6934", \
             "0.0531396, 0.0721614, 0.143501, 0.438116, 1.67823, 6.69339", \
-            "0.0529299, 0.0718925, 0.142887, 0.437868, 1.67825, 6.69342", \
+            "0.0529299, 0.0718925, 0.142887, 0.438229, 1.67824, 6.69342", \
             "0.0548164, 0.0735124, 0.144315, 0.437814, 1.67652, 6.69334", \
             "0.0651127, 0.0836173, 0.152313, 0.441086, 1.67811, 6.6947" \
           );
@@ -150594,7 +165978,7 @@
             index_2 ("0.00502263");
             index_3 ("2.46718, 2.49166, 2.49722, 2.50516, 2.51591, 2.52402, 2.52872, 2.53582, 2.54779, 2.55756, 2.55983, 2.56437, 2.5713, 2.57309, 2.58227, 2.59984, 2.60661, 2.61837, 2.62339, 2.63096, 2.63807, 2.66924, 2.68058, 2.69553, 2.70993, 2.73807, 2.77303");
             values ( \
-              "0.000854795, 0.0490719, 0.0561852, 0.0640039, 0.0719091, 0.0755316, 0.0767138, 0.0774716, 0.0756693, 0.0727027, 0.0723626, 0.0692382, 0.0662418, 0.0631267, 0.0526508, 0.0348212, 0.0292393, 0.0210725, 0.0179413, 0.0146095, 0.0136495, 0.00725615, 0.00532266, 0.0034119, 0.00226211, 0.000838725, 0.000309483" \
+              "0.000854795, 0.0490719, 0.0561852, 0.0640039, 0.0719091, 0.0755316, 0.0767138, 0.0774716, 0.0756693, 0.0727027, 0.0723626, 0.0692382, 0.0662418, 0.0631267, 0.0526508, 0.0348214, 0.0292393, 0.0210725, 0.0179412, 0.0146095, 0.0136495, 0.00725615, 0.00532266, 0.0034119, 0.00226211, 0.000838725, 0.000309484" \
             );
           }
           vector (ccs_template) {
@@ -150906,27 +166290,27 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0815796");
-            index_3 ("0.849893, 0.899987, 0.941626, 0.974058, 1.01295, 1.0405, 1.05714, 1.0965, 1.14778, 1.23369, 1.28813, 1.36018, 1.3844, 1.41208, 1.52117, 1.56568, 1.61095, 1.69435, 1.73811, 1.77796, 1.85659, 1.90185, 1.99236, 2.14291, 2.30159, 2.51057");
+            index_3 ("0.851107, 0.899924, 0.941549, 0.975896, 1.01285, 1.04046, 1.0571, 1.09818, 1.14771, 1.23858, 1.28256, 1.32049, 1.36344, 1.44021, 1.56697, 1.61053, 1.70166, 1.7692, 1.82971, 1.89381, 1.94316, 2.04185, 2.17476");
             values ( \
-              "-0.134941, -0.158279, -0.192175, -0.206896, -0.215981, -0.218762, -0.219031, -0.218394, -0.215651, -0.208253, -0.20169, -0.187479, -0.179251, -0.167114, -0.109641, -0.0886965, -0.0704015, -0.0445455, -0.0346773, -0.027457, -0.017128, -0.0129992, -0.00734683, -0.00255607, -0.0008447, -0.000387725" \
+              "-0.142777, -0.158228, -0.192148, -0.207545, -0.215954, -0.218757, -0.219023, -0.218328, -0.215648, -0.207697, -0.202463, -0.196517, -0.18637, -0.152889, -0.0883089, -0.0706832, -0.0428851, -0.0290054, -0.0201772, -0.0137007, -0.0101192, -0.00536231, -0.00221582" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.328781");
-            index_3 ("0.832885, 0.885872, 0.923072, 0.950322, 0.981207, 1.00603, 1.03972, 1.10264, 1.1303, 1.1856, 1.27777, 1.62755, 1.91497, 2.15066, 2.42584, 2.50864, 2.67423, 2.80337, 2.97555, 3.21544, 3.45043, 3.59661, 3.80686, 3.97849, 4.11924, 4.28473, 4.51845, 4.76194, 4.94858, 5.32185, 5.91522, 6.57625");
+            index_3 ("0.877531, 1.00696, 1.04053, 1.08168, 1.13116, 1.18045, 1.27418, 1.50075, 1.89605, 2.15153, 2.42671, 2.5096, 2.67537, 2.80371, 2.97483, 3.21945, 3.45337, 3.59657, 3.80591, 3.97863, 4.12149, 4.28649, 4.51982, 4.7619, 4.94729, 5.31808, 5.91135, 6.57109");
             values ( \
-              "-0.0538791, -0.148895, -0.189878, -0.209192, -0.223519, -0.230859, -0.237139, -0.241016, -0.241627, -0.241781, -0.240516, -0.232778, -0.225864, -0.219582, -0.210558, -0.207028, -0.197495, -0.185965, -0.164146, -0.129471, -0.0981407, -0.0813489, -0.0611236, -0.0478642, -0.0389681, -0.0304082, -0.0212462, -0.0145729, -0.0108551, -0.00591752, -0.00207868, -0.00066588" \
+              "-0.226387, -0.231069, -0.237159, -0.240175, -0.241665, -0.241818, -0.240609, -0.235711, -0.226361, -0.219582, -0.210558, -0.207024, -0.197475, -0.186022, -0.164371, -0.129023, -0.0978873, -0.0814464, -0.0612791, -0.0479136, -0.0388876, -0.0303668, -0.0212297, -0.0145938, -0.0108922, -0.00596397, -0.00209593, -0.000672377" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32505");
-            index_3 ("0.829804, 0.882484, 0.946614, 1.03632, 1.10435, 1.15258, 1.23494, 1.4963, 4.25337, 5.91146, 6.44672, 7.00816, 7.79653, 8.17073, 8.76867, 10.6097, 11.3484, 11.8952, 12.446, 13.1777, 13.8201, 14.4611, 15.2494, 15.9872, 16.4261, 17.2145, 18.0028, 18.7912, 20.368, 21.9447, 23.5215");
+            index_3 ("0.830525, 0.901036, 0.972089, 1.01119, 1.07499, 1.12861, 1.18396, 1.27434, 1.52605, 4.25396, 4.8542, 5.9122, 6.44746, 7.0089, 7.79727, 8.17147, 8.76941, 10.6104, 11.3491, 11.8959, 12.4468, 13.1784, 13.8209, 14.4618, 15.2502, 15.9879, 16.4268, 17.2152, 18.0036, 18.792, 19.5803, 20.3687, 21.9455, 23.5222");
             values ( \
-              "-0.0549306, -0.150617, -0.212415, -0.24229, -0.247522, -0.248989, -0.249681, -0.24856, -0.232716, -0.22196, -0.217848, -0.212812, -0.203019, -0.196163, -0.180433, -0.114973, -0.0915461, -0.076519, -0.0633646, -0.048836, -0.0386072, -0.0303547, -0.0224525, -0.0168817, -0.0142328, -0.0104223, -0.00762388, -0.00555755, -0.00294423, -0.00155799, -0.000827573" \
+              "-0.0548559, -0.173259, -0.225066, -0.237388, -0.245721, -0.248329, -0.249381, -0.249639, -0.248399, -0.232718, -0.229052, -0.22196, -0.217849, -0.212811, -0.20302, -0.196162, -0.180434, -0.114972, -0.0915472, -0.0765201, -0.0633636, -0.0488371, -0.0386084, -0.0303536, -0.0224536, -0.0168805, -0.0142341, -0.0104211, -0.0076251, -0.00555632, -0.00405457, -0.00294299, -0.00155675, -0.000826327" \
             );
           }
           vector (ccs_template) {
@@ -150944,7 +166328,7 @@
             index_2 ("0.00502263");
             index_3 ("2.39969, 2.43038, 2.44334, 2.45864, 2.46862, 2.48349, 2.49322, 2.50661, 2.51031, 2.51608, 2.52684, 2.54648, 2.55887, 2.57009, 2.58313, 2.58957, 2.59816, 2.6983");
             values ( \
-              "-0.000677971, -0.0379113, -0.050525, -0.0628571, -0.0687333, -0.0747231, -0.0774239, -0.0797806, -0.0799501, -0.0794991, -0.0714515, -0.0368914, -0.0209869, -0.011988, -0.0053864, -0.00344507, -0.00230181, -0.000270157" \
+              "-0.000677971, -0.0379113, -0.050525, -0.0628571, -0.0687333, -0.0747231, -0.0774239, -0.0797806, -0.0799501, -0.0794991, -0.0714515, -0.0368915, -0.020987, -0.011988, -0.00538639, -0.00344508, -0.00230182, -0.000270158" \
             );
           }
           vector (ccs_template) {
@@ -150989,7 +166373,7 @@
             index_2 ("0.00124625");
             index_3 ("8.35221, 8.38024, 8.41282, 8.42595, 8.4375, 8.44813, 8.46802, 8.47576, 8.49768, 8.50487, 8.50759, 8.5112, 8.54637, 8.55729, 8.57102, 8.61313, 8.64832");
             values ( \
-              "-0.00061654, -0.00613415, -0.0155365, -0.0185305, -0.0203972, -0.0217538, -0.0233737, -0.0222959, -0.00812331, -0.00435629, -0.00370355, -0.00330381, -0.000857015, -0.00049136, -0.000180765, -1.31967e-05, -4.62447e-05" \
+              "-0.000616542, -0.00613415, -0.0155365, -0.0185305, -0.0203972, -0.0217538, -0.0233737, -0.0222959, -0.00812331, -0.00435629, -0.00370355, -0.00330381, -0.000857015, -0.00049136, -0.000180765, -1.31967e-05, -4.62447e-05" \
             );
           }
           vector (ccs_template) {
@@ -150998,7 +166382,7 @@
             index_2 ("0.00502263");
             index_3 ("8.36124, 8.37002, 8.37723, 8.38457, 8.39424, 8.42515, 8.43128, 8.44083, 8.448, 8.46276, 8.47634, 8.48929, 8.50185, 8.5144, 8.53513, 8.53873, 8.54593, 8.55246, 8.55722, 8.56676, 8.57179, 8.57576, 8.5837, 8.59957, 8.61375, 8.62969, 8.65045");
             values ( \
-              "-0.00726639, -0.0101638, -0.0130713, -0.0169436, -0.0232938, -0.045445, -0.0493391, -0.0548851, -0.0583934, -0.0643872, -0.0684482, -0.0714324, -0.0726902, -0.0659075, -0.034086, -0.0293437, -0.0212942, -0.0156904, -0.0124206, -0.00734527, -0.00508576, -0.0039728, -0.0027246, -0.0022099, -0.0020557, -0.00144277, -0.00090064" \
+              "-0.00726639, -0.0101638, -0.0130713, -0.0169436, -0.0232938, -0.045445, -0.0493391, -0.0548851, -0.0583934, -0.0643872, -0.0684482, -0.0714324, -0.0726902, -0.0659075, -0.034086, -0.0293437, -0.0212942, -0.0156904, -0.0124206, -0.00734527, -0.00508576, -0.0039728, -0.0027246, -0.0022099, -0.0020557, -0.00144277, -0.000900641" \
             );
           }
           vector (ccs_template) {
@@ -151007,7 +166391,7 @@
             index_2 ("0.0202422");
             index_3 ("8.38118, 8.40452, 8.44345, 8.47368, 8.48619, 8.50594, 8.5221, 8.53143, 8.55574, 8.56701, 8.57958, 8.6033, 8.61435, 8.62576, 8.66626, 8.68126, 8.69841, 8.7107, 8.71958, 8.73732, 8.74725, 8.77154, 8.79931, 8.83607, 9.04718");
             values ( \
-              "-0.0368451, -0.0460914, -0.0903931, -0.117817, -0.126693, -0.137841, -0.144465, -0.147367, -0.152374, -0.153417, -0.153565, -0.148564, -0.140934, -0.128082, -0.0722253, -0.0554531, -0.040056, -0.0314548, -0.0263046, -0.0181992, -0.0147757, -0.00876612, -0.00452128, -0.00156546, -0.000253181" \
+              "-0.0368451, -0.0460914, -0.0903931, -0.117817, -0.126693, -0.137841, -0.144465, -0.147367, -0.152374, -0.153417, -0.153565, -0.148564, -0.140934, -0.128082, -0.0722253, -0.0554532, -0.040056, -0.0314547, -0.0263045, -0.018199, -0.0147757, -0.00876623, -0.00452145, -0.00156552, -0.000253194" \
             );
           }
           vector (ccs_template) {
@@ -151076,7 +166460,7 @@
             "0.205144, 0.229581, 0.303645, 0.541852, 1.47532, 5.23717", \
             "0.214922, 0.239359, 0.313421, 0.551607, 1.48508, 5.24692", \
             "0.250153, 0.274567, 0.348604, 0.586806, 1.52027, 5.28212", \
-            "0.3119, 0.336144, 0.410812, 0.648935, 1.58244, 5.34429", \
+            "0.3119, 0.336144, 0.410685, 0.648935, 1.58244, 5.34429", \
             "0.360248, 0.385065, 0.460046, 0.698764, 1.63239, 5.39428", \
             "0.149334, 0.179329, 0.261138, 0.503501, 1.43743, 5.19925" \
           );
@@ -151088,7 +166472,7 @@
             "0.0507822, 0.0703835, 0.142899, 0.435672, 1.67683, 6.69207", \
             "0.0507955, 0.0703907, 0.142904, 0.435738, 1.677, 6.69207", \
             "0.0508185, 0.0704552, 0.142902, 0.435708, 1.67685, 6.69207", \
-            "0.0510875, 0.0707021, 0.143039, 0.435758, 1.67667, 6.69207", \
+            "0.0510875, 0.0707021, 0.142862, 0.435758, 1.67667, 6.69207", \
             "0.0536878, 0.0729664, 0.1444, 0.435869, 1.67683, 6.69203", \
             "0.0741153, 0.091403, 0.155718, 0.43958, 1.67732, 6.69565" \
           );
@@ -151100,7 +166484,7 @@
             "0.00197365, 0.00197367, 0.00197369, 0.0019737, 0.0019737, 0.0019737", \
             "0.00236542, 0.00236541, 0.00236541, 0.00236541, 0.00236541, 0.00236541", \
             "0.00255448, 0.00255447, 0.00255447, 0.00255447, 0.00255447, 0.00255447", \
-            "0.00270553, 0.0027061, 0.00270638, 0.00270553, 0.00270553, 0.00270553", \
+            "0.00270553, 0.0027061, 0.00270553, 0.00270553, 0.00270553, 0.00270553", \
             "0.00286355, 0.00286354, 0.00286354, 0.00286355, 0.00286355, 0.00286355", \
             "0.00307427, 0.00307427, 0.00307429, 0.00307431, 0.00307433, 0.00307434" \
           );
@@ -151112,7 +166496,7 @@
             "0.00254786, 0.00254783, 0.0025478, 0.0025478, 0.0025478, 0.0025478", \
             "0.00255717, 0.00255715, 0.002557, 0.00255698, 0.00255698, 0.00255697", \
             "0.00286989, 0.00286989, 0.00286988, 0.00286987, 0.00286987, 0.00286986", \
-            "0.00330496, 0.00330196, 0.00329885, 0.00329918, 0.00329881, 0.00329872", \
+            "0.00330496, 0.00330196, 0.00330023, 0.00329918, 0.00329881, 0.00329872", \
             "0.00306701, 0.00306688, 0.0030669, 0.00306767, 0.00306656, 0.00306636", \
             "0.00271906, 0.00271878, 0.00271843, 0.00271837, 0.00271915, 0.00271785" \
           );
@@ -151268,7 +166652,7 @@
             index_2 ("0.328781");
             index_3 ("0.33626, 0.376728, 0.405897, 0.428959, 0.482095, 0.524893, 0.544831, 0.569691, 0.600519, 0.630872, 0.687448, 0.919543, 1.39447, 1.64985, 1.92403, 1.99783, 2.14544, 2.21592, 2.35689, 2.53253, 2.82996, 3.01286, 3.14649, 3.28531, 3.46938, 3.63229, 3.84949, 4.03715, 4.1213, 4.2896, 4.6262, 5.22641, 5.84893, 6.63764");
             values ( \
-              "-0.0572584, -0.137324, -0.174501, -0.193553, -0.221376, -0.234929, -0.23844, -0.241029, -0.242624, -0.243057, -0.242739, -0.237814, -0.226572, -0.219769, -0.210757, -0.207635, -0.199556, -0.194263, -0.179811, -0.155857, -0.113484, -0.0905725, -0.0760263, -0.0628721, -0.048392, -0.0381333, -0.0274648, -0.0205941, -0.0180761, -0.0139076, -0.00808842, -0.00284879, -0.000935406, -0.000274361" \
+              "-0.0572584, -0.137324, -0.174501, -0.193553, -0.221376, -0.234929, -0.23844, -0.241029, -0.242624, -0.243057, -0.242739, -0.237814, -0.226572, -0.219769, -0.210757, -0.207635, -0.199556, -0.194263, -0.179811, -0.155857, -0.113484, -0.0905725, -0.0760263, -0.0628721, -0.048392, -0.0381333, -0.0274648, -0.0205941, -0.0180761, -0.0139076, -0.00808843, -0.00284879, -0.000935406, -0.000274361" \
             );
           }
           vector (ccs_template) {
@@ -151302,9 +166686,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0202422");
-            index_3 ("0.786539, 0.824287, 0.842842, 0.859711, 0.873412, 0.896864, 0.928156, 0.949883, 0.961878, 0.9769, 0.998715, 1.0559, 1.08165, 1.11756, 1.14405, 1.17432, 1.17959");
+            index_3 ("0.787994, 0.79923, 0.813211, 0.83099, 0.842406, 0.857725, 0.86305, 0.877534, 0.893954, 0.915538, 0.930399, 0.940082, 0.950409, 0.960214, 0.973288, 0.983298, 0.996645, 1.03174, 1.05535, 1.06574, 1.08395, 1.09596, 1.11349, 1.13269, 1.14763, 1.17751, 1.21637, 1.38831");
             values ( \
-              "-0.0474066, -0.105825, -0.126506, -0.139631, -0.147391, -0.15469, -0.160095, -0.161246, -0.159826, -0.154496, -0.13128, -0.0531892, -0.0320635, -0.0151227, -0.0085036, -0.00405793, -0.00367835" \
+              "-0.0642402, -0.0655901, -0.0901372, -0.114103, -0.1261, -0.138565, -0.141934, -0.14896, -0.153838, -0.158185, -0.160315, -0.161143, -0.161229, -0.160101, -0.156274, -0.149436, -0.133727, -0.0813375, -0.0533558, -0.0436925, -0.0303769, -0.0237099, -0.0163589, -0.0108389, -0.00778426, -0.00369064, -0.00119279, -0.000483476" \
             );
           }
           vector (ccs_template) {
@@ -151349,7 +166733,7 @@
             index_2 ("0.00502263");
             index_3 ("2.37414, 2.41201, 2.42949, 2.44318, 2.45567, 2.46746, 2.47894, 2.49032, 2.5017, 2.52682, 2.53951, 2.54803, 2.55895, 2.57077, 2.62355");
             values ( \
-              "-0.0006364, -0.0453213, -0.0614232, -0.0700669, -0.0750825, -0.0780218, -0.0796865, -0.0792706, -0.070099, -0.0282571, -0.0154745, -0.00994178, -0.004913, -0.00256621, -0.00138859" \
+              "-0.000636409, -0.0453214, -0.0614232, -0.0700669, -0.0750825, -0.0780218, -0.0796865, -0.0792706, -0.070099, -0.0282571, -0.0154745, -0.00994178, -0.004913, -0.00256621, -0.00138859" \
             );
           }
           vector (ccs_template) {
@@ -151358,7 +166742,7 @@
             index_2 ("0.0202422");
             index_3 ("2.39382, 2.41127, 2.44026, 2.45772, 2.46975, 2.48359, 2.4952, 2.50745, 2.53155, 2.54235, 2.55811, 2.56515, 2.5765, 2.58821, 2.61106, 2.64772, 2.6701, 2.69424, 2.70673, 2.72467, 2.74553, 2.76194, 2.79476, 2.8342, 3.00582");
             values ( \
-              "-0.0553092, -0.0664387, -0.109162, -0.126999, -0.136365, -0.144219, -0.148872, -0.152306, -0.157603, -0.15928, -0.160487, -0.160375, -0.158556, -0.154077, -0.129705, -0.075735, -0.0504422, -0.0312807, -0.0242224, -0.0165354, -0.0105692, -0.00735951, -0.00313091, -0.00108461, -0.00043493" \
+              "-0.0553091, -0.0664387, -0.109162, -0.126999, -0.136365, -0.144219, -0.148872, -0.152306, -0.157603, -0.15928, -0.160487, -0.160375, -0.158556, -0.154077, -0.129705, -0.075735, -0.0504422, -0.0312807, -0.0242224, -0.0165354, -0.0105692, -0.00735951, -0.0031309, -0.00108461, -0.00043493" \
             );
           }
           vector (ccs_template) {
@@ -151481,7 +166865,7 @@
             "0.206328, 0.230896, 0.305663, 0.543913, 1.47742, 5.23923", \
             "0.216105, 0.240673, 0.315441, 0.553679, 1.48719, 5.24901", \
             "0.251349, 0.275889, 0.350645, 0.588917, 1.52242, 5.28428", \
-            "0.313603, 0.337992, 0.412824, 0.6512, 1.58513, 5.34699", \
+            "0.313603, 0.33814, 0.412824, 0.651577, 1.58476, 5.3466", \
             "0.363629, 0.388632, 0.464467, 0.703321, 1.63698, 5.39892", \
             "0.156121, 0.186802, 0.270373, 0.513097, 1.44702, 5.20889" \
           );
@@ -151493,7 +166877,7 @@
             "0.0511451, 0.0709138, 0.143672, 0.435609, 1.6767, 6.6919", \
             "0.0511372, 0.0708947, 0.143668, 0.435704, 1.6767, 6.69197", \
             "0.0511536, 0.0709191, 0.143679, 0.435703, 1.6767, 6.69209", \
-            "0.0514534, 0.0712746, 0.143878, 0.435629, 1.67894, 6.69209", \
+            "0.0514534, 0.071228, 0.143878, 0.435622, 1.67892, 6.69208", \
             "0.0542982, 0.0737003, 0.145377, 0.435965, 1.67697, 6.69196", \
             "0.0759366, 0.0936152, 0.158253, 0.439951, 1.67738, 6.69566" \
           );
@@ -151505,7 +166889,7 @@
             "0.00197368, 0.00197369, 0.00197372, 0.00197373, 0.00197373, 0.00197373", \
             "0.00236543, 0.00236543, 0.00236542, 0.00236542, 0.00236542, 0.00236542", \
             "0.00255446, 0.00255446, 0.00255445, 0.00255445, 0.00255445, 0.00255445", \
-            "0.00270552, 0.00270637, 0.00270637, 0.00270636, 0.00270551, 0.00270551", \
+            "0.00270552, 0.00270552, 0.00270637, 0.00270552, 0.00270636, 0.00270636", \
             "0.0028635, 0.0028635, 0.0028635, 0.0028635, 0.0028635, 0.0028635", \
             "0.00307111, 0.00307112, 0.00307114, 0.00307116, 0.00307118, 0.00307119" \
           );
@@ -151517,7 +166901,7 @@
             "0.00254789, 0.00254785, 0.00254783, 0.00254783, 0.00254783, 0.00254783", \
             "0.00255709, 0.00255707, 0.00255692, 0.0025569, 0.0025569, 0.0025569", \
             "0.00286876, 0.00286876, 0.00286876, 0.00286875, 0.00286874, 0.00286874", \
-            "0.00329856, 0.00329553, 0.00329349, 0.0032925, 0.00329343, 0.00329336", \
+            "0.00329856, 0.00329676, 0.00329349, 0.00329372, 0.00329218, 0.00329211", \
             "0.00306742, 0.00306817, 0.00306721, 0.00306773, 0.00306649, 0.00306667", \
             "0.00272504, 0.00272409, 0.00272347, 0.00272394, 0.00272442, 0.00272396" \
           );
@@ -151554,9 +166938,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.0815796");
-            index_3 ("0.1829, 0.223086, 0.24826, 0.261798, 0.313959, 0.329624, 0.354386, 0.364137, 0.38146, 0.412499, 0.440013, 0.470681, 0.508601, 0.55198, 0.627126, 0.651878, 0.68488, 0.698159, 0.724717, 0.777832, 0.891463, 0.939534, 0.972659, 1.02116, 1.06335, 1.1196, 1.16833, 1.19055, 1.23499, 1.32387, 1.47437, 1.63216, 1.83922");
+            index_3 ("0.1829, 0.223086, 0.24826, 0.261798, 0.313959, 0.329624, 0.354386, 0.364137, 0.38146, 0.412499, 0.440013, 0.470681, 0.508601, 0.55198, 0.627126, 0.651878, 0.68488, 0.698159, 0.724717, 0.777832, 0.891463, 0.939534, 0.972661, 1.02116, 1.06335, 1.1196, 1.16833, 1.19055, 1.23499, 1.32387, 1.47437, 1.63216, 1.83922");
             values ( \
-              "-0.124725, -0.146175, -0.170974, -0.17996, -0.205019, -0.210729, -0.216865, -0.21836, -0.22009, -0.220917, -0.220063, -0.218191, -0.215128, -0.210998, -0.201897, -0.197846, -0.190671, -0.186876, -0.17732, -0.15121, -0.092527, -0.0725458, -0.0608088, -0.0464694, -0.0365322, -0.0262275, -0.0195877, -0.0171296, -0.0130686, -0.00746172, -0.00260462, -0.000855749, -0.000398785" \
+              "-0.124725, -0.146175, -0.170974, -0.17996, -0.205019, -0.210729, -0.216865, -0.21836, -0.22009, -0.220917, -0.220063, -0.218191, -0.215128, -0.210998, -0.201897, -0.197846, -0.190671, -0.186876, -0.17732, -0.15121, -0.0925272, -0.0725456, -0.0608084, -0.0464693, -0.0365323, -0.0262278, -0.0195878, -0.0171296, -0.0130686, -0.00746157, -0.00260456, -0.000855734, -0.000398776" \
             );
           }
           vector (ccs_template) {
@@ -151646,7 +167030,7 @@
             index_2 ("0.00502263");
             index_3 ("0.331386, 0.343158, 0.361965, 0.369328, 0.380966, 0.394337, 0.40581, 0.417017, 0.428191, 0.439268, 0.457001, 0.470561, 0.479404, 0.497048, 0.506965, 0.518298, 0.584155, 0.632239");
             values ( \
-              "-0.0310626, -0.0392774, -0.0592342, -0.0653133, -0.0726399, -0.0775371, -0.0800942, -0.0811614, -0.0801627, -0.0709131, -0.0392606, -0.0213944, -0.0138671, -0.00482883, -0.00269464, -0.00213577, -0.000642764, -0.000112757" \
+              "-0.0310626, -0.0392774, -0.0592342, -0.0653133, -0.0726399, -0.0775371, -0.0800942, -0.0811614, -0.0801627, -0.0709131, -0.0392606, -0.0213944, -0.0138671, -0.00482884, -0.00269464, -0.00213577, -0.000642764, -0.000112757" \
             );
           }
           vector (ccs_template) {
@@ -151698,9 +167082,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00502263");
-            index_3 ("0.783573, 0.79593, 0.815097, 0.834617, 0.846654, 0.858204, 0.869473, 0.880736, 0.891959, 0.916955, 0.931084, 0.948973, 0.954599, 0.9621, 1.01693");
+            index_3 ("0.784233, 0.796198, 0.815032, 0.82175, 0.833532, 0.846912, 0.858435, 0.869683, 0.880898, 0.89201, 0.909759, 0.923357, 0.932086, 0.949404, 0.961606, 1.02709");
             values ( \
-              "-0.0335749, -0.0396503, -0.0595202, -0.0729051, -0.0771207, -0.0797408, -0.0808765, -0.0800243, -0.0704863, -0.0284341, -0.0144736, -0.00503077, -0.00346159, -0.00244362, -0.00131135" \
+              "-0.0358565, -0.0397673, -0.0591576, -0.0648769, -0.0721559, -0.0771487, -0.0797582, -0.0808628, -0.0799488, -0.0707327, -0.0392082, -0.0213515, -0.0139243, -0.00496137, -0.00251038, -0.0011291" \
             );
           }
           vector (ccs_template) {
@@ -151716,27 +167100,27 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0815796");
-            index_3 ("0.805674, 0.853258, 0.865197, 0.887278, 0.937819, 0.95368, 0.969089, 0.987669, 1.01152, 1.03604, 1.04996, 1.08718, 1.13454, 1.17164, 1.2466, 1.27023, 1.30173, 1.31781, 1.34997, 1.39903, 1.47747, 1.52362, 1.55659, 1.59275, 1.64057, 1.68361, 1.74099, 1.78857, 1.81025, 1.85361, 1.94032, 2.09082, 2.24798, 2.45341");
+            index_3 ("0.806848, 0.855402, 0.872176, 0.885865, 0.920803, 0.95322, 0.969518, 0.988645, 1.01199, 1.03647, 1.06987, 1.10339, 1.17206, 1.24697, 1.27025, 1.30129, 1.31824, 1.35213, 1.39962, 1.47724, 1.52382, 1.55745, 1.59344, 1.64111, 1.68381, 1.74074, 1.78877, 1.81067, 1.85446, 1.94203, 2.0925, 2.24992, 2.45596");
             values ( \
-              "-0.139884, -0.157521, -0.16849, -0.18278, -0.206575, -0.211997, -0.215873, -0.218817, -0.220642, -0.220819, -0.220419, -0.218331, -0.214545, -0.210976, -0.201922, -0.198081, -0.191392, -0.186876, -0.174947, -0.150362, -0.108618, -0.0870137, -0.0735508, -0.0606767, -0.0465435, -0.0364114, -0.0259618, -0.0195201, -0.0171294, -0.013153, -0.00762305, -0.00266514, -0.000874852, -0.000406312" \
+              "-0.143339, -0.159204, -0.173605, -0.181873, -0.199155, -0.211834, -0.215779, -0.21897, -0.220552, -0.220902, -0.219447, -0.217185, -0.210978, -0.20193, -0.198153, -0.19161, -0.186877, -0.17419, -0.150267, -0.108942, -0.0871104, -0.0733829, -0.060588, -0.0465137, -0.036459, -0.0260678, -0.0195466, -0.01713, -0.0131189, -0.00755904, -0.00264104, -0.000867826, -0.000402864" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.328781");
-            index_3 ("0.836787, 0.991203, 1.04043, 1.08679, 1.17523, 1.43655, 1.85105, 2.10581, 2.32427, 2.49367, 2.67188, 2.96803, 3.25907, 3.56348, 3.75679, 3.93324, 4.07908, 4.24336, 4.47613, 4.71622, 4.89988, 5.2672, 5.8612, 6.51818");
+            index_3 ("0.835987, 0.977754, 1.01927, 1.04046, 1.08643, 1.17602, 1.43341, 1.85077, 2.10546, 2.32188, 2.49739, 2.67152, 2.96781, 3.25851, 3.5632, 3.75686, 3.93298, 4.07849, 4.24284, 4.47568, 4.71598, 4.89984, 5.26755, 5.86155, 6.51875");
             values ( \
-              "-0.231438, -0.236902, -0.242002, -0.242934, -0.242133, -0.236396, -0.226551, -0.219766, -0.212832, -0.205739, -0.1943, -0.159187, -0.117326, -0.0803196, -0.0617513, -0.0479421, -0.038732, -0.0303568, -0.0211625, -0.0145834, -0.0109843, -0.00598873, -0.00215657, -0.000641669" \
+              "-0.232346, -0.234261, -0.240607, -0.242005, -0.242939, -0.242114, -0.236459, -0.226549, -0.219766, -0.212905, -0.205536, -0.194299, -0.159165, -0.11735, -0.0803102, -0.0617128, -0.0479342, -0.0387446, -0.0303634, -0.021165, -0.0145801, -0.0109785, -0.00598135, -0.00215394, -0.000640614" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32505");
-            index_3 ("0.786151, 0.846378, 0.934665, 1.00997, 1.03581, 1.08336, 1.12018, 1.18264, 4.20554, 4.80725, 5.86504, 6.40019, 6.96151, 7.75049, 8.12388, 8.72172, 9.39127, 10.5626, 11.3012, 11.8479, 12.3988, 13.1304, 13.7729, 14.4138, 15.2028, 15.9397, 16.3781, 17.1671, 17.9561, 18.7451, 19.534, 20.323, 21.901, 23.4789");
+            index_3 ("0.785831, 0.845844, 0.932721, 1.00755, 1.06639, 1.12584, 1.19272, 4.2848, 4.80694, 5.86471, 6.39986, 6.96118, 7.75016, 8.12355, 8.72139, 9.39094, 10.5623, 11.3009, 11.8476, 12.3985, 13.1301, 13.7725, 14.4134, 15.2024, 15.9393, 16.3778, 17.1668, 17.9558, 18.7447, 19.5337, 20.3227, 21.9006, 23.4786");
             values ( \
-              "-0.0574636, -0.164241, -0.224859, -0.24539, -0.247726, -0.249538, -0.250043, -0.250133, -0.232784, -0.229099, -0.222002, -0.217895, -0.21285, -0.203048, -0.196194, -0.180463, -0.157203, -0.114976, -0.0915546, -0.0765267, -0.0633638, -0.0488412, -0.0386114, -0.0303515, -0.0224509, -0.0168795, -0.0142401, -0.0104188, -0.0076257, -0.00555119, -0.00405399, -0.00293767, -0.00155204, -0.000822316" \
+              "-0.0575219, -0.164027, -0.224077, -0.245128, -0.249089, -0.250082, -0.250104, -0.232307, -0.229099, -0.222003, -0.217895, -0.21285, -0.203048, -0.196194, -0.180463, -0.157203, -0.114976, -0.0915544, -0.0765265, -0.0633639, -0.0488411, -0.0386113, -0.0303517, -0.0224508, -0.0168796, -0.01424, -0.0104189, -0.00762557, -0.00555133, -0.00405385, -0.00293781, -0.00155217, -0.000822445" \
             );
           }
           vector (ccs_template) {
@@ -151745,7 +167129,7 @@
             index_2 ("0.00124625");
             index_3 ("2.37936, 2.39221, 2.40449, 2.41737, 2.42783, 2.43721, 2.44604, 2.45457, 2.46296, 2.47012, 2.48781, 2.49793, 2.50298, 2.54885, 2.55505");
             values ( \
-              "-0.00393932, -0.00828045, -0.0149277, -0.0200343, -0.0229032, -0.0249119, -0.0259, -0.0267362, -0.0267928, -0.0252039, -0.0100677, -0.00426689, -0.00295367, -0.000477048, -0.000436233" \
+              "-0.00393931, -0.00828045, -0.0149277, -0.0200343, -0.0229032, -0.0249119, -0.0259, -0.0267362, -0.0267928, -0.0252039, -0.0100677, -0.00426688, -0.00295367, -0.000477048, -0.000436233" \
             );
           }
           vector (ccs_template) {
@@ -151754,7 +167138,7 @@
             index_2 ("0.00502263");
             index_3 ("2.38924, 2.40306, 2.42438, 2.43265, 2.44355, 2.45906, 2.47098, 2.48257, 2.49408, 2.50559, 2.53093, 2.54371, 2.5523, 2.56335, 2.5686, 2.57561, 2.63358");
             values ( \
-              "-0.0279219, -0.0319716, -0.0536733, -0.0606659, -0.0679683, -0.0743805, -0.0773309, -0.0788223, -0.0783512, -0.0693538, -0.0280404, -0.0153653, -0.00985619, -0.0048178, -0.00343646, -0.00255472, -0.00127843" \
+              "-0.0279219, -0.0319717, -0.0536738, -0.0606659, -0.0679683, -0.0743805, -0.0773309, -0.0788223, -0.0783512, -0.0693538, -0.0280404, -0.0153653, -0.00985619, -0.0048178, -0.00343646, -0.00255472, -0.00127842" \
             );
           }
           vector (ccs_template) {
@@ -151763,7 +167147,7 @@
             index_2 ("0.0202422");
             index_3 ("2.39376, 2.40884, 2.42459, 2.44367, 2.4615, 2.47343, 2.48738, 2.51077, 2.54687, 2.55599, 2.56816, 2.57907, 2.59282, 2.61588, 2.65229, 2.67517, 2.69867, 2.71124, 2.72924, 2.75034, 2.76696, 2.80022, 2.83979, 3.01196");
             values ( \
-              "-0.0404591, -0.0562844, -0.0820301, -0.10806, -0.126171, -0.134987, -0.142575, -0.150055, -0.158339, -0.159507, -0.159986, -0.158771, -0.153904, -0.129468, -0.0758096, -0.0500208, -0.0314561, -0.0242717, -0.0165953, -0.0105569, -0.00727429, -0.00307848, -0.00104593, -0.000449031" \
+              "-0.040459, -0.0562844, -0.0820301, -0.10806, -0.126171, -0.134987, -0.142575, -0.150055, -0.158339, -0.159507, -0.159986, -0.158771, -0.153904, -0.129468, -0.0758096, -0.0500208, -0.0314561, -0.0242718, -0.0165953, -0.0105569, -0.00727428, -0.00307847, -0.00104593, -0.00044903" \
             );
           }
           vector (ccs_template) {
@@ -151886,8 +167270,8 @@
             "0.205739, 0.230305, 0.304993, 0.543279, 1.47679, 5.23861", \
             "0.215509, 0.240082, 0.314791, 0.553051, 1.48655, 5.24837", \
             "0.250719, 0.275251, 0.349932, 0.588222, 1.52174, 5.28358", \
-            "0.312478, 0.336998, 0.411982, 0.650426, 1.58398, 5.34592", \
-            "0.360907, 0.385836, 0.461479, 0.700375, 1.63399, 5.3959", \
+            "0.312478, 0.336998, 0.412031, 0.650379, 1.58407, 5.34583", \
+            "0.360907, 0.385836, 0.461477, 0.700375, 1.63399, 5.3959", \
             "0.150535, 0.180884, 0.263921, 0.506619, 1.4407, 5.20251" \
           );
         }
@@ -151898,8 +167282,8 @@
             "0.051024, 0.0708232, 0.14351, 0.435736, 1.6767, 6.69197", \
             "0.0510317, 0.0708238, 0.143495, 0.435736, 1.6767, 6.69196", \
             "0.0510401, 0.0708465, 0.143504, 0.435745, 1.6767, 6.69208", \
-            "0.0513024, 0.0711177, 0.143856, 0.435668, 1.6767, 6.69209", \
-            "0.0539342, 0.0733612, 0.145054, 0.436017, 1.67697, 6.69196", \
+            "0.0513024, 0.0711177, 0.14386, 0.435804, 1.67888, 6.69209", \
+            "0.0539342, 0.0733612, 0.145225, 0.436017, 1.67697, 6.69196", \
             "0.0749166, 0.0925396, 0.157483, 0.439973, 1.67776, 6.69569" \
           );
         }
@@ -151910,7 +167294,7 @@
             "0.00197366, 0.00197368, 0.0019737, 0.00197371, 0.00197371, 0.00197371", \
             "0.00236542, 0.00236542, 0.00236541, 0.00236541, 0.00236541, 0.00236541", \
             "0.00255447, 0.00255447, 0.00255447, 0.00255447, 0.00255446, 0.00255446", \
-            "0.00270553, 0.00270553, 0.00270609, 0.00270552, 0.00270552, 0.00270637", \
+            "0.00270553, 0.00270553, 0.00270553, 0.00270609, 0.00270637, 0.00270552", \
             "0.00286355, 0.00286355, 0.00286355, 0.00286355, 0.00286355, 0.00286355", \
             "0.00307426, 0.00307426, 0.00307428, 0.00307431, 0.00307433, 0.00307433" \
           );
@@ -151922,8 +167306,8 @@
             "0.00254788, 0.00254784, 0.00254782, 0.00254782, 0.00254782, 0.00254782", \
             "0.00255714, 0.00255712, 0.00255697, 0.00255695, 0.00255695, 0.00255695", \
             "0.00286987, 0.00286987, 0.00286987, 0.00286986, 0.00286985, 0.00286985", \
-            "0.0033004, 0.00329853, 0.00329565, 0.00329542, 0.00329499, 0.00329365", \
-            "0.00306789, 0.00306722, 0.00306715, 0.00306765, 0.00306625, 0.00306672", \
+            "0.0033004, 0.00329853, 0.00329633, 0.00329459, 0.00329373, 0.00329491", \
+            "0.00306789, 0.00306722, 0.0030671, 0.00306765, 0.00306625, 0.00306672", \
             "0.00271898, 0.00271882, 0.00271825, 0.00271873, 0.00271924, 0.00271726" \
           );
         }
@@ -152058,9 +167442,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0202422");
-            index_3 ("0.338021, 0.354815, 0.36909, 0.382223, 0.398776, 0.407904, 0.421295, 0.432996, 0.467027, 0.479962, 0.492366, 0.50267, 0.521947, 0.533053, 0.54786, 0.585179, 0.605976, 0.63213, 0.644378, 0.662139, 0.682235, 0.697973, 0.729448, 0.768479, 0.832044");
+            index_3 ("0.338021, 0.354815, 0.36909, 0.382223, 0.398776, 0.407904, 0.421295, 0.432996, 0.467027, 0.479962, 0.492366, 0.50267, 0.521947, 0.533053, 0.54786, 0.585178, 0.605976, 0.63213, 0.644378, 0.662139, 0.682235, 0.697973, 0.729448, 0.768479, 0.832044");
             values ( \
-              "-0.0681377, -0.0793516, -0.101187, -0.117466, -0.132996, -0.139365, -0.146254, -0.150131, -0.157623, -0.159748, -0.16084, -0.160786, -0.156246, -0.148764, -0.130624, -0.0754848, -0.0517626, -0.0308934, -0.02398, -0.0164811, -0.010711, -0.00753595, -0.00339697, -0.00111288, -0.000876844" \
+              "-0.0681377, -0.0793516, -0.101187, -0.117466, -0.132996, -0.139365, -0.146254, -0.150131, -0.157623, -0.159748, -0.16084, -0.160786, -0.156246, -0.148764, -0.130624, -0.0754849, -0.0517625, -0.0308935, -0.0239801, -0.0164811, -0.010711, -0.00753593, -0.00339694, -0.00111287, -0.000876838" \
             );
           }
           vector (ccs_template) {
@@ -152112,36 +167496,36 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0202422");
-            index_3 ("0.789629, 0.80618, 0.820488, 0.831507, 0.841372, 0.863569, 0.87808, 0.895366, 0.92374, 0.932321, 0.948833, 0.955079, 0.966407, 0.9781, 1.00138, 1.03706, 1.0545, 1.06295, 1.08092, 1.09006, 1.10833, 1.11872, 1.13025, 1.14561, 1.17634, 1.21496, 1.43848");
+            index_3 ("0.788137, 0.799705, 0.81373, 0.830022, 0.840899, 0.860155, 0.873571, 0.885311, 0.919835, 0.932346, 0.948041, 0.955098, 0.966424, 0.978116, 1.0014, 1.03708, 1.05507, 1.08077, 1.10697, 1.11831, 1.14743, 1.18072, 1.21971, 1.28168");
             values ( \
-              "-0.06738, -0.0774273, -0.0995582, -0.113659, -0.124127, -0.141017, -0.147492, -0.152185, -0.15823, -0.159575, -0.1608, -0.160701, -0.158859, -0.15435, -0.1292, -0.0764271, -0.0558969, -0.0476043, -0.0333709, -0.0277007, -0.0188761, -0.0151354, -0.0118245, -0.00843731, -0.00396627, -0.00125644, -0.000177672" \
+              "-0.0605389, -0.0655559, -0.0895544, -0.111924, -0.123651, -0.138947, -0.14587, -0.14979, -0.157508, -0.159561, -0.160802, -0.1607, -0.158856, -0.154352, -0.129196, -0.0764214, -0.055312, -0.0334889, -0.01944, -0.0152831, -0.0081028, -0.00350595, -0.00112628, -0.000895467" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0815796");
-            index_3 ("0.803379, 0.845272, 0.870279, 0.883108, 0.934573, 0.950998, 0.968208, 0.98743, 1.01067, 1.03517, 1.06383, 1.09679, 1.17079, 1.24573, 1.26919, 1.30048, 1.31696, 1.34994, 1.39826, 1.47632, 1.52267, 1.55595, 1.59202, 1.63977, 1.68266, 1.73983, 1.78762, 1.8094, 1.85296, 1.94008, 2.09031, 2.24738, 2.45263");
+            index_3 ("0.805146, 0.851274, 0.88174, 0.912268, 0.945068, 0.962209, 0.979778, 1.00187, 1.03505, 1.07581, 1.10997, 1.1965, 1.24166, 1.31322, 1.33904, 1.36837, 1.47445, 1.51862, 1.56364, 1.62366, 1.64698, 1.69132, 1.73098, 1.78679, 1.80924, 1.85414, 1.94395, 2.09412, 2.25198, 2.45892");
             values ( \
-              "-0.135144, -0.150082, -0.173256, -0.181277, -0.205631, -0.211443, -0.215802, -0.218851, -0.220566, -0.220784, -0.219636, -0.217551, -0.210967, -0.201923, -0.198113, -0.191492, -0.186877, -0.174592, -0.150318, -0.108771, -0.0870582, -0.0734717, -0.0606357, -0.046529, -0.0364332, -0.0260118, -0.0195321, -0.0171301, -0.0131366, -0.00759343, -0.00265927, -0.000874959, -0.000405624" \
+              "-0.143119, -0.156769, -0.180458, -0.195686, -0.209563, -0.214509, -0.217843, -0.22012, -0.220824, -0.218988, -0.216544, -0.208194, -0.202458, -0.188102, -0.179169, -0.16596, -0.109639, -0.0887848, -0.0705318, -0.0508406, -0.044609, -0.0346004, -0.0274189, -0.0196167, -0.0171359, -0.0130316, -0.00739823, -0.00258377, -0.000853858, -0.000394428" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.328781");
-            index_3 ("0.834042, 0.967384, 1.01853, 1.04036, 1.08555, 1.17217, 1.45449, 1.84936, 2.10459, 2.37955, 2.46441, 2.63414, 2.74409, 2.86257, 3.28077, 3.453, 3.62835, 3.86214, 3.9513, 4.11985, 4.27378, 4.5752, 4.74677, 5.08991, 5.69451, 6.31802, 7.10674");
+            index_3 ("0.83554, 0.97812, 1.02353, 1.04044, 1.08573, 1.17847, 1.42502, 1.85025, 2.10476, 2.31555, 2.5079, 2.67079, 2.9675, 3.25725, 3.5627, 3.75737, 3.93256, 4.07713, 4.2417, 4.4747, 4.71564, 4.90004, 5.26885, 5.86217, 6.51924");
             values ( \
-              "-0.231265, -0.231754, -0.240552, -0.241982, -0.242902, -0.242155, -0.235957, -0.226563, -0.219765, -0.210723, -0.207088, -0.197168, -0.187427, -0.173299, -0.113922, -0.0922107, -0.073242, -0.0527893, -0.0464423, -0.0362502, -0.0287658, -0.0180881, -0.0138316, -0.00796639, -0.00276853, -0.000918143, -0.000261065" \
+              "-0.233117, -0.234437, -0.240972, -0.242002, -0.242922, -0.242051, -0.236635, -0.226545, -0.219766, -0.213106, -0.204965, -0.194295, -0.159103, -0.11742, -0.080284, -0.0616046, -0.0479122, -0.0387799, -0.0303817, -0.0211722, -0.0145709, -0.0109621, -0.00596072, -0.00214948, -0.000639602" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32505");
-            index_3 ("0.78548, 0.846352, 0.918401, 0.988963, 1.03601, 1.06922, 1.13395, 1.31731, 4.20519, 5.86417, 6.39932, 6.96064, 7.74936, 8.123, 8.72085, 10.5617, 11.3003, 11.847, 12.3979, 13.1295, 13.772, 14.4129, 15.2016, 15.9389, 16.3775, 17.1662, 17.955, 18.7437, 20.3211, 21.8985, 23.476");
+            index_3 ("0.785567, 0.842271, 0.91883, 1.00941, 1.05894, 1.13526, 1.31737, 4.20525, 4.80644, 5.86422, 6.39937, 6.9607, 7.74942, 8.12306, 8.7209, 10.5618, 11.3004, 11.8471, 12.398, 13.1296, 13.772, 14.413, 15.2017, 15.9389, 16.3776, 17.1663, 17.955, 18.7437, 20.3212, 21.8986, 23.476");
             values ( \
-              "-0.057446, -0.165486, -0.216984, -0.242331, -0.247742, -0.249143, -0.250103, -0.249457, -0.23278, -0.222003, -0.217895, -0.21285, -0.203052, -0.196194, -0.180463, -0.114976, -0.0915542, -0.0765264, -0.0633641, -0.0488409, -0.0386111, -0.0303518, -0.0224529, -0.0168793, -0.0142384, -0.0104191, -0.00762624, -0.0055527, -0.00293925, -0.00155335, -0.000823321" \
+              "-0.0576305, -0.160503, -0.217152, -0.245373, -0.248791, -0.250108, -0.249456, -0.23278, -0.229099, -0.222003, -0.217895, -0.21285, -0.203052, -0.196195, -0.180463, -0.114976, -0.091554, -0.0765262, -0.0633643, -0.0488407, -0.0386109, -0.030352, -0.0224527, -0.0168795, -0.0142382, -0.0104193, -0.00762604, -0.0055529, -0.00293945, -0.00155355, -0.000823519" \
             );
           }
           vector (ccs_template) {
@@ -152166,18 +167550,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0202422");
-            index_3 ("2.39515, 2.41054, 2.42926, 2.44103, 2.45422, 2.47428, 2.48802, 2.49629, 2.52666, 2.54395, 2.55418, 2.56681, 2.58604, 2.59745, 2.61266, 2.64924, 2.6718, 2.69572, 2.70824, 2.7262, 2.74713, 2.76361, 2.79657, 2.83589, 3.00793");
+            index_3 ("2.39514, 2.41054, 2.42926, 2.44103, 2.45413, 2.47474, 2.48853, 2.49628, 2.52641, 2.54388, 2.56043, 2.56678, 2.57816, 2.58987, 2.61323, 2.6489, 2.664, 2.67331, 2.69356, 2.70444, 2.72472, 2.7509, 2.77243, 2.80932, 2.85148, 3.02415");
             values ( \
-              "-0.0627367, -0.0640089, -0.0933454, -0.108645, -0.122591, -0.137803, -0.144342, -0.147131, -0.154959, -0.158448, -0.159654, -0.159945, -0.155756, -0.1482, -0.129593, -0.0757214, -0.0502661, -0.0313533, -0.024216, -0.0165725, -0.0105802, -0.0073176, -0.00312967, -0.00106213, -0.000452717" \
+              "-0.0627371, -0.0640086, -0.0933534, -0.108645, -0.122517, -0.138073, -0.144531, -0.147126, -0.154903, -0.158436, -0.159965, -0.159975, -0.158269, -0.153914, -0.128952, -0.0763997, -0.058354, -0.0490111, -0.0328335, -0.026318, -0.017111, -0.00974119, -0.00597633, -0.00209795, -0.000970496, -0.000315996" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0815796");
-            index_3 ("2.41262, 2.46145, 2.48276, 2.49461, 2.55037, 2.57993, 2.59973, 2.62372, 2.64705, 2.68039, 2.71412, 2.8009, 2.85377, 2.91803, 2.95115, 2.99533, 3.05792, 3.11823, 3.17523, 3.2094, 3.26615, 3.33345, 3.394, 3.45838, 3.50798, 3.60718, 3.75502, 3.92332, 4.16472");
+            index_3 ("2.41262, 2.46145, 2.48276, 2.49461, 2.55037, 2.57993, 2.59973, 2.62372, 2.64705, 2.68039, 2.71412, 2.8009, 2.85377, 2.91803, 2.95115, 2.99533, 3.05792, 3.11823, 3.17523, 3.20939, 3.26615, 3.33345, 3.394, 3.45838, 3.50798, 3.60718, 3.75502, 3.92332, 4.16472");
             values ( \
-              "-0.128767, -0.152422, -0.17107, -0.17854, -0.206131, -0.215105, -0.218368, -0.2202, -0.220452, -0.2193, -0.217075, -0.209038, -0.202438, -0.190104, -0.179145, -0.158319, -0.124486, -0.0944061, -0.0707332, -0.058892, -0.0429078, -0.0290458, -0.0202118, -0.0136938, -0.0100901, -0.00533171, -0.00183731, -0.000667528, -0.000263842" \
+              "-0.128766, -0.152422, -0.17107, -0.17854, -0.206133, -0.215105, -0.218368, -0.2202, -0.220452, -0.2193, -0.217075, -0.209038, -0.202438, -0.190104, -0.179145, -0.158319, -0.124485, -0.094406, -0.0707332, -0.058892, -0.0429078, -0.0290458, -0.0202118, -0.0136938, -0.0100901, -0.00533172, -0.00183731, -0.000667529, -0.000263842" \
             );
           }
           vector (ccs_template) {
@@ -152240,7 +167624,7 @@
             index_2 ("0.328781");
             index_3 ("8.48384, 8.60311, 8.63916, 8.69587, 8.75342, 8.84177, 8.92066, 9.09331, 9.50871, 9.77398, 10.0489, 10.236, 10.3402, 10.5486, 10.8742, 11.0981, 11.2663, 11.3796, 11.648, 11.7697, 12.0131, 12.2341, 12.595, 13.0762, 13.6696, 14.3624");
             values ( \
-              "-0.201337, -0.209299, -0.225487, -0.236823, -0.239987, -0.240582, -0.239859, -0.236503, -0.226746, -0.219723, -0.210692, -0.201678, -0.19426, -0.171208, -0.124509, -0.0952226, -0.0765228, -0.0655743, -0.0447205, -0.0374116, -0.0258381, -0.0183861, -0.0104183, -0.00468839, -0.00161552, -0.000525778" \
+              "-0.201337, -0.209299, -0.225487, -0.236823, -0.239987, -0.240582, -0.239859, -0.236503, -0.226746, -0.219723, -0.210692, -0.201678, -0.19426, -0.171208, -0.124509, -0.0952226, -0.0765228, -0.0655743, -0.0447205, -0.0374116, -0.0258381, -0.0183861, -0.0104183, -0.00468838, -0.00161552, -0.000525777" \
             );
           }
           vector (ccs_template) {
@@ -152289,7 +167673,7 @@
             "0.206328, 0.230896, 0.305663, 0.543913, 1.47742, 5.23923", \
             "0.216105, 0.240673, 0.315441, 0.553679, 1.48719, 5.24901", \
             "0.251349, 0.275889, 0.350645, 0.588917, 1.52242, 5.28428", \
-            "0.313603, 0.337992, 0.412824, 0.6512, 1.58513, 5.34699", \
+            "0.313603, 0.33814, 0.412824, 0.651577, 1.58476, 5.3466", \
             "0.363629, 0.388632, 0.464467, 0.703321, 1.63698, 5.39892", \
             "0.156121, 0.186802, 0.270373, 0.513097, 1.44702, 5.20889" \
           );
@@ -152301,7 +167685,7 @@
             "0.0511451, 0.0709138, 0.143672, 0.435609, 1.6767, 6.6919", \
             "0.0511372, 0.0708947, 0.143668, 0.435704, 1.6767, 6.69197", \
             "0.0511536, 0.0709191, 0.143679, 0.435703, 1.6767, 6.69209", \
-            "0.0514534, 0.0712746, 0.143878, 0.435629, 1.67894, 6.69209", \
+            "0.0514534, 0.071228, 0.143878, 0.435622, 1.67892, 6.69208", \
             "0.0542982, 0.0737003, 0.145377, 0.435965, 1.67697, 6.69196", \
             "0.0759366, 0.0936152, 0.158253, 0.439951, 1.67738, 6.69566" \
           );
@@ -152313,7 +167697,7 @@
             "0.00197368, 0.00197369, 0.00197372, 0.00197373, 0.00197373, 0.00197373", \
             "0.00236543, 0.00236543, 0.00236542, 0.00236542, 0.00236542, 0.00236542", \
             "0.00255446, 0.00255446, 0.00255445, 0.00255445, 0.00255445, 0.00255445", \
-            "0.00270552, 0.00270637, 0.00270637, 0.00270636, 0.00270551, 0.00270551", \
+            "0.00270552, 0.00270552, 0.00270637, 0.00270552, 0.00270636, 0.00270636", \
             "0.0028635, 0.0028635, 0.0028635, 0.0028635, 0.0028635, 0.0028635", \
             "0.00307111, 0.00307112, 0.00307114, 0.00307116, 0.00307118, 0.00307119" \
           );
@@ -152325,7 +167709,7 @@
             "0.00254789, 0.00254785, 0.00254783, 0.00254783, 0.00254783, 0.00254783", \
             "0.00255709, 0.00255707, 0.00255692, 0.0025569, 0.0025569, 0.0025569", \
             "0.00286876, 0.00286876, 0.00286876, 0.00286875, 0.00286874, 0.00286874", \
-            "0.00329856, 0.00329553, 0.00329349, 0.0032925, 0.00329343, 0.00329336", \
+            "0.00329856, 0.00329676, 0.00329349, 0.00329372, 0.00329218, 0.00329211", \
             "0.00306742, 0.00306817, 0.00306721, 0.00306773, 0.00306649, 0.00306667", \
             "0.00272504, 0.00272409, 0.00272347, 0.00272394, 0.00272442, 0.00272396" \
           );
@@ -152362,9 +167746,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.0815796");
-            index_3 ("0.1829, 0.223086, 0.24826, 0.261798, 0.313959, 0.329624, 0.354386, 0.364137, 0.38146, 0.412499, 0.440013, 0.470681, 0.508601, 0.55198, 0.627126, 0.651878, 0.68488, 0.698159, 0.724717, 0.777832, 0.891463, 0.939534, 0.972659, 1.02116, 1.06335, 1.1196, 1.16833, 1.19055, 1.23499, 1.32387, 1.47437, 1.63216, 1.83922");
+            index_3 ("0.1829, 0.223086, 0.24826, 0.261798, 0.313959, 0.329624, 0.354386, 0.364137, 0.38146, 0.412499, 0.440013, 0.470681, 0.508601, 0.55198, 0.627126, 0.651878, 0.68488, 0.698159, 0.724717, 0.777832, 0.891463, 0.939534, 0.972661, 1.02116, 1.06335, 1.1196, 1.16833, 1.19055, 1.23499, 1.32387, 1.47437, 1.63216, 1.83922");
             values ( \
-              "-0.124725, -0.146175, -0.170974, -0.17996, -0.205019, -0.210729, -0.216865, -0.21836, -0.22009, -0.220917, -0.220063, -0.218191, -0.215128, -0.210998, -0.201897, -0.197846, -0.190671, -0.186876, -0.17732, -0.15121, -0.092527, -0.0725458, -0.0608088, -0.0464694, -0.0365322, -0.0262275, -0.0195877, -0.0171296, -0.0130686, -0.00746172, -0.00260462, -0.000855749, -0.000398785" \
+              "-0.124725, -0.146175, -0.170974, -0.17996, -0.205019, -0.210729, -0.216865, -0.21836, -0.22009, -0.220917, -0.220063, -0.218191, -0.215128, -0.210998, -0.201897, -0.197846, -0.190671, -0.186876, -0.17732, -0.15121, -0.0925272, -0.0725456, -0.0608084, -0.0464693, -0.0365323, -0.0262278, -0.0195878, -0.0171296, -0.0130686, -0.00746157, -0.00260456, -0.000855734, -0.000398776" \
             );
           }
           vector (ccs_template) {
@@ -152454,7 +167838,7 @@
             index_2 ("0.00502263");
             index_3 ("0.331386, 0.343158, 0.361965, 0.369328, 0.380966, 0.394337, 0.40581, 0.417017, 0.428191, 0.439268, 0.457001, 0.470561, 0.479404, 0.497048, 0.506965, 0.518298, 0.584155, 0.632239");
             values ( \
-              "-0.0310626, -0.0392774, -0.0592342, -0.0653133, -0.0726399, -0.0775371, -0.0800942, -0.0811614, -0.0801627, -0.0709131, -0.0392606, -0.0213944, -0.0138671, -0.00482883, -0.00269464, -0.00213577, -0.000642764, -0.000112757" \
+              "-0.0310626, -0.0392774, -0.0592342, -0.0653133, -0.0726399, -0.0775371, -0.0800942, -0.0811614, -0.0801627, -0.0709131, -0.0392606, -0.0213944, -0.0138671, -0.00482884, -0.00269464, -0.00213577, -0.000642764, -0.000112757" \
             );
           }
           vector (ccs_template) {
@@ -152506,9 +167890,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00502263");
-            index_3 ("0.783573, 0.79593, 0.815097, 0.834617, 0.846654, 0.858204, 0.869473, 0.880736, 0.891959, 0.916955, 0.931084, 0.948973, 0.954599, 0.9621, 1.01693");
+            index_3 ("0.784233, 0.796198, 0.815032, 0.82175, 0.833532, 0.846912, 0.858435, 0.869683, 0.880898, 0.89201, 0.909759, 0.923357, 0.932086, 0.949404, 0.961606, 1.02709");
             values ( \
-              "-0.0335749, -0.0396503, -0.0595202, -0.0729051, -0.0771207, -0.0797408, -0.0808765, -0.0800243, -0.0704863, -0.0284341, -0.0144736, -0.00503077, -0.00346159, -0.00244362, -0.00131135" \
+              "-0.0358565, -0.0397673, -0.0591576, -0.0648769, -0.0721559, -0.0771487, -0.0797582, -0.0808628, -0.0799488, -0.0707327, -0.0392082, -0.0213515, -0.0139243, -0.00496137, -0.00251038, -0.0011291" \
             );
           }
           vector (ccs_template) {
@@ -152524,27 +167908,27 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0815796");
-            index_3 ("0.805674, 0.853258, 0.865197, 0.887278, 0.937819, 0.95368, 0.969089, 0.987669, 1.01152, 1.03604, 1.04996, 1.08718, 1.13454, 1.17164, 1.2466, 1.27023, 1.30173, 1.31781, 1.34997, 1.39903, 1.47747, 1.52362, 1.55659, 1.59275, 1.64057, 1.68361, 1.74099, 1.78857, 1.81025, 1.85361, 1.94032, 2.09082, 2.24798, 2.45341");
+            index_3 ("0.806848, 0.855402, 0.872176, 0.885865, 0.920803, 0.95322, 0.969518, 0.988645, 1.01199, 1.03647, 1.06987, 1.10339, 1.17206, 1.24697, 1.27025, 1.30129, 1.31824, 1.35213, 1.39962, 1.47724, 1.52382, 1.55745, 1.59344, 1.64111, 1.68381, 1.74074, 1.78877, 1.81067, 1.85446, 1.94203, 2.0925, 2.24992, 2.45596");
             values ( \
-              "-0.139884, -0.157521, -0.16849, -0.18278, -0.206575, -0.211997, -0.215873, -0.218817, -0.220642, -0.220819, -0.220419, -0.218331, -0.214545, -0.210976, -0.201922, -0.198081, -0.191392, -0.186876, -0.174947, -0.150362, -0.108618, -0.0870137, -0.0735508, -0.0606767, -0.0465435, -0.0364114, -0.0259618, -0.0195201, -0.0171294, -0.013153, -0.00762305, -0.00266514, -0.000874852, -0.000406312" \
+              "-0.143339, -0.159204, -0.173605, -0.181873, -0.199155, -0.211834, -0.215779, -0.21897, -0.220552, -0.220902, -0.219447, -0.217185, -0.210978, -0.20193, -0.198153, -0.19161, -0.186877, -0.17419, -0.150267, -0.108942, -0.0871104, -0.0733829, -0.060588, -0.0465137, -0.036459, -0.0260678, -0.0195466, -0.01713, -0.0131189, -0.00755904, -0.00264104, -0.000867826, -0.000402864" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.328781");
-            index_3 ("0.836787, 0.991203, 1.04043, 1.08679, 1.17523, 1.43655, 1.85105, 2.10581, 2.32427, 2.49367, 2.67188, 2.96803, 3.25907, 3.56348, 3.75679, 3.93324, 4.07908, 4.24336, 4.47613, 4.71622, 4.89988, 5.2672, 5.8612, 6.51818");
+            index_3 ("0.835987, 0.977754, 1.01927, 1.04046, 1.08643, 1.17602, 1.43341, 1.85077, 2.10546, 2.32188, 2.49739, 2.67152, 2.96781, 3.25851, 3.5632, 3.75686, 3.93298, 4.07849, 4.24284, 4.47568, 4.71598, 4.89984, 5.26755, 5.86155, 6.51875");
             values ( \
-              "-0.231438, -0.236902, -0.242002, -0.242934, -0.242133, -0.236396, -0.226551, -0.219766, -0.212832, -0.205739, -0.1943, -0.159187, -0.117326, -0.0803196, -0.0617513, -0.0479421, -0.038732, -0.0303568, -0.0211625, -0.0145834, -0.0109843, -0.00598873, -0.00215657, -0.000641669" \
+              "-0.232346, -0.234261, -0.240607, -0.242005, -0.242939, -0.242114, -0.236459, -0.226549, -0.219766, -0.212905, -0.205536, -0.194299, -0.159165, -0.11735, -0.0803102, -0.0617128, -0.0479342, -0.0387446, -0.0303634, -0.021165, -0.0145801, -0.0109785, -0.00598135, -0.00215394, -0.000640614" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("1.32505");
-            index_3 ("0.786151, 0.846378, 0.934665, 1.00997, 1.03581, 1.08336, 1.12018, 1.18264, 4.20554, 4.80725, 5.86504, 6.40019, 6.96151, 7.75049, 8.12388, 8.72172, 9.39127, 10.5626, 11.3012, 11.8479, 12.3988, 13.1304, 13.7729, 14.4138, 15.2028, 15.9397, 16.3781, 17.1671, 17.9561, 18.7451, 19.534, 20.323, 21.901, 23.4789");
+            index_3 ("0.785831, 0.845844, 0.932721, 1.00755, 1.06639, 1.12584, 1.19272, 4.2848, 4.80694, 5.86471, 6.39986, 6.96118, 7.75016, 8.12355, 8.72139, 9.39094, 10.5623, 11.3009, 11.8476, 12.3985, 13.1301, 13.7725, 14.4134, 15.2024, 15.9393, 16.3778, 17.1668, 17.9558, 18.7447, 19.5337, 20.3227, 21.9006, 23.4786");
             values ( \
-              "-0.0574636, -0.164241, -0.224859, -0.24539, -0.247726, -0.249538, -0.250043, -0.250133, -0.232784, -0.229099, -0.222002, -0.217895, -0.21285, -0.203048, -0.196194, -0.180463, -0.157203, -0.114976, -0.0915546, -0.0765267, -0.0633638, -0.0488412, -0.0386114, -0.0303515, -0.0224509, -0.0168795, -0.0142401, -0.0104188, -0.0076257, -0.00555119, -0.00405399, -0.00293767, -0.00155204, -0.000822316" \
+              "-0.0575219, -0.164027, -0.224077, -0.245128, -0.249089, -0.250082, -0.250104, -0.232307, -0.229099, -0.222003, -0.217895, -0.21285, -0.203048, -0.196194, -0.180463, -0.157203, -0.114976, -0.0915544, -0.0765265, -0.0633639, -0.0488411, -0.0386113, -0.0303517, -0.0224508, -0.0168796, -0.01424, -0.0104189, -0.00762557, -0.00555133, -0.00405385, -0.00293781, -0.00155217, -0.000822445" \
             );
           }
           vector (ccs_template) {
@@ -152553,7 +167937,7 @@
             index_2 ("0.00124625");
             index_3 ("2.37936, 2.39221, 2.40449, 2.41737, 2.42783, 2.43721, 2.44604, 2.45457, 2.46296, 2.47012, 2.48781, 2.49793, 2.50298, 2.54885, 2.55505");
             values ( \
-              "-0.00393932, -0.00828045, -0.0149277, -0.0200343, -0.0229032, -0.0249119, -0.0259, -0.0267362, -0.0267928, -0.0252039, -0.0100677, -0.00426689, -0.00295367, -0.000477048, -0.000436233" \
+              "-0.00393931, -0.00828045, -0.0149277, -0.0200343, -0.0229032, -0.0249119, -0.0259, -0.0267362, -0.0267928, -0.0252039, -0.0100677, -0.00426688, -0.00295367, -0.000477048, -0.000436233" \
             );
           }
           vector (ccs_template) {
@@ -152562,7 +167946,7 @@
             index_2 ("0.00502263");
             index_3 ("2.38924, 2.40306, 2.42438, 2.43265, 2.44355, 2.45906, 2.47098, 2.48257, 2.49408, 2.50559, 2.53093, 2.54371, 2.5523, 2.56335, 2.5686, 2.57561, 2.63358");
             values ( \
-              "-0.0279219, -0.0319716, -0.0536733, -0.0606659, -0.0679683, -0.0743805, -0.0773309, -0.0788223, -0.0783512, -0.0693538, -0.0280404, -0.0153653, -0.00985619, -0.0048178, -0.00343646, -0.00255472, -0.00127843" \
+              "-0.0279219, -0.0319717, -0.0536738, -0.0606659, -0.0679683, -0.0743805, -0.0773309, -0.0788223, -0.0783512, -0.0693538, -0.0280404, -0.0153653, -0.00985619, -0.0048178, -0.00343646, -0.00255472, -0.00127842" \
             );
           }
           vector (ccs_template) {
@@ -152571,7 +167955,7 @@
             index_2 ("0.0202422");
             index_3 ("2.39376, 2.40884, 2.42459, 2.44367, 2.4615, 2.47343, 2.48738, 2.51077, 2.54687, 2.55599, 2.56816, 2.57907, 2.59282, 2.61588, 2.65229, 2.67517, 2.69867, 2.71124, 2.72924, 2.75034, 2.76696, 2.80022, 2.83979, 3.01196");
             values ( \
-              "-0.0404591, -0.0562844, -0.0820301, -0.10806, -0.126171, -0.134987, -0.142575, -0.150055, -0.158339, -0.159507, -0.159986, -0.158771, -0.153904, -0.129468, -0.0758096, -0.0500208, -0.0314561, -0.0242717, -0.0165953, -0.0105569, -0.00727429, -0.00307848, -0.00104593, -0.000449031" \
+              "-0.040459, -0.0562844, -0.0820301, -0.10806, -0.126171, -0.134987, -0.142575, -0.150055, -0.158339, -0.159507, -0.159986, -0.158771, -0.153904, -0.129468, -0.0758096, -0.0500208, -0.0314561, -0.0242718, -0.0165953, -0.0105569, -0.00727428, -0.00307847, -0.00104593, -0.00044903" \
             );
           }
           vector (ccs_template) {
@@ -152704,7 +168088,7 @@
           index_2 ("0.00124625, 0.00502263, 0.0202422, 0.0815796, 0.328781, 1.32505");
           values ( \
             "0.0509845, 0.0752377, 0.183964, 0.639324, 2.4848, 9.92204", \
-            "0.0509845, 0.0752385, 0.183969, 0.63919, 2.48481, 9.9208", \
+            "0.0509845, 0.0752385, 0.183969, 0.63919, 2.48481, 9.92076", \
             "0.0510325, 0.0752658, 0.18401, 0.639191, 2.4848, 9.92204", \
             "0.0525246, 0.0763142, 0.18421, 0.639241, 2.4848, 9.92204", \
             "0.0605309, 0.0819666, 0.185806, 0.639067, 2.48537, 9.92204", \
@@ -152815,9 +168199,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("1.32505");
-            index_3 ("0.325617, 0.325637, 2.63104, 5.37131, 6.712, 7.44661, 8.55792, 10.3758, 13.7444, 14.6479, 15.7384, 16.9756, 18.9586, 20.33, 21.4, 22.4905, 24.8806, 27.0617, 29.2428, 33.605, 36.8767");
+            index_3 ("0.325617, 0.325637, 2.63104, 5.3713, 6.71217, 7.44628, 8.55788, 10.3764, 13.7437, 14.6474, 15.738, 16.9765, 18.9591, 20.3289, 21.3993, 22.4898, 24.8816, 27.0627, 29.2438, 33.6061, 36.8777");
             values ( \
-              "1e-22, 0.215139, 0.18329, 0.166233, 0.157115, 0.151558, 0.141588, 0.120227, 0.075443, 0.0649298, 0.0532293, 0.0420685, 0.0281939, 0.0211349, 0.0169575, 0.0132426, 0.00779515, 0.004753, 0.00287285, 0.00101411, 0.000598883" \
+              "1e-22, 0.215139, 0.18329, 0.166233, 0.157114, 0.151561, 0.141588, 0.120218, 0.0754516, 0.064935, 0.053234, 0.0420609, 0.0281906, 0.02114, 0.0169601, 0.0132447, 0.00779341, 0.00475193, 0.0028722, 0.00101388, 0.000598735" \
             );
           }
           vector (ccs_template) {
@@ -152907,7 +168291,7 @@
             index_2 ("0.0815796");
             index_3 ("0.886446, 0.924227, 0.948245, 0.961729, 0.974379, 1.00568, 1.03666, 1.08427, 1.14883, 1.23441, 1.33015, 1.43365, 1.50014, 1.73507, 1.78541, 1.8788, 1.97106, 2.03345, 2.1399, 2.18891, 2.26477, 2.37328, 2.51154, 2.62262, 2.75953, 2.91117, 3.10161, 3.34914");
             values ( \
-              "0.15791, 0.161268, 0.174531, 0.176828, 0.177483, 0.176854, 0.175313, 0.171839, 0.166289, 0.15825, 0.148421, 0.135635, 0.124573, 0.0777877, 0.0684756, 0.0530704, 0.0404995, 0.033437, 0.0237006, 0.0202493, 0.0159198, 0.0112288, 0.00713804, 0.00492228, 0.0030541, 0.00180165, 0.000892126, 0.000363363" \
+              "0.15791, 0.161268, 0.174531, 0.176828, 0.177483, 0.176854, 0.175313, 0.171839, 0.166289, 0.15825, 0.148421, 0.135635, 0.124573, 0.0777877, 0.0684756, 0.0530704, 0.0404995, 0.033437, 0.0237006, 0.0202493, 0.0159198, 0.0112288, 0.00713804, 0.00492228, 0.0030541, 0.00180164, 0.000892126, 0.000363363" \
             );
           }
           vector (ccs_template) {
@@ -152961,7 +168345,7 @@
             index_2 ("0.0815796");
             index_3 ("2.74345, 2.81093, 2.82932, 2.86662, 2.93317, 3.0419, 3.19285, 3.28961, 3.38769, 3.63853, 3.7429, 3.89728, 4.05053, 4.16538, 4.26052, 4.41929, 4.54692, 4.7188");
             values ( \
-              "0.138547, 0.168576, 0.173971, 0.176153, 0.172909, 0.163527, 0.148439, 0.136478, 0.119862, 0.0702211, 0.0527881, 0.0333271, 0.02028, 0.0140795, 0.0104873, 0.00622575, 0.00402702, 0.00254929" \
+              "0.138547, 0.168576, 0.173971, 0.176153, 0.172909, 0.163527, 0.148439, 0.136478, 0.119862, 0.070221, 0.0527881, 0.0333271, 0.02028, 0.0140795, 0.0104873, 0.00622575, 0.00402701, 0.00254929" \
             );
           }
           vector (ccs_template) {
@@ -153310,7 +168694,7 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0815796");
-            index_3 ("0.88211, 0.925242, 0.94057, 0.95395, 0.960364, 0.972793, 0.989719, 1.04071, 1.17013, 1.26163, 1.32227, 1.42585, 1.49462, 1.73061, 1.78456, 1.88082, 1.95329, 2.00174, 2.09379, 2.14643, 2.23255, 2.33294, 2.44651, 2.51853, 2.62878, 2.76582, 2.9219, 3.11282, 3.36292");
+            index_3 ("0.88211, 0.925242, 0.94057, 0.95395, 0.960364, 0.972793, 0.989719, 1.04071, 1.17013, 1.26163, 1.32227, 1.42585, 1.49462, 1.73061, 1.78456, 1.88082, 1.95329, 2.00174, 2.09379, 2.14643, 2.23255, 2.33293, 2.44651, 2.51853, 2.62878, 2.76582, 2.9219, 3.11282, 3.36292");
             values ( \
               "0.16891, 0.171689, 0.177406, 0.179055, 0.179365, 0.179292, 0.178504, 0.174723, 0.16344, 0.154596, 0.148268, 0.13552, 0.124067, 0.0771447, 0.067247, 0.0516224, 0.0417505, 0.036049, 0.0268657, 0.0226499, 0.0172245, 0.0124941, 0.00866692, 0.00681881, 0.00471367, 0.00291972, 0.00169498, 0.000835752, 0.00033801" \
             );
@@ -153402,7 +168786,7 @@
             index_2 ("0.00502263");
             index_3 ("9.64664, 9.6654, 9.67491, 9.70313, 9.75009, 9.76397, 9.77294, 9.78221, 9.79686, 9.80176, 9.80647, 9.81144, 9.83236, 9.8451, 9.86148, 9.86713, 9.8887, 9.90659, 9.91757, 9.9263, 9.93793, 9.96043, 9.98448");
             values ( \
-              "0.0104475, 0.0105785, 0.0144586, 0.0271516, 0.05002, 0.0560515, 0.0590969, 0.0610579, 0.0625959, 0.0634925, 0.0616665, 0.0585967, 0.0396514, 0.0294869, 0.0186342, 0.0162057, 0.0118584, 0.007899, 0.00585397, 0.00456577, 0.00322558, 0.00159849, 0.00081044" \
+              "0.0104475, 0.0105785, 0.0144586, 0.0271516, 0.05002, 0.0560516, 0.0590969, 0.0610579, 0.0625959, 0.0634925, 0.0616665, 0.0585967, 0.0396514, 0.0294869, 0.0186342, 0.0162057, 0.0118584, 0.007899, 0.00585397, 0.00456577, 0.00322558, 0.00159849, 0.00081044" \
             );
           }
           vector (ccs_template) {
@@ -153411,7 +168795,7 @@
             index_2 ("0.0202422");
             index_3 ("9.66411, 9.69342, 9.71841, 9.7655, 9.78896, 9.79661, 9.8042, 9.81085, 9.8226, 9.83324, 9.83926, 9.84763, 9.86764, 9.88117, 9.89776, 9.92355, 9.93128, 9.94675, 9.9698, 10.0132, 10.0352, 10.0507, 10.0704, 10.0937, 10.1198, 10.1543, 10.1904, 10.2361, 10.2706, 10.3174, 10.3713, 10.4485");
             values ( \
-              "0.0304778, 0.0349361, 0.0544961, 0.098468, 0.117633, 0.122098, 0.125475, 0.127657, 0.129861, 0.130348, 0.130086, 0.129211, 0.125488, 0.122102, 0.116269, 0.1052, 0.0991515, 0.0901902, 0.0746967, 0.0507219, 0.0408249, 0.0347198, 0.0279689, 0.0212077, 0.0163986, 0.0118469, 0.00824471, 0.00500117, 0.00337782, 0.0018959, 0.00098435, 0.000336113" \
+              "0.0304778, 0.0349361, 0.054496, 0.0984679, 0.117633, 0.122098, 0.125475, 0.127657, 0.129861, 0.130348, 0.130086, 0.129211, 0.125488, 0.122102, 0.116269, 0.105201, 0.0991514, 0.0901901, 0.0746966, 0.050722, 0.040825, 0.0347198, 0.0279689, 0.0212077, 0.0163986, 0.0118469, 0.00824471, 0.00500116, 0.00337782, 0.0018959, 0.000984352, 0.000336111" \
             );
           }
           vector (ccs_template) {
@@ -153420,7 +168804,7 @@
             index_2 ("0.0815796");
             index_3 ("9.6962, 9.74183, 9.77192, 9.80035, 9.8138, 9.82761, 9.83496, 9.84541, 9.85732, 9.86814, 9.88724, 9.9063, 9.9324, 9.95922, 10.0274, 10.1181, 10.1798, 10.2835, 10.3507, 10.586, 10.6365, 10.7279, 10.7744, 10.8219, 10.8845, 10.9659, 10.9902, 11.0387, 11.1148, 11.2234, 11.2697, 11.3622, 11.4702, 11.6036, 11.7502, 11.9343, 12.1708");
             values ( \
-              "0.0872914, 0.0906853, 0.127677, 0.155057, 0.163031, 0.16829, 0.17012, 0.171798, 0.172831, 0.173238, 0.173158, 0.172416, 0.170805, 0.168768, 0.162922, 0.154451, 0.148135, 0.13547, 0.124332, 0.0775636, 0.0682586, 0.0532064, 0.046527, 0.0404052, 0.0333426, 0.0256215, 0.0236975, 0.0202752, 0.0159365, 0.0112322, 0.00968973, 0.00712818, 0.00497146, 0.00312145, 0.00187972, 0.000951958, 0.00040868" \
+              "0.0872915, 0.0906853, 0.127677, 0.155057, 0.163031, 0.16829, 0.17012, 0.171798, 0.172831, 0.173238, 0.173158, 0.172416, 0.170805, 0.168768, 0.162922, 0.154451, 0.148135, 0.13547, 0.124332, 0.0775636, 0.0682586, 0.0532064, 0.046527, 0.0404052, 0.0333426, 0.0256215, 0.0236975, 0.0202752, 0.0159365, 0.0112322, 0.00968973, 0.00712818, 0.00497146, 0.00312145, 0.00187972, 0.000951958, 0.00040868" \
             );
           }
           vector (ccs_template) {
@@ -153553,9 +168937,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.0815796");
-            index_3 ("0.177376, 0.221299, 0.237494, 0.256157, 0.263392, 0.291803, 0.32332, 0.396463, 0.446324, 0.523741, 0.619566, 0.723043, 0.791101, 1.02666, 1.07998, 1.17706, 1.25109, 1.30081, 1.39661, 1.46525, 1.51369, 1.60438, 1.6813, 1.75302, 1.84866, 1.97005, 2.11662, 2.2836, 2.49737, 2.78712");
+            index_3 ("0.177376, 0.221299, 0.237494, 0.256157, 0.263392, 0.291803, 0.32332, 0.396463, 0.446324, 0.523741, 0.619566, 0.723043, 0.791101, 1.02666, 1.07998, 1.17706, 1.25109, 1.30081, 1.39661, 1.46525, 1.51369, 1.60438, 1.6813, 1.75302, 1.84866, 1.97006, 2.11662, 2.2836, 2.49737, 2.78712");
             values ( \
-              "0.164889, 0.169552, 0.175048, 0.176846, 0.176861, 0.176518, 0.17494, 0.169665, 0.165449, 0.158228, 0.148419, 0.135642, 0.12429, 0.0773717, 0.0675506, 0.0517444, 0.0416508, 0.0358081, 0.0263487, 0.0211071, 0.0180985, 0.0135517, 0.0105936, 0.00839235, 0.00609551, 0.00404195, 0.00241241, 0.00134051, 0.000601309, 0.000204448" \
+              "0.164889, 0.169552, 0.175048, 0.176846, 0.176861, 0.176518, 0.17494, 0.169665, 0.165449, 0.158228, 0.148419, 0.135642, 0.12429, 0.0773717, 0.0675506, 0.0517444, 0.0416508, 0.0358081, 0.0263487, 0.0211071, 0.0180985, 0.0135517, 0.0105936, 0.00839235, 0.00609551, 0.00404195, 0.00241241, 0.00134051, 0.000601308, 0.000204448" \
             );
           }
           vector (ccs_template) {
@@ -153771,7 +169155,7 @@
             index_2 ("0.0815796");
             index_3 ("2.73552, 2.77031, 2.78377, 2.79261, 2.81029, 2.82406, 2.8364, 2.85141, 2.86778, 2.88376, 2.89922, 2.94754, 2.97565, 3.04085, 3.09723, 3.19291, 3.29649, 3.35837, 3.59121, 3.63246, 3.7132, 3.78771, 3.81656, 3.87427, 3.971, 4.03793, 4.08522, 4.12508, 4.16495, 4.25697, 4.33594, 4.44122, 4.57013, 4.71933, 4.89137, 5.11354, 5.42543");
             values ( \
-              "0.108657, 0.128789, 0.148114, 0.157009, 0.167996, 0.172031, 0.173892, 0.175339, 0.175777, 0.175381, 0.174548, 0.171362, 0.16923, 0.163566, 0.158248, 0.148432, 0.135639, 0.125415, 0.0790825, 0.0713134, 0.0575106, 0.0464962, 0.0426646, 0.035826, 0.0262654, 0.0211563, 0.0182208, 0.0160471, 0.0141593, 0.0106289, 0.00822289, 0.00579094, 0.00372309, 0.00221009, 0.00119176, 0.000526562, 0.000151582" \
+              "0.108657, 0.128789, 0.148114, 0.157009, 0.167996, 0.172031, 0.173892, 0.175339, 0.175777, 0.175381, 0.174548, 0.171362, 0.16923, 0.163566, 0.158248, 0.148432, 0.135639, 0.125415, 0.0790825, 0.0713134, 0.0575106, 0.0464962, 0.0426646, 0.035826, 0.0262654, 0.0211563, 0.0182208, 0.0160471, 0.0141593, 0.0106289, 0.00822289, 0.00579094, 0.00372309, 0.00221009, 0.00119176, 0.000526563, 0.000151582" \
             );
           }
           vector (ccs_template) {
@@ -153987,7 +169371,7 @@
             index_2 ("0.00124625");
             index_3 ("0.183484, 0.196249, 0.203414, 0.212262, 0.218653, 0.225759, 0.232045, 0.240456, 0.246778, 0.248804, 0.257611, 0.263228, 0.271786, 0.276579, 0.280948, 0.282331, 0.285096, 0.305206, 0.320403, 0.33394, 0.345024, 0.363169, 0.387335");
             values ( \
-              "0.000331166, 0.016799, 0.0229412, 0.0288043, 0.0312115, 0.0321149, 0.0311762, 0.0279818, 0.0263945, 0.0255143, 0.017633, 0.0136967, 0.00923726, 0.00749582, 0.00827202, 0.00798436, 0.00795532, 0.00354251, 0.00166775, 0.000823865, 0.000558989, 0.000136154, 0.000103976" \
+              "0.000331166, 0.016799, 0.0229412, 0.0288043, 0.0312115, 0.0321149, 0.0311762, 0.0279818, 0.0263945, 0.0255143, 0.017633, 0.0136967, 0.00923725, 0.00749582, 0.00827203, 0.00798436, 0.00795533, 0.0035425, 0.00166775, 0.00082387, 0.000558993, 0.000136156, 0.000103977" \
             );
           }
           vector (ccs_template) {
@@ -154212,7 +169596,7 @@
             index_2 ("0.00502263");
             index_3 ("9.64695, 9.66527, 9.67191, 9.70444, 9.74267, 9.75042, 9.7671, 9.78233, 9.79706, 9.80437, 9.81506, 9.83538, 9.84555, 9.86162, 9.86649, 9.90682, 9.92115, 9.93998, 9.96466, 9.98259");
             values ( \
-              "0.00985635, 0.00988847, 0.0124155, 0.0277677, 0.0471172, 0.0507806, 0.0576201, 0.0610589, 0.0622454, 0.063034, 0.0555022, 0.0371818, 0.0293041, 0.0187227, 0.0165849, 0.00790529, 0.0053418, 0.00306799, 0.00142877, 0.000903445" \
+              "0.00985635, 0.00988847, 0.0124155, 0.0277677, 0.0471172, 0.0507806, 0.0576201, 0.0610589, 0.0622454, 0.063034, 0.0555022, 0.0371818, 0.0293041, 0.0187227, 0.0165849, 0.00790529, 0.0053418, 0.00306799, 0.00142877, 0.000903446" \
             );
           }
           vector (ccs_template) {
@@ -154338,7 +169722,7 @@
             index_2 ("0.00124625");
             index_3 ("0.144883, 0.161853, 0.171418, 0.179328, 0.186752, 0.194334, 0.200531, 0.204782, 0.209662, 0.222438, 0.236788, 0.239579, 0.242863, 0.248851, 0.264012, 0.275664, 0.283699, 0.311428");
             values ( \
-              "0.0062666, 0.0200977, 0.0265177, 0.0298219, 0.030893, 0.0299013, 0.0278131, 0.0271172, 0.0255243, 0.0151665, 0.00766211, 0.00814084, 0.00813384, 0.00763055, 0.00411171, 0.00234507, 0.00157852, 0.000393614" \
+              "0.0062666, 0.0200977, 0.0265177, 0.0298219, 0.030893, 0.0299013, 0.0278131, 0.0271172, 0.0255243, 0.0151665, 0.0076621, 0.00814084, 0.00813384, 0.00763056, 0.00411171, 0.00234507, 0.00157852, 0.000393617" \
             );
           }
           vector (ccs_template) {
@@ -154390,9 +169774,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.00124625");
-            index_3 ("0.18227, 0.188746, 0.197574, 0.202327, 0.207135, 0.215063, 0.219389, 0.222462, 0.228609, 0.229804, 0.232194, 0.236975, 0.241558, 0.246105, 0.250411, 0.256953, 0.262637, 0.271924, 0.274891, 0.278557, 0.282881, 0.287212, 0.29179, 0.294547, 0.300059, 0.312166, 0.313785, 0.317023, 0.335132, 0.35539, 0.379998");
+            index_3 ("0.18227, 0.188746, 0.197574, 0.202327, 0.207135, 0.215063, 0.219389, 0.222462, 0.228609, 0.229804, 0.232194, 0.236975, 0.241558, 0.246105, 0.250411, 0.256953, 0.262637, 0.271924, 0.274891, 0.278557, 0.282881, 0.287212, 0.29179, 0.294547, 0.300059, 0.312166, 0.313785, 0.317023, 0.335132, 0.35539, 0.379999");
             values ( \
-              "0.0112649, 0.0129472, 0.0201162, 0.0236069, 0.0265474, 0.0298124, 0.0306424, 0.0308961, 0.0302466, 0.0299806, 0.0292452, 0.0274934, 0.0267524, 0.0254039, 0.0209646, 0.0159604, 0.0125338, 0.00790483, 0.0079442, 0.00824324, 0.00778084, 0.00705207, 0.00579933, 0.00530157, 0.00398636, 0.00220088, 0.00217644, 0.00173581, 0.000679326, 0.000320111, 2.27739e-06" \
+              "0.0112649, 0.0129472, 0.0201162, 0.0236069, 0.0265474, 0.0298124, 0.0306424, 0.0308961, 0.0302466, 0.0299806, 0.0292452, 0.0274934, 0.0267525, 0.0254039, 0.0209646, 0.0159604, 0.0125338, 0.00790483, 0.0079442, 0.00824324, 0.00778084, 0.00705207, 0.00579933, 0.00530157, 0.00398636, 0.00220088, 0.00217644, 0.00173581, 0.000679325, 0.000320111, 2.27735e-06" \
             );
           }
           vector (ccs_template) {
@@ -154446,7 +169830,7 @@
             index_2 ("0.00124625");
             index_3 ("0.327514, 0.334209, 0.343065, 0.352668, 0.360617, 0.364852, 0.368027, 0.374377, 0.375382, 0.383228, 0.386858, 0.391689, 0.395999, 0.403125, 0.416978, 0.420093, 0.424078, 0.430301, 0.434304, 0.439182, 0.450019, 0.459639, 0.476023, 0.49425, 0.517772, 0.545371");
             values ( \
-              "0.0108362, 0.0127602, 0.0200384, 0.0264966, 0.0297238, 0.0305843, 0.0308533, 0.0301741, 0.0299489, 0.0273883, 0.0267637, 0.0253693, 0.0209883, 0.0155837, 0.00814099, 0.00783053, 0.00828855, 0.0074845, 0.00673373, 0.00536803, 0.00325427, 0.00203144, 0.00088614, 0.000416374, 5.02387e-05, 7.27195e-05" \
+              "0.0108362, 0.0127602, 0.0200384, 0.0264966, 0.0297238, 0.0305843, 0.0308533, 0.0301741, 0.0299489, 0.0273883, 0.0267637, 0.0253693, 0.0209883, 0.0155837, 0.00814099, 0.00783053, 0.00828855, 0.0074845, 0.00673373, 0.00536803, 0.00325427, 0.00203144, 0.00088614, 0.000416374, 5.02388e-05, 7.27195e-05" \
             );
           }
           vector (ccs_template) {
@@ -154644,7 +170028,7 @@
             index_2 ("0.328781");
             index_3 ("9.68436, 9.68438, 10.2963, 10.8715, 11.2304, 11.6298, 11.9729, 12.2202, 12.9744, 13.1762, 13.5657, 13.8952, 14.1205, 14.4891, 14.6442, 14.9408, 15.3466, 15.8085, 16.1618, 16.7154, 17.4604, 18.2551, 19.3437");
             values ( \
-              "1e-22, 0.200744, 0.181164, 0.167072, 0.157621, 0.14531, 0.131247, 0.119066, 0.0791776, 0.0693511, 0.0526209, 0.0409506, 0.0342644, 0.025295, 0.0222087, 0.0172909, 0.0121799, 0.00815284, 0.00596953, 0.00362137, 0.00184754, 0.000872019, 0.000295265" \
+              "1e-22, 0.200744, 0.181164, 0.167072, 0.157621, 0.14531, 0.131247, 0.119066, 0.0791776, 0.0693511, 0.0526209, 0.0409506, 0.0342644, 0.025295, 0.0222087, 0.0172909, 0.0121799, 0.00815284, 0.00596953, 0.00362137, 0.00184753, 0.000872018, 0.000295264" \
             );
           }
           vector (ccs_template) {
@@ -154797,7 +170181,7 @@
             index_2 ("0.00124625");
             index_3 ("0.182044, 0.202185, 0.206699, 0.211091, 0.218674, 0.222208, 0.225715, 0.232728, 0.239935, 0.241455, 0.243481, 0.247533, 0.248771, 0.254633, 0.261369, 0.264719, 0.27536, 0.280906, 0.284136, 0.288106, 0.298206, 0.305403, 0.311503, 0.320494, 0.332052, 0.360095, 0.383789");
             values ( \
-              "0.000338279, 0.0219524, 0.025363, 0.028227, 0.0312678, 0.0318479, 0.0320575, 0.0309182, 0.0281414, 0.0277251, 0.0275519, 0.0260974, 0.0254432, 0.0200926, 0.0149218, 0.0128208, 0.00769169, 0.00809637, 0.00795096, 0.00732632, 0.00494729, 0.00352239, 0.00261732, 0.00166144, 0.000914036, 0.000172052, 0.000111398" \
+              "0.000338279, 0.0219524, 0.025363, 0.028227, 0.0312678, 0.0318479, 0.0320575, 0.0309182, 0.0281414, 0.0277251, 0.0275519, 0.0260974, 0.0254432, 0.0200926, 0.0149218, 0.0128208, 0.00769168, 0.00809637, 0.00795097, 0.00732633, 0.00494729, 0.00352239, 0.00261732, 0.00166144, 0.000914036, 0.000172052, 0.000111398" \
             );
           }
           vector (ccs_template) {
@@ -155040,7 +170424,7 @@
             index_2 ("0.0815796");
             index_3 ("9.70522, 9.76268, 9.79266, 9.81404, 9.82952, 9.84362, 9.8503, 9.86037, 9.87968, 9.90375, 9.93261, 9.96073, 9.99219, 10.0343, 10.0991, 10.1818, 10.2855, 10.3715, 10.5731, 10.6198, 10.7042, 10.777, 10.8628, 10.9598, 11.0039, 11.0765, 11.1442, 11.2389, 11.3208, 11.4271, 11.5469, 11.6921, 11.8559, 12.0704, 12.3587");
             values ( \
-              "0.0964869, 0.116602, 0.148179, 0.161692, 0.167357, 0.170142, 0.170899, 0.171567, 0.171859, 0.171252, 0.169899, 0.168156, 0.165835, 0.162337, 0.156447, 0.14812, 0.135471, 0.120869, 0.08037, 0.0716827, 0.0571421, 0.0464259, 0.0358689, 0.0263503, 0.0227899, 0.0180899, 0.0146121, 0.0107652, 0.00824774, 0.00579564, 0.00384017, 0.00232098, 0.00128626, 0.000591995, 0.00018712" \
+              "0.0964869, 0.116602, 0.148179, 0.161692, 0.167357, 0.170142, 0.170899, 0.171567, 0.171859, 0.171252, 0.169899, 0.168156, 0.165835, 0.162337, 0.156447, 0.14812, 0.135471, 0.120869, 0.0803701, 0.0716828, 0.0571422, 0.0464259, 0.0358688, 0.0263502, 0.0227899, 0.0180901, 0.0146122, 0.0107653, 0.00824771, 0.00579561, 0.00384014, 0.00232096, 0.00128625, 0.000591988, 0.000187117" \
             );
           }
           vector (ccs_template) {
@@ -155171,9 +170555,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.0815796");
-            index_3 ("0.177376, 0.221299, 0.237494, 0.256157, 0.263392, 0.291803, 0.32332, 0.396463, 0.446324, 0.523741, 0.619566, 0.723043, 0.791101, 1.02666, 1.07998, 1.17706, 1.25109, 1.30081, 1.39661, 1.46525, 1.51369, 1.60438, 1.6813, 1.75302, 1.84866, 1.97005, 2.11662, 2.2836, 2.49737, 2.78712");
+            index_3 ("0.177376, 0.221299, 0.237494, 0.256157, 0.263392, 0.291803, 0.32332, 0.396463, 0.446324, 0.523741, 0.619566, 0.723043, 0.791101, 1.02666, 1.07998, 1.17706, 1.25109, 1.30081, 1.39661, 1.46525, 1.51369, 1.60438, 1.6813, 1.75302, 1.84866, 1.97006, 2.11662, 2.2836, 2.49737, 2.78712");
             values ( \
-              "0.164889, 0.169552, 0.175048, 0.176846, 0.176861, 0.176518, 0.17494, 0.169665, 0.165449, 0.158228, 0.148419, 0.135642, 0.12429, 0.0773717, 0.0675506, 0.0517444, 0.0416508, 0.0358081, 0.0263487, 0.0211071, 0.0180985, 0.0135517, 0.0105936, 0.00839235, 0.00609551, 0.00404195, 0.00241241, 0.00134051, 0.000601309, 0.000204448" \
+              "0.164889, 0.169552, 0.175048, 0.176846, 0.176861, 0.176518, 0.17494, 0.169665, 0.165449, 0.158228, 0.148419, 0.135642, 0.12429, 0.0773717, 0.0675506, 0.0517444, 0.0416508, 0.0358081, 0.0263487, 0.0211071, 0.0180985, 0.0135517, 0.0105936, 0.00839235, 0.00609551, 0.00404195, 0.00241241, 0.00134051, 0.000601308, 0.000204448" \
             );
           }
           vector (ccs_template) {
@@ -155389,7 +170773,7 @@
             index_2 ("0.0815796");
             index_3 ("2.73552, 2.77031, 2.78377, 2.79261, 2.81029, 2.82406, 2.8364, 2.85141, 2.86778, 2.88376, 2.89922, 2.94754, 2.97565, 3.04085, 3.09723, 3.19291, 3.29649, 3.35837, 3.59121, 3.63246, 3.7132, 3.78771, 3.81656, 3.87427, 3.971, 4.03793, 4.08522, 4.12508, 4.16495, 4.25697, 4.33594, 4.44122, 4.57013, 4.71933, 4.89137, 5.11354, 5.42543");
             values ( \
-              "0.108657, 0.128789, 0.148114, 0.157009, 0.167996, 0.172031, 0.173892, 0.175339, 0.175777, 0.175381, 0.174548, 0.171362, 0.16923, 0.163566, 0.158248, 0.148432, 0.135639, 0.125415, 0.0790825, 0.0713134, 0.0575106, 0.0464962, 0.0426646, 0.035826, 0.0262654, 0.0211563, 0.0182208, 0.0160471, 0.0141593, 0.0106289, 0.00822289, 0.00579094, 0.00372309, 0.00221009, 0.00119176, 0.000526562, 0.000151582" \
+              "0.108657, 0.128789, 0.148114, 0.157009, 0.167996, 0.172031, 0.173892, 0.175339, 0.175777, 0.175381, 0.174548, 0.171362, 0.16923, 0.163566, 0.158248, 0.148432, 0.135639, 0.125415, 0.0790825, 0.0713134, 0.0575106, 0.0464962, 0.0426646, 0.035826, 0.0262654, 0.0211563, 0.0182208, 0.0160471, 0.0141593, 0.0106289, 0.00822289, 0.00579094, 0.00372309, 0.00221009, 0.00119176, 0.000526563, 0.000151582" \
             );
           }
           vector (ccs_template) {
@@ -155602,7 +170986,7 @@
             index_2 ("0.0815796");
             index_3 ("0.166858, 0.207734, 0.231853, 0.243875, 0.263047, 0.29802, 0.314059, 0.329752, 0.348404, 0.370421, 0.396682, 0.429887, 0.463553, 0.550763, 0.603131, 0.665284, 0.704595, 0.75701, 0.817314, 0.876315, 0.939326, 0.989977, 1.02452, 1.08052, 1.14337, 1.2086, 1.25939, 1.36098, 1.50863, 1.6789, 1.93555");
             values ( \
-              "-0.139299, -0.151049, -0.174202, -0.182344, -0.192812, -0.208039, -0.213191, -0.216624, -0.219409, -0.220779, -0.221035, -0.219576, -0.217351, -0.209064, -0.202489, -0.190671, -0.177494, -0.151763, -0.119236, -0.09044, -0.065414, -0.0495391, -0.0407564, -0.0294462, -0.0202084, -0.0136252, -0.00995495, -0.00517858, -0.00177542, -0.000656347, -0.000234947" \
+              "-0.139299, -0.151049, -0.174202, -0.182344, -0.192812, -0.208039, -0.213191, -0.216624, -0.219409, -0.220779, -0.221035, -0.219576, -0.217351, -0.209064, -0.202489, -0.190671, -0.177494, -0.151763, -0.119236, -0.09044, -0.065414, -0.0495391, -0.0407564, -0.0294462, -0.0202084, -0.0136252, -0.00995495, -0.00517858, -0.00177542, -0.000656348, -0.000234947" \
             );
           }
           vector (ccs_template) {
@@ -155773,7 +171157,7 @@
             index_2 ("0.328781");
             index_3 ("0.890651, 1.004, 1.02693, 1.06867, 1.09382, 1.12292, 1.15127, 1.20786, 1.37544, 1.90515, 2.1703, 2.44442, 2.52315, 2.68062, 2.73642, 2.84802, 3.05012, 3.42228, 3.63006, 3.73972, 3.95905, 4.14065, 4.2706, 4.52893, 4.61259, 4.80213, 5.01875, 5.452, 6.04449, 6.73246");
             values ( \
-              "-0.214946, -0.217471, -0.226884, -0.237171, -0.240348, -0.242154, -0.2428, -0.242658, -0.239264, -0.226813, -0.219769, -0.210759, -0.207412, -0.198551, -0.194262, -0.18326, -0.15629, -0.10409, -0.0798656, -0.068897, -0.050564, -0.038812, -0.0319693, -0.0214984, -0.0188944, -0.0140781, -0.00999269, -0.00488816, -0.00171013, -0.000537218" \
+              "-0.214946, -0.217471, -0.226884, -0.237171, -0.240348, -0.242154, -0.2428, -0.242658, -0.239264, -0.226813, -0.219769, -0.210759, -0.207412, -0.198551, -0.194262, -0.18326, -0.15629, -0.10409, -0.0798657, -0.068897, -0.050564, -0.038812, -0.0319693, -0.0214984, -0.0188944, -0.0140782, -0.0099927, -0.00488817, -0.00171014, -0.000537219" \
             );
           }
           vector (ccs_template) {
@@ -155881,7 +171265,7 @@
             index_2 ("0.328781");
             index_3 ("9.7525, 9.91741, 9.99651, 10.0582, 10.0919, 10.1342, 10.193, 10.255, 10.3893, 10.6405, 11.1637, 11.4388, 11.5213, 11.6862, 11.8184, 11.9947, 12.4555, 12.6991, 12.871, 13.0345, 13.2253, 13.4797, 13.6317, 13.8657, 14.1778, 14.7595, 15.3743, 16.1797");
             values ( \
-              "-0.0874419, -0.100946, -0.185868, -0.222975, -0.232208, -0.238025, -0.240618, -0.240778, -0.238431, -0.23277, -0.219575, -0.210552, -0.20704, -0.197569, -0.185749, -0.163286, -0.0991117, -0.0721904, -0.0568606, -0.0449627, -0.0339232, -0.0229967, -0.0181974, -0.0126319, -0.00764888, -0.00279371, -0.000936728, -0.000262917" \
+              "-0.0874419, -0.100946, -0.185868, -0.222975, -0.232208, -0.238025, -0.240618, -0.240778, -0.238431, -0.23277, -0.219575, -0.210552, -0.20704, -0.197569, -0.185749, -0.163286, -0.0991117, -0.0721904, -0.0568606, -0.0449627, -0.0339232, -0.0229967, -0.0181974, -0.0126319, -0.00764888, -0.00279371, -0.000936727, -0.000262917" \
             );
           }
           vector (ccs_template) {
@@ -156034,7 +171418,7 @@
             index_2 ("0.00124625");
             index_3 ("0.169524, 0.178589, 0.184159, 0.188394, 0.202471, 0.211912, 0.220568, 0.228869, 0.244952, 0.2517, 0.266443, 0.277679, 0.283584, 0.300909, 0.311037, 0.331951, 0.339527");
             values ( \
-              "-0.001096, -0.00974074, -0.0135702, -0.0161919, -0.022342, -0.0251995, -0.0265445, -0.0276168, -0.0280947, -0.0260852, -0.0121864, -0.00510415, -0.00296334, -0.0021134, -0.00137872, -0.000426979, -0.000337453" \
+              "-0.001096, -0.00974074, -0.0135702, -0.0161918, -0.022342, -0.0251995, -0.0265445, -0.0276168, -0.0280947, -0.0260852, -0.0121864, -0.00510415, -0.00296334, -0.0021134, -0.00137872, -0.000426979, -0.000337453" \
             );
           }
           vector (ccs_template) {
@@ -156421,7 +171805,7 @@
             index_2 ("0.328781");
             index_3 ("0.194328, 0.32102, 0.369838, 0.399914, 0.439462, 0.467335, 0.56163, 0.840047, 1.15523, 1.46772, 1.79231, 1.85855, 1.99103, 2.11962, 2.29107, 2.53363, 2.76789, 2.91232, 3.12201, 3.29423, 3.43618, 3.60137, 3.83485, 4.07753, 4.26346, 4.63532, 5.22629, 5.88531");
             values ( \
-              "-0.22347, -0.229023, -0.239411, -0.241816, -0.243107, -0.243125, -0.241717, -0.235497, -0.228035, -0.219709, -0.208677, -0.205603, -0.197616, -0.18611, -0.164371, -0.129264, -0.098015, -0.081427, -0.0612266, -0.0478952, -0.0389226, -0.0303904, -0.0212351, -0.0145833, -0.0108783, -0.00594179, -0.00210061, -0.000672278" \
+              "-0.22347, -0.229023, -0.239411, -0.241816, -0.243107, -0.243125, -0.241717, -0.235497, -0.228035, -0.219709, -0.208677, -0.205603, -0.197616, -0.18611, -0.164371, -0.129264, -0.0980151, -0.081427, -0.0612266, -0.0478952, -0.0389226, -0.0303904, -0.0212351, -0.0145833, -0.0108783, -0.00594179, -0.00210061, -0.000672277" \
             );
           }
           vector (ccs_template) {
@@ -156520,7 +171904,7 @@
             index_2 ("0.0815796");
             index_3 ("0.342506, 0.389857, 0.420592, 0.451695, 0.484677, 0.50175, 0.519971, 0.540794, 0.571275, 0.604577, 0.638268, 0.725395, 0.777866, 0.840447, 0.878508, 0.929256, 1.00354, 1.0557, 1.09939, 1.13313, 1.19029, 1.25769, 1.3182, 1.38243, 1.4319, 1.53083, 1.67898, 1.84781, 2.10403");
             values ( \
-              "-0.146496, -0.159606, -0.182354, -0.19749, -0.210819, -0.215365, -0.218472, -0.220326, -0.220839, -0.219552, -0.217252, -0.209049, -0.202468, -0.19055, -0.177828, -0.153098, -0.113296, -0.0883984, -0.0707189, -0.059032, -0.0429149, -0.0290355, -0.0201991, -0.0136986, -0.0101073, -0.00534621, -0.00184228, -0.000662159, -0.000251089" \
+              "-0.146496, -0.159606, -0.182354, -0.19749, -0.210819, -0.215365, -0.218472, -0.220326, -0.220839, -0.219552, -0.217252, -0.209049, -0.202468, -0.19055, -0.177828, -0.153098, -0.113296, -0.0883984, -0.0707189, -0.059032, -0.0429149, -0.0290355, -0.0201991, -0.0136986, -0.0101073, -0.00534621, -0.00184227, -0.000662159, -0.000251089" \
             );
           }
           vector (ccs_template) {
@@ -156815,7 +172199,7 @@
             index_2 ("0.0815796");
             index_3 ("0.166858, 0.207734, 0.231853, 0.243875, 0.263047, 0.29802, 0.314059, 0.329752, 0.348404, 0.370421, 0.396682, 0.429887, 0.463553, 0.550763, 0.603131, 0.665284, 0.704595, 0.75701, 0.817314, 0.876315, 0.939326, 0.989977, 1.02452, 1.08052, 1.14337, 1.2086, 1.25939, 1.36098, 1.50863, 1.6789, 1.93555");
             values ( \
-              "-0.139299, -0.151049, -0.174202, -0.182344, -0.192812, -0.208039, -0.213191, -0.216624, -0.219409, -0.220779, -0.221035, -0.219576, -0.217351, -0.209064, -0.202489, -0.190671, -0.177494, -0.151763, -0.119236, -0.09044, -0.065414, -0.0495391, -0.0407564, -0.0294462, -0.0202084, -0.0136252, -0.00995495, -0.00517858, -0.00177542, -0.000656347, -0.000234947" \
+              "-0.139299, -0.151049, -0.174202, -0.182344, -0.192812, -0.208039, -0.213191, -0.216624, -0.219409, -0.220779, -0.221035, -0.219576, -0.217351, -0.209064, -0.202489, -0.190671, -0.177494, -0.151763, -0.119236, -0.09044, -0.065414, -0.0495391, -0.0407564, -0.0294462, -0.0202084, -0.0136252, -0.00995495, -0.00517858, -0.00177542, -0.000656348, -0.000234947" \
             );
           }
           vector (ccs_template) {
@@ -156986,7 +172370,7 @@
             index_2 ("0.328781");
             index_3 ("0.890651, 1.004, 1.02693, 1.06867, 1.09382, 1.12292, 1.15127, 1.20786, 1.37544, 1.90515, 2.1703, 2.44442, 2.52315, 2.68062, 2.73642, 2.84802, 3.05012, 3.42228, 3.63006, 3.73972, 3.95905, 4.14065, 4.2706, 4.52893, 4.61259, 4.80213, 5.01875, 5.452, 6.04449, 6.73246");
             values ( \
-              "-0.214946, -0.217471, -0.226884, -0.237171, -0.240348, -0.242154, -0.2428, -0.242658, -0.239264, -0.226813, -0.219769, -0.210759, -0.207412, -0.198551, -0.194262, -0.18326, -0.15629, -0.10409, -0.0798656, -0.068897, -0.050564, -0.038812, -0.0319693, -0.0214984, -0.0188944, -0.0140781, -0.00999269, -0.00488816, -0.00171013, -0.000537218" \
+              "-0.214946, -0.217471, -0.226884, -0.237171, -0.240348, -0.242154, -0.2428, -0.242658, -0.239264, -0.226813, -0.219769, -0.210759, -0.207412, -0.198551, -0.194262, -0.18326, -0.15629, -0.10409, -0.0798657, -0.068897, -0.050564, -0.038812, -0.0319693, -0.0214984, -0.0188944, -0.0140782, -0.0099927, -0.00488817, -0.00171014, -0.000537219" \
             );
           }
           vector (ccs_template) {
@@ -157094,7 +172478,7 @@
             index_2 ("0.328781");
             index_3 ("9.7525, 9.91741, 9.99651, 10.0582, 10.0919, 10.1342, 10.193, 10.255, 10.3893, 10.6405, 11.1637, 11.4388, 11.5213, 11.6862, 11.8184, 11.9947, 12.4555, 12.6991, 12.871, 13.0345, 13.2253, 13.4797, 13.6317, 13.8657, 14.1778, 14.7595, 15.3743, 16.1797");
             values ( \
-              "-0.0874419, -0.100946, -0.185868, -0.222975, -0.232208, -0.238025, -0.240618, -0.240778, -0.238431, -0.23277, -0.219575, -0.210552, -0.20704, -0.197569, -0.185749, -0.163286, -0.0991117, -0.0721904, -0.0568606, -0.0449627, -0.0339232, -0.0229967, -0.0181974, -0.0126319, -0.00764888, -0.00279371, -0.000936728, -0.000262917" \
+              "-0.0874419, -0.100946, -0.185868, -0.222975, -0.232208, -0.238025, -0.240618, -0.240778, -0.238431, -0.23277, -0.219575, -0.210552, -0.20704, -0.197569, -0.185749, -0.163286, -0.0991117, -0.0721904, -0.0568606, -0.0449627, -0.0339232, -0.0229967, -0.0181974, -0.0126319, -0.00764888, -0.00279371, -0.000936727, -0.000262917" \
             );
           }
           vector (ccs_template) {
@@ -157182,7 +172566,7 @@
             "0.00974813, 0.0097979, 0.00970775, 0.00872051, 0.00449098, 0", \
             "0.00957133, 0.00962095, 0.00953157, 0.00855148, 0.00431624, 0", \
             "0.0092345, 0.00928223, 0.00919223, 0.00820664, 0.00397856, 0", \
-            "0.00949901, 0.00956312, 0.00945881, 0.00846966, 0.00424066, 0", \
+            "0.00949901, 0.00956312, 0.00945881, 0.00847509, 0.00423937, 0", \
             "0.0130963, 0.013126, 0.0130404, 0.0121511, 0.00777541, 0", \
             "0.0286348, 0.0286322, 0.0285089, 0.0275111, 0.0233624, 0.00603454" \
           );
@@ -157219,7 +172603,7 @@
           index_2 ("0.00124625, 0.00502263, 0.0202422, 0.0815796, 0.328781, 1.32505");
           values ( \
             "0.0214977, 0.021556, 0.0214943, 0.0208274, 0.0179664, 0.00637163", \
-            "0.0213943, 0.0214536, 0.0213916, 0.0207249, 0.0178646, 0.00628175", \
+            "0.0213943, 0.0214536, 0.0213916, 0.0207249, 0.0178646, 0.00628178", \
             "0.0212371, 0.0212958, 0.0212349, 0.0205687, 0.0177124, 0.00611618", \
             "0.0214117, 0.0214558, 0.0213716, 0.0207309, 0.0178669, 0.00629019", \
             "0.0234752, 0.0235096, 0.0234231, 0.0228085, 0.0199893, 0.00838359", \
@@ -157284,7 +172668,7 @@
             "0.0177976, 0.0178503, 0.0177466, 0.0167416, 0.0124887, -0.00450989", \
             "0.0177021, 0.0177546, 0.0176511, 0.0166436, 0.0123927, -0.00460373", \
             "0.0174774, 0.0175283, 0.0174233, 0.0164198, 0.0121777, -0.00482946", \
-            "0.0175953, 0.0176528, 0.0175147, 0.0165054, 0.0122703, -0.00473919", \
+            "0.0175953, 0.0176528, 0.0175053, 0.0165054, 0.0122703, -0.00473919", \
             "0.0196758, 0.0197048, 0.0195995, 0.0187378, 0.0143355, -0.00258237", \
             "0.0307221, 0.0307148, 0.0305813, 0.0295734, 0.0254282, 0.00806026" \
           );
@@ -157386,7 +172770,7 @@
             "0.0152195, 0.0152702, 0.0151685, 0.0141559, 0.00991879, -0.00239667", \
             "0.0151203, 0.0151719, 0.0150702, 0.0140651, 0.00982061, -0.00229315", \
             "0.0149008, 0.0149508, 0.0148474, 0.0138444, 0.0096003, -0.00213247", \
-            "0.0150286, 0.015082, 0.0149757, 0.013974, 0.00969042, -0.00234648", \
+            "0.0150286, 0.0150746, 0.0149757, 0.0139214, 0.00974379, -0.00234648", \
             "0.0171301, 0.0171583, 0.0170574, 0.0161317, 0.0117758, -0.00451365", \
             "0.0282321, 0.0282234, 0.0280926, 0.0270756, 0.0228427, 0.0055497" \
           );
@@ -157488,8 +172872,8 @@
             "0.0151649, 0.0152188, 0.015115, 0.0141094, 0.00986502, -0.00243633", \
             "0.0150699, 0.0151227, 0.0150187, 0.014013, 0.00976837, -0.00233329", \
             "0.014847, 0.0148982, 0.0147943, 0.0137877, 0.00954647, -0.00218305", \
-            "0.0149744, 0.0150223, 0.0148931, 0.0138795, 0.00964631, -0.00236815", \
-            "0.0170861, 0.0171149, 0.0170143, 0.0161667, 0.0117327, -0.00452923", \
+            "0.0149744, 0.0150223, 0.014883, 0.0138933, 0.00966022, -0.00236815", \
+            "0.0170861, 0.0171149, 0.0170115, 0.0161667, 0.0117327, -0.00452923", \
             "0.028165, 0.0281588, 0.0280255, 0.0270103, 0.0229159, 0.00548291" \
           );
         }
@@ -157523,7 +172907,7 @@
           index_2 ("0.00124625, 0.00502263, 0.0202422, 0.0815796, 0.328781, 1.32505");
           values ( \
             "0.0214977, 0.021556, 0.0214943, 0.0208274, 0.0179664, 0.00637163", \
-            "0.0213943, 0.0214536, 0.0213916, 0.0207249, 0.0178646, 0.00628175", \
+            "0.0213943, 0.0214536, 0.0213916, 0.0207249, 0.0178646, 0.00628178", \
             "0.0212371, 0.0212958, 0.0212349, 0.0205687, 0.0177124, 0.00611618", \
             "0.0214117, 0.0214558, 0.0213716, 0.0207309, 0.0178669, 0.00629019", \
             "0.0234752, 0.0235096, 0.0234231, 0.0228085, 0.0199893, 0.00838359", \
@@ -157537,7 +172921,7 @@
             "0.0177976, 0.0178503, 0.0177466, 0.0167416, 0.0124887, -0.00450989", \
             "0.0177021, 0.0177546, 0.0176511, 0.0166436, 0.0123927, -0.00460373", \
             "0.0174774, 0.0175283, 0.0174233, 0.0164198, 0.0121777, -0.00482946", \
-            "0.0175953, 0.0176528, 0.0175147, 0.0165054, 0.0122703, -0.00473919", \
+            "0.0175953, 0.0176528, 0.0175053, 0.0165054, 0.0122703, -0.00473919", \
             "0.0196758, 0.0197048, 0.0195995, 0.0187378, 0.0143355, -0.00258237", \
             "0.0307221, 0.0307148, 0.0305813, 0.0295734, 0.0254282, 0.00806026" \
           );
@@ -159457,8 +174841,8 @@
             "0.303785, 0.368673, 0.580533, 1.32826, 4.09756, 14.4067", \
             "0.312666, 0.377613, 0.589463, 1.33703, 4.10641, 14.4123", \
             "0.343848, 0.408756, 0.62058, 1.36818, 4.13847, 14.4463", \
-            "0.397122, 0.461718, 0.672908, 1.42078, 4.18999, 14.4955", \
-            "0.42399, 0.489868, 0.701498, 1.44829, 4.21668, 14.5194", \
+            "0.397122, 0.461873, 0.672908, 1.42078, 4.18999, 14.4949", \
+            "0.424653, 0.489868, 0.702057, 1.44829, 4.2162, 14.5194", \
             "0.153098, 0.221391, 0.43768, 1.18732, 3.95842, 14.2563" \
           );
         }
@@ -159469,8 +174853,8 @@
             "0.0325186, 0.0707253, 0.216139, 0.7622, 2.79708, 10.3687", \
             "0.0325227, 0.0707589, 0.216131, 0.762095, 2.79709, 10.3672", \
             "0.0325064, 0.0707515, 0.216066, 0.762099, 2.79758, 10.3689", \
-            "0.032441, 0.0707572, 0.21617, 0.762102, 2.79709, 10.3674", \
-            "0.032771, 0.0708966, 0.216104, 0.762274, 2.79798, 10.3682", \
+            "0.032441, 0.0706844, 0.21617, 0.762102, 2.79709, 10.3674", \
+            "0.0328099, 0.0708966, 0.216108, 0.762274, 2.79795, 10.3682", \
             "0.034345, 0.0718889, 0.216462, 0.762173, 2.79705, 10.3738" \
           );
         }
@@ -159647,7 +175031,7 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.239299");
-            index_3 ("0.000645864, 0.262981, 0.336248, 0.435973, 0.498752, 0.574071, 0.80852, 1.06887, 1.3207, 1.51082, 1.75431, 2.07895, 2.50545, 2.81305, 3.01856, 3.44757, 3.85595, 4.26453, 4.43766, 4.70836, 5.20087, 6.26413, 6.65849, 7.2258, 7.54875, 7.8143, 8.12525, 8.56422, 9.01785, 9.36486, 10.0589, 10.8688");
+            index_3 ("0.000645877, 0.262981, 0.336248, 0.435973, 0.498752, 0.574071, 0.80852, 1.06887, 1.3207, 1.51082, 1.75431, 2.07895, 2.50545, 2.81305, 3.01856, 3.44757, 3.85595, 4.26453, 4.43766, 4.70836, 5.20088, 6.26413, 6.65849, 7.2258, 7.54875, 7.8143, 8.12525, 8.56422, 9.01785, 9.36486, 10.0589, 10.8688");
             values ( \
               "1e-22, 1.83323e-05, 0.000306781, 0.00972316, 0.0117401, 0.0113212, 0.00716476, 0.00412011, 0.00426679, 0.00786487, 0.0175229, 0.0385698, 0.0696509, 0.0877203, 0.0960335, 0.105054, 0.10586, 0.102174, 0.0994872, 0.093972, 0.0801109, 0.0460272, 0.0355157, 0.0236698, 0.0185231, 0.0150741, 0.0117814, 0.00823492, 0.00567457, 0.00425363, 0.00233735, 0.00129642" \
             );
@@ -159674,9 +175058,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00463917");
-            index_3 ("0, 0.670437, 0.698955, 0.744281, 0.781479, 0.820528, 0.847254, 0.890087, 0.912094, 0.913692, 0.94966, 0.961141, 0.965771, 0.971705, 0.982148, 0.99259, 1.00431, 1.02442, 1.04037, 1.05352, 1.07119, 1.08616, 1.09644, 1.1115, 1.13158, 1.14687");
+            index_3 ("0.898511, 0.915015, 0.935757, 0.945311, 0.949976, 0.959304, 0.961415, 0.965635, 0.971958, 0.982389, 0.992819, 0.998564, 1.00526, 1.01783, 1.02677, 1.03371, 1.04091, 1.04933, 1.05502, 1.06494, 1.07372, 1.08543, 1.09358, 1.10269, 1.11483, 1.13912, 1.15111");
             values ( \
-              "1e-22, 2e-22, 0.000136129, 1e-22, 7.84561e-05, 0.000420698, 1e-22, 9.1795e-05, 0.0128999, 0.0146676, 0.0678325, 0.0772444, 0.0791273, 0.0804759, 0.0795469, 0.0748805, 0.0638761, 0.0416648, 0.0277857, 0.0193582, 0.0115036, 0.00726018, 0.0052655, 0.00326305, 0.0016802, 0.00114924" \
+              "0.0038932, 0.0163589, 0.0486359, 0.0624968, 0.0681634, 0.0761776, 0.0774129, 0.079194, 0.0805564, 0.0796458, 0.0748785, 0.0700975, 0.0630805, 0.0485364, 0.0396039, 0.0333227, 0.0276158, 0.0220317, 0.0187572, 0.0140307, 0.0107843, 0.00750792, 0.0058209, 0.00437055, 0.0029598, 0.00130723, 0.000985303" \
             );
           }
           vector (ccs_template) {
@@ -159694,7 +175078,7 @@
             index_2 ("0.0642846");
             index_3 ("0.962594, 1.2224, 1.29137, 1.4611, 1.51168, 1.60086, 1.71769, 1.82909, 1.94473, 2.0217, 2.0611, 2.19525, 2.48283, 2.59243, 2.68249, 2.75011, 2.8371, 2.90773, 2.99321, 3.11359, 3.23895, 3.335, 3.5271, 3.74872");
             values ( \
-              "0.00569644, 0.01213, 0.0254053, 0.0690094, 0.0802915, 0.0946724, 0.103496, 0.104417, 0.100613, 0.0959, 0.0927807, 0.078992, 0.0455112, 0.0349641, 0.0277307, 0.0231337, 0.0181671, 0.0148676, 0.0116034, 0.00810316, 0.00556191, 0.00415204, 0.00226524, 0.0012763" \
+              "0.00569644, 0.01213, 0.0254054, 0.0690094, 0.0802914, 0.0946724, 0.103496, 0.104417, 0.100613, 0.0959, 0.0927807, 0.078992, 0.0455112, 0.0349641, 0.0277307, 0.0231337, 0.0181671, 0.0148676, 0.0116034, 0.00810316, 0.00556191, 0.00415204, 0.00226524, 0.0012763" \
             );
           }
           vector (ccs_template) {
@@ -159710,18 +175094,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.890787");
-            index_3 ("0.00244818, 0.673225, 0.784642, 1.0045, 2.10185, 2.63069, 3.37087, 4.35994, 4.89296, 5.40477, 6.08718, 8.4892, 9.19453, 10.3896, 11.6476, 11.9727, 12.6231, 13.4881, 14.7461, 15.5745, 16.6488, 18.4817, 21.8196, 23.9742, 25.8094, 27.0674, 28.3787, 29.4539, 30.7119, 32.0384, 34.0618, 36.5778, 39.0938, 39.8833");
+            index_3 ("0.00345487, 0.673904, 0.784926, 0.893348, 1.03753, 2.03679, 2.53757, 3.24123, 4.23315, 4.85191, 5.49295, 6.34768, 8.48932, 9.19469, 10.3899, 11.6479, 11.9731, 12.6235, 13.4885, 14.7465, 15.5749, 16.6491, 18.4821, 21.8199, 23.9745, 25.8097, 27.0677, 28.379, 29.4542, 30.7122, 32.0387, 34.0621, 36.5781, 39.0941, 39.8121");
             values ( \
-              "1e-22, 1.79706e-05, 0.000399453, 0.0146825, 0.0081473, 0.00596456, 0.00408863, 0.0053109, 0.00859798, 0.0136434, 0.0235078, 0.0700038, 0.082008, 0.0965715, 0.104395, 0.105371, 0.106359, 0.106104, 0.103295, 0.100075, 0.0942784, 0.0803767, 0.0510526, 0.0350657, 0.0246184, 0.0190431, 0.014463, 0.0114849, 0.00871596, 0.00650814, 0.00413441, 0.00233547, 0.00131439, 0.00113369" \
+              "1e-22, 2.09285e-05, 0.000371377, 0.0114713, 0.0147533, 0.00847509, 0.00629916, 0.004303, 0.00480869, 0.00827257, 0.0147049, 0.0280623, 0.069988, 0.0820059, 0.0965618, 0.104398, 0.105363, 0.106364, 0.106098, 0.1033, 0.10008, 0.0942729, 0.0803715, 0.0510574, 0.0350703, 0.0246139, 0.0190474, 0.0144672, 0.0114807, 0.00872017, 0.00650421, 0.00413054, 0.00233164, 0.0013106, 0.0011463" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.47337, 2.48189, 2.48839, 2.48963, 2.49087, 2.49211, 2.49334, 2.49582, 2.49832, 2.49918, 2.50003, 2.50089, 2.50175, 2.50296, 2.50417, 2.50538, 2.50659, 2.5078, 2.50901, 2.51022, 2.51144, 2.5115, 2.51176, 2.51244, 2.51313, 2.51416, 2.51545, 2.51805, 2.52064, 2.52194, 2.52337, 2.52535, 2.52648, 2.52761, 2.52874, 2.531, 2.53213, 2.53516, 2.53694, 2.53796, 2.53898, 2.54101, 2.54203, 2.54502, 2.54727, 2.54877, 2.55026, 2.55326, 2.55626, 2.56007");
+            index_3 ("2.46332, 2.4721, 2.47327, 2.48849, 2.49164, 2.49744, 2.50255, 2.5074, 2.51224, 2.5149, 2.52286, 2.52817, 2.53241, 2.53934, 2.54419, 2.54663, 2.55336, 2.55869, 2.56556, 2.57473, 2.57747");
             values ( \
-              "0.0215602, 0.0226095, 0.0321172, 0.0338022, 0.0354396, 0.0369679, 0.0384536, 0.0412977, 0.0439548, 0.0447123, 0.0453776, 0.0459508, 0.0464318, 0.0464516, 0.0464245, 0.0463503, 0.0462291, 0.0460608, 0.0458456, 0.0455833, 0.0452739, 0.045189, 0.0450479, 0.0444198, 0.0436731, 0.0423776, 0.0404048, 0.0362196, 0.031715, 0.0293429, 0.0273699, 0.0243177, 0.0227988, 0.0213699, 0.0200692, 0.0176623, 0.0165561, 0.0138416, 0.0123717, 0.0116113, 0.0109337, 0.00967049, 0.00908486, 0.00754114, 0.00645393, 0.00586634, 0.00532611, 0.00441414, 0.00363884, 0.00283007" \
+              "0.00291375, 0.00749375, 0.00851539, 0.0309819, 0.035272, 0.0420841, 0.0457158, 0.0469306, 0.0453171, 0.0426191, 0.0290883, 0.0218298, 0.0170874, 0.0113207, 0.00834661, 0.00716439, 0.00465803, 0.00327979, 0.00209096, 0.00113877, 0.00100256" \
             );
           }
           vector (ccs_template) {
@@ -159737,9 +175121,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0172692");
-            index_3 ("2.5241, 2.5834, 2.6632, 2.68726, 2.72072, 2.75241, 2.78483, 2.81496, 2.85579, 2.92, 2.96913, 3.01259, 3.05985, 3.1183, 3.18026, 3.23391, 3.26922");
+            index_3 ("2.52465, 2.58341, 2.67241, 2.68731, 2.7171, 2.74027, 2.75238, 2.7766, 2.79964, 2.81793, 2.85223, 2.92187, 2.97018, 3.01804, 3.05602, 3.11601, 3.19167, 3.25856, 3.29383");
             values ( \
-              "0.00541318, 0.0155656, 0.0758599, 0.0884722, 0.0973944, 0.0988921, 0.0957786, 0.0893282, 0.0750966, 0.049175, 0.0327682, 0.0219949, 0.0138443, 0.00758264, 0.00394078, 0.00219008, 0.0016482" \
+              "0.00566312, 0.0155025, 0.0812629, 0.0883841, 0.096767, 0.0987605, 0.0986827, 0.0967882, 0.092962, 0.0884364, 0.0765995, 0.0484811, 0.0324791, 0.0208876, 0.0143844, 0.00775537, 0.00348768, 0.00164439, 0.0012449" \
             );
           }
           vector (ccs_template) {
@@ -159755,9 +175139,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.239299");
-            index_3 ("2.80974, 3.64094, 3.78729, 3.98243, 4.53198, 4.82566, 5.04582, 5.47437, 5.88353, 6.30879, 6.46333, 6.736, 6.92812, 7.22858, 8.28894, 8.68544, 9.00723, 9.25677, 9.57736, 9.84008, 10.1517, 10.5912, 11.0467, 11.3954, 12.0927, 13.3507, 14.6087");
+            index_3 ("2.8114, 3.64316, 3.98964, 4.53401, 4.82376, 5.0479, 5.47625, 5.88559, 6.31084, 6.73805, 7.23063, 8.29096, 8.68749, 9.25883, 9.84212, 10.1537, 10.5932, 11.3974, 12.0948, 12.8299");
             values ( \
-              "0.0102789, 0.011084, 0.0176994, 0.0297543, 0.0694734, 0.0868882, 0.0959687, 0.105, 0.105856, 0.101926, 0.0995161, 0.093969, 0.0890617, 0.0801072, 0.0461096, 0.0355316, 0.0283412, 0.0236108, 0.0185097, 0.0150965, 0.0117925, 0.00823972, 0.0056691, 0.00424318, 0.0023248, 0.000716378, 0.000215127" \
+              "0.0102587, 0.0110993, 0.0301175, 0.0694753, 0.0866957, 0.0959733, 0.105, 0.105857, 0.101927, 0.0939691, 0.0801072, 0.0461104, 0.0355318, 0.0236105, 0.0150967, 0.0117926, 0.00823976, 0.00424311, 0.00232472, 0.00138483" \
             );
           }
           vector (ccs_template) {
@@ -159766,7 +175150,7 @@
             index_2 ("0.890787");
             index_3 ("3.54673, 7.05599, 7.84189, 10.2996, 11.4513, 11.9674, 12.8232, 13.5489, 14.8069, 15.5829, 16.6007, 18.2296, 20.0574, 24.6756, 27.1745, 29.3025, 31.8994, 33.2098, 34.9621, 37.4781, 39.8196");
             values ( \
-              "0.00656537, 0.0144967, 0.0265092, 0.0741217, 0.0911234, 0.0964533, 0.102479, 0.105237, 0.106348, 0.105232, 0.102317, 0.0942641, 0.0803985, 0.0411125, 0.0256866, 0.0166189, 0.00950724, 0.0071225, 0.0048151, 0.00272253, 0.00161441" \
+              "0.00656538, 0.0144967, 0.0265092, 0.0741217, 0.0911234, 0.0964533, 0.102479, 0.105237, 0.106348, 0.105232, 0.102317, 0.0942641, 0.0803985, 0.0411125, 0.0256866, 0.0166189, 0.00950724, 0.0071225, 0.0048151, 0.00272253, 0.00161441" \
             );
           }
           vector (ccs_template) {
@@ -159782,7 +175166,7 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00463917");
-            index_3 ("0.000222637, 8.01942, 8.08331, 8.11308, 8.21499, 8.29818, 8.31795, 8.33772, 8.35315, 8.36564, 8.37129, 8.37594, 8.38214, 8.38666, 8.39377, 8.39947, 8.40633, 8.43165, 8.45841, 8.47717, 8.4814, 8.48725, 8.51209, 8.52157, 8.53353, 8.54436, 8.55498, 8.56557, 8.57048, 8.57572, 8.59196, 8.59663, 8.60286, 8.6139, 8.62175, 8.62712, 8.63748, 8.64169, 8.64838, 8.6558, 8.66609, 8.67004, 8.67794, 8.69375, 8.71642");
+            index_3 ("0.000222638, 8.01942, 8.08331, 8.11308, 8.21499, 8.29818, 8.31795, 8.33772, 8.35315, 8.36564, 8.37129, 8.37594, 8.38214, 8.38666, 8.39377, 8.39947, 8.40633, 8.43165, 8.45841, 8.47717, 8.4814, 8.48725, 8.51209, 8.52157, 8.53353, 8.54436, 8.55498, 8.56557, 8.57048, 8.57572, 8.59196, 8.59663, 8.60286, 8.6139, 8.62175, 8.62712, 8.63748, 8.64169, 8.64838, 8.6558, 8.66609, 8.67004, 8.67794, 8.69375, 8.71642");
             values ( \
               "1e-22, 2e-22, 1.00819e-05, 1.7248e-06, 4.3879e-06, 1.88347e-05, 6.78789e-05, 0.000131238, 0.000215023, 0.000248754, 0.000244327, 0.000214253, 0.000191055, 0.000152157, 0.000111996, 4.75895e-05, 1e-22, 2e-22, 0.000587029, 0.00879316, 0.0120899, 0.018432, 0.0528553, 0.0647487, 0.0750281, 0.0790009, 0.0786738, 0.0742528, 0.0704216, 0.0653189, 0.0470786, 0.0423654, 0.0364903, 0.0273842, 0.0221614, 0.0190401, 0.0140719, 0.0124192, 0.0101482, 0.00808837, 0.00585854, 0.00518337, 0.00403135, 0.00242085, 0.00124385" \
             );
@@ -159831,8 +175215,8 @@
             "0.00622342, 0.00622401, 0.00622456, 0.0062248, 0.00622489, 0.00622491", \
             "0.00704328, 0.00704321, 0.00704321, 0.00704328, 0.00704326, 0.00704327", \
             "0.00765737, 0.00765739, 0.0076574, 0.00765741, 0.00765741, 0.00765741", \
-            "0.00815755, 0.00815755, 0.00815754, 0.00815754, 0.00815519, 0.00815519", \
-            "0.00879891, 0.00879399, 0.00879891, 0.00879891, 0.00879399, 0.00879398", \
+            "0.00815755, 0.0081552, 0.00815754, 0.00815754, 0.00815519, 0.00815754", \
+            "0.00879399, 0.00879399, 0.00879399, 0.00879891, 0.0087989, 0.00879398", \
             "0.00935031, 0.00935007, 0.00935011, 0.0093504, 0.00935059, 0.00935065" \
           );
         }
@@ -159843,8 +175227,8 @@
             "0.00760143, 0.00760103, 0.00760087, 0.00760084, 0.00760084, 0.00760084", \
             "0.00825327, 0.00825312, 0.00825289, 0.00825272, 0.00825271, 0.0082527", \
             "0.00976918, 0.00976915, 0.00976911, 0.00976905, 0.00976903, 0.00976902", \
-            "0.0102081, 0.0102002, 0.0101936, 0.0101911, 0.0101945, 0.0101943", \
-            "0.00875492, 0.00876315, 0.00875532, 0.00876111, 0.00876429, 0.00876278", \
+            "0.0102081, 0.0102046, 0.0101936, 0.0101911, 0.0101945, 0.0101901", \
+            "0.00876324, 0.00876315, 0.00876377, 0.00876111, 0.00875893, 0.00876278", \
             "0.00790297, 0.0079053, 0.00790471, 0.007904, 0.00790586, 0.0079072" \
           );
         }
@@ -159943,7 +175327,7 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.239299");
-            index_3 ("0.0968825, 0.255398, 0.366718, 0.837485, 1.33206, 1.72807, 2.02027, 2.97636, 3.3263, 3.56112, 3.74156, 4.11167, 4.45576, 4.78895, 5.12051, 5.45199, 6.0928, 6.38904, 6.66098, 6.85607, 7.08363, 7.38705, 7.93106, 8.61997");
+            index_3 ("0.0968826, 0.255398, 0.366718, 0.837485, 1.33206, 1.72807, 2.02027, 2.97636, 3.3263, 3.56112, 3.74156, 4.11167, 4.45576, 4.78895, 5.12051, 5.45199, 6.0928, 6.38904, 6.66098, 6.85607, 7.08363, 7.38705, 7.93106, 8.61997");
             values ( \
               "-7.73544e-05, -0.000684135, -0.00842017, -0.00457607, -0.00204985, -0.00528489, -0.0177533, -0.0776012, -0.0952082, -0.105052, -0.111485, -0.121851, -0.128071, -0.13092, -0.129092, -0.11403, -0.0555919, -0.035647, -0.023223, -0.0165977, -0.0112277, -0.00669646, -0.0022299, -0.000644001" \
             );
@@ -160071,7 +175455,7 @@
             index_2 ("0.00124625");
             index_3 ("2.52591, 2.54362, 2.54569, 2.54982, 2.55058, 2.55498, 2.56304, 2.56695, 2.57085, 2.57912, 2.58285, 2.58831, 2.59453, 2.6006, 2.60318");
             values ( \
-              "-0.00305036, -0.0380577, -0.0439778, -0.0469024, -0.0492736, -0.0533134, -0.0575815, -0.0573806, -0.0509322, -0.0221461, -0.0134478, -0.00576095, -0.00211504, -0.000994406, -0.000739928" \
+              "-0.00305035, -0.0380577, -0.0439778, -0.0469024, -0.0492736, -0.0533134, -0.0575815, -0.0573806, -0.0509322, -0.0221461, -0.0134478, -0.00576095, -0.00211504, -0.000994406, -0.000739928" \
             );
           }
           vector (ccs_template) {
@@ -160080,7 +175464,7 @@
             index_2 ("0.00463917");
             index_3 ("2.55396, 2.57083, 2.5794, 2.59232, 2.60296, 2.6125, 2.62142, 2.63004, 2.63859, 2.64714, 2.66847, 2.6775, 2.68483, 2.69786, 2.70359");
             values ( \
-              "-0.00762853, -0.0415218, -0.0559448, -0.073159, -0.0838267, -0.0913103, -0.0957724, -0.0983468, -0.0970687, -0.0860898, -0.0316811, -0.0179257, -0.0109621, -0.00437353, -0.00323349" \
+              "-0.00762854, -0.0415218, -0.0559448, -0.073159, -0.0838267, -0.0913103, -0.0957724, -0.0983468, -0.0970687, -0.0860898, -0.0316811, -0.0179257, -0.0109621, -0.00437353, -0.00323349" \
             );
           }
           vector (ccs_template) {
@@ -160107,16 +175491,16 @@
             index_2 ("0.239299");
             index_3 ("1.85982, 2.42328, 2.55526, 3.50487, 3.83752, 4.21694, 4.98408, 5.51205, 5.92922, 6.29837, 6.64275, 6.97595, 7.30752, 7.639, 8.27986, 8.84791, 9.27073, 9.57431, 9.7375");
             values ( \
-              "-9.74364e-06, -0.000370085, -0.00843273, -0.00208057, -0.00366075, -0.01824, -0.0672479, -0.0951096, -0.111526, -0.12181, -0.128093, -0.130889, -0.129117, -0.114002, -0.0555652, -0.0232054, -0.0112464, -0.00667308, -0.00533166" \
+              "-9.74365e-06, -0.000370085, -0.00843273, -0.00208057, -0.00366076, -0.01824, -0.0672479, -0.0951096, -0.111526, -0.12181, -0.128093, -0.130889, -0.129117, -0.114002, -0.0555652, -0.0232054, -0.0112464, -0.00667308, -0.00533166" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.890787");
-            index_3 ("1.95311, 2.41892, 2.59316, 4.3988, 6.19826, 7.12598, 8.23367, 9.48854, 11.6388, 12.7465, 14.4816, 15.1295, 16.2372, 17.0177, 17.773, 18.8807, 19.2593, 19.7421, 20.226, 21.1937, 23.9676, 24.9289, 26.1754, 27.2574, 27.9608, 29.0685, 30.1762, 30.9437");
+            index_3 ("1.95311, 2.41892, 2.59316, 4.3988, 6.19826, 7.12598, 8.23367, 9.48853, 11.6388, 12.7465, 14.4816, 15.1295, 16.2372, 17.0177, 17.773, 18.8807, 19.2593, 19.7421, 20.226, 21.1937, 23.9676, 24.9289, 26.1754, 27.2574, 27.9608, 29.0685, 30.1762, 30.9437");
             values ( \
-              "-1.09739e-05, -0.00036168, -0.00954946, -0.00490321, -0.00231438, -0.00284384, -0.0107085, -0.0299621, -0.0676845, -0.0845055, -0.105775, -0.112051, -0.120752, -0.125366, -0.128676, -0.131389, -0.131617, -0.131215, -0.129677, -0.119523, -0.0528035, -0.0357837, -0.0208036, -0.0127379, -0.00913615, -0.00538525, -0.00311021, -0.00222394" \
+              "-1.09739e-05, -0.00036168, -0.00954946, -0.00490321, -0.00231438, -0.00284384, -0.0107085, -0.0299621, -0.0676845, -0.0845055, -0.105775, -0.112051, -0.120752, -0.125366, -0.128676, -0.131389, -0.131617, -0.131215, -0.129677, -0.119523, -0.0528035, -0.0357837, -0.0208036, -0.0127379, -0.00913615, -0.00538525, -0.00311021, -0.00222393" \
             );
           }
           vector (ccs_template) {
@@ -160321,7 +175705,7 @@
             index_2 ("0.00463917");
             index_3 ("0.0539449, 0.0649163, 0.0650003, 0.0657898, 0.0662175, 0.0665598, 0.067159, 0.0681985, 0.0690072, 0.0694695, 0.0703941, 0.0714761, 0.0726801, 0.081212, 0.0892115, 0.0943916, 0.0990863, 0.101522, 0.104468, 0.107536, 0.110604, 0.113303, 0.1187, 0.122083, 0.130989, 0.138436, 0.142989, 0.148648, 0.152995, 0.155454, 0.160374, 0.165646, 0.168991, 0.172296, 0.1756, 0.181984, 0.186455, 0.191141, 0.195287, 0.199433, 0.20529, 0.211147, 0.219828, 0.225657, 0.232652, 0.241979, 0.251306, 0.26849, 0.285674, 0.326307");
             values ( \
-              "0.0810289, 0.0822517, 0.0847173, 0.0912775, 0.0937787, 0.0951354, 0.0966587, 0.0969999, 0.0971181, 0.097128, 0.0970224, 0.0966152, 0.0960597, 0.0914841, 0.0870614, 0.084066, 0.0812064, 0.0796413, 0.077543, 0.0750614, 0.0724301, 0.0699918, 0.0647676, 0.0612307, 0.0512036, 0.0433913, 0.0388868, 0.0340431, 0.0306099, 0.0287771, 0.0253507, 0.021969, 0.0199569, 0.0182636, 0.0166772, 0.0139426, 0.0121655, 0.0105047, 0.00932761, 0.00825764, 0.00694553, 0.00581378, 0.00440208, 0.00357418, 0.00275171, 0.00201519, 0.00141375, 0.000800285, 0.000363502, 3.35242e-05" \
+              "0.0810289, 0.0822517, 0.0847177, 0.0912756, 0.0937799, 0.0951364, 0.0966582, 0.0969995, 0.0971179, 0.0971278, 0.0970224, 0.0966153, 0.0960597, 0.0914841, 0.0870616, 0.0840661, 0.0812064, 0.0796413, 0.077543, 0.0750614, 0.0724301, 0.0699918, 0.0647676, 0.0612307, 0.0512036, 0.0433913, 0.0388868, 0.0340431, 0.0306099, 0.0287771, 0.0253507, 0.021969, 0.0199569, 0.0182636, 0.0166772, 0.0139426, 0.0121655, 0.0105047, 0.00932761, 0.00825764, 0.00694553, 0.00581378, 0.00440208, 0.00357418, 0.00275171, 0.00201519, 0.00141375, 0.000800285, 0.000363502, 3.35242e-05" \
             );
           }
           vector (ccs_template) {
@@ -160402,7 +175786,7 @@
             index_2 ("0.239299");
             index_3 ("0.181209, 0.271151, 1.88459, 2.26627, 2.52885, 2.99094, 3.68246, 4.10139, 4.66313, 4.93774, 5.18041, 5.49471, 5.70096, 5.97597, 6.49473, 6.85066, 7.08969, 7.56776, 8.52389, 9.77087, 11.0178, 12.2648, 14.7588, 62.3479");
             values ( \
-              "0.0709039, 0.12508, 0.100043, 0.0933808, 0.0879403, 0.0761761, 0.055905, 0.0442755, 0.0310007, 0.0257185, 0.0216468, 0.0172171, 0.0147639, 0.011964, 0.00797419, 0.00600731, 0.00497758, 0.00336392, 0.00149765, 0.00047545, 0.000165484, 4.22213e-05, 1e-22, 8.16896e-06" \
+              "0.0709039, 0.12508, 0.100043, 0.0933808, 0.0879403, 0.0761761, 0.055905, 0.0442755, 0.0310007, 0.0257185, 0.0216468, 0.0172171, 0.0147639, 0.011964, 0.00797419, 0.00600731, 0.00497758, 0.00336392, 0.00149765, 0.00047545, 0.000165484, 4.22213e-05, 1e-22, 8.16897e-06" \
             );
           }
           vector (ccs_template) {
@@ -160438,7 +175822,7 @@
             index_2 ("0.0172692");
             index_3 ("0.378664, 0.52067, 0.548737, 0.779923, 0.868996, 0.923779, 0.962025, 1.0065, 1.0549, 1.11811, 1.15135, 1.19839, 1.24567, 1.28105, 1.31722, 1.34483, 1.40005, 1.5037, 1.62614, 1.7828, 2.332, 62.3488");
             values ( \
-              "0.000667641, 0.00689518, 0.00993459, 0.046858, 0.0599294, 0.06623, 0.0685605, 0.0653678, 0.0534379, 0.033053, 0.0248412, 0.0160906, 0.0101775, 0.00712023, 0.00493665, 0.00371594, 0.00206644, 0.000621347, 0.000133281, 1.06677e-05, 1e-22, 3.43375e-06" \
+              "0.000667641, 0.00689518, 0.00993459, 0.046858, 0.0599294, 0.06623, 0.0685605, 0.0653678, 0.0534379, 0.033053, 0.0248412, 0.0160906, 0.0101775, 0.00712023, 0.00493665, 0.00371594, 0.00206644, 0.000621347, 0.000133281, 1.06677e-05, 1e-22, 3.43374e-06" \
             );
           }
           vector (ccs_template) {
@@ -160447,7 +175831,7 @@
             index_2 ("0.0642846");
             index_3 ("0.520741, 0.665758, 0.827571, 0.989341, 1.0409, 1.05, 1.18302, 1.29638, 1.41924, 1.54474, 1.78384, 1.96835, 2.07628, 2.14244, 2.22817, 2.28435, 2.35926, 2.50127, 2.566, 2.66433, 2.79543, 3.05763, 3.44044, 3.90914, 4.50787, 62.3489");
             values ( \
-              "0.0171133, 0.0316015, 0.0643245, 0.0990702, 0.109205, 0.109865, 0.102602, 0.0958301, 0.0869545, 0.0752711, 0.0498201, 0.0329882, 0.0252602, 0.021274, 0.0169172, 0.0145123, 0.0117766, 0.00783529, 0.00650108, 0.00488227, 0.0033038, 0.00145898, 0.000392854, 7.257e-05, 2.29653e-07, 4.2797e-06" \
+              "0.0171133, 0.0316015, 0.0643245, 0.0990702, 0.109205, 0.109865, 0.102602, 0.0958301, 0.0869545, 0.0752711, 0.0498201, 0.0329882, 0.0252602, 0.021274, 0.0169172, 0.0145123, 0.0117766, 0.00783529, 0.00650108, 0.00488227, 0.0033038, 0.00145898, 0.000392854, 7.257e-05, 2.29655e-07, 4.2797e-06" \
             );
           }
           vector (ccs_template) {
@@ -160474,7 +175858,7 @@
             index_2 ("0.00124625");
             index_3 ("1.75447, 1.95377, 1.99074, 2.02141, 2.03545, 2.05073, 2.06506, 2.08512, 2.09579, 2.11712, 2.13733, 2.17707, 2.21046, 2.25413, 2.27535, 2.29256, 2.30615, 2.31974, 2.34691, 2.37204, 2.39716, 2.41872, 2.44548, 2.46917, 2.49286, 2.51656, 2.54025, 2.54727, 2.55198, 2.56454, 2.57709, 2.5908, 2.61729, 2.63887, 2.653, 2.66864, 2.68113, 2.68983, 2.70523, 2.71755, 2.73603, 2.76068, 2.773, 2.78532, 2.81889, 2.83568, 2.85246, 2.93675, 3.56736, 4.35991");
             values ( \
-              "0.000351425, 0.000366029, 0.000455495, 0.00055233, 0.000605325, 0.000691354, 0.000795072, 0.000978014, 0.00109053, 0.0013473, 0.00164004, 0.00233166, 0.00285644, 0.00344828, 0.00368509, 0.00384878, 0.00395205, 0.00404784, 0.00421701, 0.00434235, 0.0044511, 0.00453282, 0.00462027, 0.00460809, 0.00458519, 0.00455156, 0.0045072, 0.00445094, 0.00439974, 0.00417415, 0.00387066, 0.00344775, 0.00241999, 0.00174163, 0.00137692, 0.00106906, 0.00086686, 0.000747563, 0.000557875, 0.000440408, 0.000307797, 0.000210106, 0.00016994, 0.000135562, 8.65329e-05, 6.66707e-05, 4.991e-05, 1.26365e-05, 1.0276e-05, 9.31246e-08" \
+              "0.000351426, 0.000366028, 0.000455493, 0.000552352, 0.000605349, 0.000691345, 0.000795072, 0.000978014, 0.00109053, 0.0013473, 0.00164004, 0.00233166, 0.00285644, 0.00344828, 0.00368509, 0.00384878, 0.00395205, 0.00404784, 0.00421701, 0.00434235, 0.0044511, 0.00453282, 0.00462027, 0.00460809, 0.00458519, 0.00455156, 0.0045072, 0.00445094, 0.00439974, 0.00417415, 0.00387066, 0.00344775, 0.00241999, 0.00174163, 0.00137692, 0.00106906, 0.00086686, 0.000747563, 0.000557875, 0.000440408, 0.000307797, 0.000210106, 0.00016994, 0.000135562, 8.65329e-05, 6.66707e-05, 4.991e-05, 1.26365e-05, 1.0276e-05, 9.31251e-08" \
             );
           }
           vector (ccs_template) {
@@ -160528,7 +175912,7 @@
             index_2 ("0.00124625");
             index_3 ("3.45205, 4.53959, 5.78656, 7.03354, 7.26306, 7.3241, 7.6903, 7.98554, 8.16163, 8.33613, 8.60428, 8.71064, 8.85182, 9.01615, 9.19537, 9.2188, 9.26566, 9.51232, 9.67164, 9.74202, 9.99833, 10.1683, 10.3588, 10.5971, 10.8514, 11.2998, 11.8247, 12.4716, 16.6653, 16.977, 17.456, 17.877, 18.7191, 19.966, 21.213, 22.46, 23.707, 24.9539, 26.2009, 62.3475");
             values ( \
-              "3.67822e-07, 8.19532e-07, 7.73795e-06, 3.23185e-05, 5.12692e-05, 4.99918e-05, 8.18846e-05, 0.000137401, 0.000213976, 0.000385982, 0.000954788, 0.00111212, 0.00123753, 0.00131581, 0.00136105, 0.00136034, 0.00137225, 0.00138699, 0.00135127, 0.00128837, 0.000401168, 0.000197226, 0.000119433, 6.93937e-05, 3.89697e-05, 9.40935e-06, 2.99334e-06, 1e-22, 2e-22, 1.58727e-06, 1e-22, 1.17238e-06, 1e-22, 1.01979e-06, 1e-22, 9.09054e-07, 1e-22, 8.08125e-07, 1e-22, 2.84771e-08" \
+              "3.67822e-07, 8.19532e-07, 7.73795e-06, 3.23185e-05, 5.12692e-05, 4.99918e-05, 8.18846e-05, 0.000137401, 0.000213976, 0.000385982, 0.000954788, 0.00111212, 0.00123753, 0.00131581, 0.00136105, 0.00136034, 0.00137225, 0.00138699, 0.00135127, 0.00128837, 0.000401168, 0.000197226, 0.000119433, 6.93937e-05, 3.89697e-05, 9.40935e-06, 2.99334e-06, 1e-22, 2e-22, 1.58727e-06, 1e-22, 1.17238e-06, 1e-22, 1.01979e-06, 1e-22, 9.09054e-07, 1e-22, 8.08125e-07, 1e-22, 2.84769e-08" \
             );
           }
           vector (ccs_template) {
@@ -160537,7 +175921,7 @@
             index_2 ("0.00463917");
             index_3 ("5.78729, 7.47655, 7.73831, 8.00574, 8.07978, 8.20832, 8.33686, 8.46435, 8.71679, 8.80329, 8.90292, 9.02619, 9.22161, 9.40355, 9.48161, 9.57913, 9.75159, 9.92481, 10.0023, 10.0755, 10.3059, 10.3883, 10.4858, 10.5591, 10.7056, 10.929, 11.2182, 11.6126, 12.186, 16.666, 16.8062, 16.8682, 16.9924, 62.3482");
             values ( \
-              "1.38269e-07, 0.000224165, 0.000312717, 0.000487448, 0.000574896, 0.000764789, 0.00109086, 0.00161341, 0.00290496, 0.00327624, 0.00361926, 0.00396866, 0.00434231, 0.00456826, 0.00463173, 0.00468277, 0.00473233, 0.00464244, 0.00446218, 0.00401326, 0.00148887, 0.000954025, 0.000590921, 0.000430477, 0.000254237, 0.000135754, 6.61677e-05, 1.60478e-05, 1e-22, 2e-22, 1.07637e-05, 7.65565e-07, 1e-22, 2e-22" \
+              "1.38269e-07, 0.000224165, 0.000312717, 0.000487448, 0.000574896, 0.000764789, 0.00109086, 0.00161341, 0.00290496, 0.00327624, 0.00361926, 0.00396866, 0.00434231, 0.00456826, 0.00463173, 0.00468277, 0.00473233, 0.00464244, 0.00446218, 0.00401326, 0.00148887, 0.000954025, 0.000590921, 0.000430477, 0.000254237, 0.000135754, 6.61677e-05, 1.60478e-05, 1e-22, 2e-22, 1.07637e-05, 7.65564e-07, 1e-22, 2e-22" \
             );
           }
           vector (ccs_template) {
@@ -160555,7 +175939,7 @@
             index_2 ("0.0642846");
             index_3 ("3.52544, 4.5383, 5.78528, 7.03226, 7.4199, 7.63835, 7.9866, 8.33485, 8.51653, 8.8799, 9.6841, 9.97339, 10.4048, 10.8666, 11.2877, 11.6835, 12.0666, 12.4497, 12.5516, 13.2893, 13.7015, 14.0034, 14.3255, 14.8401, 15.4415, 62.3462");
             values ( \
-              "1.20271e-05, 4.03747e-05, 0.000300118, 0.00122853, 0.00187466, 0.00233398, 0.00331148, 0.00481436, 0.00593943, 0.00910548, 0.0174227, 0.0198858, 0.0230066, 0.0257284, 0.0277054, 0.0290554, 0.0296834, 0.0280053, 0.0266242, 0.0114815, 0.00543996, 0.00289096, 0.00134172, 0.000260595, 1e-22, 4.16114e-07" \
+              "1.20271e-05, 4.03747e-05, 0.000300118, 0.00122853, 0.00187466, 0.00233398, 0.00331148, 0.00481436, 0.00593943, 0.00910548, 0.0174227, 0.0198858, 0.0230066, 0.0257284, 0.0277054, 0.0290554, 0.0296834, 0.0280053, 0.0266242, 0.0114815, 0.00543996, 0.00289096, 0.00134172, 0.000260595, 1e-22, 4.16113e-07" \
             );
           }
           vector (ccs_template) {
@@ -160737,7 +176121,7 @@
             index_2 ("0.890787");
             index_3 ("0.142762, 0.268263, 0.276335, 0.284896, 0.296758, 0.313923, 1.59895, 3.72826, 5.18359, 5.88028, 6.5923, 7.22432, 8.12845, 10.0967, 11.0011, 11.6457, 12.2177, 12.9804, 13.8167, 14.2618, 15.1519, 16.0561, 16.9602, 17.8643, 19.6726, 21.4808, 26.9056, 45.2059");
             values ( \
-              "-0.0245288, -0.169703, -0.16895, -0.169486, -0.16908, -0.169385, -0.164987, -0.157096, -0.151019, -0.147537, -0.143064, -0.137322, -0.121847, -0.0686888, -0.0488576, -0.0375604, -0.0295047, -0.0211457, -0.0145296, -0.0118308, -0.0078434, -0.00509176, -0.00334217, -0.00214115, -0.000889249, -0.000362453, -1.03755e-05, -1.72681e-05" \
+              "-0.0245288, -0.169703, -0.16895, -0.169486, -0.16908, -0.169385, -0.164987, -0.157096, -0.151019, -0.147537, -0.143064, -0.137322, -0.121847, -0.0686888, -0.0488576, -0.0375604, -0.0295047, -0.0211457, -0.0145296, -0.0118308, -0.0078434, -0.00509176, -0.00334217, -0.00214115, -0.000889249, -0.000362453, -1.03756e-05, -1.72681e-05" \
             );
           }
           vector (ccs_template) {
@@ -160818,7 +176202,7 @@
             index_2 ("0.0172692");
             index_3 ("0.9949, 1.71529, 1.77558, 1.84787, 1.92812, 2.00597, 2.05851, 2.1344, 2.38554, 2.51544, 2.60123, 2.67947, 2.75314, 2.82467, 2.83757, 2.86337, 2.90151, 2.98154, 3.01167, 3.04137, 3.06466, 3.11122, 3.18809, 3.27855, 3.39259, 4.16527, 4.20777, 4.29279, 4.41425, 4.65718, 5.03451, 5.78917, 6.6933, 45.2067");
             values ( \
-              "-8.0451e-05, -0.000937084, -0.0019273, -0.00338111, -0.00546692, -0.00809513, -0.0103277, -0.0141651, -0.0276113, -0.0337523, -0.0373465, -0.0401891, -0.0422692, -0.0428083, -0.0423768, -0.0404544, -0.0335976, -0.0153658, -0.0104633, -0.00697547, -0.00498135, -0.00242917, -0.000605454, -0.000105749, -1e-22, -2e-22, -8.71411e-05, -1.01039e-05, -1e-22, -3.36972e-06, -1e-22, -3.25784e-06, -1e-22, -2.65838e-06" \
+              "-8.0451e-05, -0.000937084, -0.0019273, -0.00338111, -0.00546692, -0.00809513, -0.0103277, -0.0141651, -0.0276113, -0.0337523, -0.0373465, -0.0401891, -0.0422692, -0.0428083, -0.0423768, -0.0404544, -0.0335976, -0.0153658, -0.0104633, -0.00697547, -0.00498135, -0.00242917, -0.000605454, -0.000105749, -1e-22, -2e-22, -8.71411e-05, -1.01039e-05, -1e-22, -3.36973e-06, -1e-22, -3.25784e-06, -1e-22, -2.65838e-06" \
             );
           }
           vector (ccs_template) {
@@ -160827,7 +176211,7 @@
             index_2 ("0.0642846");
             index_3 ("1.71125, 2.07306, 2.14549, 2.22753, 2.53484, 2.75516, 2.88284, 3.04863, 3.21204, 3.30694, 3.38036, 3.45874, 3.58499, 3.59934, 3.63049, 3.80271, 3.85528, 3.89857, 3.95629, 4.02288, 4.0572, 4.12584, 4.16486, 4.25497, 4.30191, 4.39579, 4.58354, 4.88071, 5.27957, 45.2062");
             values ( \
-              "-0.00278378, -0.0134312, -0.0172673, -0.0221173, -0.0421113, -0.0559087, -0.0635385, -0.0729262, -0.0813881, -0.0857389, -0.0886125, -0.0907414, -0.0872739, -0.085443, -0.0804624, -0.0438041, -0.0341462, -0.0274171, -0.0200809, -0.0137499, -0.0112291, -0.00737983, -0.00577857, -0.00347786, -0.00256539, -0.00138234, -0.000336929, -7.46638e-06, -1e-22, -3.56852e-07" \
+              "-0.00278378, -0.0134312, -0.0172673, -0.0221173, -0.0421113, -0.0559087, -0.0635385, -0.0729262, -0.0813881, -0.0857389, -0.0886125, -0.0907414, -0.0872739, -0.085443, -0.0804624, -0.0438041, -0.0341462, -0.0274171, -0.0200809, -0.0137499, -0.0112291, -0.00737983, -0.00577857, -0.00347786, -0.00256539, -0.00138234, -0.000336929, -7.46638e-06, -1e-22, -3.56853e-07" \
             );
           }
           vector (ccs_template) {
@@ -161008,7 +176392,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.890787");
-            index_3 ("0.0850246, 0.250266, 1.28418, 2.25772, 3.05662, 4.19128, 5.32594, 6.00198, 6.67513, 8.93831, 10.076, 11.2692, 12.0016, 12.9675, 14.1726, 14.8355, 15.4484, 16.583, 16.8804, 17.2768, 17.9054, 19.0401, 21.0132, 22.0084, 22.8339, 23.3111, 24.1188, 25.3075, 26.1086, 27.2433, 28.378, 29.5126, 31.782");
+            index_3 ("0.0850245, 0.250266, 1.28418, 2.25772, 3.05662, 4.19128, 5.32594, 6.00198, 6.67513, 8.93831, 10.076, 11.2692, 12.0016, 12.9675, 14.1726, 14.8355, 15.4484, 16.583, 16.8804, 17.2768, 17.9054, 19.0401, 21.0132, 22.0084, 22.8339, 23.3111, 24.1188, 25.3075, 26.1086, 27.2433, 28.378, 29.5126, 31.782");
             values ( \
               "-0.000184969, -0.00965039, -0.00668769, -0.00456124, -0.00323145, -0.00217189, -0.00524011, -0.0118537, -0.0216356, -0.0614739, -0.0795263, -0.0957172, -0.104111, -0.113508, -0.122391, -0.126087, -0.128648, -0.131432, -0.131624, -0.131403, -0.129677, -0.116353, -0.0667309, -0.0457672, -0.0325928, -0.0264885, -0.0184905, -0.0107256, -0.00730394, -0.00424824, -0.00239828, -0.00140705, -0.000472611" \
             );
@@ -161127,7 +176511,7 @@
             index_2 ("0.00124625");
             index_3 ("0.918609, 0.92301, 0.924297, 0.929771, 0.940365, 0.944724, 0.952709, 0.956583, 0.960456, 0.968663, 0.97244, 0.977857, 0.983887, 0.990423, 1.02288");
             values ( \
-              "-0.000437841, -0.0199842, -0.0198041, -0.0344149, -0.0493666, -0.0537412, -0.0581672, -0.0577422, -0.0512947, -0.0223651, -0.0133883, -0.00583115, -0.00223501, -0.00089607, -2.04619e-06" \
+              "-0.000437842, -0.0199842, -0.0198041, -0.0344149, -0.0493666, -0.0537412, -0.0581672, -0.0577422, -0.0512947, -0.0223651, -0.0133883, -0.00583115, -0.00223501, -0.00089607, -2.04619e-06" \
             );
           }
           vector (ccs_template) {
@@ -161163,7 +176547,7 @@
             index_2 ("0.239299");
             index_3 ("0.777541, 0.837984, 0.94272, 1.55089, 1.74734, 2.06074, 2.46919, 3.36909, 3.89712, 4.31418, 4.68327, 5.02761, 5.36078, 5.69233, 6.02379, 6.66455, 6.96082, 7.23284, 7.42789, 7.65534, 7.95862, 8.31665");
             values ( \
-              "-0.000111032, -0.00120146, -0.00860824, -0.00367462, -0.00263537, -0.00205121, -0.0113673, -0.0672644, -0.0951381, -0.111533, -0.121833, -0.128096, -0.130908, -0.129115, -0.114015, -0.0555794, -0.0356635, -0.0232052, -0.0166124, -0.0112445, -0.00668395, -0.00375553" \
+              "-0.000111032, -0.00120146, -0.00860824, -0.00367462, -0.00263537, -0.00205121, -0.0113673, -0.0672644, -0.0951381, -0.111533, -0.121833, -0.128096, -0.130908, -0.129115, -0.114015, -0.0555794, -0.0356635, -0.0232052, -0.0166124, -0.0112445, -0.00668395, -0.00375554" \
             );
           }
           vector (ccs_template) {
@@ -161244,7 +176628,7 @@
             index_2 ("0.00463917");
             index_3 ("9.78419, 9.80678, 9.81921, 9.83335, 9.84466, 9.85463, 9.86214, 9.87271, 9.88145, 9.89018, 9.90851, 9.91668, 9.92612, 9.93994, 9.95493, 9.95679");
             values ( \
-              "-0.000397623, -0.0292546, -0.0500178, -0.0681102, -0.0797259, -0.0875954, -0.0921332, -0.0958719, -0.0954259, -0.0848892, -0.037786, -0.0230768, -0.0124291, -0.00479631, -0.00150899, -0.00144214" \
+              "-0.000397622, -0.0292546, -0.0500178, -0.0681102, -0.0797259, -0.0875954, -0.0921332, -0.0958719, -0.0954259, -0.0848892, -0.037786, -0.0230768, -0.0124291, -0.00479631, -0.00150899, -0.00144214" \
             );
           }
           vector (ccs_template) {
@@ -161413,7 +176797,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.890787");
-            index_3 ("0.075275, 0.232275, 1.32281, 2.34513, 3.19799, 4.33219, 5.46639, 6.44193, 10.1221, 11.2496, 12.1607, 12.7885, 13.9227, 14.6232, 15.4317, 16.5659, 16.8644, 17.2623, 17.8885, 19.0227, 20.9962, 21.9905, 23.295, 24.1891, 25.1863, 25.9832, 27.1174, 28.2516, 29.3858, 31.6542");
+            index_3 ("0.0752749, 0.232275, 1.32281, 2.34513, 3.19799, 4.33219, 5.46639, 6.44193, 10.1221, 11.2496, 12.1607, 12.7885, 13.9227, 14.6232, 15.4317, 16.5659, 16.8644, 17.2623, 17.8885, 19.0227, 20.9962, 21.9905, 23.295, 24.1891, 25.1863, 25.9832, 27.1174, 28.2516, 29.3858, 31.6542");
             values ( \
               "-0.000195774, -0.00974819, -0.00653466, -0.00435694, -0.00302221, -0.00218349, -0.00643642, -0.0182467, -0.080456, -0.0956798, -0.106004, -0.11207, -0.120946, -0.125104, -0.128688, -0.13143, -0.131621, -0.131432, -0.12967, -0.116384, -0.066715, -0.0457658, -0.0264614, -0.0177711, -0.0112628, -0.00766373, -0.00448692, -0.00250977, -0.00149844, -0.000514199" \
             );
@@ -161685,7 +177069,7 @@
             index_2 ("0.890787");
             index_3 ("9.38086, 9.55934, 9.61201, 9.83564, 11.775, 13.4045, 14.5387, 15.3205, 16.3107, 18.4855, 19.5982, 21.2751, 22.3486, 23.7153, 24.3773, 24.9912, 26.1254, 26.4244, 26.823, 27.4484, 28.5826, 30.5563, 31.5503, 32.1383, 32.8554, 33.7493, 34.7462, 35.5428, 36.677, 37.8112, 38.9454, 41.2138");
             values ( \
-              "-2.39553e-05, -0.000257956, -0.000986795, -0.00939633, -0.0046044, -0.00232158, -0.00346913, -0.00922779, -0.023152, -0.0614871, -0.0791631, -0.101133, -0.112032, -0.122396, -0.126054, -0.128656, -0.131407, -0.1316, -0.131411, -0.129655, -0.116377, -0.0667132, -0.0457703, -0.0359745, -0.0264577, -0.0177701, -0.0112639, -0.00766586, -0.00448806, -0.00251057, -0.00149877, -0.000514249" \
+              "-2.39552e-05, -0.000257956, -0.000986795, -0.00939633, -0.0046044, -0.00232158, -0.00346913, -0.00922779, -0.023152, -0.0614871, -0.0791631, -0.101133, -0.112032, -0.122396, -0.126054, -0.128656, -0.131407, -0.1316, -0.131411, -0.129655, -0.116377, -0.0667132, -0.0457703, -0.0359745, -0.0264577, -0.0177701, -0.0112639, -0.00766586, -0.00448806, -0.00251057, -0.00149877, -0.000514249" \
             );
           }
         }
@@ -161926,7 +177310,7 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.890787");
-            index_3 ("0.256147, 0.416056, 2.34993, 4.27609, 5.41086, 6.12515, 6.56538, 10.1504, 11.4369, 12.3067, 14.1099, 14.8095, 15.6187, 16.7534, 17.0503, 17.4461, 18.0756, 19.2103, 21.1833, 22.1788, 23.4807, 24.3199, 25.4419, 26.2328, 27.3676, 28.5023, 29.6371, 31.9066");
+            index_3 ("0.256148, 0.416057, 2.34993, 4.27609, 5.41086, 6.12515, 6.56538, 10.1504, 11.4369, 12.3067, 14.1099, 14.8095, 15.6187, 16.7534, 17.0503, 17.4461, 18.0756, 19.2103, 21.1833, 22.1788, 23.4807, 24.3199, 25.4419, 26.2328, 27.3676, 28.5023, 29.6371, 31.9066");
             values ( \
               "-0.000184261, -0.00955819, -0.00470073, -0.002181, -0.00470214, -0.0112639, -0.0172748, -0.0780889, -0.0956771, -0.105566, -0.120938, -0.125092, -0.128688, -0.131424, -0.131615, -0.131437, -0.129665, -0.116375, -0.0667112, -0.0457396, -0.0264731, -0.0182461, -0.0109213, -0.00744266, -0.00436132, -0.00243142, -0.00145798, -0.000502838" \
             );
@@ -162223,7 +177607,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.890787");
-            index_3 ("0.0846552, 0.224131, 2.26453, 3.08975, 4.22428, 5.35881, 6.01322, 6.54643, 8.91221, 10.1005, 12.0191, 12.7983, 13.9329, 14.6315, 15.4413, 16.5758, 16.8734, 17.2701, 17.8982, 19.0327, 21.0059, 22.0009, 23.3039, 24.1985, 25.1965, 25.9943, 27.1288, 28.2634, 29.3979, 31.667");
+            index_3 ("0.0846553, 0.224131, 2.26453, 3.08975, 4.22428, 5.35881, 6.01322, 6.54643, 8.91221, 10.1005, 12.0191, 12.7983, 13.9329, 14.6315, 15.4413, 16.5758, 16.8734, 17.2701, 17.8982, 19.0327, 21.0059, 22.0009, 23.3039, 24.1985, 25.1965, 25.9943, 27.1288, 28.2634, 29.3979, 31.667");
             values ( \
               "-0.000202308, -0.0096448, -0.00452199, -0.00318605, -0.00215808, -0.00553475, -0.0120785, -0.019712, -0.0611586, -0.0799947, -0.10441, -0.112066, -0.120948, -0.125095, -0.128684, -0.131429, -0.131621, -0.131431, -0.12967, -0.116376, -0.0667156, -0.0457534, -0.0264706, -0.0177724, -0.011259, -0.00765912, -0.0044825, -0.00250775, -0.00149605, -0.000512836" \
             );
@@ -162405,7 +177789,7 @@
             index_2 ("0.00463917");
             index_3 ("2.79401, 2.80127, 2.80181, 2.80207, 2.80287, 2.80635, 2.80927, 2.81123, 2.81358, 2.81653, 2.82145, 2.82538, 2.83047, 2.83481, 2.83943, 2.8435, 2.84588, 2.84827, 2.85065, 2.85264, 2.85663, 2.85935, 2.86152, 2.8649, 2.86819, 2.86984, 2.87313, 2.87477, 2.87574, 2.87672, 2.87769, 2.87867, 2.87964, 2.88062, 2.88321, 2.88581, 2.88933, 2.89109, 2.89285, 2.89817, 2.89996, 2.90296, 2.90536, 2.90754, 2.91051, 2.9135, 2.915, 2.91799, 2.91948, 2.92264");
             values ( \
-              "-0.0189302, -0.0270763, -0.0273858, -0.0276754, -0.0287182, -0.0352756, -0.041479, -0.0453247, -0.0494958, -0.054144, -0.0613081, -0.0666024, -0.0729364, -0.077743, -0.0823675, -0.0860094, -0.0879614, -0.0896018, -0.0911266, -0.0923084, -0.0944383, -0.0957118, -0.0966111, -0.0977831, -0.0978831, -0.097858, -0.0976572, -0.0974817, -0.0971114, -0.0966609, -0.0959204, -0.0950113, -0.0939335, -0.092687, -0.0883216, -0.0831856, -0.0745865, -0.0700697, -0.065408, -0.0505631, -0.0458963, -0.0389936, -0.0340094, -0.0298879, -0.024577, -0.0203457, -0.0185189, -0.0152519, -0.0138117, -0.0111502" \
+              "-0.0189302, -0.0270763, -0.0273858, -0.0276754, -0.0287182, -0.0352756, -0.041479, -0.0453247, -0.0494958, -0.0541438, -0.0613083, -0.0666027, -0.0729364, -0.077743, -0.0823675, -0.0860094, -0.0879614, -0.0896018, -0.0911266, -0.0923084, -0.0944383, -0.0957118, -0.0966111, -0.0977831, -0.0978831, -0.097858, -0.0976572, -0.0974817, -0.0971114, -0.0966609, -0.0959204, -0.0950113, -0.0939335, -0.092687, -0.0883216, -0.0831856, -0.0745865, -0.0700697, -0.065408, -0.0505631, -0.0458963, -0.0389936, -0.0340094, -0.0298879, -0.024577, -0.0203457, -0.0185189, -0.0152519, -0.0138117, -0.0111502" \
             );
           }
           vector (ccs_template) {
@@ -162450,7 +177834,7 @@
             index_2 ("0.00124625");
             index_3 ("9.74362, 9.74799, 9.74938, 9.75216, 9.75414, 9.75764, 9.75924, 9.76245, 9.7666, 9.77245, 9.77754, 9.78218, 9.78655, 9.79082, 9.79508, 9.79634, 9.79779, 9.80046, 9.80167, 9.80244, 9.80391, 9.80579, 9.8063, 9.80732, 9.80919, 9.81186, 9.81287, 9.8132, 9.81387, 9.81521, 9.81788, 9.82322, 9.82343");
             values ( \
-              "-0.00732705, -0.0106014, -0.0111101, -0.0144491, -0.0176587, -0.0238163, -0.0257343, -0.0301443, -0.0349653, -0.041722, -0.0464643, -0.0501818, -0.0523439, -0.0530423, -0.0480448, -0.044589, -0.0399622, -0.0309479, -0.0271319, -0.0248221, -0.0207395, -0.0162343, -0.0151566, -0.0131705, -0.0101102, -0.0068204, -0.00588186, -0.00559878, -0.005071, -0.00415735, -0.00278593, -0.00124459, -0.00121958" \
+              "-0.00732705, -0.0106014, -0.0111101, -0.0144491, -0.0176587, -0.0238163, -0.0257343, -0.0301443, -0.0349653, -0.041722, -0.0464643, -0.0501818, -0.0523439, -0.0530423, -0.0480448, -0.044589, -0.0399622, -0.0309479, -0.0271319, -0.0248221, -0.0207395, -0.0162343, -0.0151566, -0.0131705, -0.0101102, -0.0068204, -0.00588186, -0.00559878, -0.005071, -0.00415735, -0.00278593, -0.00124459, -0.00121957" \
             );
           }
           vector (ccs_template) {
@@ -162459,7 +177843,7 @@
             index_2 ("0.00463917");
             index_3 ("9.76934, 9.78213, 9.78548, 9.78624, 9.78778, 9.79084, 9.80169, 9.80514, 9.81203, 9.81555, 9.81907, 9.827, 9.83554, 9.84013, 9.8493, 9.85812, 9.86683, 9.87554, 9.87931, 9.8885, 9.89384, 9.89823, 9.90203, 9.90709, 9.91145, 9.91342, 9.91735, 9.92521, 9.92591");
             values ( \
-              "-0.00677832, -0.0155862, -0.0204183, -0.0205165, -0.0224694, -0.0269866, -0.045753, -0.0503083, -0.0602548, -0.0643542, -0.068917, -0.077452, -0.0850104, -0.0882109, -0.0935478, -0.0962298, -0.0957411, -0.0850031, -0.0758634, -0.0504831, -0.0378026, -0.0292314, -0.0231163, -0.0166252, -0.012468, -0.0108946, -0.00836345, -0.00478733, -0.00463447" \
+              "-0.00677832, -0.0155862, -0.0204183, -0.0205165, -0.0224693, -0.0269865, -0.0457529, -0.0503083, -0.0602549, -0.0643543, -0.068917, -0.077452, -0.0850104, -0.0882109, -0.0935478, -0.0962298, -0.0957411, -0.0850031, -0.0758634, -0.0504831, -0.0378026, -0.0292314, -0.0231163, -0.0166252, -0.012468, -0.0108946, -0.00836345, -0.00478733, -0.00463447" \
             );
           }
           vector (ccs_template) {
@@ -162468,7 +177852,7 @@
             index_2 ("0.0172692");
             index_3 ("9.85198, 9.88473, 9.94069, 9.98092, 10.0089, 10.0395, 10.0575, 10.0691, 10.0922, 10.101, 10.1109, 10.1209, 10.1407, 10.1486, 10.1994, 10.2215, 10.2325, 10.2472, 10.2612, 10.2815, 10.3086, 10.3445");
             values ( \
-              "-0.0142538, -0.0237221, -0.0651198, -0.088817, -0.101421, -0.111661, -0.115928, -0.118047, -0.120595, -0.120854, -0.120478, -0.119062, -0.110306, -0.103506, -0.0489715, -0.0319511, -0.0255049, -0.0186703, -0.0137746, -0.00876905, -0.00467132, -0.00217357" \
+              "-0.0142538, -0.0237221, -0.0651198, -0.088817, -0.101421, -0.111661, -0.115928, -0.118047, -0.120595, -0.120854, -0.120478, -0.119062, -0.110305, -0.103506, -0.0489717, -0.031951, -0.0255045, -0.0186696, -0.0137745, -0.00876943, -0.00467186, -0.00217348" \
             );
           }
           vector (ccs_template) {
@@ -162477,7 +177861,7 @@
             index_2 ("0.0642846");
             index_3 ("9.32359, 9.5849, 9.78095, 10.0338, 10.0798, 10.1382, 10.5663, 10.6801, 10.7807, 10.8748, 10.9658, 11.0564, 11.147, 11.3218, 11.4771, 11.5921, 11.6745, 11.7257");
             values ( \
-              "-1.37349e-05, -0.000328347, -0.00494184, -0.00121136, -0.00197051, -0.00649154, -0.0940558, -0.109876, -0.119879, -0.125952, -0.128728, -0.126926, -0.112111, -0.0547255, -0.0227951, -0.0111062, -0.00656876, -0.00508582" \
+              "-1.37349e-05, -0.000328347, -0.00494184, -0.00121136, -0.00197051, -0.00649158, -0.0940558, -0.109876, -0.119879, -0.125952, -0.128728, -0.126926, -0.112111, -0.0547255, -0.0227951, -0.0111062, -0.00656876, -0.00508579" \
             );
           }
           vector (ccs_template) {
@@ -162486,16 +177870,16 @@
             index_2 ("0.239299");
             index_3 ("9.36321, 9.61233, 9.80926, 10.5319, 10.93, 11.2229, 12.7367, 13.1535, 13.5219, 13.866, 14.1989, 14.5303, 14.8616, 15.6805, 16.2662, 16.6638, 16.9072");
             values ( \
-              "-2.13573e-05, -0.000838721, -0.00796612, -0.00287692, -0.00223568, -0.00813984, -0.0954172, -0.111703, -0.121981, -0.128169, -0.130993, -0.129141, -0.11406, -0.0427054, -0.0165809, -0.00850302, -0.00589693" \
+              "-2.13573e-05, -0.000838721, -0.00796612, -0.00287692, -0.00223568, -0.00813978, -0.0954172, -0.111703, -0.121981, -0.128169, -0.130993, -0.129141, -0.11406, -0.0427054, -0.0165809, -0.00850302, -0.00589698" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.890787");
-            index_3 ("9.38632, 9.61487, 9.85825, 11.9152, 13.6494, 14.7839, 15.5022, 15.9615, 18.8673, 20.0018, 21.7087, 22.3584, 23.4929, 24.1929, 25.0018, 26.1363, 26.4339, 26.8305, 27.4587, 28.5932, 30.5664, 31.5614, 32.8644, 33.759, 34.757, 35.5548, 36.6893, 37.8239, 38.9584, 41.2274");
+            index_3 ("9.38632, 9.61487, 9.85825, 11.9152, 13.6494, 14.7839, 15.5023, 15.9615, 18.8673, 20.0018, 21.7087, 22.3584, 23.4929, 24.1929, 25.0018, 26.1363, 26.4339, 26.8305, 27.4587, 28.5932, 30.5664, 31.5614, 32.8644, 33.759, 34.757, 35.5548, 36.6893, 37.8239, 38.9584, 41.2274");
             values ( \
-              "-2.43672e-05, -0.000879195, -0.00932472, -0.00436224, -0.00219048, -0.00465067, -0.0112176, -0.0175005, -0.0676989, -0.084892, -0.105768, -0.112067, -0.120941, -0.125096, -0.128689, -0.131426, -0.131617, -0.131435, -0.129667, -0.116379, -0.0667121, -0.0457499, -0.0264673, -0.0177758, -0.0112624, -0.00765577, -0.00448582, -0.00250441, -0.00149938, -0.000516162" \
+              "-2.43672e-05, -0.000879196, -0.00932472, -0.00436223, -0.00219048, -0.0046507, -0.0112177, -0.0175004, -0.0676989, -0.084892, -0.105768, -0.112067, -0.120941, -0.125096, -0.128689, -0.131426, -0.131617, -0.131435, -0.129667, -0.116379, -0.0667121, -0.0457499, -0.0264673, -0.0177758, -0.0112624, -0.00765577, -0.00448582, -0.00250441, -0.00149938, -0.000516163" \
             );
           }
         }
@@ -162628,9 +178012,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.890787");
-            index_3 ("0.0754861, 0.221039, 1.50393, 2.73109, 3.75122, 4.88407, 6.01693, 6.22759, 6.92446, 9.2926, 10.0378, 11.6995, 12.7849, 14.1517, 14.8135, 15.4276, 16.5605, 16.8635, 17.2674, 17.8847, 19.0176, 20.9926, 21.9837, 22.5744, 23.2947, 24.1866, 24.7834, 25.18, 25.9732, 27.1061, 28.2389, 29.3718, 30.5046, 31.6375");
+            index_3 ("0.075487, 0.22104, 1.50393, 2.73109, 3.75122, 4.88407, 6.01692, 6.22762, 6.92455, 9.2926, 10.0378, 11.6995, 12.7849, 14.1517, 14.8135, 15.4276, 16.5605, 16.8635, 17.2675, 17.8847, 19.0176, 20.9926, 21.9837, 22.5744, 23.2948, 24.1866, 24.7834, 25.18, 25.9732, 27.1061, 28.2389, 29.3718, 30.5046, 31.6375");
             values ( \
-              "-0.000203284, -0.00956554, -0.00609879, -0.00370347, -0.0023948, -0.00312755, -0.0122367, -0.0150736, -0.026104, -0.0676195, -0.0792146, -0.101002, -0.112036, -0.122402, -0.126048, -0.128662, -0.1314, -0.131596, -0.131406, -0.12965, -0.116408, -0.0667093, -0.0458214, -0.0359734, -0.0264183, -0.0177659, -0.013456, -0.0112805, -0.00768442, -0.00450746, -0.00251813, -0.00150957, -0.000791872, -0.000520768" \
+              "-0.000203284, -0.00956554, -0.00609879, -0.00370347, -0.0023948, -0.00312754, -0.0122367, -0.0150739, -0.0261056, -0.0676195, -0.0792147, -0.101001, -0.112036, -0.122402, -0.126048, -0.128662, -0.1314, -0.131596, -0.131406, -0.12965, -0.116408, -0.0667093, -0.0458214, -0.0359734, -0.0264182, -0.0177659, -0.013456, -0.0112805, -0.00768444, -0.00450748, -0.00251814, -0.00150958, -0.000791878, -0.000520771" \
             );
           }
           vector (ccs_template) {
@@ -162682,9 +178066,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.890787");
-            index_3 ("0.119454, 0.266905, 2.08639, 3.88492, 5.01778, 5.80279, 6.75183, 8.88011, 10.2785, 12.1995, 12.8349, 13.9677, 14.6718, 15.4778, 16.6107, 16.9129, 17.3159, 17.9345, 19.0673, 21.042, 22.0335, 23.3438, 24.2359, 25.2297, 25.9793, 27.1121, 28.245, 29.3779, 31.6436");
+            index_3 ("0.119453, 0.266904, 2.08639, 3.88492, 5.01778, 5.80279, 6.75183, 8.88012, 10.2785, 12.1995, 12.8349, 13.9677, 14.6718, 15.4778, 16.6107, 16.9129, 17.316, 17.9345, 19.0673, 21.042, 22.0335, 23.3438, 24.2359, 25.2297, 25.9793, 27.1121, 28.245, 29.3778, 31.6435");
             values ( \
-              "-0.000189938, -0.0095705, -0.00493892, -0.00232329, -0.00345302, -0.00922677, -0.022469, -0.0600373, -0.0820945, -0.105957, -0.11208, -0.120963, -0.125139, -0.128689, -0.131446, -0.131639, -0.131419, -0.129685, -0.116402, -0.0667254, -0.045829, -0.0264365, -0.017754, -0.011266, -0.00786151, -0.00459057, -0.00258672, -0.00152862, -0.000518304" \
+              "-0.000189938, -0.0095705, -0.00493892, -0.00232329, -0.00345301, -0.00922678, -0.0224689, -0.0600375, -0.0820942, -0.105957, -0.11208, -0.120963, -0.125139, -0.128689, -0.131446, -0.131639, -0.131419, -0.129685, -0.116402, -0.0667254, -0.0458291, -0.0264365, -0.017754, -0.011266, -0.00786154, -0.00459058, -0.00258674, -0.00152863, -0.000518307" \
             );
           }
           vector (ccs_template) {
@@ -162736,9 +178120,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.890787");
-            index_3 ("0.266369, 0.411144, 1.68624, 2.90226, 3.9173, 5.05016, 6.35095, 10.2409, 11.3737, 12.319, 12.9751, 14.108, 14.813, 15.6187, 16.7516, 17.0547, 17.4588, 18.0759, 19.2087, 21.1836, 22.1748, 23.4857, 24.3776, 25.3711, 26.1644, 27.2973, 28.4301, 29.563, 31.8287");
+            index_3 ("0.266369, 0.411143, 1.68624, 2.90226, 3.9173, 5.05016, 6.35096, 10.2409, 11.3737, 12.3191, 12.9751, 14.108, 14.813, 15.6187, 16.7516, 17.0547, 17.4588, 18.0759, 19.2087, 21.1836, 22.1748, 23.4857, 24.3776, 25.3711, 26.1644, 27.2973, 28.4301, 29.563, 31.8287");
             values ( \
-              "-0.000187858, -0.0095608, -0.00612053, -0.0037368, -0.00241419, -0.00305055, -0.0141387, -0.0793975, -0.094854, -0.10566, -0.112032, -0.120901, -0.125088, -0.128669, -0.131409, -0.131604, -0.13141, -0.129656, -0.116409, -0.0667104, -0.0458206, -0.0264199, -0.0177657, -0.0112797, -0.00768419, -0.00450665, -0.00251835, -0.001509, -0.000520278" \
+              "-0.000187858, -0.0095608, -0.00612053, -0.0037368, -0.00241419, -0.00305054, -0.0141388, -0.0793975, -0.094854, -0.105661, -0.112032, -0.120901, -0.125088, -0.128669, -0.131409, -0.131604, -0.13141, -0.129656, -0.116409, -0.0667104, -0.0458206, -0.0264198, -0.0177657, -0.0112797, -0.00768421, -0.00450667, -0.00251836, -0.00150901, -0.000520281" \
             );
           }
           vector (ccs_template) {
@@ -162790,9 +178174,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.890787");
-            index_3 ("0.759576, 0.924118, 2.14701, 3.30334, 4.29329, 5.42615, 6.559, 7.17656, 9.61709, 10.7704, 12.6753, 13.4943, 14.6271, 15.3292, 16.1369, 17.2697, 17.5726, 17.9765, 18.5939, 19.7267, 21.7016, 22.6928, 23.2835, 24.0036, 24.8956, 25.8891, 26.6825, 27.8154, 28.9482, 30.0811, 32.3468");
+            index_3 ("0.759579, 0.924121, 2.14701, 3.30335, 4.2933, 5.42615, 6.559, 7.17657, 9.61709, 10.7704, 12.6752, 13.4943, 14.6271, 15.3292, 16.1369, 17.2697, 17.5726, 17.9765, 18.5939, 19.7267, 21.7016, 22.6928, 23.2835, 24.0036, 24.8956, 25.8891, 26.6825, 27.8154, 28.9482, 30.0811, 32.3468");
             values ( \
-              "-0.000101514, -0.00952018, -0.00625276, -0.00392496, -0.00255292, -0.00266986, -0.0102381, -0.0186641, -0.0612753, -0.0795793, -0.103955, -0.112053, -0.120914, -0.125084, -0.128677, -0.131412, -0.131606, -0.131416, -0.129657, -0.116411, -0.0667094, -0.0458184, -0.0359727, -0.0264192, -0.0177673, -0.0112809, -0.00768247, -0.00450778, -0.00251686, -0.00151028, -0.000521613" \
+              "-0.000101514, -0.00952018, -0.00625276, -0.00392496, -0.00255292, -0.00266985, -0.0102381, -0.0186642, -0.0612752, -0.0795795, -0.103954, -0.112053, -0.120914, -0.125084, -0.128677, -0.131412, -0.131606, -0.131416, -0.129657, -0.116411, -0.0667094, -0.0458185, -0.0359727, -0.0264192, -0.0177673, -0.0112809, -0.00768249, -0.0045078, -0.00251687, -0.00151029, -0.000521616" \
             );
           }
           vector (ccs_template) {
@@ -162844,9 +178228,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.890787");
-            index_3 ("2.54956, 2.8009, 3.98113, 5.07533, 5.77747, 6.91033, 8.04318, 8.98377, 11.8471, 12.98, 14.6873, 15.3369, 16.4698, 17.1747, 17.9803, 19.1131, 19.4157, 19.819, 20.4371, 21.5699, 23.5447, 24.5361, 25.8466, 26.7386, 27.7323, 28.5259, 29.6587, 30.7916, 31.9244, 34.1901");
+            index_3 ("2.54956, 2.8009, 3.98113, 5.07533, 5.77747, 6.91032, 8.04318, 8.98377, 11.8471, 12.98, 14.6873, 15.3369, 16.4698, 17.1747, 17.9803, 19.1131, 19.4157, 19.819, 20.4371, 21.5699, 23.5447, 24.5361, 25.8466, 26.7386, 27.7323, 28.5259, 29.6587, 30.7916, 31.9244, 34.1901");
             values ( \
-              "-5.77013e-05, -0.00950504, -0.00626894, -0.00404573, -0.00298517, -0.00220199, -0.00667015, -0.0181454, -0.0677201, -0.0848931, -0.105775, -0.112068, -0.120936, -0.125119, -0.128688, -0.131428, -0.131622, -0.131421, -0.12967, -0.11641, -0.066715, -0.0458208, -0.0264257, -0.0177632, -0.0112758, -0.00768585, -0.00450272, -0.00252092, -0.00150564, -0.000517117" \
+              "-5.77013e-05, -0.00950504, -0.00626894, -0.00404573, -0.00298517, -0.00220199, -0.00667012, -0.0181454, -0.0677201, -0.0848931, -0.105775, -0.112068, -0.120936, -0.125119, -0.128688, -0.131428, -0.131622, -0.131421, -0.12967, -0.11641, -0.066715, -0.0458209, -0.0264256, -0.0177632, -0.0112758, -0.00768587, -0.00450273, -0.00252094, -0.00150564, -0.00051712" \
             );
           }
           vector (ccs_template) {
@@ -162855,7 +178239,7 @@
             index_2 ("0.00124625");
             index_3 ("9.67689, 9.68141, 9.68246, 9.68711, 9.69212, 9.70515, 9.71479, 9.72337, 9.72778, 9.73487, 9.73878, 9.74169, 9.74435, 9.7476, 9.7502, 9.75272");
             values ( \
-              "-0.0077043, -0.011229, -0.0112389, -0.0178718, -0.0269125, -0.0425551, -0.0508922, -0.0535915, -0.0477032, -0.0251201, -0.0152169, -0.010109, -0.00683636, -0.00421146, -0.00284998, -0.00209586" \
+              "-0.00770429, -0.011229, -0.0112389, -0.0178718, -0.0269125, -0.0425551, -0.0508922, -0.0535915, -0.0477032, -0.0251201, -0.0152169, -0.010109, -0.00683636, -0.00421146, -0.00284998, -0.00209588" \
             );
           }
           vector (ccs_template) {
@@ -162864,7 +178248,7 @@
             index_2 ("0.00463917");
             index_3 ("9.70402, 9.72101, 9.73395, 9.75105, 9.76221, 9.77208, 9.78122, 9.79, 9.79868, 9.80735, 9.82563, 9.8338, 9.84322, 9.85692, 9.8694");
             values ( \
-              "-0.00197582, -0.0244329, -0.0463315, -0.0688961, -0.0808189, -0.0885073, -0.093911, -0.0965644, -0.0960754, -0.0852145, -0.0379049, -0.0231125, -0.0124516, -0.00484178, -0.00206988" \
+              "-0.00197496, -0.0244333, -0.0463305, -0.0688961, -0.0808189, -0.0885073, -0.093911, -0.0965645, -0.0960754, -0.0852145, -0.0379049, -0.0231125, -0.0124516, -0.00484178, -0.00206972" \
             );
           }
           vector (ccs_template) {
@@ -162873,34 +178257,34 @@
             index_2 ("0.0172692");
             index_3 ("9.78376, 9.81684, 9.87254, 9.89864, 9.94062, 9.9535, 9.97367, 9.9904, 10.0006, 10.0209, 10.038, 10.0524, 10.0783, 10.1282, 10.1495, 10.1721, 10.1933, 10.2052, 10.2291, 10.2746, 10.328");
             values ( \
-              "-0.0138249, -0.0237919, -0.0652698, -0.0816198, -0.101786, -0.106497, -0.112575, -0.116424, -0.118168, -0.120597, -0.120902, -0.119128, -0.105419, -0.0515417, -0.0343687, -0.0215475, -0.0136198, -0.0104559, -0.00604947, -0.00192116, -0.000466877" \
+              "-0.0138242, -0.0237919, -0.0652698, -0.0816198, -0.101786, -0.106497, -0.112575, -0.116424, -0.118168, -0.120597, -0.120902, -0.119128, -0.105419, -0.0515417, -0.0343687, -0.0215475, -0.0136198, -0.0104559, -0.00604951, -0.00192118, -0.000466882" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0642846");
-            index_3 ("9.2903, 9.52035, 9.59352, 9.70214, 9.74572, 9.94199, 9.98235, 10.0331, 10.0982, 10.1333, 10.3539, 10.4967, 10.5902, 10.6498, 10.7107, 10.8049, 10.896, 10.9866, 11.0772, 11.2521, 11.3329, 11.4071, 11.4811, 11.5224, 11.6052, 11.733");
+            index_3 ("9.2903, 9.52035, 9.59352, 9.70214, 9.74572, 9.94199, 9.98235, 10.0331, 10.0981, 10.1333, 10.3539, 10.4967, 10.5902, 10.6499, 10.7107, 10.8049, 10.896, 10.9866, 11.0772, 11.2521, 11.3329, 11.4071, 11.4811, 11.5224, 11.6052, 11.7329");
             values ( \
-              "-1.2209e-05, -0.000361524, -0.00158688, -0.00486661, -0.00475924, -0.00131434, -0.00130442, -0.00311592, -0.0105488, -0.0169452, -0.0672647, -0.0939826, -0.107408, -0.114203, -0.119766, -0.125926, -0.128664, -0.126928, -0.112071, -0.0546774, -0.0351528, -0.0228072, -0.014485, -0.0111055, -0.00653425, -0.00290735" \
+              "-1.2209e-05, -0.000361523, -0.00158688, -0.00486661, -0.00475925, -0.00131435, -0.0013044, -0.00311577, -0.0105483, -0.0169452, -0.0672649, -0.0939827, -0.107406, -0.114206, -0.119766, -0.125926, -0.128664, -0.126928, -0.112071, -0.0546775, -0.0351528, -0.0228072, -0.0144851, -0.0111054, -0.0065343, -0.00290776" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.239299");
-            index_3 ("9.34532, 9.55058, 9.74457, 10.2998, 10.8068, 11.1681, 11.42, 12.1395, 12.6662, 13.083, 13.4519, 13.7961, 14.1292, 14.4607, 14.7921, 15.5046, 15.8336, 16.2008, 16.6659, 17.0585");
+            index_3 ("9.34532, 9.55058, 9.74457, 10.2998, 10.8068, 11.1681, 11.4201, 12.1395, 12.6662, 13.083, 13.4519, 13.7961, 14.1292, 14.4607, 14.7921, 15.5046, 15.8336, 16.2008, 16.6659, 17.0585");
             values ( \
-              "-2.0302e-05, -0.000945406, -0.00802482, -0.00378985, -0.00200827, -0.00854415, -0.0212015, -0.0674691, -0.0952349, -0.111623, -0.121874, -0.128149, -0.130922, -0.129148, -0.114013, -0.0501648, -0.0302519, -0.0164663, -0.00732755, -0.00376988" \
+              "-2.0302e-05, -0.000945405, -0.00802482, -0.00378988, -0.00200825, -0.0085435, -0.0212052, -0.0674692, -0.0952349, -0.111623, -0.121874, -0.128149, -0.130922, -0.129148, -0.114013, -0.0501648, -0.0302519, -0.0164663, -0.00732754, -0.00376972" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.890787");
-            index_3 ("9.3479, 9.54296, 9.77383, 11.7012, 13.298, 14.4309, 15.2543, 16.1567, 18.7842, 19.9171, 21.6284, 22.278, 23.4109, 24.1176, 24.9223, 26.0552, 26.3585, 26.7629, 27.3796, 28.5124, 30.4874, 31.4785, 32.7896, 33.6814, 34.6748, 35.4681, 36.6009, 37.7338, 38.8666, 41.1323");
+            index_3 ("9.3479, 9.54296, 9.77383, 11.7012, 13.298, 14.4308, 15.2543, 16.1567, 18.7842, 19.9171, 21.6284, 22.278, 23.4109, 24.1176, 24.9223, 26.0552, 26.3585, 26.7629, 27.3796, 28.5124, 30.4874, 31.4785, 32.7896, 33.6814, 34.6748, 35.4681, 36.6009, 37.7338, 38.8666, 41.1323");
             values ( \
-              "-2.29188e-05, -0.00098869, -0.00943384, -0.0046158, -0.00235235, -0.00331885, -0.00925633, -0.0217566, -0.0675623, -0.0847455, -0.105703, -0.112012, -0.120883, -0.125082, -0.128661, -0.131401, -0.131597, -0.131405, -0.129651, -0.116408, -0.0667089, -0.0458209, -0.0264178, -0.0177664, -0.011281, -0.00768387, -0.00450793, -0.00251763, -0.00151005, -0.000521248" \
+              "-2.29188e-05, -0.000988689, -0.00943384, -0.00461584, -0.00235238, -0.00331869, -0.00925638, -0.0217563, -0.0675623, -0.0847454, -0.105703, -0.112012, -0.120882, -0.125082, -0.128661, -0.131401, -0.131597, -0.131405, -0.129651, -0.116408, -0.0667089, -0.0458209, -0.0264178, -0.0177664, -0.011281, -0.00768389, -0.00450795, -0.00251764, -0.00151006, -0.000521252" \
             );
           }
         }
@@ -163035,7 +178419,7 @@
             index_2 ("0.890787");
             index_3 ("0.0856907, 0.227226, 2.28554, 3.12076, 4.25528, 5.3898, 5.90979, 6.46783, 8.83982, 10.2485, 12.1636, 12.7994, 13.934, 14.6337, 15.4427, 16.5772, 16.8747, 17.2714, 17.8996, 19.0341, 21.0072, 22.0023, 23.3052, 24.1998, 25.1979, 25.9956, 27.1302, 28.2647, 29.3992, 31.6682");
             values ( \
-              "-0.000204723, -0.00964867, -0.00448551, -0.00314334, -0.00216075, -0.00575496, -0.0107999, -0.0184761, -0.0599155, -0.0821368, -0.105921, -0.112069, -0.120949, -0.125102, -0.128688, -0.131431, -0.131622, -0.131433, -0.129671, -0.116377, -0.0667157, -0.0457534, -0.0264707, -0.0177724, -0.011259, -0.00765915, -0.00448246, -0.00250782, -0.00149602, -0.000512797" \
+              "-0.000204723, -0.00964867, -0.00448551, -0.00314334, -0.00216075, -0.00575496, -0.0107999, -0.0184761, -0.0599156, -0.0821368, -0.105921, -0.112069, -0.120949, -0.125102, -0.128688, -0.131431, -0.131622, -0.131433, -0.129671, -0.116377, -0.0667157, -0.0457534, -0.0264707, -0.0177724, -0.011259, -0.00765915, -0.00448246, -0.00250782, -0.00149602, -0.000512797" \
             );
           }
           vector (ccs_template) {
@@ -163089,7 +178473,7 @@
             index_2 ("0.890787");
             index_3 ("0.118336, 0.269557, 1.47497, 2.61654, 3.58981, 4.72433, 5.85885, 6.90868, 8.96692, 10.0814, 11.7448, 12.83, 14.1966, 14.8584, 15.4725, 16.607, 16.9048, 17.3019, 17.9296, 19.0641, 21.0374, 22.0322, 22.6195, 23.3357, 24.2302, 25.2279, 26.0254, 27.1599, 28.2944, 29.429, 31.698");
             values ( \
-              "-0.000202173, -0.0096468, -0.00627158, -0.00396271, -0.00259611, -0.0025861, -0.00981905, -0.0250939, -0.0615113, -0.0792116, -0.101019, -0.112048, -0.12241, -0.126054, -0.128668, -0.131405, -0.131598, -0.131423, -0.129652, -0.116378, -0.0667081, -0.0457512, -0.0359687, -0.0264617, -0.0177774, -0.0112657, -0.00765516, -0.00448924, -0.00250269, -0.00150211, -0.000518648" \
+              "-0.000202173, -0.0096468, -0.00627158, -0.00396271, -0.00259611, -0.0025861, -0.00981905, -0.0250939, -0.0615113, -0.0792116, -0.101019, -0.112048, -0.12241, -0.126054, -0.128668, -0.131405, -0.131598, -0.131423, -0.129652, -0.116378, -0.0667081, -0.0457512, -0.0359687, -0.0264617, -0.0177774, -0.0112657, -0.00765517, -0.00448924, -0.00250269, -0.00150211, -0.000518648" \
             );
           }
           vector (ccs_template) {
@@ -163141,9 +178525,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.890787");
-            index_3 ("0.266401, 0.416822, 1.57234, 2.65453, 3.57541, 4.70993, 5.84445, 6.81942, 9.03843, 10.3886, 12.3461, 12.9792, 14.1137, 14.8137, 15.6226, 16.7572, 17.0548, 17.4516, 18.0795, 19.2141, 21.1872, 22.1822, 23.4853, 24.3799, 25.3779, 26.1466, 27.2811, 28.4156, 29.5502, 31.8192");
+            index_3 ("0.266401, 0.416823, 1.57234, 2.65453, 3.57541, 4.70993, 5.84445, 6.81942, 9.03843, 10.3886, 12.3461, 12.9792, 14.1137, 14.8137, 15.6226, 16.7572, 17.0548, 17.4516, 18.0795, 19.2141, 21.1872, 22.1822, 23.4853, 24.3799, 25.3779, 26.1466, 27.2811, 28.4156, 29.5502, 31.8192");
             values ( \
-              "-0.000192912, -0.00963887, -0.0063938, -0.00415057, -0.00278148, -0.00233078, -0.00811983, -0.0211741, -0.0602259, -0.0815545, -0.105946, -0.112053, -0.120948, -0.125103, -0.128676, -0.131434, -0.131625, -0.131424, -0.129675, -0.116369, -0.0667214, -0.04576, -0.026476, -0.0177664, -0.0112534, -0.00777431, -0.00454077, -0.00255058, -0.00151161, -0.000514059" \
+              "-0.000192912, -0.00963887, -0.0063938, -0.00415057, -0.00278148, -0.00233078, -0.00811983, -0.0211742, -0.0602259, -0.0815545, -0.105946, -0.112053, -0.120948, -0.125103, -0.128676, -0.131434, -0.131625, -0.131424, -0.129675, -0.116369, -0.0667214, -0.04576, -0.026476, -0.0177664, -0.0112534, -0.00777431, -0.00454077, -0.00255058, -0.00151161, -0.000514059" \
             );
           }
           vector (ccs_template) {
@@ -163249,9 +178633,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.890787");
-            index_3 ("2.56439, 2.66577, 2.7519, 2.81865, 3.94985, 4.65244, 5.58388, 6.7184, 7.85292, 8.54355, 8.88044, 9.32962, 11.8711, 12.6406, 13.7752, 14.4942, 15.5639, 16.7269, 18.0044, 18.7683, 19.2385, 20.1789, 20.4586, 21.0182, 21.7663, 23.5632, 24.6444, 25.1492, 25.7689, 26.5951, 27.1476, 27.9835, 29.0979, 30.2325, 31.367, 32.5015, 33.636");
+            index_3 ("2.56439, 2.66576, 2.7519, 2.81865, 3.94984, 4.65244, 5.58388, 6.7184, 7.85292, 8.54355, 8.88043, 9.32962, 11.8711, 12.6406, 13.7752, 14.4942, 15.5641, 16.7269, 18.0044, 18.7683, 19.2385, 20.1789, 20.4586, 21.0182, 21.7663, 23.5632, 24.6444, 25.1492, 25.7689, 26.5951, 27.1476, 27.9835, 29.0979, 30.2325, 31.367, 32.5015, 33.636");
             values ( \
-              "-6.12059e-05, -0.00106622, -0.00829933, -0.00953102, -0.00640495, -0.00486197, -0.00328407, -0.00216906, -0.00506278, -0.0116752, -0.0162433, -0.0232739, -0.0677131, -0.0796486, -0.0950941, -0.103461, -0.113843, -0.122408, -0.128686, -0.130836, -0.131499, -0.130737, -0.12967, -0.125345, -0.112427, -0.0667603, -0.0442322, -0.0359546, -0.0276034, -0.0190803, -0.0148457, -0.010078, -0.00591052, -0.00338906, -0.00195015, -0.00110237, -0.000638572" \
+              "-6.12058e-05, -0.00106622, -0.00829933, -0.00953102, -0.00640495, -0.00486197, -0.00328407, -0.00216906, -0.00506278, -0.0116752, -0.0162433, -0.0232739, -0.0677131, -0.0796487, -0.0950941, -0.103461, -0.113844, -0.122408, -0.128686, -0.130836, -0.131499, -0.130737, -0.12967, -0.125345, -0.112427, -0.0667603, -0.0442322, -0.0359546, -0.0276034, -0.0190803, -0.0148457, -0.010078, -0.00591052, -0.00338905, -0.00195014, -0.00110237, -0.000638572" \
             );
           }
           vector (ccs_template) {
@@ -163278,7 +178662,7 @@
             index_2 ("0.0172692");
             index_3 ("9.85222, 9.88505, 9.941, 9.98124, 10.0092, 10.0402, 10.0557, 10.0694, 10.0954, 10.1213, 10.1473, 10.1973, 10.2185, 10.2409, 10.2623, 10.2986, 10.3434, 10.3953");
             values ( \
-              "-0.0141559, -0.0237258, -0.0651281, -0.0888244, -0.101393, -0.111762, -0.115488, -0.118052, -0.120745, -0.119163, -0.105328, -0.0514183, -0.034318, -0.0216387, -0.0136201, -0.00596468, -0.00193769, -0.00049836" \
+              "-0.0141558, -0.0237258, -0.0651281, -0.0888244, -0.101393, -0.111762, -0.115488, -0.118052, -0.120745, -0.119163, -0.105328, -0.0514183, -0.034318, -0.0216387, -0.0136201, -0.00596468, -0.00193769, -0.00049836" \
             );
           }
           vector (ccs_template) {
@@ -163287,7 +178671,7 @@
             index_2 ("0.0642846");
             index_3 ("9.313, 9.59429, 9.64178, 9.78014, 9.96297, 10.0319, 10.0776, 10.1355, 10.2151, 10.4221, 10.565, 10.6585, 10.7791, 10.8733, 10.9643, 11.0549, 11.1455, 11.3205, 11.4013, 11.4755, 11.5494, 11.5909, 11.6738, 11.8249, 12.0063");
             values ( \
-              "-1.01361e-05, -0.000422508, -0.00120478, -0.00493645, -0.00187319, -0.00120945, -0.00191903, -0.00626354, -0.0197048, -0.0672389, -0.0939582, -0.107373, -0.119747, -0.125899, -0.128652, -0.126909, -0.112069, -0.0546696, -0.0351477, -0.0228188, -0.0144879, -0.0110976, -0.00652897, -0.00219078, -0.000606996" \
+              "-1.01361e-05, -0.000422508, -0.00120478, -0.00493645, -0.00187319, -0.00120945, -0.00191904, -0.00626356, -0.0197049, -0.0672389, -0.0939582, -0.107373, -0.119747, -0.125899, -0.128652, -0.126909, -0.112069, -0.0546696, -0.0351477, -0.0228188, -0.0144879, -0.0110976, -0.00652897, -0.00219077, -0.000606997" \
             );
           }
           vector (ccs_template) {
@@ -163296,16 +178680,16 @@
             index_2 ("0.239299");
             index_3 ("9.36756, 9.5187, 9.61295, 9.81556, 10.5332, 10.6868, 10.9257, 11.3213, 12.2099, 12.7379, 13.1548, 13.5238, 13.8681, 14.2013, 14.5328, 14.8643, 15.3479, 15.6846, 15.9334, 16.2684, 16.4958, 16.6699, 17.0365, 17.2458");
             values ( \
-              "-1.99987e-05, -9.41029e-05, -0.000728755, -0.00796715, -0.00290011, -0.00223438, -0.00217514, -0.011943, -0.0673165, -0.0951725, -0.111542, -0.121849, -0.128096, -0.130918, -0.129111, -0.114023, -0.0685289, -0.0426242, -0.0289458, -0.0166049, -0.0112391, -0.00844123, -0.00455946, -0.00349145" \
+              "-1.99987e-05, -9.4103e-05, -0.000728756, -0.00796715, -0.00290011, -0.00223438, -0.00217514, -0.011943, -0.0673165, -0.0951726, -0.111542, -0.121849, -0.128096, -0.130918, -0.129111, -0.114023, -0.0685289, -0.0426242, -0.0289458, -0.0166049, -0.0112391, -0.00844124, -0.00455946, -0.00349147" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.890787");
-            index_3 ("9.38664, 9.60853, 9.85675, 11.8886, 13.6011, 14.7356, 15.4982, 15.9661, 18.8661, 20.0007, 21.7079, 22.3576, 23.4921, 24.1923, 25.0011, 26.1356, 26.4333, 26.8301, 27.458, 28.5926, 30.5657, 31.5607, 32.8638, 33.7584, 34.7564, 35.5541, 36.6886, 37.8231, 38.9576, 41.2267");
+            index_3 ("9.38664, 9.60853, 9.85675, 11.8886, 13.6011, 14.7356, 15.4982, 15.9661, 18.8661, 20.0006, 21.7079, 22.3576, 23.4921, 24.1923, 25.0011, 26.1356, 26.4333, 26.8301, 27.458, 28.5926, 30.5657, 31.5607, 32.8638, 33.7584, 34.7564, 35.5541, 36.6886, 37.8231, 38.9576, 41.2267");
             values ( \
-              "-2.38707e-05, -0.000763161, -0.00932285, -0.00440768, -0.00220687, -0.00437748, -0.0111722, -0.0175755, -0.0676845, -0.0848769, -0.10576, -0.112062, -0.120935, -0.125092, -0.128687, -0.131422, -0.131614, -0.131434, -0.129664, -0.11638, -0.0667108, -0.0457496, -0.0264656, -0.0177767, -0.0112636, -0.00765511, -0.00448709, -0.00250354, -0.0015005, -0.000517223" \
+              "-2.38707e-05, -0.000763161, -0.00932285, -0.00440768, -0.00220687, -0.00437749, -0.0111722, -0.0175755, -0.0676843, -0.0848767, -0.10576, -0.112062, -0.120935, -0.125092, -0.128687, -0.131422, -0.131614, -0.131434, -0.129664, -0.11638, -0.0667108, -0.0457496, -0.0264656, -0.0177767, -0.0112636, -0.00765511, -0.00448709, -0.00250354, -0.0015005, -0.000517223" \
             );
           }
         }
@@ -163436,7 +178820,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.890787");
-            index_3 ("0.0850246, 0.250266, 1.28418, 2.25772, 3.05662, 4.19128, 5.32594, 6.00198, 6.67513, 8.93831, 10.076, 11.2692, 12.0016, 12.9675, 14.1726, 14.8355, 15.4484, 16.583, 16.8804, 17.2768, 17.9054, 19.0401, 21.0132, 22.0084, 22.8339, 23.3111, 24.1188, 25.3075, 26.1086, 27.2433, 28.378, 29.5126, 31.782");
+            index_3 ("0.0850245, 0.250266, 1.28418, 2.25772, 3.05662, 4.19128, 5.32594, 6.00198, 6.67513, 8.93831, 10.076, 11.2692, 12.0016, 12.9675, 14.1726, 14.8355, 15.4484, 16.583, 16.8804, 17.2768, 17.9054, 19.0401, 21.0132, 22.0084, 22.8339, 23.3111, 24.1188, 25.3075, 26.1086, 27.2433, 28.378, 29.5126, 31.782");
             values ( \
               "-0.000184969, -0.00965039, -0.00668769, -0.00456124, -0.00323145, -0.00217189, -0.00524011, -0.0118537, -0.0216356, -0.0614739, -0.0795263, -0.0957172, -0.104111, -0.113508, -0.122391, -0.126087, -0.128648, -0.131432, -0.131624, -0.131403, -0.129677, -0.116353, -0.0667309, -0.0457672, -0.0325928, -0.0264885, -0.0184905, -0.0107256, -0.00730394, -0.00424824, -0.00239828, -0.00140705, -0.000472611" \
             );
@@ -163555,7 +178939,7 @@
             index_2 ("0.00124625");
             index_3 ("0.918609, 0.92301, 0.924297, 0.929771, 0.940365, 0.944724, 0.952709, 0.956583, 0.960456, 0.968663, 0.97244, 0.977857, 0.983887, 0.990423, 1.02288");
             values ( \
-              "-0.000437841, -0.0199842, -0.0198041, -0.0344149, -0.0493666, -0.0537412, -0.0581672, -0.0577422, -0.0512947, -0.0223651, -0.0133883, -0.00583115, -0.00223501, -0.00089607, -2.04619e-06" \
+              "-0.000437842, -0.0199842, -0.0198041, -0.0344149, -0.0493666, -0.0537412, -0.0581672, -0.0577422, -0.0512947, -0.0223651, -0.0133883, -0.00583115, -0.00223501, -0.00089607, -2.04619e-06" \
             );
           }
           vector (ccs_template) {
@@ -163591,7 +178975,7 @@
             index_2 ("0.239299");
             index_3 ("0.777541, 0.837984, 0.94272, 1.55089, 1.74734, 2.06074, 2.46919, 3.36909, 3.89712, 4.31418, 4.68327, 5.02761, 5.36078, 5.69233, 6.02379, 6.66455, 6.96082, 7.23284, 7.42789, 7.65534, 7.95862, 8.31665");
             values ( \
-              "-0.000111032, -0.00120146, -0.00860824, -0.00367462, -0.00263537, -0.00205121, -0.0113673, -0.0672644, -0.0951381, -0.111533, -0.121833, -0.128096, -0.130908, -0.129115, -0.114015, -0.0555794, -0.0356635, -0.0232052, -0.0166124, -0.0112445, -0.00668395, -0.00375553" \
+              "-0.000111032, -0.00120146, -0.00860824, -0.00367462, -0.00263537, -0.00205121, -0.0113673, -0.0672644, -0.0951381, -0.111533, -0.121833, -0.128096, -0.130908, -0.129115, -0.114015, -0.0555794, -0.0356635, -0.0232052, -0.0166124, -0.0112445, -0.00668395, -0.00375554" \
             );
           }
           vector (ccs_template) {
@@ -163672,7 +179056,7 @@
             index_2 ("0.00463917");
             index_3 ("9.78419, 9.80678, 9.81921, 9.83335, 9.84466, 9.85463, 9.86214, 9.87271, 9.88145, 9.89018, 9.90851, 9.91668, 9.92612, 9.93994, 9.95493, 9.95679");
             values ( \
-              "-0.000397623, -0.0292546, -0.0500178, -0.0681102, -0.0797259, -0.0875954, -0.0921332, -0.0958719, -0.0954259, -0.0848892, -0.037786, -0.0230768, -0.0124291, -0.00479631, -0.00150899, -0.00144214" \
+              "-0.000397622, -0.0292546, -0.0500178, -0.0681102, -0.0797259, -0.0875954, -0.0921332, -0.0958719, -0.0954259, -0.0848892, -0.037786, -0.0230768, -0.0124291, -0.00479631, -0.00150899, -0.00144214" \
             );
           }
           vector (ccs_template) {
@@ -163750,7 +179134,7 @@
             "0.243985, 0.308472, 0.520263, 1.26617, 4.03653, 14.3398", \
             "0.253705, 0.318164, 0.530101, 1.27617, 4.04579, 14.3549", \
             "0.288968, 0.353431, 0.565256, 1.31156, 4.0811, 14.3916", \
-            "0.350564, 0.415287, 0.627261, 1.37325, 4.14383, 14.4472", \
+            "0.350564, 0.415395, 0.627261, 1.37325, 4.14268, 14.4472", \
             "0.398639, 0.46366, 0.676225, 1.42241, 4.19191, 14.498", \
             "0.18677, 0.256337, 0.473661, 1.22231, 3.99031, 14.2903" \
           );
@@ -163762,7 +179146,7 @@
             "0.032256, 0.0707488, 0.215847, 0.762001, 2.79725, 10.3693", \
             "0.0322507, 0.0707619, 0.215981, 0.761981, 2.797, 10.3682", \
             "0.0322532, 0.070791, 0.21585, 0.761971, 2.79735, 10.3687", \
-            "0.0322528, 0.0707651, 0.215802, 0.761772, 2.79733, 10.3675", \
+            "0.0322528, 0.0707694, 0.215802, 0.761772, 2.79698, 10.3675", \
             "0.0325928, 0.0709751, 0.215878, 0.761923, 2.79711, 10.3669", \
             "0.0356214, 0.0726155, 0.21635, 0.762022, 2.79646, 10.3737" \
           );
@@ -163936,16 +179320,16 @@
             index_2 ("0.00124625");
             index_3 ("0.831842, 0.83854, 0.85323, 0.86055, 0.865574, 0.867584, 0.870264, 0.872471, 0.875415, 0.878025, 0.881054, 0.88652, 0.891289, 0.898213, 0.904424, 0.90875, 0.91279, 0.918178, 0.922446, 0.927275, 0.933715, 0.946593, 0.95373");
             values ( \
-              "0.00434279, 0.00998202, 0.0332959, 0.0425817, 0.0463329, 0.0471215, 0.0475204, 0.0472635, 0.0459493, 0.0433834, 0.0388346, 0.0288454, 0.0223235, 0.0149241, 0.0102436, 0.00779371, 0.0060261, 0.00425263, 0.0032112, 0.00234107, 0.00153629, 0.000622924, 0.000440019" \
+              "0.00434279, 0.00998202, 0.0332959, 0.0425817, 0.0463329, 0.0471215, 0.0475204, 0.0472635, 0.0459493, 0.0433834, 0.0388346, 0.0288454, 0.0223235, 0.0149241, 0.0102436, 0.00779371, 0.0060261, 0.00425263, 0.0032112, 0.00234107, 0.00153629, 0.000622925, 0.000440019" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00463917");
-            index_3 ("0.8572, 0.868492, 0.895038, 0.903286, 0.91312, 0.917992, 0.925313, 0.926961, 0.930256, 0.935725, 0.946177, 0.951923, 0.958636, 0.971214, 0.987087, 0.996006, 1.0029, 1.0121, 1.01902, 1.02456, 1.03194, 1.04083, 1.05731, 1.06958, 1.09046, 1.1077");
+            index_3 ("0.858611, 0.873718, 0.894988, 0.903351, 0.913169, 0.918097, 0.925381, 0.92966, 0.935791, 0.946243, 0.952059, 0.958715, 0.971295, 0.987104, 0.996064, 1.003, 1.01224, 1.0191, 1.0246, 1.03193, 1.04079, 1.05748, 1.06992, 1.09108, 1.11106");
             values ( \
-              "0.0130825, 0.0165059, 0.057722, 0.068019, 0.0764516, 0.07878, 0.0804337, 0.0804634, 0.0804273, 0.079437, 0.0747778, 0.0700098, 0.0630175, 0.0484947, 0.0333186, 0.0263534, 0.0218115, 0.0167745, 0.0136838, 0.0115956, 0.00926794, 0.00703185, 0.00429513, 0.00289614, 0.00144994, 0.000937183" \
+              "0.0118725, 0.0242686, 0.0575337, 0.068006, 0.0764278, 0.0787828, 0.0804242, 0.0804657, 0.0794473, 0.0747745, 0.0699495, 0.063007, 0.0484841, 0.0333636, 0.0263618, 0.0217962, 0.0167383, 0.0136762, 0.0116037, 0.00929081, 0.00705529, 0.00428375, 0.00287161, 0.00142324, 0.000840569" \
             );
           }
           vector (ccs_template) {
@@ -163961,18 +179345,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0642846");
-            index_3 ("0.000895989, 0.611285, 0.732494, 0.758185, 0.807563, 0.842218, 0.874128, 0.986258, 1.0317, 1.06679, 1.10516, 1.15633, 1.21817, 1.41472, 1.50394, 1.55437, 1.65522, 1.70237, 1.76525, 1.81572, 1.88301, 1.9643, 2.01418, 2.11393, 2.34325, 2.50217, 2.64962, 2.75198, 2.83635, 2.96376, 3.07567, 3.21076, 3.39088, 3.6583");
+            index_3 ("0.000895979, 0.611285, 0.732494, 0.758185, 0.807563, 0.842218, 0.874128, 0.986258, 1.0317, 1.06679, 1.10516, 1.15633, 1.21817, 1.41472, 1.50394, 1.55437, 1.65522, 1.70237, 1.76525, 1.81572, 1.88301, 1.9643, 2.01418, 2.11392, 2.34325, 2.50216, 2.64963, 2.75201, 2.83634, 2.96374, 3.07566, 3.21078, 3.39095, 3.6583");
             values ( \
-              "1e-22, 4.34978e-06, 0.000199783, 0.00135363, 0.00627363, 0.00740631, 0.00710494, 0.00386765, 0.00298008, 0.0031908, 0.00480576, 0.00946596, 0.0194579, 0.0690212, 0.0874836, 0.0946172, 0.102813, 0.104209, 0.104422, 0.103524, 0.101182, 0.0965504, 0.0927442, 0.0829004, 0.0557539, 0.0389144, 0.0267332, 0.0202149, 0.0159529, 0.0110303, 0.0078947, 0.0052512, 0.00300008, 0.00136371" \
+              "1e-22, 4.34978e-06, 0.000199783, 0.00135363, 0.00627363, 0.00740631, 0.00710494, 0.00386765, 0.00298008, 0.0031908, 0.00480576, 0.00946596, 0.0194579, 0.0690212, 0.0874836, 0.0946172, 0.102813, 0.104209, 0.104422, 0.103524, 0.101183, 0.0965502, 0.0927442, 0.0829008, 0.0557541, 0.0389156, 0.0267323, 0.0202132, 0.0159534, 0.011031, 0.00789498, 0.00525084, 0.00299943, 0.00136383" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.239299");
-            index_3 ("0.00178419, 0.733409, 0.830918, 0.888465, 0.969343, 1.24291, 1.52471, 1.77812, 1.92807, 2.11246, 2.35831, 2.90291, 3.20948, 3.41566, 3.84449, 4.25284, 4.66136, 5.10521, 5.59769, 6.66237, 7.05567, 7.62003, 8.21242, 8.52292, 8.96154, 9.75974, 10.4515, 11.0866");
+            index_3 ("0.000471689, 0.612475, 0.733602, 0.818577, 0.888663, 0.969417, 1.21234, 1.319, 1.46708, 1.7039, 1.95344, 2.16177, 2.39985, 2.9015, 3.21673, 3.4143, 3.80945, 3.90758, 4.03842, 4.25, 4.65698, 4.88973, 5.1029, 5.52923, 6.59928, 7.02858, 7.45024, 7.78884, 8.11716, 8.58615, 8.99296, 9.47915, 10.1274, 11.2739");
             values ( \
-              "1e-22, 0.00020386, 0.00977532, 0.0116019, 0.0113795, 0.00662114, 0.00379586, 0.00505496, 0.00845384, 0.0155853, 0.0303401, 0.0697479, 0.0877407, 0.0960862, 0.105071, 0.105876, 0.102174, 0.0939737, 0.0801126, 0.0459872, 0.0355077, 0.023716, 0.015059, 0.0117736, 0.00823184, 0.00426062, 0.0023462, 0.00152696" \
+              "1e-22, 7.97603e-06, 0.000218802, 0.00897385, 0.0116051, 0.0113558, 0.00706516, 0.0055703, 0.00411221, 0.00415857, 0.00924373, 0.0181754, 0.0333112, 0.0697392, 0.0881202, 0.0960888, 0.104657, 0.105476, 0.106026, 0.105696, 0.102135, 0.0984124, 0.0939515, 0.0822228, 0.0477845, 0.036112, 0.0268338, 0.0208462, 0.0162054, 0.0111772, 0.00801942, 0.00537035, 0.0030938, 0.00112907" \
             );
           }
           vector (ccs_template) {
@@ -163990,7 +179374,7 @@
             index_2 ("0.00124625");
             index_3 ("2.44032, 2.44856, 2.46294, 2.47054, 2.47623, 2.48104, 2.48343, 2.48588, 2.49076, 2.49736, 2.50755, 2.51877, 2.52767, 2.53856, 2.54641, 2.55578");
             values ( \
-              "0.00163806, 0.0106559, 0.0324247, 0.0419025, 0.0460416, 0.0470642, 0.046648, 0.0453572, 0.039599, 0.0278785, 0.0155946, 0.00784912, 0.00444007, 0.00219388, 0.00128553, 0.000772779" \
+              "0.00163807, 0.0106559, 0.0324247, 0.0419025, 0.0460416, 0.0470642, 0.046648, 0.0453572, 0.039599, 0.0278785, 0.0155946, 0.00784912, 0.00444007, 0.00219388, 0.00128553, 0.00077278" \
             );
           }
           vector (ccs_template) {
@@ -164015,7 +179399,7 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0642846");
-            index_3 ("2.55041, 2.78766, 2.87238, 3.02551, 3.11016, 3.16503, 3.27475, 3.32351, 3.37232, 3.43358, 3.50552, 3.61903, 3.70653, 4.04086, 4.15437, 4.30576, 4.47493, 4.6791, 4.89544, 5.0828, 5.21421");
+            index_3 ("2.55041, 2.78766, 2.87238, 3.02551, 3.11016, 3.16503, 3.27475, 3.32351, 3.37233, 3.43358, 3.50552, 3.61903, 3.70653, 4.04086, 4.15437, 4.30576, 4.47493, 4.6791, 4.89544, 5.0828, 5.21421");
             values ( \
               "0.00726328, 0.0122603, 0.0294043, 0.0691165, 0.0867449, 0.0946651, 0.103217, 0.104403, 0.104484, 0.103356, 0.100636, 0.0932865, 0.084886, 0.0461486, 0.035126, 0.0236995, 0.014757, 0.00808299, 0.00420253, 0.00233346, 0.00172611" \
             );
@@ -164026,16 +179410,16 @@
             index_2 ("0.239299");
             index_3 ("2.81018, 3.63827, 3.90974, 4.51314, 4.72308, 5.02599, 5.45422, 5.86288, 6.27135, 6.44615, 6.71521, 7.20768, 8.27333, 8.66591, 9.22823, 9.55448, 9.82331, 10.1335, 10.5719, 11.0233, 11.3684, 12.0586, 12.7989");
             values ( \
-              "0.0101436, 0.0118216, 0.0263454, 0.0697427, 0.0827662, 0.0961362, 0.105086, 0.105887, 0.102177, 0.0994649, 0.0939751, 0.0801139, 0.0459595, 0.0355022, 0.023748, 0.0185389, 0.0150484, 0.0117682, 0.00822966, 0.00568119, 0.00426551, 0.00235224, 0.00139494" \
+              "0.0101436, 0.0118216, 0.0263455, 0.0697427, 0.0827662, 0.0961362, 0.105086, 0.105887, 0.102177, 0.0994649, 0.0939751, 0.0801139, 0.0459595, 0.0355022, 0.023748, 0.0185389, 0.0150484, 0.0117682, 0.00822966, 0.00568119, 0.00426551, 0.00235224, 0.00139494" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.890787");
-            index_3 ("3.51073, 6.77722, 7.71365, 10.722, 11.943, 13.2016, 14.1679, 15.039, 16.2976, 17.1238, 18.1995, 19.4581, 24.6289, 27.1757, 29.6847, 31.7871, 32.8593, 36.3201, 39.3832");
+            index_3 ("3.51073, 6.77722, 7.71364, 10.722, 11.943, 13.2016, 14.1679, 15.039, 16.2976, 17.1238, 18.1995, 19.4581, 24.6289, 27.1757, 29.6847, 31.7871, 32.8593, 36.3201, 39.3833");
             values ( \
-              "0.00899682, 0.0116871, 0.0248271, 0.0816856, 0.0966244, 0.104425, 0.106367, 0.106112, 0.103297, 0.100085, 0.0942793, 0.0851543, 0.0412499, 0.0255393, 0.0152409, 0.00968198, 0.00765034, 0.00352179, 0.00186902" \
+              "0.00899684, 0.0116871, 0.0248269, 0.0816858, 0.0966244, 0.104425, 0.106367, 0.106112, 0.103297, 0.100085, 0.0942793, 0.0851543, 0.0412499, 0.0255393, 0.0152409, 0.00968198, 0.00765034, 0.00352179, 0.001869" \
             );
           }
           vector (ccs_template) {
@@ -164051,9 +179435,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00463917");
-            index_3 ("0.000186242, 7.71002, 8.01803, 8.1915, 8.25981, 8.29875, 8.33769, 8.35397, 8.37426, 8.38863, 8.39243, 8.40668, 8.41513, 8.42296, 8.43223, 8.46329, 8.48705, 8.51268, 8.51503, 8.51974, 8.52476, 8.54988, 8.55596, 8.56769, 8.57127, 8.57533, 8.57918, 8.58688, 8.58988, 8.59523, 8.60087, 8.61202, 8.61973, 8.62749, 8.63544, 8.64453, 8.65121, 8.65629, 8.66308, 8.67272, 8.67575, 8.6818, 8.69389, 8.7017, 8.70462, 8.71048, 8.72219, 8.7456, 8.75458");
+            index_3 ("0.000186245, 7.71002, 8.01803, 8.1915, 8.25981, 8.29875, 8.33769, 8.35397, 8.37426, 8.38863, 8.39243, 8.40668, 8.41513, 8.42296, 8.43223, 8.46329, 8.48705, 8.51268, 8.51503, 8.51974, 8.52476, 8.54988, 8.55596, 8.56769, 8.57127, 8.57533, 8.57918, 8.58688, 8.58988, 8.59523, 8.60087, 8.61202, 8.61973, 8.62749, 8.63544, 8.64453, 8.65121, 8.65629, 8.66308, 8.67272, 8.67575, 8.6818, 8.69389, 8.7017, 8.70462, 8.71048, 8.72219, 8.7456, 8.75458");
             values ( \
-              "1e-22, 9.87741e-09, 1.52307e-06, 5.92759e-06, 2.38297e-06, 1.40009e-05, 5.79102e-05, 0.000107257, 0.000172372, 0.000197811, 0.000174916, 0.000144848, 8.29916e-05, 4.26974e-05, 1e-22, 2e-22, 0.000357748, 0.00999287, 0.0119305, 0.0166594, 0.0226751, 0.0555924, 0.0627782, 0.0732386, 0.0752051, 0.0768959, 0.0778581, 0.0782971, 0.0778544, 0.0763832, 0.0734918, 0.0639083, 0.0550605, 0.0466267, 0.0387464, 0.030842, 0.0258776, 0.0225129, 0.0186059, 0.0140301, 0.0128429, 0.0106945, 0.00737154, 0.00576794, 0.00527619, 0.00437593, 0.00302125, 0.00137044, 0.00111936" \
+              "1e-22, 9.87743e-09, 1.52307e-06, 5.92759e-06, 2.38297e-06, 1.40009e-05, 5.79102e-05, 0.000107257, 0.000172372, 0.000197811, 0.000174916, 0.000144848, 8.29916e-05, 4.26974e-05, 1e-22, 2e-22, 0.000357748, 0.00999287, 0.0119305, 0.0166594, 0.0226751, 0.0555924, 0.0627782, 0.0732386, 0.0752051, 0.0768959, 0.0778581, 0.0782971, 0.0778544, 0.0763832, 0.0734918, 0.0639083, 0.0550605, 0.0466267, 0.0387464, 0.030842, 0.0258776, 0.0225129, 0.0186059, 0.0140301, 0.0128429, 0.0106945, 0.00737154, 0.00576794, 0.00527619, 0.00437593, 0.00302125, 0.00137044, 0.00111936" \
             );
           }
           vector (ccs_template) {
@@ -164078,9 +179462,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.239299");
-            index_3 ("8.84419, 9.65554, 10.0636, 10.565, 10.879, 11.0777, 11.4751, 11.6881, 11.913, 12.1554, 12.6703, 13.2589, 14.543, 15.0795, 15.8201, 16.5209, 17.0112, 17.561, 18.2941, 18.3686");
+            index_3 ("8.84419, 9.65554, 10.0636, 10.565, 10.879, 11.0777, 11.4751, 11.6881, 11.913, 12.1554, 12.6703, 13.2589, 14.543, 15.0795, 15.8201, 16.5209, 17.0112, 17.5611, 18.2942, 18.3686");
             values ( \
-              "0.0106129, 0.0106322, 0.0333622, 0.0697733, 0.0880832, 0.0961024, 0.104692, 0.106007, 0.105723, 0.103962, 0.0960891, 0.0800804, 0.039915, 0.027503, 0.0157078, 0.00894837, 0.0060305, 0.00381356, 0.00202302, 0.00193997" \
+              "0.0106129, 0.0106322, 0.0333622, 0.0697733, 0.0880832, 0.0961024, 0.104692, 0.106007, 0.105723, 0.103962, 0.0960892, 0.0800804, 0.0399152, 0.0275029, 0.0157078, 0.0089485, 0.00603049, 0.00381349, 0.00202294, 0.00193993" \
             );
           }
           vector (ccs_template) {
@@ -164100,7 +179484,7 @@
             "0.0019736, 0.00197362, 0.00197364, 0.00197365, 0.00197365, 0.00197365", \
             "0.00236542, 0.00236541, 0.00236541, 0.00236541, 0.00236541, 0.00236541", \
             "0.00255449, 0.00255448, 0.00255448, 0.00255448, 0.00255448, 0.00255448", \
-            "0.00270638, 0.00270553, 0.00270553, 0.00270553, 0.00270553, 0.00270553", \
+            "0.00270638, 0.00270638, 0.00270553, 0.00270553, 0.00270638, 0.00270553", \
             "0.00286405, 0.00286405, 0.00286405, 0.00286405, 0.00286405, 0.00286405", \
             "0.00307371, 0.00307371, 0.00307372, 0.00307374, 0.00307376, 0.00307377" \
           );
@@ -164112,7 +179496,7 @@
             "0.00254787, 0.00254784, 0.00254782, 0.00254782, 0.00254782, 0.00254782", \
             "0.00255722, 0.0025572, 0.00255717, 0.00255716, 0.00255716, 0.00255715", \
             "0.00286994, 0.00286995, 0.00286994, 0.00286993, 0.00286992, 0.00286992", \
-            "0.00330239, 0.00330161, 0.00329926, 0.00329831, 0.00329801, 0.00329793", \
+            "0.00330239, 0.00329997, 0.00329926, 0.00329831, 0.00329668, 0.00329793", \
             "0.00306709, 0.00306615, 0.00306607, 0.00306642, 0.0030652, 0.00306511", \
             "0.00271956, 0.00272012, 0.00271957, 0.00271932, 0.00272028, 0.0027173" \
           );
@@ -164155,7 +179539,7 @@
             "0.245304, 0.310485, 0.522399, 1.26751, 4.03755, 14.3477", \
             "0.255187, 0.32021, 0.532083, 1.27783, 4.04742, 14.3547", \
             "0.290479, 0.355465, 0.567418, 1.31318, 4.08375, 14.3926", \
-            "0.352808, 0.417887, 0.629693, 1.37618, 4.14502, 14.4463", \
+            "0.352808, 0.417887, 0.629438, 1.37618, 4.14502, 14.4493", \
             "0.40232, 0.467935, 0.680597, 1.42677, 4.19596, 14.5017", \
             "0.193778, 0.264473, 0.481863, 1.22995, 4.00029, 14.2975" \
           );
@@ -164167,7 +179551,7 @@
             "0.0324055, 0.0709053, 0.215908, 0.762013, 2.79674, 10.369", \
             "0.0323139, 0.0709067, 0.215803, 0.761887, 2.79669, 10.3685", \
             "0.032404, 0.0708971, 0.215911, 0.762016, 2.79731, 10.3676", \
-            "0.0324172, 0.070935, 0.21581, 0.762029, 2.79694, 10.3708", \
+            "0.0324172, 0.070935, 0.215805, 0.762029, 2.79694, 10.3678", \
             "0.0327732, 0.0711309, 0.215961, 0.761974, 2.79726, 10.3669", \
             "0.0360292, 0.0728402, 0.216244, 0.761976, 2.79719, 10.3735" \
           );
@@ -164357,9 +179741,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0172692");
-            index_3 ("0.912125, 0.950823, 0.964149, 1.01349, 1.0407, 1.05339, 1.06744, 1.08132, 1.09706, 1.11375, 1.12701, 1.14999, 1.16057, 1.18367, 1.2178, 1.28663, 1.33572, 1.35691, 1.38517, 1.41066, 1.44274, 1.48129, 1.49886, 1.52516, 1.55989, 1.6293, 1.67893");
+            index_3 ("0.908774, 0.950664, 0.969969, 1.01332, 1.02789, 1.04081, 1.05323, 1.06746, 1.08642, 1.09593, 1.11191, 1.13025, 1.14991, 1.18201, 1.20569, 1.28209, 1.29943, 1.33282, 1.36554, 1.39182, 1.41802, 1.43224, 1.45489, 1.48661, 1.52428, 1.57451, 1.67156, 1.78483");
             values ( \
-              "0.0160142, 0.0161267, 0.0249463, 0.0649533, 0.082828, 0.0887668, 0.0935031, 0.0966039, 0.0984406, 0.0988694, 0.0982965, 0.0957491, 0.0939531, 0.0884799, 0.0766884, 0.0488602, 0.032546, 0.0268947, 0.0206131, 0.0160759, 0.0116494, 0.00779345, 0.00648791, 0.00491779, 0.00339415, 0.00155177, 0.00102932" \
+              "0.0135806, 0.0161194, 0.0294704, 0.0649958, 0.0752805, 0.0830358, 0.08866, 0.0936232, 0.0974442, 0.098289, 0.0989535, 0.098105, 0.0956953, 0.0890309, 0.0811972, 0.0505111, 0.0441593, 0.033312, 0.0247974, 0.0192925, 0.01492, 0.0129356, 0.0102706, 0.00738029, 0.00496351, 0.00287494, 0.000904405, 0.000218486" \
             );
           }
           vector (ccs_template) {
@@ -164384,9 +179768,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.890787");
-            index_3 ("0.013933, 0.752872, 0.989418, 1.99437, 3.00743, 3.84539, 4.73471, 5.02772, 5.61373, 8.62522, 9.66772, 10.3498, 11.6087, 12.5842, 13.4471, 14.7059, 15.5418, 16.6112, 17.8701, 21.0801, 23.0409, 24.2195, 25.5875, 26.8464, 28.0965, 30.199, 31.2712, 33.4734, 34.7323, 38.5088, 39.0592");
+            index_3 ("0.00521988, 0.734822, 0.848595, 0.994658, 2.12976, 2.60403, 3.28183, 4.23172, 4.81127, 5.45809, 6.32051, 8.44638, 9.22406, 10.3472, 11.6061, 11.9292, 12.5756, 13.4447, 14.7036, 15.5294, 16.6054, 17.8642, 21.0742, 23.035, 24.2135, 25.5815, 26.8404, 28.0906, 30.1933, 31.2653, 33.4671, 34.726, 38.5025, 39.7729");
             values ( \
-              "1e-22, 0.000302089, 0.0147339, 0.00852078, 0.00474051, 0.00401836, 0.00765501, 0.010047, 0.0167351, 0.0730129, 0.0890346, 0.0964013, 0.104306, 0.106306, 0.106066, 0.103289, 0.100046, 0.0942677, 0.0851536, 0.0569954, 0.0412454, 0.0332672, 0.0255362, 0.0197844, 0.0152445, 0.00968533, 0.007647, 0.00467871, 0.00351821, 0.00148742, 0.00138784" \
+              "1e-22, 0.000186608, 0.011427, 0.0147667, 0.00782799, 0.0059178, 0.00416754, 0.00497553, 0.00829919, 0.0148125, 0.0283615, 0.0700074, 0.0831103, 0.0965635, 0.104398, 0.10536, 0.106355, 0.106098, 0.103294, 0.100086, 0.0942745, 0.0851551, 0.0569964, 0.0412459, 0.0332681, 0.0255374, 0.0197838, 0.0152433, 0.00968398, 0.00764772, 0.00467822, 0.00351935, 0.00148668, 0.00115728" \
             );
           }
           vector (ccs_template) {
@@ -164420,9 +179804,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0642846");
-            index_3 ("2.56334, 2.79139, 3.11758, 3.16972, 3.27401, 3.35446, 3.39745, 3.48345, 3.62958, 3.75124, 3.99509, 4.16435, 4.30412, 4.48531, 4.69334, 4.82181, 4.9931, 5.23671");
+            index_3 ("2.56334, 2.79139, 3.11758, 3.16972, 3.27401, 3.35446, 3.39745, 3.48345, 3.62958, 3.75124, 3.99509, 4.16435, 4.30412, 4.48531, 4.69334, 4.82181, 4.99311, 5.23671");
             values ( \
-              "0.00820801, 0.0120971, 0.0871842, 0.0946439, 0.102961, 0.104543, 0.104229, 0.101834, 0.0927449, 0.0804898, 0.0516212, 0.0346778, 0.0240798, 0.0144948, 0.00784342, 0.00532481, 0.00312583, 0.00158328" \
+              "0.00820801, 0.0120971, 0.0871842, 0.0946439, 0.102961, 0.104543, 0.104229, 0.101834, 0.0927449, 0.0804898, 0.0516212, 0.0346778, 0.0240797, 0.0144948, 0.00784343, 0.0053248, 0.0031258, 0.00158328" \
             );
           }
           vector (ccs_template) {
@@ -164505,7 +179889,7 @@
             "0.00197363, 0.00197365, 0.00197367, 0.00197368, 0.00197368, 0.00197368", \
             "0.00236543, 0.00236543, 0.00236543, 0.00236543, 0.00236543, 0.00236543", \
             "0.00255447, 0.00255447, 0.00255446, 0.00255446, 0.00255446, 0.00255446", \
-            "0.00270552, 0.00270552, 0.00270552, 0.00270552, 0.00270552, 0.00270637", \
+            "0.00270552, 0.00270552, 0.00270637, 0.00270552, 0.00270552, 0.00270552", \
             "0.00286401, 0.00286401, 0.00286401, 0.00286401, 0.00286401, 0.00286401", \
             "0.0030705, 0.0030705, 0.00307052, 0.00307054, 0.00307056, 0.00307056" \
           );
@@ -164517,7 +179901,7 @@
             "0.00254789, 0.00254786, 0.00254785, 0.00254784, 0.00254784, 0.00254784", \
             "0.00255715, 0.00255713, 0.0025571, 0.00255709, 0.00255708, 0.00255708", \
             "0.00286882, 0.00286882, 0.00286881, 0.0028688, 0.00286879, 0.00286879", \
-            "0.00329885, 0.00329694, 0.00329489, 0.0032945, 0.00329425, 0.00329298", \
+            "0.00329885, 0.00329694, 0.00329394, 0.0032945, 0.00329425, 0.00329418", \
             "0.00306605, 0.00306612, 0.00306614, 0.0030665, 0.00306641, 0.00306656", \
             "0.00272404, 0.00272439, 0.00272456, 0.00272468, 0.00272508, 0.00272538" \
           );
@@ -164560,7 +179944,7 @@
             "0.244756, 0.309816, 0.521679, 1.26766, 4.03761, 14.3412", \
             "0.25459, 0.319622, 0.531596, 1.2773, 4.0475, 14.3551", \
             "0.28984, 0.354805, 0.566595, 1.31259, 4.08256, 14.3867", \
-            "0.351652, 0.416492, 0.628581, 1.37526, 4.14509, 14.4455", \
+            "0.351506, 0.416656, 0.628581, 1.37526, 4.14509, 14.4455", \
             "0.399609, 0.465156, 0.67769, 1.42361, 4.19315, 14.5", \
             "0.18857, 0.258962, 0.476102, 1.2242, 3.99442, 14.2909" \
           );
@@ -164572,7 +179956,7 @@
             "0.0323316, 0.070884, 0.215808, 0.762082, 2.79715, 10.3694", \
             "0.0323096, 0.070893, 0.215912, 0.761998, 2.79724, 10.3686", \
             "0.0323366, 0.0708852, 0.215807, 0.76198, 2.79726, 10.3669", \
-            "0.0323157, 0.0709145, 0.215814, 0.76205, 2.79732, 10.3682", \
+            "0.0323385, 0.0709385, 0.215814, 0.76205, 2.79732, 10.3682", \
             "0.0327615, 0.0711215, 0.21584, 0.762026, 2.79723, 10.3693", \
             "0.0358084, 0.0727754, 0.216219, 0.762031, 2.79681, 10.3732" \
           );
@@ -164584,7 +179968,7 @@
             index_2 ("0.00124625");
             index_3 ("0.220331, 0.228221, 0.233922, 0.235108, 0.236325, 0.237542, 0.239976, 0.241193, 0.24279, 0.24317, 0.243549, 0.244688, 0.246207, 0.248055, 0.249042, 0.250358, 0.251329, 0.252395, 0.252928, 0.253994, 0.255061, 0.256127, 0.257193, 0.257733, 0.258812, 0.260277, 0.260765, 0.261327, 0.264133, 0.265256, 0.267501, 0.270635, 0.272158, 0.273681, 0.275204, 0.277511, 0.279818, 0.282865, 0.284153, 0.285638, 0.287123, 0.28906, 0.290996, 0.29259, 0.294184, 0.297153, 0.299547, 0.302355, 0.303759, 0.306047");
             values ( \
-              "0.0211486, 0.0226004, 0.0314391, 0.0332382, 0.0349454, 0.0365871, 0.0396143, 0.0410274, 0.0427798, 0.0431795, 0.0435066, 0.0444147, 0.0454199, 0.0464741, 0.0469312, 0.0471489, 0.0472095, 0.0471831, 0.0471347, 0.0469678, 0.0467071, 0.0463529, 0.0459049, 0.0455722, 0.0445337, 0.0427795, 0.0421129, 0.0412372, 0.0366343, 0.0346884, 0.0306169, 0.0257786, 0.0235281, 0.0216353, 0.0198621, 0.0174045, 0.0152213, 0.0126389, 0.0116452, 0.0106599, 0.00973931, 0.00866018, 0.00764374, 0.00685901, 0.00614724, 0.00510867, 0.00439141, 0.0036668, 0.00334725, 0.00288384" \
+              "0.0211486, 0.0226004, 0.0314391, 0.0332382, 0.0349454, 0.0365871, 0.0396143, 0.0410274, 0.0427798, 0.0431795, 0.0435066, 0.0444147, 0.0454199, 0.0464741, 0.0469312, 0.0471489, 0.0472095, 0.0471831, 0.0471347, 0.0469678, 0.0467071, 0.0463529, 0.0459049, 0.0455722, 0.0445337, 0.0427795, 0.0421129, 0.0412372, 0.0366344, 0.0346885, 0.0306168, 0.0257786, 0.0235281, 0.0216353, 0.0198621, 0.0174045, 0.0152213, 0.0126389, 0.0116452, 0.0106599, 0.00973931, 0.00866018, 0.00764374, 0.00685901, 0.00614724, 0.00510867, 0.00439141, 0.0036668, 0.00334725, 0.00288384" \
             );
           }
           vector (ccs_template) {
@@ -164744,18 +180128,18 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.832836, 0.837344, 0.839451, 0.854267, 0.861559, 0.863829, 0.866855, 0.870024, 0.872083, 0.8762, 0.878213, 0.880898, 0.888321, 0.890469, 0.893333, 0.898418, 0.905038, 0.911182, 0.914058, 0.919811, 0.92521, 0.934176, 0.946131, 0.955938");
+            index_3 ("0.832263, 0.837468, 0.839312, 0.854057, 0.861572, 0.865373, 0.867177, 0.870785, 0.874304, 0.876752, 0.881606, 0.884723, 0.890203, 0.897873, 0.901021, 0.905849, 0.911079, 0.917312, 0.922868, 0.926522, 0.933395, 0.94256, 0.950317");
             values ( \
-              "0.004264, 0.00781174, 0.00990179, 0.0331929, 0.0424044, 0.044404, 0.0463031, 0.0473023, 0.0474038, 0.0461069, 0.0443875, 0.0408752, 0.0278909, 0.0248378, 0.0211381, 0.01568, 0.0105408, 0.00716264, 0.00595986, 0.00409284, 0.00287863, 0.00159428, 0.000705656, 0.0004165" \
+              "0.00423079, 0.0080194, 0.00980699, 0.0330348, 0.042507, 0.0455569, 0.0464739, 0.0474236, 0.0469423, 0.0455562, 0.0397464, 0.0338598, 0.0250776, 0.0160935, 0.0133793, 0.00994714, 0.00715571, 0.00479046, 0.00333822, 0.00262346, 0.00167034, 0.000909086, 0.000612429" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00463917");
-            index_3 ("0.000291756, 0.728032, 0.779113, 0.797659, 0.829449, 0.84809, 0.864211, 0.868012, 0.904631, 0.91438, 0.919713, 0.926758, 0.937227, 0.947695, 0.98752, 1.00544, 1.02729, 1.04112, 1.0595, 1.07266, 1.0838");
+            index_3 ("0.848549, 0.869192, 0.896266, 0.904519, 0.916068, 0.926679, 0.937146, 0.947602, 0.953242, 0.975484, 0.988899, 0.999712, 1.01081, 1.02791, 1.04525, 1.05777, 1.07019, 1.0913, 1.10197");
             values ( \
-              "1e-22, 5.54437e-05, 0.000488374, 3.86182e-05, 1e-22, 0.000998491, 0.0105966, 0.014597, 0.0675606, 0.0759324, 0.0785507, 0.0801883, 0.0794341, 0.0746983, 0.0342409, 0.0211928, 0.0111769, 0.00731002, 0.00423865, 0.00277417, 0.00205317" \
+              "2.13143e-05, 0.016168, 0.0571863, 0.0676001, 0.0770263, 0.0803016, 0.079487, 0.0747367, 0.0701094, 0.0456815, 0.0329701, 0.0247768, 0.0181415, 0.0109338, 0.00645505, 0.00435861, 0.002924, 0.00145255, 0.00113402" \
             );
           }
           vector (ccs_template) {
@@ -164771,7 +180155,7 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0642846");
-            index_3 ("0.000829153, 0.611219, 0.732422, 0.756303, 0.808895, 0.825991, 0.843661, 0.874535, 1.0018, 1.0331, 1.06574, 1.10181, 1.14984, 1.17509, 1.22401, 1.41628, 1.48001, 1.55582, 1.67262, 1.78399, 1.89964, 2.01599, 2.15014, 2.43772, 2.54732, 2.705, 2.79199, 2.86262, 2.9481, 3.06848, 3.19384, 3.28989, 3.48198, 3.66498");
+            index_3 ("0.00082915, 0.611219, 0.732422, 0.756303, 0.808895, 0.825991, 0.843661, 0.874535, 1.0018, 1.0331, 1.06574, 1.10181, 1.14984, 1.17509, 1.22401, 1.41628, 1.48001, 1.55582, 1.67262, 1.78399, 1.89964, 2.01599, 2.15014, 2.43772, 2.54732, 2.705, 2.79199, 2.86262, 2.9481, 3.06848, 3.19384, 3.28989, 3.48198, 3.66498");
             values ( \
               "1e-22, 4.50039e-06, 0.000197324, 0.00118042, 0.00627055, 0.00704985, 0.00733199, 0.00695146, 0.00354023, 0.0030042, 0.00315, 0.00453444, 0.00857121, 0.0117969, 0.0204536, 0.0690969, 0.0829425, 0.0946952, 0.103506, 0.104434, 0.100619, 0.092783, 0.0789927, 0.045511, 0.0349638, 0.0231337, 0.0181675, 0.0148678, 0.0116031, 0.00810341, 0.00556221, 0.00415187, 0.00226557, 0.00144733" \
             );
@@ -164789,7 +180173,7 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.890787");
-            index_3 ("0.00331319, 0.732569, 0.978219, 2.34349, 2.90705, 3.7023, 4.64456, 4.97579, 6.21869, 8.67279, 9.82458, 10.3395, 11.1952, 11.921, 13.1799, 13.9533, 14.9727, 16.6017, 18.4294, 23.0486, 25.5456, 27.6747, 29.0145, 30.2734, 31.5821, 33.3319, 35.8495, 38.0378");
+            index_3 ("0.0033133, 0.732569, 0.978219, 2.34349, 2.90705, 3.7023, 4.64456, 4.97579, 6.21869, 8.67279, 9.82458, 10.3395, 11.1952, 11.921, 13.1799, 13.9533, 14.9727, 16.6017, 18.4294, 23.0486, 25.5456, 27.6747, 29.0145, 30.2734, 31.5821, 33.3319, 35.8495, 38.0378");
             values ( \
               "1e-22, 0.000187798, 0.014736, 0.00688754, 0.00497124, 0.00391084, 0.00711025, 0.00967709, 0.0265858, 0.0741392, 0.0911376, 0.0964558, 0.102479, 0.105239, 0.106347, 0.105235, 0.102319, 0.0942654, 0.0803997, 0.0411069, 0.0256928, 0.0166196, 0.0125016, 0.00950209, 0.00712112, 0.00481654, 0.00272179, 0.0016862" \
             );
@@ -164818,7 +180202,7 @@
             index_2 ("0.0172692");
             index_3 ("2.5201, 2.56076, 2.57444, 2.62346, 2.64939, 2.66351, 2.69174, 2.6968, 2.70692, 2.72839, 2.76116, 2.78306, 2.79395, 2.83177, 2.89605, 2.94515, 2.98837, 3.01438, 3.03595, 3.0601, 3.09433, 3.12936, 3.15611, 3.20959, 3.27378");
             values ( \
-              "0.0143856, 0.0162078, 0.0252917, 0.064914, 0.0820414, 0.0887562, 0.0967195, 0.0975074, 0.0984704, 0.0988604, 0.0957203, 0.0913657, 0.0884808, 0.0750959, 0.0491558, 0.03276, 0.0220389, 0.0171286, 0.0138292, 0.0108179, 0.00757899, 0.00525056, 0.00394666, 0.00219753, 0.00122123" \
+              "0.0143856, 0.0162078, 0.0252917, 0.064914, 0.0820414, 0.0887562, 0.0967195, 0.0975074, 0.0984703, 0.0988604, 0.0957203, 0.0913657, 0.0884808, 0.0750959, 0.0491558, 0.03276, 0.0220389, 0.0171286, 0.0138292, 0.0108179, 0.00757899, 0.00525056, 0.00394666, 0.00219753, 0.00122123" \
             );
           }
           vector (ccs_template) {
@@ -164827,7 +180211,7 @@
             index_2 ("0.0642846");
             index_3 ("2.55632, 2.78781, 2.85832, 3.04009, 3.11966, 3.16561, 3.25751, 3.33126, 3.3933, 3.5043, 3.56512, 3.62558, 3.7465, 3.98237, 4.15843, 4.30596, 4.39887, 4.47858, 4.56193, 4.7319, 4.8344, 5.03939, 5.30691");
             values ( \
-              "0.00787337, 0.0121266, 0.0258113, 0.0723354, 0.0881536, 0.0945997, 0.102314, 0.104392, 0.10421, 0.100768, 0.0973087, 0.0927556, 0.0805695, 0.0525991, 0.0348424, 0.0237068, 0.018327, 0.0146147, 0.0114705, 0.00690852, 0.00506105, 0.00265381, 0.0012209" \
+              "0.00787337, 0.0121266, 0.0258113, 0.0723354, 0.0881536, 0.0945997, 0.102314, 0.104392, 0.10421, 0.100768, 0.0973087, 0.0927556, 0.0805694, 0.0525991, 0.0348424, 0.0237069, 0.018327, 0.0146146, 0.0114704, 0.00690852, 0.00506105, 0.00265383, 0.00122089" \
             );
           }
           vector (ccs_template) {
@@ -164910,7 +180294,7 @@
             "0.00197361, 0.00197363, 0.00197365, 0.00197366, 0.00197366, 0.00197367", \
             "0.00236543, 0.00236542, 0.00236542, 0.00236542, 0.00236542, 0.00236542", \
             "0.00255448, 0.00255447, 0.00255447, 0.00255447, 0.00255447, 0.00255447", \
-            "0.00270553, 0.0027061, 0.0027061, 0.00270553, 0.00270553, 0.00270638", \
+            "0.0027061, 0.00270553, 0.0027061, 0.00270553, 0.00270553, 0.00270638", \
             "0.00286405, 0.00286405, 0.00286405, 0.00286405, 0.00286405, 0.00286405", \
             "0.00307363, 0.00307363, 0.00307364, 0.00307367, 0.00307368, 0.00307368" \
           );
@@ -164922,7 +180306,7 @@
             "0.00254788, 0.00254785, 0.00254784, 0.00254784, 0.00254783, 0.00254783", \
             "0.0025572, 0.00255718, 0.00255715, 0.00255714, 0.00255713, 0.00255713", \
             "0.00286993, 0.00286993, 0.00286993, 0.00286991, 0.00286991, 0.00286991", \
-            "0.00330079, 0.00329828, 0.00329636, 0.00329624, 0.00329598, 0.00329457", \
+            "0.00330004, 0.00329895, 0.00329636, 0.00329624, 0.00329598, 0.00329457", \
             "0.003066, 0.00306618, 0.00306605, 0.00306655, 0.00306595, 0.0030659", \
             "0.00271951, 0.00271937, 0.00271936, 0.00271947, 0.00271992, 0.00271975" \
           );
@@ -164963,7 +180347,7 @@
             "0.245304, 0.310485, 0.522399, 1.26751, 4.03755, 14.3477", \
             "0.255187, 0.32021, 0.532083, 1.27783, 4.04742, 14.3547", \
             "0.290479, 0.355465, 0.567418, 1.31318, 4.08375, 14.3926", \
-            "0.352808, 0.417887, 0.629693, 1.37618, 4.14502, 14.4463", \
+            "0.352808, 0.417887, 0.629438, 1.37618, 4.14502, 14.4493", \
             "0.40232, 0.467935, 0.680597, 1.42677, 4.19596, 14.5017", \
             "0.193778, 0.264473, 0.481863, 1.22995, 4.00029, 14.2975" \
           );
@@ -164975,7 +180359,7 @@
             "0.0324055, 0.0709053, 0.215908, 0.762013, 2.79674, 10.369", \
             "0.0323139, 0.0709067, 0.215803, 0.761887, 2.79669, 10.3685", \
             "0.032404, 0.0708971, 0.215911, 0.762016, 2.79731, 10.3676", \
-            "0.0324172, 0.070935, 0.21581, 0.762029, 2.79694, 10.3708", \
+            "0.0324172, 0.070935, 0.215805, 0.762029, 2.79694, 10.3678", \
             "0.0327732, 0.0711309, 0.215961, 0.761974, 2.79726, 10.3669", \
             "0.0360292, 0.0728402, 0.216244, 0.761976, 2.79719, 10.3735" \
           );
@@ -165165,9 +180549,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0172692");
-            index_3 ("0.912125, 0.950823, 0.964149, 1.01349, 1.0407, 1.05339, 1.06744, 1.08132, 1.09706, 1.11375, 1.12701, 1.14999, 1.16057, 1.18367, 1.2178, 1.28663, 1.33572, 1.35691, 1.38517, 1.41066, 1.44274, 1.48129, 1.49886, 1.52516, 1.55989, 1.6293, 1.67893");
+            index_3 ("0.908774, 0.950664, 0.969969, 1.01332, 1.02789, 1.04081, 1.05323, 1.06746, 1.08642, 1.09593, 1.11191, 1.13025, 1.14991, 1.18201, 1.20569, 1.28209, 1.29943, 1.33282, 1.36554, 1.39182, 1.41802, 1.43224, 1.45489, 1.48661, 1.52428, 1.57451, 1.67156, 1.78483");
             values ( \
-              "0.0160142, 0.0161267, 0.0249463, 0.0649533, 0.082828, 0.0887668, 0.0935031, 0.0966039, 0.0984406, 0.0988694, 0.0982965, 0.0957491, 0.0939531, 0.0884799, 0.0766884, 0.0488602, 0.032546, 0.0268947, 0.0206131, 0.0160759, 0.0116494, 0.00779345, 0.00648791, 0.00491779, 0.00339415, 0.00155177, 0.00102932" \
+              "0.0135806, 0.0161194, 0.0294704, 0.0649958, 0.0752805, 0.0830358, 0.08866, 0.0936232, 0.0974442, 0.098289, 0.0989535, 0.098105, 0.0956953, 0.0890309, 0.0811972, 0.0505111, 0.0441593, 0.033312, 0.0247974, 0.0192925, 0.01492, 0.0129356, 0.0102706, 0.00738029, 0.00496351, 0.00287494, 0.000904405, 0.000218486" \
             );
           }
           vector (ccs_template) {
@@ -165192,9 +180576,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.890787");
-            index_3 ("0.013933, 0.752872, 0.989418, 1.99437, 3.00743, 3.84539, 4.73471, 5.02772, 5.61373, 8.62522, 9.66772, 10.3498, 11.6087, 12.5842, 13.4471, 14.7059, 15.5418, 16.6112, 17.8701, 21.0801, 23.0409, 24.2195, 25.5875, 26.8464, 28.0965, 30.199, 31.2712, 33.4734, 34.7323, 38.5088, 39.0592");
+            index_3 ("0.00521988, 0.734822, 0.848595, 0.994658, 2.12976, 2.60403, 3.28183, 4.23172, 4.81127, 5.45809, 6.32051, 8.44638, 9.22406, 10.3472, 11.6061, 11.9292, 12.5756, 13.4447, 14.7036, 15.5294, 16.6054, 17.8642, 21.0742, 23.035, 24.2135, 25.5815, 26.8404, 28.0906, 30.1933, 31.2653, 33.4671, 34.726, 38.5025, 39.7729");
             values ( \
-              "1e-22, 0.000302089, 0.0147339, 0.00852078, 0.00474051, 0.00401836, 0.00765501, 0.010047, 0.0167351, 0.0730129, 0.0890346, 0.0964013, 0.104306, 0.106306, 0.106066, 0.103289, 0.100046, 0.0942677, 0.0851536, 0.0569954, 0.0412454, 0.0332672, 0.0255362, 0.0197844, 0.0152445, 0.00968533, 0.007647, 0.00467871, 0.00351821, 0.00148742, 0.00138784" \
+              "1e-22, 0.000186608, 0.011427, 0.0147667, 0.00782799, 0.0059178, 0.00416754, 0.00497553, 0.00829919, 0.0148125, 0.0283615, 0.0700074, 0.0831103, 0.0965635, 0.104398, 0.10536, 0.106355, 0.106098, 0.103294, 0.100086, 0.0942745, 0.0851551, 0.0569964, 0.0412459, 0.0332681, 0.0255374, 0.0197838, 0.0152433, 0.00968398, 0.00764772, 0.00467822, 0.00351935, 0.00148668, 0.00115728" \
             );
           }
           vector (ccs_template) {
@@ -165228,9 +180612,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0642846");
-            index_3 ("2.56334, 2.79139, 3.11758, 3.16972, 3.27401, 3.35446, 3.39745, 3.48345, 3.62958, 3.75124, 3.99509, 4.16435, 4.30412, 4.48531, 4.69334, 4.82181, 4.9931, 5.23671");
+            index_3 ("2.56334, 2.79139, 3.11758, 3.16972, 3.27401, 3.35446, 3.39745, 3.48345, 3.62958, 3.75124, 3.99509, 4.16435, 4.30412, 4.48531, 4.69334, 4.82181, 4.99311, 5.23671");
             values ( \
-              "0.00820801, 0.0120971, 0.0871842, 0.0946439, 0.102961, 0.104543, 0.104229, 0.101834, 0.0927449, 0.0804898, 0.0516212, 0.0346778, 0.0240798, 0.0144948, 0.00784342, 0.00532481, 0.00312583, 0.00158328" \
+              "0.00820801, 0.0120971, 0.0871842, 0.0946439, 0.102961, 0.104543, 0.104229, 0.101834, 0.0927449, 0.0804898, 0.0516212, 0.0346778, 0.0240797, 0.0144948, 0.00784343, 0.0053248, 0.0031258, 0.00158328" \
             );
           }
           vector (ccs_template) {
@@ -165313,7 +180697,7 @@
             "0.00197363, 0.00197365, 0.00197367, 0.00197368, 0.00197368, 0.00197368", \
             "0.00236543, 0.00236543, 0.00236543, 0.00236543, 0.00236543, 0.00236543", \
             "0.00255447, 0.00255447, 0.00255446, 0.00255446, 0.00255446, 0.00255446", \
-            "0.00270552, 0.00270552, 0.00270552, 0.00270552, 0.00270552, 0.00270637", \
+            "0.00270552, 0.00270552, 0.00270637, 0.00270552, 0.00270552, 0.00270552", \
             "0.00286401, 0.00286401, 0.00286401, 0.00286401, 0.00286401, 0.00286401", \
             "0.0030705, 0.0030705, 0.00307052, 0.00307054, 0.00307056, 0.00307056" \
           );
@@ -165325,7 +180709,7 @@
             "0.00254789, 0.00254786, 0.00254785, 0.00254784, 0.00254784, 0.00254784", \
             "0.00255715, 0.00255713, 0.0025571, 0.00255709, 0.00255708, 0.00255708", \
             "0.00286882, 0.00286882, 0.00286881, 0.0028688, 0.00286879, 0.00286879", \
-            "0.00329885, 0.00329694, 0.00329489, 0.0032945, 0.00329425, 0.00329298", \
+            "0.00329885, 0.00329694, 0.00329394, 0.0032945, 0.00329425, 0.00329418", \
             "0.00306605, 0.00306612, 0.00306614, 0.0030665, 0.00306641, 0.00306656", \
             "0.00272404, 0.00272439, 0.00272456, 0.00272468, 0.00272508, 0.00272538" \
           );
@@ -165428,7 +180812,7 @@
             index_2 ("0.239299");
             index_3 ("0.0540295, 0.110293, 0.1871, 0.24441, 0.291358, 0.340623, 0.588661, 0.843682, 1.08615, 1.308, 1.43452, 1.60322, 2.26644, 2.58069, 2.77921, 3.17624, 3.26868, 3.39192, 3.59229, 3.74827, 3.88619, 4.02854, 4.31325, 4.46735, 4.77555, 5.76493, 6.39094, 6.78812, 7.02098, 7.32127, 7.77892, 8.23807, 8.51215, 8.91888, 9.39777, 10.3275, 10.6857");
             values ( \
-              "0.000156579, 0.000491776, 0.00928384, 0.0115426, 0.0116868, 0.0112986, 0.00687625, 0.00402466, 0.00433368, 0.00892871, 0.0136596, 0.0226041, 0.0697422, 0.0881232, 0.0960768, 0.104716, 0.105488, 0.105985, 0.105818, 0.104888, 0.103658, 0.101927, 0.0972656, 0.0939154, 0.0857656, 0.0538283, 0.0361738, 0.0273384, 0.023054, 0.0183288, 0.0128331, 0.00881994, 0.00704851, 0.00503306, 0.00338382, 0.00146639, 0.00118312" \
+              "0.000156579, 0.000491776, 0.00928384, 0.0115426, 0.0116868, 0.0112986, 0.00687625, 0.00402466, 0.00433368, 0.00892871, 0.0136596, 0.0226041, 0.0697422, 0.0881232, 0.0960768, 0.104716, 0.105488, 0.105985, 0.105818, 0.104888, 0.103658, 0.101927, 0.0972656, 0.0939154, 0.0857656, 0.0538283, 0.0361738, 0.0273384, 0.023054, 0.0183288, 0.0128331, 0.00881996, 0.00704851, 0.00503305, 0.0033838, 0.00146638, 0.00118312" \
             );
           }
           vector (ccs_template) {
@@ -165786,7 +181170,7 @@
             "0.0323337, 0.0708684, 0.215831, 0.761938, 2.79754, 10.3647", \
             "0.0323349, 0.0708753, 0.215832, 0.762125, 2.7972, 10.3655", \
             "0.033784, 0.071753, 0.215888, 0.76208, 2.79738, 10.3675", \
-            "0.0406491, 0.0760457, 0.216377, 0.763778, 2.79678, 10.3674", \
+            "0.0406491, 0.0760457, 0.216377, 0.763766, 2.79678, 10.3674", \
             "0.0563419, 0.0852769, 0.216724, 0.761831, 2.79728, 10.3684" \
           );
         }
@@ -165876,9 +181260,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0642846");
-            index_3 ("0.0828649, 0.126196, 0.139457, 0.195688, 0.206618, 0.234314, 0.261554, 0.395744, 0.424044, 0.462613, 0.51635, 0.557342, 0.601178, 0.659624, 0.822849, 0.892068, 0.949491, 1.06434, 1.09538, 1.12849, 1.17736, 1.27511, 1.31964, 1.37901, 1.47061, 1.54371, 1.76767, 1.83134, 1.94092, 2.03094, 2.09858, 2.18558, 2.25623, 2.3417, 2.46208, 2.58743, 2.68346, 2.87554, 3.22864, 3.64646");
+            index_3 ("0.0828649, 0.126196, 0.139457, 0.195688, 0.206618, 0.234314, 0.261554, 0.395744, 0.424044, 0.462613, 0.51635, 0.557342, 0.601178, 0.659624, 0.822849, 0.892068, 0.949491, 1.06434, 1.09538, 1.12849, 1.17736, 1.27511, 1.31964, 1.379, 1.47061, 1.54371, 1.76767, 1.83133, 1.94092, 2.03094, 2.09858, 2.18558, 2.25623, 2.3417, 2.46208, 2.58743, 2.68346, 2.87554, 3.22864, 3.64646");
             values ( \
-              "0.000187497, 0.000198725, 0.000409047, 0.00578292, 0.00651112, 0.00735765, 0.00715469, 0.00354837, 0.00303326, 0.0031894, 0.00588534, 0.0101317, 0.017003, 0.0299682, 0.0720782, 0.0862362, 0.0946158, 0.103411, 0.104217, 0.104562, 0.104258, 0.101368, 0.0991103, 0.0951989, 0.0870125, 0.0789815, 0.0524422, 0.0455075, 0.0349631, 0.0277326, 0.023135, 0.0181674, 0.0148669, 0.0116032, 0.00810293, 0.00556194, 0.0041525, 0.00226546, 0.000669915, 0.000141397" \
+              "0.000187497, 0.000198725, 0.000409047, 0.00578292, 0.00651112, 0.00735765, 0.00715469, 0.00354837, 0.00303326, 0.0031894, 0.00588534, 0.0101317, 0.017003, 0.0299682, 0.0720782, 0.0862362, 0.0946158, 0.103411, 0.104216, 0.104562, 0.104258, 0.101368, 0.0991103, 0.0951991, 0.087012, 0.0789815, 0.0524423, 0.0455077, 0.0349632, 0.0277325, 0.0231349, 0.0181673, 0.0148669, 0.0116032, 0.00810293, 0.00556193, 0.00415248, 0.00226544, 0.000669908, 0.000141395" \
             );
           }
           vector (ccs_template) {
@@ -165986,7 +181370,7 @@
             index_2 ("0.0642846");
             index_3 ("0.605139, 0.758228, 0.778512, 0.800369, 0.869018, 0.902239, 0.930034, 0.981591, 1.09452, 1.12679, 1.17949, 1.22516, 1.27441, 1.34007, 1.47886, 1.54818, 1.6184, 1.73522, 1.84661, 1.96225, 2.03924, 2.07861, 2.21276, 2.50034, 2.60994, 2.69999, 2.76762, 2.85461, 2.92524, 3.01072, 3.1311, 3.25646, 3.35251, 3.5446, 3.8081");
             values ( \
-              "1.55528e-05, 9.0152e-05, 0.000301224, 0.00115841, 0.00559776, 0.00645374, 0.00639482, 0.00569088, 0.00307042, 0.00319521, 0.00552915, 0.0101045, 0.0179521, 0.0329732, 0.0690715, 0.0839777, 0.094666, 0.103505, 0.104422, 0.100617, 0.095901, 0.0927825, 0.0789927, 0.0455108, 0.0349641, 0.0277309, 0.023134, 0.0181671, 0.0148675, 0.0116035, 0.00810307, 0.00556185, 0.00415222, 0.00226521, 0.00107302" \
+              "1.55528e-05, 9.0152e-05, 0.000301224, 0.00115841, 0.00559776, 0.00645374, 0.00639482, 0.00569088, 0.00307042, 0.00319521, 0.00552915, 0.0101045, 0.0179521, 0.0329732, 0.0690715, 0.0839776, 0.094666, 0.103505, 0.104422, 0.100617, 0.095901, 0.0927825, 0.0789927, 0.0455108, 0.0349641, 0.0277309, 0.023134, 0.0181671, 0.0148675, 0.0116035, 0.00810307, 0.00556185, 0.00415222, 0.00226521, 0.00107302" \
             );
           }
           vector (ccs_template) {
@@ -166038,9 +181422,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0642846");
-            index_3 ("1.9415, 2.56159, 2.61227, 2.73547, 2.85103, 2.88856, 2.99016, 3.03397, 3.08597, 3.16742, 3.45063, 3.50806, 3.62292, 3.68858, 3.73603, 3.83094, 3.95663, 4.10247, 4.34143, 4.50712, 4.64654, 4.82563, 5.03366, 5.16169, 5.3324, 5.65622, 6.03381");
+            index_3 ("1.9415, 2.56159, 2.61227, 2.73548, 2.85103, 2.88856, 2.99016, 3.03397, 3.08597, 3.16742, 3.45063, 3.50806, 3.62293, 3.68846, 3.73603, 3.83119, 3.95517, 4.04461, 4.44873, 4.61204, 4.72365, 4.82137, 5.02054, 5.25825, 5.46716, 5.57046");
             values ( \
-              "1.9442e-07, 0.000128412, 0.000736049, 0.00365197, 0.00506004, 0.00500328, 0.00319996, 0.00385665, 0.00715853, 0.0188485, 0.0861502, 0.0945632, 0.103354, 0.104532, 0.104232, 0.101472, 0.0937147, 0.0789727, 0.0509233, 0.0344503, 0.0239432, 0.0144783, 0.00783025, 0.00532055, 0.00314351, 0.00104141, 0.000284854" \
+              "1.9442e-07, 0.000128412, 0.000736049, 0.00365197, 0.00506004, 0.00500328, 0.00319996, 0.00385665, 0.00715852, 0.0188485, 0.0861499, 0.0945632, 0.103354, 0.104532, 0.104232, 0.101462, 0.0938331, 0.0854093, 0.0398075, 0.0262724, 0.0193233, 0.0146576, 0.00814045, 0.00397255, 0.00204135, 0.00162253" \
             );
           }
           vector (ccs_template) {
@@ -166056,9 +181440,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.890787");
-            index_3 ("4.15057, 7.16078, 8.42212, 11.1648, 12.2843, 13.573, 14.4559, 15.3799, 16.6686, 18.4086, 20.3726, 25.7141, 27.9247, 30.023, 32.4758, 33.9952, 36.1902, 38.7676, 39.7494");
+            index_3 ("4.15057, 7.16078, 8.4221, 11.1647, 12.2843, 13.573, 14.4559, 15.3799, 16.6686, 18.4086, 20.3726, 25.7141, 27.9247, 30.023, 32.4758, 33.9952, 36.1902, 38.7676, 39.7491");
             values ( \
-              "0.0107458, 0.0121061, 0.0314549, 0.0831104, 0.0965305, 0.104491, 0.106304, 0.106106, 0.103209, 0.0951137, 0.0803937, 0.03607, 0.0235442, 0.0152641, 0.00897758, 0.00641047, 0.00391305, 0.00217476, 0.00180449" \
+              "0.0107459, 0.0121061, 0.0314543, 0.0831086, 0.0965305, 0.104491, 0.106304, 0.106106, 0.103209, 0.0951137, 0.0803937, 0.03607, 0.0235442, 0.0152641, 0.00897758, 0.00641047, 0.00391305, 0.00217476, 0.0018046" \
             );
           }
           vector (ccs_template) {
@@ -166076,7 +181460,7 @@
             index_2 ("0.00463917");
             index_3 ("0.0345266, 8.33764, 9.34463, 9.41718, 9.4519, 9.48401, 9.51815, 9.56468, 9.58069, 9.60444, 9.62538, 9.66726, 9.71738, 9.76394, 9.82364, 9.82993, 9.83841, 9.84259, 9.84815, 9.85848, 9.882, 9.90003, 9.90677, 9.91744, 9.92431, 9.9385, 9.94118, 9.94476, 9.95107, 9.96325, 9.97542, 9.97618, 9.9777, 9.99205, 10.0048, 10.0136, 10.0162, 10.0228, 10.0292, 10.0375, 10.0442, 10.0524, 10.064, 10.0692, 10.0762, 10.0856, 10.1043, 10.1237");
             values ( \
-              "1.60922e-07, 2.94115e-08, 1.25715e-06, 6.81826e-06, 1.21753e-05, 2.23883e-05, 3.48888e-05, 5.41323e-05, 5.63466e-05, 5.51325e-05, 4.52574e-05, 4.04905e-06, 1e-22, 2e-22, 0.00321299, 0.00442289, 0.00636268, 0.00753576, 0.00936717, 0.0137355, 0.0265283, 0.0368971, 0.0414132, 0.0488838, 0.0540998, 0.0636404, 0.0650923, 0.0667211, 0.0687252, 0.0684977, 0.0611754, 0.060289, 0.058926, 0.0445983, 0.0331857, 0.0266283, 0.024768, 0.0207664, 0.0173339, 0.0136241, 0.01117, 0.00870787, 0.00606991, 0.00516707, 0.00414603, 0.00308741, 0.00166745, 0.000999724" \
+              "1.60922e-07, 2.94115e-08, 1.25715e-06, 6.81826e-06, 1.21753e-05, 2.23883e-05, 3.48888e-05, 5.41323e-05, 5.63466e-05, 5.51325e-05, 4.52574e-05, 4.04905e-06, 1e-22, 2e-22, 0.00321299, 0.00442289, 0.00636268, 0.00753576, 0.00936716, 0.0137355, 0.0265283, 0.0368971, 0.0414132, 0.0488838, 0.0540998, 0.0636404, 0.0650923, 0.0667211, 0.0687252, 0.0684977, 0.0611754, 0.060289, 0.058926, 0.0445983, 0.0331857, 0.0266283, 0.024768, 0.0207664, 0.0173339, 0.0136241, 0.01117, 0.00870787, 0.00606991, 0.00516707, 0.00414603, 0.00308741, 0.00166745, 0.000999724" \
             );
           }
           vector (ccs_template) {
@@ -166245,7 +181629,7 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.890787");
-            index_3 ("0.0585506, 0.364453, 1.60152, 2.10896, 2.8613, 3.84649, 4.28934, 5.49449, 7.81502, 8.85598, 9.71148, 10.9997, 11.8852, 12.8078, 14.096, 14.8433, 15.8398, 17.5127, 21.1595, 23.3593, 24.8827, 26.1709, 27.5028, 29.1704, 30.4587, 33.0649, 35.6415, 38.218, 38.7346");
+            index_3 ("0.0585507, 0.364453, 1.60152, 2.10896, 2.8613, 3.84649, 4.28934, 5.49449, 7.81502, 8.85598, 9.71148, 10.9997, 11.8852, 12.8078, 14.096, 14.8433, 15.8398, 17.5127, 21.1595, 23.3593, 24.8827, 26.1709, 27.5028, 29.1704, 30.4587, 33.0649, 35.6415, 38.218, 38.7346");
             values ( \
               "0.000131177, 0.0147688, 0.00734105, 0.0054656, 0.00392156, 0.00611806, 0.00919138, 0.0249491, 0.0700006, 0.0868474, 0.0964965, 0.104455, 0.106282, 0.106102, 0.103198, 0.100309, 0.0950987, 0.0828246, 0.0508808, 0.0346364, 0.0258657, 0.0199063, 0.0150756, 0.0105325, 0.00795222, 0.00442696, 0.00246169, 0.00136045, 0.00127714" \
             );
@@ -166382,7 +181766,7 @@
             index_2 ("0.0172692");
             index_3 ("0.583393, 0.770951, 0.860698, 0.940846, 0.965752, 0.978573, 0.995425, 1.0178, 1.08962, 1.11644, 1.14984, 1.1815, 1.21391, 1.24698, 1.3992, 1.44708, 1.48504, 1.54504, 1.62075, 1.66153");
             values ( \
-              "6.09337e-06, 0.000124758, 0.00221786, 0.000632015, 0.00173986, 0.0037016, 0.00823186, 0.0189411, 0.0742189, 0.0886687, 0.0975325, 0.0989883, 0.0958295, 0.0885268, 0.0324843, 0.0208817, 0.0143869, 0.00775749, 0.0034836, 0.00236309" \
+              "6.09337e-06, 0.000124758, 0.00221786, 0.000632015, 0.00173986, 0.0037016, 0.00823186, 0.0189411, 0.0742189, 0.0886687, 0.0975325, 0.0989883, 0.0958295, 0.0885268, 0.0324843, 0.0208817, 0.0143869, 0.00775749, 0.0034836, 0.00236308" \
             );
           }
           vector (ccs_template) {
@@ -166391,7 +181775,7 @@
             index_2 ("0.0642846");
             index_3 ("0.600747, 0.779246, 0.805349, 0.870166, 0.906554, 0.97781, 1.06836, 1.11112, 1.15427, 1.21359, 1.25031, 1.29599, 1.48039, 1.56875, 1.62005, 1.72265, 1.76457, 1.82045, 1.90231, 1.96049, 2.07424, 2.2144, 2.4894, 2.60624, 2.71762, 2.80689, 2.95811, 3.06461, 3.15175, 3.23976, 3.3571, 3.59177, 3.75106");
             values ( \
-              "1.37352e-05, 0.000289105, 0.00139862, 0.00560588, 0.00647941, 0.00575095, 0.00350538, 0.00301005, 0.00399273, 0.00852135, 0.0134727, 0.0221422, 0.069111, 0.0873149, 0.0946933, 0.102859, 0.104115, 0.104547, 0.102975, 0.100587, 0.0932985, 0.0789688, 0.0468324, 0.0353961, 0.0265733, 0.0208342, 0.0135807, 0.00993818, 0.0076577, 0.00587805, 0.00411086, 0.00193499, 0.00132655" \
+              "1.37352e-05, 0.000289105, 0.00139862, 0.00560588, 0.00647941, 0.00575095, 0.00350538, 0.00301005, 0.00399273, 0.00852135, 0.0134727, 0.0221422, 0.069111, 0.0873149, 0.0946933, 0.102859, 0.104115, 0.104547, 0.102975, 0.100587, 0.0932985, 0.0789688, 0.0468324, 0.0353961, 0.0265733, 0.0208342, 0.0135807, 0.00993818, 0.0076577, 0.00587805, 0.00411085, 0.00193499, 0.00132655" \
             );
           }
           vector (ccs_template) {
@@ -166445,16 +181829,16 @@
             index_2 ("0.0642846");
             index_3 ("1.88657, 2.552, 2.86005, 3.0146, 3.06315, 3.16423, 3.37091, 3.51036, 3.62718, 3.73857, 3.85422, 3.97059, 4.48282, 4.64663, 4.82779, 5.03583, 5.33538, 5.46422");
             values ( \
-              "2.80617e-07, 7.90484e-05, 0.00509401, 0.0033049, 0.00516597, 0.0176781, 0.069172, 0.0946777, 0.103504, 0.104412, 0.100611, 0.0927794, 0.0368056, 0.0240837, 0.0144869, 0.00783631, 0.00313352, 0.00231039" \
+              "2.80617e-07, 7.90485e-05, 0.00509401, 0.0033049, 0.00516597, 0.0176781, 0.069172, 0.0946777, 0.103504, 0.104412, 0.100611, 0.0927794, 0.0368056, 0.0240837, 0.0144869, 0.00783631, 0.00313352, 0.00231039" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.239299");
-            index_3 ("1.96093, 2.49787, 2.59044, 2.90763, 3.2321, 3.44889, 3.6107, 3.86265, 4.03999, 4.20817, 4.86107, 5.18096, 5.37402, 5.76015, 5.88465, 6.04781, 6.20931, 6.5323, 6.80617, 7.06207, 7.50859, 8.39929, 9.02581, 9.64725, 10.1218, 10.4017, 10.8877, 11.4367, 11.8734, 12.7468, 13.0941");
+            index_3 ("1.96093, 2.49787, 2.59044, 2.90763, 3.2321, 3.44889, 3.6107, 3.86265, 4.03998, 4.20817, 4.86107, 5.18096, 5.37402, 5.76015, 5.88465, 6.04781, 6.20931, 6.5323, 6.80617, 7.06207, 7.50859, 8.39929, 9.02581, 9.64725, 10.1218, 10.4017, 10.8877, 11.4367, 11.8734, 12.7468, 13.0941");
             values ( \
-              "1.1774e-06, 2.90131e-05, 0.000410518, 0.0108179, 0.0061661, 0.0039796, 0.0038575, 0.00782007, 0.0142256, 0.0232865, 0.0697652, 0.0883841, 0.0961031, 0.104571, 0.105591, 0.106059, 0.1057, 0.103121, 0.0991541, 0.0939406, 0.0816049, 0.0526013, 0.0352063, 0.0225056, 0.0156374, 0.0125409, 0.00843686, 0.00537342, 0.00373031, 0.00172737, 0.00139996" \
+              "1.1774e-06, 2.90131e-05, 0.000410518, 0.0108179, 0.0061661, 0.0039796, 0.0038575, 0.00782007, 0.0142256, 0.0232865, 0.0697652, 0.088384, 0.0961031, 0.104571, 0.105591, 0.106059, 0.1057, 0.103121, 0.0991542, 0.0939406, 0.0816049, 0.0526014, 0.0352063, 0.0225056, 0.0156374, 0.0125409, 0.00843686, 0.00537342, 0.0037303, 0.00172736, 0.00139995" \
             );
           }
           vector (ccs_template) {
@@ -166641,7 +182025,7 @@
             index_2 ("0.239299");
             index_3 ("0.0540295, 0.110293, 0.1871, 0.24441, 0.291358, 0.340623, 0.588661, 0.843682, 1.08615, 1.308, 1.43452, 1.60322, 2.26644, 2.58069, 2.77921, 3.17624, 3.26868, 3.39192, 3.59229, 3.74827, 3.88619, 4.02854, 4.31325, 4.46735, 4.77555, 5.76493, 6.39094, 6.78812, 7.02098, 7.32127, 7.77892, 8.23807, 8.51215, 8.91888, 9.39777, 10.3275, 10.6857");
             values ( \
-              "0.000156579, 0.000491776, 0.00928384, 0.0115426, 0.0116868, 0.0112986, 0.00687625, 0.00402466, 0.00433368, 0.00892871, 0.0136596, 0.0226041, 0.0697422, 0.0881232, 0.0960768, 0.104716, 0.105488, 0.105985, 0.105818, 0.104888, 0.103658, 0.101927, 0.0972656, 0.0939154, 0.0857656, 0.0538283, 0.0361738, 0.0273384, 0.023054, 0.0183288, 0.0128331, 0.00881994, 0.00704851, 0.00503306, 0.00338382, 0.00146639, 0.00118312" \
+              "0.000156579, 0.000491776, 0.00928384, 0.0115426, 0.0116868, 0.0112986, 0.00687625, 0.00402466, 0.00433368, 0.00892871, 0.0136596, 0.0226041, 0.0697422, 0.0881232, 0.0960768, 0.104716, 0.105488, 0.105985, 0.105818, 0.104888, 0.103658, 0.101927, 0.0972656, 0.0939154, 0.0857656, 0.0538283, 0.0361738, 0.0273384, 0.023054, 0.0183288, 0.0128331, 0.00881996, 0.00704851, 0.00503305, 0.0033838, 0.00146638, 0.00118312" \
             );
           }
           vector (ccs_template) {
@@ -167011,9 +182395,9 @@
             "0.00903747, 0.00908879, 0.00904671, 0.00850672, 0.00636664, 0", \
             "0.00885989, 0.00891079, 0.00886826, 0.0083286, 0.00618711, 0", \
             "0.00851837, 0.00856713, 0.00852458, 0.00798565, 0.00584539, 0", \
-            "0.00878747, 0.00884676, 0.00877891, 0.00826694, 0.00610395, 0", \
-            "0.0123371, 0.012553, 0.012335, 0.0118257, 0.00973661, 0.00186223", \
-            "0.0283075, 0.0283148, 0.0282716, 0.027665, 0.0255873, 0.0173207" \
+            "0.00878747, 0.00884238, 0.00877891, 0.00826694, 0.00610395, 0", \
+            "0.0125158, 0.012553, 0.0125062, 0.0118257, 0.00956225, 0.00186223", \
+            "0.0283075, 0.0283148, 0.0282715, 0.027665, 0.0255873, 0.0173207" \
           );
         }
         fall_power (power_template) {
@@ -167113,7 +182497,7 @@
             "0.0170744, 0.0171217, 0.0170603, 0.0165056, 0.0143655, 0.0063967", \
             "0.0169787, 0.0170248, 0.0169646, 0.0164116, 0.0142666, 0.00632741", \
             "0.016753, 0.0167983, 0.0167385, 0.0161872, 0.0140426, 0.00610505", \
-            "0.01688, 0.0169186, 0.0168271, 0.0162766, 0.0141328, 0.00615359", \
+            "0.01688, 0.0168932, 0.0168271, 0.0162766, 0.0141498, 0.00615359", \
             "0.0189075, 0.0189387, 0.0188867, 0.018372, 0.0162086, 0.00828269", \
             "0.030287, 0.0302835, 0.0302043, 0.0296199, 0.0275115, 0.0192548" \
           );
@@ -167215,7 +182599,7 @@
             "0.0145038, 0.0145539, 0.0144912, 0.0139359, 0.0117972, 0.00383399", \
             "0.0144088, 0.0144531, 0.0143931, 0.0138378, 0.0117058, 0.00375422", \
             "0.0141864, 0.0142331, 0.0141719, 0.0136175, 0.0114858, 0.00353758", \
-            "0.0143147, 0.0143593, 0.0142549, 0.0137034, 0.0115597, 0.00362819", \
+            "0.0143147, 0.0143593, 0.0143079, 0.0137034, 0.0115597, 0.00358071", \
             "0.0163733, 0.0164015, 0.016346, 0.0158266, 0.0136609, 0.0056978", \
             "0.0277765, 0.0277645, 0.0276846, 0.0271026, 0.024984, 0.0167452" \
           );
@@ -167317,7 +182701,7 @@
             "0.0144362, 0.0144878, 0.0144255, 0.013871, 0.0117325, 0.00378584", \
             "0.014341, 0.0143911, 0.0143291, 0.013775, 0.0116362, 0.00367802", \
             "0.0141171, 0.0141677, 0.0141053, 0.0135532, 0.0114057, 0.00343205", \
-            "0.0142508, 0.0143085, 0.0142099, 0.0136512, 0.011507, 0.00357288", \
+            "0.0142599, 0.0142947, 0.0142099, 0.0136512, 0.011507, 0.00357288", \
             "0.016317, 0.0163472, 0.0162998, 0.0157746, 0.0136106, 0.00564863", \
             "0.0277201, 0.0277181, 0.0276367, 0.0270556, 0.0249431, 0.0166825" \
           );
@@ -167366,7 +182750,7 @@
             "0.0170744, 0.0171217, 0.0170603, 0.0165056, 0.0143655, 0.0063967", \
             "0.0169787, 0.0170248, 0.0169646, 0.0164116, 0.0142666, 0.00632741", \
             "0.016753, 0.0167983, 0.0167385, 0.0161872, 0.0140426, 0.00610505", \
-            "0.01688, 0.0169186, 0.0168271, 0.0162766, 0.0141328, 0.00615359", \
+            "0.01688, 0.0168932, 0.0168271, 0.0162766, 0.0141498, 0.00615359", \
             "0.0189075, 0.0189387, 0.0188867, 0.018372, 0.0162086, 0.00828269", \
             "0.030287, 0.0302835, 0.0302043, 0.0296199, 0.0275115, 0.0192548" \
           );
@@ -167850,7 +183234,7 @@
             index_2 ("0.00464677");
             index_3 ("2.46378, 2.47595, 2.48599, 2.49157, 2.49766, 2.50238, 2.51019, 2.51391, 2.52134, 2.52448, 2.53074, 2.53828, 2.55288, 2.56106, 2.56714, 2.57931, 2.58353, 2.59197, 2.60264, 2.61663, 2.62519, 2.63997, 2.64631, 2.65573, 2.66418, 2.69794, 2.71084, 2.72215, 2.73724, 2.7674, 2.80428, 2.8571");
             values ( \
-              "0.00156288, 0.0259737, 0.0386952, 0.0445046, 0.0491108, 0.0521164, 0.0562077, 0.0576951, 0.0597857, 0.0603374, 0.0609179, 0.0607688, 0.0582157, 0.0561012, 0.0542022, 0.0499157, 0.0464015, 0.0412146, 0.0338778, 0.0261157, 0.021901, 0.0157268, 0.0134184, 0.011388, 0.0105867, 0.00596819, 0.00450831, 0.00342072, 0.00237869, 0.00105983, 0.00040789, 6.09651e-05" \
+              "0.00156288, 0.0259737, 0.0386952, 0.0445046, 0.0491108, 0.0521164, 0.0562077, 0.0576951, 0.0597857, 0.0603374, 0.0609179, 0.0607688, 0.0582157, 0.0561012, 0.0542022, 0.0499157, 0.0464015, 0.0412146, 0.0338778, 0.0261157, 0.021901, 0.0157268, 0.0134184, 0.011388, 0.0105867, 0.00596819, 0.00450831, 0.00342072, 0.00237869, 0.00105983, 0.00040789, 6.09652e-05" \
             );
           }
           vector (ccs_template) {
@@ -167895,7 +183279,7 @@
             index_2 ("0.00124625");
             index_3 ("8.48185, 8.49207, 8.49593, 8.51013, 8.51536, 8.52227, 8.53, 8.53447, 8.5434, 8.54818, 8.55346, 8.56042, 8.57587, 8.57909, 8.59129, 8.59592, 8.60045, 8.60286, 8.60768, 8.62134, 8.62811, 8.63728, 8.64207, 8.65381, 8.66512, 8.68725, 8.71431, 8.7506");
             values ( \
-              "0.00392976, 0.00969363, 0.0123131, 0.0197788, 0.0215228, 0.023264, 0.0244162, 0.024635, 0.0239104, 0.0230397, 0.0222831, 0.0216082, 0.0136539, 0.0122896, 0.00799613, 0.00682418, 0.00751215, 0.00719881, 0.00688593, 0.00447778, 0.00345173, 0.00237171, 0.00194141, 0.00119769, 0.000733684, 0.000280524, 7.60769e-05, 2.01316e-05" \
+              "0.00392976, 0.00969363, 0.0123131, 0.0197788, 0.0215228, 0.023264, 0.0244162, 0.024635, 0.0239104, 0.0230397, 0.0222831, 0.0216082, 0.0136539, 0.0122896, 0.00799613, 0.00682418, 0.00751215, 0.00719881, 0.00688593, 0.00447778, 0.00345173, 0.00237171, 0.00194141, 0.00119769, 0.000733684, 0.000280524, 7.60769e-05, 2.01315e-05" \
             );
           }
           vector (ccs_template) {
@@ -167913,7 +183297,7 @@
             index_2 ("0.0173259");
             index_3 ("8.49526, 8.50692, 8.52273, 8.53086, 8.54225, 8.55364, 8.56884, 8.58084, 8.59684, 8.60402, 8.63619, 8.67318, 8.70697, 8.73829, 8.81069, 8.86222, 8.88432, 8.90893, 8.94173, 8.96102, 8.99692, 9.02256, 9.06765, 9.12497, 9.1764, 9.23925, 9.32054, 9.44034");
             values ( \
-              "0.0527502, 0.0543537, 0.0750338, 0.0827021, 0.0907415, 0.0959287, 0.0995107, 0.100309, 0.0997825, 0.0991814, 0.0948111, 0.088146, 0.0809835, 0.0719848, 0.0468227, 0.0318625, 0.0266404, 0.0215794, 0.0159758, 0.0136885, 0.0104626, 0.00855211, 0.00585089, 0.00345943, 0.00211336, 0.00110925, 0.000485214, 0.000112971" \
+              "0.0527502, 0.0543537, 0.0750338, 0.0827021, 0.0907415, 0.0959287, 0.0995107, 0.100309, 0.0997825, 0.0991814, 0.0948111, 0.088146, 0.0809835, 0.0719848, 0.0468227, 0.0318624, 0.0266404, 0.0215794, 0.0159758, 0.0136885, 0.0104626, 0.0085521, 0.00585089, 0.00345944, 0.00211337, 0.00110925, 0.000485217, 0.000112972" \
             );
           }
           vector (ccs_template) {
@@ -167973,9 +183357,9 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.00124625");
-            index_3 ("0.200029, 0.21861, 0.238453, 0.250016, 0.261371, 0.268599, 0.285191, 0.288966, 0.294477, 0.309524, 0.325841, 0.33234, 0.367557, 0.39044");
+            index_3 ("0.200029, 0.21861, 0.238453, 0.250016, 0.261371, 0.268599, 0.285191, 0.288966, 0.294477, 0.309524, 0.325841, 0.33234, 0.367557, 0.390439");
             values ( \
-              "-0.000337559, -0.0117471, -0.0196102, -0.0225475, -0.0245814, -0.0255154, -0.0268498, -0.0262345, -0.0238283, -0.0111373, -0.0029924, -0.00191026, -0.000684152, -0.000233314" \
+              "-0.000337559, -0.0117471, -0.0196102, -0.0225475, -0.0245814, -0.0255154, -0.0268498, -0.0262345, -0.0238283, -0.0111373, -0.00299238, -0.00191025, -0.000684154, -0.000233318" \
             );
           }
           vector (ccs_template) {
@@ -168191,7 +183575,7 @@
             index_2 ("0.00124625");
             index_3 ("2.39436, 2.41092, 2.42212, 2.43545, 2.44455, 2.45452, 2.46384, 2.48144, 2.48939, 2.50854, 2.51638, 2.52375, 2.53222, 2.57994, 2.58813");
             values ( \
-              "-0.00260577, -0.0106606, -0.0150544, -0.0193851, -0.0215807, -0.0234016, -0.0247733, -0.0262262, -0.0241578, -0.00907767, -0.00505641, -0.00256431, -0.00174819, -0.000300342, -0.000261707" \
+              "-0.00260576, -0.0106606, -0.0150544, -0.0193851, -0.0215807, -0.0234016, -0.0247733, -0.0262262, -0.0241578, -0.00907767, -0.00505641, -0.00256431, -0.00174819, -0.000300342, -0.000261707" \
             );
           }
           vector (ccs_template) {
@@ -168200,7 +183584,7 @@
             index_2 ("0.00464677");
             index_3 ("2.39412, 2.41449, 2.41991, 2.43691, 2.4509, 2.46604, 2.47976, 2.49267, 2.50515, 2.51744, 2.52973, 2.5513, 2.56252, 2.56863, 2.57677, 2.58719, 2.59432, 2.60248, 2.61879, 2.63978, 2.72756");
             values ( \
-              "-0.000509855, -0.0240451, -0.0291028, -0.0428929, -0.0517584, -0.0587808, -0.0632267, -0.0662754, -0.0679285, -0.0682375, -0.0606467, -0.0307925, -0.0191146, -0.0144842, -0.00984147, -0.0058374, -0.00391489, -0.00235956, -0.00108937, -0.00110212, -0.000203203" \
+              "-0.000509853, -0.0240451, -0.0291028, -0.0428928, -0.0517584, -0.0587808, -0.0632267, -0.0662754, -0.0679285, -0.0682375, -0.0606467, -0.0307925, -0.0191146, -0.0144842, -0.00984147, -0.0058374, -0.00391489, -0.00235956, -0.00108937, -0.00110212, -0.000203203" \
             );
           }
           vector (ccs_template) {
@@ -168245,7 +183629,7 @@
             index_2 ("0.00124625");
             index_3 ("8.34799, 8.3616, 8.3651, 8.39665, 8.40954, 8.41785, 8.4299, 8.44094, 8.46136, 8.46995, 8.47147, 8.48897, 8.49877, 8.50137, 8.50657, 8.51498, 8.54038, 8.55152, 8.56477, 8.58002, 8.62687");
             values ( \
-              "-0.00202374, -0.00457805, -0.00502333, -0.0130636, -0.0160117, -0.0176103, -0.0195671, -0.021019, -0.0230494, -0.0216539, -0.0210952, -0.00970466, -0.0048068, -0.00384865, -0.00263637, -0.00199418, -0.000877799, -0.000519401, -0.000264941, -0.000123586, -2.68572e-05" \
+              "-0.00202374, -0.00457805, -0.00502333, -0.0130636, -0.0160117, -0.0176103, -0.0195671, -0.021019, -0.0230494, -0.0216539, -0.0210952, -0.00970466, -0.0048068, -0.00384865, -0.00263637, -0.00199418, -0.000877799, -0.0005194, -0.000264941, -0.000123586, -2.68572e-05" \
             );
           }
           vector (ccs_template) {
@@ -168261,9 +183645,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0173259");
-            index_3 ("8.373, 8.39423, 8.412, 8.43663, 8.45955, 8.47338, 8.50104, 8.52127, 8.53194, 8.5533, 8.55874, 8.56964, 8.58514, 8.61147, 8.62325, 8.63541, 8.64104, 8.68237, 8.70786, 8.72026, 8.7348, 8.7542, 8.76553, 8.77778, 8.79412, 8.8268, 8.87405, 8.92763, 9.07152");
+            index_3 ("8.373, 8.39423, 8.412, 8.43663, 8.45955, 8.47338, 8.50104, 8.52127, 8.53194, 8.5533, 8.55874, 8.56963, 8.58514, 8.61147, 8.62325, 8.63541, 8.64104, 8.68237, 8.70786, 8.72026, 8.73481, 8.7542, 8.76553, 8.77778, 8.79411, 8.82677, 8.87402, 8.92759, 9.07149");
             values ( \
-              "-0.0341582, -0.0371409, -0.0532227, -0.0730444, -0.0882636, -0.0956393, -0.10706, -0.112525, -0.114706, -0.117494, -0.11799, -0.118455, -0.118434, -0.114955, -0.109854, -0.101122, -0.0959715, -0.0553717, -0.0361513, -0.0289642, -0.0221379, -0.0152535, -0.0122328, -0.00961677, -0.00693376, -0.00345912, -0.00101722, -0.000445591, -0.000259589" \
+              "-0.0341585, -0.0371405, -0.0532228, -0.0730443, -0.0882633, -0.0956393, -0.10706, -0.112525, -0.114706, -0.117494, -0.11799, -0.118455, -0.118434, -0.114955, -0.109855, -0.101123, -0.0959715, -0.0553717, -0.0361535, -0.0289643, -0.0221364, -0.0152509, -0.0122322, -0.0096174, -0.00693539, -0.00346133, -0.00101807, -0.000445572, -0.00025955" \
             );
           }
           vector (ccs_template) {
@@ -168332,7 +183716,7 @@
             "0.201356, 0.229113, 0.309709, 0.562053, 1.48572, 4.92965", \
             "0.21113, 0.238893, 0.319484, 0.571814, 1.49549, 4.93943", \
             "0.24639, 0.27413, 0.354751, 0.60704, 1.53074, 4.97468", \
-            "0.308155, 0.335887, 0.416762, 0.669247, 1.59288, 5.03683", \
+            "0.308155, 0.335887, 0.416842, 0.669247, 1.59297, 5.03683", \
             "0.355181, 0.383451, 0.465214, 0.718053, 1.64187, 5.08581", \
             "0.139578, 0.173074, 0.261187, 0.517396, 1.4415, 4.88546" \
           );
@@ -168344,7 +183728,7 @@
             "0.0526498, 0.0762287, 0.158734, 0.47119, 1.6836, 6.21457", \
             "0.0526538, 0.0763852, 0.158743, 0.471314, 1.6836, 6.21362", \
             "0.0527467, 0.076417, 0.158713, 0.471253, 1.6836, 6.21362", \
-            "0.0530662, 0.0767117, 0.158866, 0.47075, 1.68374, 6.21364", \
+            "0.0530662, 0.0767117, 0.15888, 0.47075, 1.68356, 6.21364", \
             "0.0559063, 0.0790853, 0.160276, 0.470909, 1.68309, 6.21458", \
             "0.0760522, 0.0968398, 0.171284, 0.474677, 1.68377, 6.21367" \
           );
@@ -168356,7 +183740,7 @@
             "0.0019736, 0.00197362, 0.00197364, 0.00197365, 0.00197365, 0.00197365", \
             "0.00236542, 0.00236541, 0.00236541, 0.00236541, 0.00236541, 0.00236541", \
             "0.00255449, 0.00255448, 0.00255448, 0.00255448, 0.00255448, 0.00255448", \
-            "0.00270553, 0.00270553, 0.00270638, 0.00270553, 0.00270638, 0.00270638", \
+            "0.00270553, 0.00270553, 0.00270553, 0.00270553, 0.00270553, 0.00270638", \
             "0.00286419, 0.00286419, 0.00286419, 0.00286419, 0.00286419, 0.00286419", \
             "0.00307364, 0.00307364, 0.00307365, 0.00307367, 0.00307369, 0.00307369" \
           );
@@ -168368,7 +183752,7 @@
             "0.00254787, 0.00254784, 0.00254782, 0.00254782, 0.00254782, 0.00254782", \
             "0.00255722, 0.0025572, 0.00255717, 0.00255716, 0.00255716, 0.00255715", \
             "0.00286994, 0.00286995, 0.00286994, 0.00286993, 0.00286992, 0.00286992", \
-            "0.00330369, 0.0033016, 0.00329795, 0.0032983, 0.00329667, 0.00329659", \
+            "0.00330369, 0.0033016, 0.00329939, 0.0032983, 0.00329801, 0.00329659", \
             "0.0030653, 0.00306585, 0.00306562, 0.00306639, 0.00306553, 0.00306546", \
             "0.00272008, 0.00271965, 0.00271951, 0.00271976, 0.00272016, 0.00272114" \
           );
@@ -168407,7 +183791,7 @@
             index_2 ("0.0646014");
             index_3 ("0.177481, 0.21898, 0.247135, 0.260556, 0.321393, 0.349086, 0.367391, 0.388679, 0.415743, 0.459212, 0.495119, 0.593278, 0.647069, 0.688176, 0.72812, 0.808007, 0.88738, 0.981301, 1.03606, 1.07543, 1.12497, 1.18427, 1.25546, 1.30291, 1.39078, 1.56416, 1.75019, 1.9906");
             values ( \
-              "-0.111425, -0.115162, -0.13308, -0.138514, -0.153659, -0.15781, -0.159275, -0.160217, -0.160237, -0.159121, -0.157465, -0.151873, -0.147957, -0.144036, -0.138403, -0.116845, -0.0866004, -0.0557442, -0.0417281, -0.0336439, -0.0254375, -0.0180597, -0.0117777, -0.00889949, -0.00509632, -0.00155277, -0.000343776, -0.000208039" \
+              "-0.111425, -0.115162, -0.13308, -0.138514, -0.153659, -0.15781, -0.159275, -0.160217, -0.160237, -0.159121, -0.157465, -0.151873, -0.147957, -0.144036, -0.138403, -0.116845, -0.0866004, -0.0557442, -0.0417281, -0.0336439, -0.0254375, -0.0180597, -0.0117777, -0.0088995, -0.00509632, -0.00155277, -0.000343776, -0.000208039" \
             );
           }
           vector (ccs_template) {
@@ -168558,9 +183942,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0173259");
-            index_3 ("0.786151, 0.807943, 0.833797, 0.857018, 0.866735, 0.876163, 0.894628, 0.922295, 0.936959, 0.949725, 0.962327, 0.980049, 0.988082, 1.00113, 1.0165, 1.05005, 1.06937, 1.09882, 1.11906, 1.13686, 1.15958, 1.18986, 1.23923, 1.29203, 1.44329");
+            index_3 ("0.785489, 0.808099, 0.830924, 0.846196, 0.857799, 0.87243, 0.886969, 0.922378, 0.937147, 0.949912, 0.962512, 0.980394, 0.988267, 1.00132, 1.01669, 1.0501, 1.07089, 1.08861, 1.10302, 1.11338, 1.13408, 1.14435, 1.1601, 1.18109, 1.22308, 1.27359, 1.49156");
             values ( \
-              "-0.0698253, -0.0732921, -0.0964596, -0.109233, -0.112742, -0.115293, -0.118441, -0.121631, -0.122791, -0.123216, -0.122909, -0.120751, -0.118311, -0.111582, -0.0979493, -0.0629029, -0.0460103, -0.0271924, -0.0185341, -0.013076, -0.00832081, -0.00441412, -0.00127298, -0.000398569, -0.000230251" \
+              "-0.0648104, -0.0732185, -0.0942127, -0.10394, -0.10943, -0.114321, -0.117361, -0.121641, -0.122799, -0.123224, -0.122911, -0.120719, -0.11831, -0.111584, -0.0979479, -0.0630329, -0.0449682, -0.0328681, -0.0252147, -0.0207307, -0.0138313, -0.0113007, -0.00825908, -0.00537117, -0.00204082, -0.000502862, -0.000242489" \
             );
           }
           vector (ccs_template) {
@@ -168576,9 +183960,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.240872");
-            index_3 ("0.780885, 0.828374, 0.854841, 0.880516, 0.926631, 0.967908, 1.00832, 1.03926, 1.09314, 1.19195, 1.58234, 1.84385, 2.18748, 2.38959, 2.62204, 2.68095, 2.79876, 3.01001, 3.22502, 3.43918, 3.60698, 3.73085, 3.88979, 4.01659, 4.17345, 4.39376, 4.4916, 4.62413, 4.80083, 5.15423, 5.81937, 6.52188");
+            index_3 ("0.828345, 1.03535, 1.09391, 1.19215, 1.5832, 2.19014, 2.39046, 2.61519, 2.68175, 2.81488, 3.01093, 3.38926, 3.72003, 3.88626, 4.02588, 4.17973, 4.39771, 4.61954, 4.78876, 5.1272, 5.788, 6.4849");
             values ( \
-              "-0.0445264, -0.111571, -0.133026, -0.14598, -0.160042, -0.168838, -0.172906, -0.17416, -0.174544, -0.173634, -0.167891, -0.163823, -0.157831, -0.153516, -0.146266, -0.14355, -0.136286, -0.116335, -0.0926821, -0.0709222, -0.056384, -0.0471641, -0.0371553, -0.030556, -0.0238319, -0.0166395, -0.0141723, -0.0113821, -0.00845977, -0.00457944, -0.00125181, -0.000310214" \
+              "-0.17401, -0.174023, -0.174543, -0.173643, -0.167891, -0.157797, -0.153516, -0.14658, -0.143551, -0.135122, -0.116308, -0.0757843, -0.0479789, -0.037402, -0.0301529, -0.0236239, -0.0165569, -0.0114869, -0.00864705, -0.00481455, -0.00133284, -0.000333348" \
             );
           }
           vector (ccs_template) {
@@ -168596,7 +183980,7 @@
             index_2 ("0.00124625");
             index_3 ("2.36759, 2.37786, 2.38966, 2.40792, 2.41625, 2.4285, 2.43763, 2.45497, 2.463, 2.48397, 2.49288, 2.49766, 2.50665, 2.53955, 2.552");
             values ( \
-              "-0.00160083, -0.00714718, -0.012335, -0.0192131, -0.0215116, -0.0239435, -0.0252137, -0.0264932, -0.024285, -0.00789016, -0.00379758, -0.00245703, -0.00165442, -0.000600167, -0.0003982" \
+              "-0.00160083, -0.00714718, -0.012335, -0.0192131, -0.0215116, -0.0239435, -0.0252137, -0.0264932, -0.024285, -0.00789017, -0.00379758, -0.00245703, -0.00165442, -0.000600167, -0.000398199" \
             );
           }
           vector (ccs_template) {
@@ -168605,7 +183989,7 @@
             index_2 ("0.00464677");
             index_3 ("2.36745, 2.39496, 2.40678, 2.41945, 2.43275, 2.45297, 2.46578, 2.47823, 2.49057, 2.50273, 2.52148, 2.53421, 2.54897, 2.56229, 2.56992, 2.5801, 2.60046, 2.71752");
             values ( \
-              "-0.0001473, -0.0299922, -0.040498, -0.0497464, -0.0569519, -0.0639495, -0.0665955, -0.0678922, -0.0677195, -0.0602186, -0.03453, -0.0205184, -0.0104777, -0.00540347, -0.0035069, -0.00189905, -0.00104629, -0.000132101" \
+              "-0.000147302, -0.0299922, -0.040498, -0.0497464, -0.0569519, -0.0639495, -0.0665955, -0.0678922, -0.0677195, -0.0602186, -0.03453, -0.0205184, -0.0104777, -0.00540347, -0.0035069, -0.00189905, -0.00104629, -0.000132101" \
             );
           }
           vector (ccs_template) {
@@ -168650,7 +184034,7 @@
             index_2 ("0.00124625");
             index_3 ("8.35451, 8.38995, 8.42408, 8.43047, 8.44651, 8.46037, 8.49559, 8.50492, 8.50655, 8.5098, 8.5247, 8.53281, 8.53569, 8.53953, 8.54537, 8.55851, 8.56812, 8.57804, 8.59004, 8.60358, 8.62063, 8.68366");
             values ( \
-              "-7.40145e-05, -0.00495937, -0.0115886, -0.0127388, -0.0152283, -0.0171328, -0.0210983, -0.0200961, -0.0197143, -0.0184648, -0.00945379, -0.00543348, -0.00431209, -0.0033134, -0.00248744, -0.00168696, -0.00121373, -0.000764714, -0.000449332, -0.00021908, -0.00013428, -1.65528e-05" \
+              "-7.40137e-05, -0.00495937, -0.0115886, -0.0127388, -0.0152283, -0.0171328, -0.0210983, -0.0200961, -0.0197143, -0.0184648, -0.00945379, -0.00543348, -0.00431209, -0.0033134, -0.00248744, -0.00168696, -0.00121373, -0.000764714, -0.000449332, -0.00021908, -0.00013428, -1.65528e-05" \
             );
           }
           vector (ccs_template) {
@@ -168659,7 +184043,7 @@
             index_2 ("0.00464677");
             index_3 ("8.37111, 8.39764, 8.44613, 8.4647, 8.49077, 8.5065, 8.52119, 8.53535, 8.54916, 8.55142, 8.57261, 8.58486, 8.59485, 8.61166, 8.62384, 8.64007, 8.69702");
             values ( \
-              "-0.00656977, -0.013359, -0.034622, -0.0419699, -0.0510022, -0.0553676, -0.0583681, -0.0600123, -0.0549213, -0.0530404, -0.0286554, -0.0175773, -0.0114044, -0.00497957, -0.00252916, -0.00140547, -0.000777486" \
+              "-0.00656977, -0.013359, -0.034622, -0.0419699, -0.0510022, -0.0553676, -0.0583681, -0.0600123, -0.0549213, -0.0530404, -0.0286554, -0.0175773, -0.0114044, -0.00497957, -0.00252916, -0.00140547, -0.000777485" \
             );
           }
           vector (ccs_template) {
@@ -168677,7 +184061,7 @@
             index_2 ("0.0646014");
             index_3 ("8.42426, 8.49048, 8.5276, 8.54391, 8.58354, 8.61415, 8.64484, 8.66638, 8.70095, 8.74305, 8.77507, 8.87294, 8.91804, 8.94755, 8.97742, 9.00909, 9.07243, 9.21108, 9.25832, 9.29015, 9.34208, 9.38707, 9.44705, 9.49324, 9.54467, 9.61324, 9.75037, 9.92887, 10.1318");
             values ( \
-              "-0.0721032, -0.0960302, -0.120505, -0.127848, -0.141339, -0.149679, -0.154783, -0.156624, -0.157561, -0.156927, -0.155871, -0.15124, -0.148297, -0.145909, -0.142829, -0.138272, -0.122403, -0.0713742, -0.056727, -0.0481696, -0.0364024, -0.0283249, -0.0200182, -0.0152404, -0.0112154, -0.00737695, -0.00301184, -0.000794318, -0.000251305" \
+              "-0.0721032, -0.0960302, -0.120505, -0.127848, -0.141339, -0.149679, -0.154783, -0.156624, -0.157561, -0.156927, -0.155871, -0.151241, -0.148297, -0.145909, -0.142829, -0.138272, -0.122403, -0.0713743, -0.0567269, -0.0481695, -0.0364024, -0.0283249, -0.0200183, -0.0152404, -0.0112153, -0.00737693, -0.00301182, -0.000794309, -0.000251305" \
             );
           }
           vector (ccs_template) {
@@ -168737,7 +184121,7 @@
             "0.202725, 0.230717, 0.311966, 0.56386, 1.4876, 4.93157", \
             "0.212491, 0.240511, 0.321761, 0.573636, 1.49739, 4.94134", \
             "0.247776, 0.275738, 0.357006, 0.608915, 1.53266, 4.9766", \
-            "0.310048, 0.337834, 0.419599, 0.671589, 1.59538, 5.03934", \
+            "0.310048, 0.337834, 0.419599, 0.671589, 1.59538, 5.03902", \
             "0.35866, 0.38721, 0.469703, 0.722121, 1.64597, 5.09007", \
             "0.14628, 0.180578, 0.26995, 0.525775, 1.44972, 4.89369" \
           );
@@ -168761,7 +184145,7 @@
             "0.00197363, 0.00197365, 0.00197367, 0.00197368, 0.00197368, 0.00197368", \
             "0.00236543, 0.00236543, 0.00236543, 0.00236543, 0.00236543, 0.00236543", \
             "0.00255447, 0.00255447, 0.00255446, 0.00255446, 0.00255446, 0.00255446", \
-            "0.00270552, 0.00270637, 0.00270552, 0.00270552, 0.00270552, 0.00270552", \
+            "0.00270552, 0.00270637, 0.00270552, 0.00270552, 0.00270552, 0.00270637", \
             "0.00286415, 0.00286415, 0.00286415, 0.00286415, 0.00286415, 0.00286415", \
             "0.00307036, 0.00307036, 0.00307038, 0.00307041, 0.00307042, 0.00307043" \
           );
@@ -168773,7 +184157,7 @@
             "0.00254789, 0.00254786, 0.00254785, 0.00254784, 0.00254784, 0.00254784", \
             "0.00255715, 0.00255713, 0.0025571, 0.00255709, 0.00255708, 0.00255708", \
             "0.00286882, 0.00286882, 0.00286881, 0.0028688, 0.00286879, 0.00286879", \
-            "0.00329888, 0.00329591, 0.00329522, 0.00329445, 0.00329425, 0.00329418", \
+            "0.00329888, 0.00329591, 0.00329522, 0.00329445, 0.00329425, 0.00329298", \
             "0.00306576, 0.00306596, 0.00306622, 0.00306646, 0.00306559, 0.00306562", \
             "0.00272417, 0.00272501, 0.00272515, 0.00272523, 0.00272562, 0.00272482" \
           );
@@ -168990,9 +184374,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.898115");
-            index_3 ("0.779093, 0.838606, 0.902301, 0.984669, 1.03606, 1.07377, 1.13361, 1.28091, 3.99374, 5.3134, 6.04565, 6.58052, 7.3274, 7.65537, 8.20572, 8.8714, 10.261, 11.0345, 11.5027, 12.1083, 12.6072, 13.1786, 13.9255, 14.5165, 14.876, 15.5949, 16.3418, 17.0887, 17.8356, 18.5825, 20.8231");
+            index_3 ("0.778971, 0.833941, 0.906611, 0.990492, 1.03602, 1.07289, 1.1326, 1.28054, 3.99338, 5.31303, 6.04528, 6.58015, 7.32704, 7.65501, 8.20535, 8.87104, 10.2606, 11.0342, 11.5024, 12.1079, 12.6068, 13.1782, 13.9251, 14.5162, 14.8756, 15.5946, 16.3415, 17.0883, 18.5821, 20.8228");
             values ( \
-              "-0.0444499, -0.122247, -0.154426, -0.17498, -0.178277, -0.178963, -0.179305, -0.178905, -0.167968, -0.162076, -0.158318, -0.155084, -0.148934, -0.145001, -0.135175, -0.117411, -0.0766919, -0.0578242, -0.0481957, -0.0377043, -0.0306175, -0.0239607, -0.0172508, -0.0132637, -0.0112911, -0.00813605, -0.0057755, -0.00408539, -0.0028897, -0.00203556, -0.000715825" \
+              "-0.0449876, -0.118683, -0.156271, -0.175623, -0.178295, -0.178953, -0.179303, -0.178905, -0.167968, -0.162076, -0.158319, -0.155084, -0.148935, -0.145001, -0.135175, -0.117411, -0.0766918, -0.0578241, -0.0481958, -0.0377042, -0.0306174, -0.0239607, -0.0172507, -0.0132638, -0.011291, -0.00813613, -0.00577541, -0.00408547, -0.00203564, -0.000715739" \
             );
           }
           vector (ccs_template) {
@@ -169064,7 +184448,7 @@
             index_2 ("0.00464677");
             index_3 ("8.38075, 8.40428, 8.42773, 8.45931, 8.48003, 8.49785, 8.51396, 8.52904, 8.54358, 8.55586, 8.55848, 8.56371, 8.58237, 8.58722, 8.5954, 8.60549, 8.61498, 8.62067, 8.63076, 8.64421, 8.70852, 8.71044");
             values ( \
-              "-0.0095938, -0.0139362, -0.0238401, -0.0366303, -0.0441205, -0.0497981, -0.0540844, -0.0567664, -0.0584205, -0.0548625, -0.0532336, -0.0484406, -0.0275392, -0.0229305, -0.0164101, -0.0105573, -0.00672032, -0.00496637, -0.00284241, -0.00161992, -0.000628818, -0.000610469" \
+              "-0.0095938, -0.0139362, -0.02384, -0.0366303, -0.0441205, -0.0497981, -0.0540844, -0.0567664, -0.0584205, -0.0548625, -0.0532336, -0.0484406, -0.0275392, -0.0229305, -0.0164101, -0.0105573, -0.00672032, -0.00496637, -0.00284241, -0.00161992, -0.000628818, -0.000610469" \
             );
           }
           vector (ccs_template) {
@@ -169142,7 +184526,7 @@
             "0.202182, 0.230134, 0.31135, 0.563247, 1.48695, 4.93094", \
             "0.211982, 0.239941, 0.321161, 0.573067, 1.49679, 4.94076", \
             "0.247192, 0.275122, 0.356353, 0.608287, 1.532, 4.97597", \
-            "0.308812, 0.33674, 0.418453, 0.670525, 1.5943, 5.03838", \
+            "0.30895, 0.336902, 0.418503, 0.670616, 1.5943, 5.03826", \
             "0.356073, 0.384591, 0.466892, 0.719381, 1.64316, 5.08728", \
             "0.141009, 0.174969, 0.263864, 0.519575, 1.44369, 4.88765" \
           );
@@ -169154,7 +184538,7 @@
             "0.0531265, 0.0770989, 0.159022, 0.47044, 1.68359, 6.21461", \
             "0.0531126, 0.0770845, 0.159013, 0.470525, 1.68363, 6.21461", \
             "0.0531378, 0.0771116, 0.159021, 0.4705, 1.68363, 6.21461", \
-            "0.0534649, 0.0774218, 0.159386, 0.470548, 1.68378, 6.21366", \
+            "0.0534509, 0.077425, 0.159385, 0.470546, 1.68378, 6.21366", \
             "0.0563212, 0.0798335, 0.160734, 0.470778, 1.68302, 6.21363", \
             "0.0769315, 0.098119, 0.171716, 0.474427, 1.68402, 6.21466" \
           );
@@ -169166,7 +184550,7 @@
             "0.00197361, 0.00197363, 0.00197365, 0.00197366, 0.00197366, 0.00197367", \
             "0.00236543, 0.00236542, 0.00236542, 0.00236542, 0.00236542, 0.00236542", \
             "0.00255448, 0.00255447, 0.00255447, 0.00255447, 0.00255447, 0.00255447", \
-            "0.0027061, 0.0027061, 0.0027061, 0.00270553, 0.00270553, 0.00270638", \
+            "0.00270553, 0.00270553, 0.00270553, 0.00270638, 0.00270553, 0.00270553", \
             "0.0028642, 0.00286419, 0.00286419, 0.00286419, 0.00286419, 0.00286419", \
             "0.00307353, 0.00307353, 0.00307354, 0.00307357, 0.00307358, 0.00307359" \
           );
@@ -169178,7 +184562,7 @@
             "0.00254788, 0.00254785, 0.00254784, 0.00254784, 0.00254783, 0.00254783", \
             "0.0025572, 0.00255718, 0.00255715, 0.00255714, 0.00255713, 0.00255713", \
             "0.00286993, 0.00286993, 0.00286993, 0.00286991, 0.00286991, 0.00286991", \
-            "0.00330012, 0.00329835, 0.00329649, 0.00329642, 0.00329598, 0.00329457", \
+            "0.00330086, 0.00329903, 0.0032972, 0.00329492, 0.00329598, 0.00329591", \
             "0.00306608, 0.00306596, 0.00306578, 0.00306637, 0.00306644, 0.00306572", \
             "0.00271973, 0.00272016, 0.00272016, 0.00271994, 0.0027203, 0.00271912" \
           );
@@ -169350,36 +184734,36 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.766787, 0.77586, 0.777374, 0.785373, 0.794941, 0.805854, 0.820418, 0.829163, 0.837625, 0.84594, 0.853885, 0.869491, 0.876873, 0.886197, 0.892522, 0.939859, 0.970629, 0.992501");
+            index_3 ("0.768524, 0.776053, 0.782046, 0.789502, 0.800528, 0.811353, 0.820557, 0.8293, 0.837761, 0.846075, 0.854019, 0.869625, 0.877006, 0.886328, 0.892652, 0.939995, 0.955356");
             values ( \
-              "-0.000227753, -0.00966908, -0.0100861, -0.0150828, -0.0190737, -0.022302, -0.0251556, -0.0262225, -0.0268623, -0.0271307, -0.0247555, -0.0112119, -0.00670215, -0.00290182, -0.00182582, -0.000378732, -8.18484e-05, -5.97631e-05" \
+              "-0.00252698, -0.00941497, -0.0131187, -0.0167936, -0.0208224, -0.0235134, -0.025138, -0.026252, -0.0268436, -0.0271562, -0.0247363, -0.0112098, -0.00670159, -0.0029015, -0.00182675, -0.000380394, -0.000286322" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00464677");
-            index_3 ("0.774958, 0.790275, 0.812743, 0.824959, 0.844583, 0.85712, 0.869406, 0.881687, 0.892625, 0.925389, 0.939554, 0.952961, 0.971129, 0.991893, 1.00937");
+            index_3 ("0.777097, 0.788026, 0.809481, 0.81728, 0.831489, 0.844574, 0.857133, 0.869415, 0.881691, 0.892574, 0.924721, 0.940116, 0.951823, 0.969541, 0.98844, 1.028");
             values ( \
-              "-0.019914, -0.035648, -0.0532926, -0.0595618, -0.0657326, -0.0676779, -0.0686075, -0.0678715, -0.0615712, -0.0203939, -0.0106955, -0.00547331, -0.00188142, -0.00101308, -0.000868053" \
+              "-0.0293329, -0.0330225, -0.0510623, -0.0557935, -0.0620061, -0.0656461, -0.067806, -0.0685289, -0.0679726, -0.0615493, -0.0210021, -0.0104061, -0.00581489, -0.00204751, -0.00105981, -0.000732257" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0173259");
-            index_3 ("0.781636, 0.794134, 0.808815, 0.827829, 0.846017, 0.858875, 0.873653, 0.917389, 0.937266, 0.947909, 0.964014, 0.973172, 0.989689, 1.01555, 1.07029, 1.1008, 1.1206, 1.13875, 1.16266, 1.19455, 1.21568");
+            index_3 ("0.781166, 0.794147, 0.816439, 0.827839, 0.846036, 0.858885, 0.873663, 0.886702, 0.925761, 0.93728, 0.947887, 0.964023, 0.973265, 0.989697, 1.01556, 1.04064, 1.07026, 1.08931, 1.10081, 1.12062, 1.13876, 1.16264, 1.19448, 1.24402, 1.26644");
             values ( \
-              "-0.0459395, -0.0539713, -0.0727151, -0.0908194, -0.102673, -0.108474, -0.112773, -0.120862, -0.123209, -0.12374, -0.123469, -0.122597, -0.118758, -0.100823, -0.0471975, -0.0273777, -0.0188194, -0.0131881, -0.00819002, -0.00418335, -0.00290115" \
+              "-0.0422673, -0.0539348, -0.0806077, -0.0908481, -0.102656, -0.108499, -0.112752, -0.115466, -0.12201, -0.12322, -0.123734, -0.123465, -0.122591, -0.118753, -0.100827, -0.0741167, -0.047221, -0.0337745, -0.0273726, -0.0188115, -0.0131851, -0.00819368, -0.00418969, -0.00118002, -0.000853963" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0646014");
-            index_3 ("0.801659, 0.853559, 0.86882, 0.900982, 0.931725, 0.948655, 0.979141, 0.996851, 1.02889, 1.06939, 1.11606, 1.22869, 1.2681, 1.34598, 1.35562, 1.39415, 1.44084, 1.51358, 1.6045, 1.66461, 1.69624, 1.73634, 1.7898, 1.83533, 1.8817, 1.94353, 2.06719, 2.24779, 2.44659");
+            index_3 ("0.799944, 0.848857, 0.876821, 0.917286, 0.948859, 0.978321, 0.994442, 1.01879, 1.05734, 1.11604, 1.22864, 1.26807, 1.34596, 1.35559, 1.39413, 1.44081, 1.51356, 1.60448, 1.66459, 1.69622, 1.73632, 1.78978, 1.8353, 1.88168, 1.94351, 2.06717, 2.24777, 2.44656");
             values ( \
-              "-0.122384, -0.123802, -0.131362, -0.142555, -0.151818, -0.155461, -0.159253, -0.160218, -0.160603, -0.159538, -0.157503, -0.150842, -0.147907, -0.139028, -0.137279, -0.128121, -0.112221, -0.0845437, -0.0549458, -0.0399803, -0.0336163, -0.0268332, -0.0196864, -0.0150419, -0.0114082, -0.00783271, -0.00353371, -0.000936973, -0.000263495" \
+              "-0.116887, -0.120859, -0.134552, -0.147807, -0.155499, -0.159195, -0.160121, -0.160621, -0.159932, -0.1575, -0.150843, -0.147907, -0.139028, -0.137279, -0.12812, -0.112221, -0.0845436, -0.0549458, -0.0399804, -0.0336164, -0.0268331, -0.0196862, -0.0150419, -0.0114083, -0.00783275, -0.00353382, -0.000936955, -0.000263511" \
             );
           }
           vector (ccs_template) {
@@ -169395,9 +184779,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.898115");
-            index_3 ("0.778491, 0.835324, 0.905718, 0.98989, 1.03603, 1.07353, 1.13403, 1.27993, 4.00588, 5.31226, 6.04495, 6.57953, 7.32626, 7.65438, 8.20472, 8.87041, 10.2598, 11.0337, 11.5018, 12.1073, 12.6061, 13.1776, 13.9243, 14.5156, 14.8752, 15.5945, 16.3412, 17.0879, 18.5814, 20.8216");
+            index_3 ("0.778274, 0.837959, 0.906688, 0.991276, 1.03594, 1.07376, 1.13463, 1.27972, 4.00758, 5.31205, 6.04474, 6.57932, 7.32605, 7.65417, 8.20451, 8.8702, 10.2596, 11.0335, 11.5016, 12.1071, 12.6059, 13.1774, 13.9241, 14.5154, 14.875, 15.5943, 16.341, 17.0877, 18.5812, 20.8214");
             values ( \
-              "-0.0450583, -0.120705, -0.156218, -0.17559, -0.178286, -0.178944, -0.179293, -0.178904, -0.167913, -0.162077, -0.158317, -0.155084, -0.148936, -0.145001, -0.135175, -0.11741, -0.0766956, -0.057821, -0.0481945, -0.0377035, -0.030618, -0.0239614, -0.0172519, -0.0132636, -0.0112897, -0.0081344, -0.00577418, -0.00408529, -0.00203597, -0.000715688" \
+              "-0.0450113, -0.123055, -0.156666, -0.175735, -0.178289, -0.178947, -0.179293, -0.178904, -0.167905, -0.162077, -0.158317, -0.155084, -0.148936, -0.145001, -0.135175, -0.117411, -0.0766957, -0.0578211, -0.0481944, -0.0377036, -0.0306181, -0.0239613, -0.017252, -0.0132635, -0.0112898, -0.00813432, -0.00577426, -0.00408521, -0.00203588, -0.000715772" \
             );
           }
           vector (ccs_template) {
@@ -169478,7 +184862,7 @@
             index_2 ("0.0173259");
             index_3 ("8.39491, 8.42206, 8.48813, 8.50702, 8.51716, 8.52665, 8.55867, 8.58404, 8.59715, 8.61564, 8.62373, 8.63685, 8.65018, 8.67684, 8.72071, 8.73522, 8.75179, 8.77614, 8.79621, 8.80788, 8.82575, 8.84958, 8.89723, 8.94844, 9.16878");
             values ( \
-              "-0.0320089, -0.0338164, -0.0782178, -0.0889276, -0.0935306, -0.0970975, -0.107137, -0.113964, -0.116462, -0.118383, -0.118673, -0.118101, -0.115518, -0.099041, -0.0555045, -0.0437586, -0.0327205, -0.0208706, -0.0141482, -0.0112618, -0.00789916, -0.00482861, -0.00153131, -0.000430555, -0.000214249" \
+              "-0.0320089, -0.0338164, -0.0782178, -0.0889276, -0.0935307, -0.0970975, -0.107137, -0.113964, -0.116462, -0.118383, -0.118673, -0.118101, -0.115518, -0.099041, -0.0555045, -0.0437586, -0.0327205, -0.0208706, -0.0141482, -0.0112618, -0.00789916, -0.00482861, -0.00153132, -0.000430555, -0.000214249" \
             );
           }
           vector (ccs_template) {
@@ -169545,7 +184929,7 @@
             "0.202725, 0.230717, 0.311966, 0.56386, 1.4876, 4.93157", \
             "0.212491, 0.240511, 0.321761, 0.573636, 1.49739, 4.94134", \
             "0.247776, 0.275738, 0.357006, 0.608915, 1.53266, 4.9766", \
-            "0.310048, 0.337834, 0.419599, 0.671589, 1.59538, 5.03934", \
+            "0.310048, 0.337834, 0.419599, 0.671589, 1.59538, 5.03902", \
             "0.35866, 0.38721, 0.469703, 0.722121, 1.64597, 5.09007", \
             "0.14628, 0.180578, 0.26995, 0.525775, 1.44972, 4.89369" \
           );
@@ -169569,7 +184953,7 @@
             "0.00197363, 0.00197365, 0.00197367, 0.00197368, 0.00197368, 0.00197368", \
             "0.00236543, 0.00236543, 0.00236543, 0.00236543, 0.00236543, 0.00236543", \
             "0.00255447, 0.00255447, 0.00255446, 0.00255446, 0.00255446, 0.00255446", \
-            "0.00270552, 0.00270637, 0.00270552, 0.00270552, 0.00270552, 0.00270552", \
+            "0.00270552, 0.00270637, 0.00270552, 0.00270552, 0.00270552, 0.00270637", \
             "0.00286415, 0.00286415, 0.00286415, 0.00286415, 0.00286415, 0.00286415", \
             "0.00307036, 0.00307036, 0.00307038, 0.00307041, 0.00307042, 0.00307043" \
           );
@@ -169581,7 +184965,7 @@
             "0.00254789, 0.00254786, 0.00254785, 0.00254784, 0.00254784, 0.00254784", \
             "0.00255715, 0.00255713, 0.0025571, 0.00255709, 0.00255708, 0.00255708", \
             "0.00286882, 0.00286882, 0.00286881, 0.0028688, 0.00286879, 0.00286879", \
-            "0.00329888, 0.00329591, 0.00329522, 0.00329445, 0.00329425, 0.00329418", \
+            "0.00329888, 0.00329591, 0.00329522, 0.00329445, 0.00329425, 0.00329298", \
             "0.00306576, 0.00306596, 0.00306622, 0.00306646, 0.00306559, 0.00306562", \
             "0.00272417, 0.00272501, 0.00272515, 0.00272523, 0.00272562, 0.00272482" \
           );
@@ -169798,9 +185182,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.898115");
-            index_3 ("0.779093, 0.838606, 0.902301, 0.984669, 1.03606, 1.07377, 1.13361, 1.28091, 3.99374, 5.3134, 6.04565, 6.58052, 7.3274, 7.65537, 8.20572, 8.8714, 10.261, 11.0345, 11.5027, 12.1083, 12.6072, 13.1786, 13.9255, 14.5165, 14.876, 15.5949, 16.3418, 17.0887, 17.8356, 18.5825, 20.8231");
+            index_3 ("0.778971, 0.833941, 0.906611, 0.990492, 1.03602, 1.07289, 1.1326, 1.28054, 3.99338, 5.31303, 6.04528, 6.58015, 7.32704, 7.65501, 8.20535, 8.87104, 10.2606, 11.0342, 11.5024, 12.1079, 12.6068, 13.1782, 13.9251, 14.5162, 14.8756, 15.5946, 16.3415, 17.0883, 18.5821, 20.8228");
             values ( \
-              "-0.0444499, -0.122247, -0.154426, -0.17498, -0.178277, -0.178963, -0.179305, -0.178905, -0.167968, -0.162076, -0.158318, -0.155084, -0.148934, -0.145001, -0.135175, -0.117411, -0.0766919, -0.0578242, -0.0481957, -0.0377043, -0.0306175, -0.0239607, -0.0172508, -0.0132637, -0.0112911, -0.00813605, -0.0057755, -0.00408539, -0.0028897, -0.00203556, -0.000715825" \
+              "-0.0449876, -0.118683, -0.156271, -0.175623, -0.178295, -0.178953, -0.179303, -0.178905, -0.167968, -0.162076, -0.158319, -0.155084, -0.148935, -0.145001, -0.135175, -0.117411, -0.0766918, -0.0578241, -0.0481958, -0.0377042, -0.0306174, -0.0239607, -0.0172507, -0.0132638, -0.011291, -0.00813613, -0.00577541, -0.00408547, -0.00203564, -0.000715739" \
             );
           }
           vector (ccs_template) {
@@ -169872,7 +185256,7 @@
             index_2 ("0.00464677");
             index_3 ("8.38075, 8.40428, 8.42773, 8.45931, 8.48003, 8.49785, 8.51396, 8.52904, 8.54358, 8.55586, 8.55848, 8.56371, 8.58237, 8.58722, 8.5954, 8.60549, 8.61498, 8.62067, 8.63076, 8.64421, 8.70852, 8.71044");
             values ( \
-              "-0.0095938, -0.0139362, -0.0238401, -0.0366303, -0.0441205, -0.0497981, -0.0540844, -0.0567664, -0.0584205, -0.0548625, -0.0532336, -0.0484406, -0.0275392, -0.0229305, -0.0164101, -0.0105573, -0.00672032, -0.00496637, -0.00284241, -0.00161992, -0.000628818, -0.000610469" \
+              "-0.0095938, -0.0139362, -0.02384, -0.0366303, -0.0441205, -0.0497981, -0.0540844, -0.0567664, -0.0584205, -0.0548625, -0.0532336, -0.0484406, -0.0275392, -0.0229305, -0.0164101, -0.0105573, -0.00672032, -0.00496637, -0.00284241, -0.00161992, -0.000628818, -0.000610469" \
             );
           }
           vector (ccs_template) {
@@ -170026,9 +185410,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.00124625");
-            index_3 ("0.178468, 0.188092, 0.20707, 0.21256, 0.216122, 0.221692, 0.226608, 0.230196, 0.237372, 0.241518, 0.247048, 0.247892, 0.252956, 0.257877, 0.265157, 0.273658, 0.283416, 0.288328, 0.29609, 0.303063, 0.307709, 0.313415, 0.314436, 0.316478, 0.320562, 0.328187, 0.334494, 0.336624, 0.340883, 0.349402, 0.390562, 0.416362");
+            index_3 ("0.178468, 0.188092, 0.20707, 0.21256, 0.216122, 0.221692, 0.226608, 0.230196, 0.237372, 0.241519, 0.247048, 0.247892, 0.252953, 0.257877, 0.265148, 0.273661, 0.283426, 0.288335, 0.29609, 0.303077, 0.307718, 0.313417, 0.314436, 0.316475, 0.320553, 0.328157, 0.334485, 0.336621, 0.340892, 0.349435, 0.390658, 0.416466");
             values ( \
-              "3.72668e-05, 0.00929836, 0.0205954, 0.0231181, 0.0244297, 0.0258983, 0.0266128, 0.0266353, 0.0259844, 0.0250262, 0.0234503, 0.0235866, 0.022731, 0.0209396, 0.0161634, 0.0121732, 0.00851496, 0.00697722, 0.00722914, 0.00650272, 0.0058246, 0.00463158, 0.00463323, 0.00410196, 0.00366871, 0.00252616, 0.00210498, 0.00175319, 0.00162761, 0.000988676, 8.62896e-05, 0.000139882" \
+              "3.72668e-05, 0.00929836, 0.0205954, 0.0231181, 0.0244297, 0.0258983, 0.0266128, 0.0266353, 0.0259845, 0.0250261, 0.0234501, 0.0235865, 0.022731, 0.0209405, 0.0161676, 0.0121719, 0.00851118, 0.00697567, 0.00722872, 0.00650114, 0.00582317, 0.00463126, 0.00463338, 0.00410224, 0.00367023, 0.00252922, 0.00210592, 0.00175322, 0.00162723, 0.000986963, 8.53128e-05, 0.000139839" \
             );
           }
           vector (ccs_template) {
@@ -170116,9 +185500,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.240872");
-            index_3 ("0.386828, 0.386848, 0.97616, 1.76267, 2.13784, 2.53163, 2.73908, 3.09691, 3.98681, 4.44076, 4.92986, 5.27884, 5.50262, 5.88472, 6.32141, 6.69237, 7.25402, 7.77628, 8.38814, 9.06336");
+            index_3 ("0.386828, 0.386848, 0.97616, 1.76267, 2.13784, 2.53163, 2.73908, 3.09691, 3.98684, 4.44078, 4.92983, 5.27877, 5.5026, 5.88481, 6.32161, 6.69238, 7.25376, 7.776, 8.38781, 9.06332");
             values ( \
-              "1e-22, 0.147769, 0.125122, 0.111612, 0.104706, 0.0962363, 0.0908158, 0.0799966, 0.0511885, 0.0383783, 0.0273644, 0.0212424, 0.0178326, 0.0134009, 0.00941055, 0.00698776, 0.00440507, 0.00293977, 0.00166352, 0.0011326" \
+              "1e-22, 0.147769, 0.125122, 0.111612, 0.104706, 0.0962363, 0.0908158, 0.0799966, 0.0511875, 0.0383778, 0.027365, 0.0212437, 0.0178329, 0.0134001, 0.00940902, 0.00698767, 0.00440602, 0.00294044, 0.00166401, 0.00113267" \
             );
           }
           vector (ccs_template) {
@@ -170620,9 +186004,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0646014");
-            index_3 ("2.72226, 2.7624, 2.77831, 2.79255, 2.80978, 2.81743, 2.82624, 2.83991, 2.85285, 2.91927, 2.98357, 3.07408, 3.23733, 3.35854, 3.43472, 3.71026, 3.76541, 3.86935, 3.93512, 4.00349, 4.07245, 4.16326, 4.20374, 4.29519, 4.41712, 4.48818, 4.55515, 4.64443, 4.78933, 4.9568, 5.16048, 5.42148, 5.81288");
+            index_3 ("2.72226, 2.7624, 2.77831, 2.79255, 2.80978, 2.81743, 2.82624, 2.83992, 2.85285, 2.91927, 2.98357, 3.07408, 3.23733, 3.35854, 3.43472, 3.71026, 3.76541, 3.86935, 3.93512, 4.00349, 4.07245, 4.16326, 4.20374, 4.29519, 4.41712, 4.48818, 4.55515, 4.64443, 4.78933, 4.9568, 5.16048, 5.42148, 5.81289");
             values ( \
-              "0.0970746, 0.104889, 0.115779, 0.12074, 0.123194, 0.123534, 0.123658, 0.123477, 0.123031, 0.119932, 0.116374, 0.111, 0.100594, 0.0914212, 0.0839993, 0.0527129, 0.0468738, 0.0369218, 0.0314458, 0.0264316, 0.0220349, 0.017149, 0.01541, 0.0120706, 0.00866087, 0.00711504, 0.00589392, 0.00456179, 0.00297703, 0.00179507, 0.000957512, 0.000416318, 0.000107214" \
+              "0.0970746, 0.104889, 0.115779, 0.12074, 0.123194, 0.123534, 0.123658, 0.123477, 0.123031, 0.119932, 0.116374, 0.111, 0.100594, 0.0914212, 0.0839993, 0.0527129, 0.0468738, 0.0369218, 0.0314458, 0.0264316, 0.0220349, 0.017149, 0.01541, 0.0120706, 0.0086609, 0.00711505, 0.00589391, 0.00456176, 0.00297702, 0.00179505, 0.000957504, 0.000416313, 0.000107211" \
             );
           }
           vector (ccs_template) {
@@ -170656,9 +186040,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00464677");
-            index_3 ("9.6197, 9.64288, 9.65683, 9.67738, 9.707, 9.74098, 9.75788, 9.76496, 9.77441, 9.77776, 9.78445, 9.7948, 9.80331, 9.81242, 9.83737, 9.84695, 9.87023, 9.87352, 9.8801, 9.90619, 9.9274, 9.94083, 9.95174, 9.96628, 9.99375, 10.031, 10.0744");
+            index_3 ("9.6197, 9.64288, 9.65683, 9.67738, 9.707, 9.74098, 9.75788, 9.76496, 9.77441, 9.77776, 9.78445, 9.7948, 9.80331, 9.81242, 9.83737, 9.84695, 9.87023, 9.87352, 9.88011, 9.90619, 9.9274, 9.94083, 9.95174, 9.96628, 9.99375, 10.031, 10.0744");
             values ( \
-              "0.0068129, 0.00762936, 0.0116862, 0.0191106, 0.0288429, 0.0406593, 0.0456369, 0.0472564, 0.0486131, 0.0489301, 0.04927, 0.0490309, 0.048529, 0.0442427, 0.0303114, 0.0254053, 0.0154532, 0.014296, 0.0126028, 0.0091608, 0.00610483, 0.00448866, 0.00347235, 0.00243472, 0.00119317, 0.000428627, 0.000119458" \
+              "0.0068129, 0.00762936, 0.0116862, 0.0191106, 0.0288429, 0.0406593, 0.045637, 0.0472562, 0.0486129, 0.04893, 0.0492702, 0.0490309, 0.048529, 0.0442428, 0.0303127, 0.0254068, 0.0154532, 0.0142956, 0.0126019, 0.00916083, 0.00610481, 0.00448861, 0.00347236, 0.00243478, 0.00119315, 0.000428622, 0.000119444" \
             );
           }
           vector (ccs_template) {
@@ -170667,7 +186051,7 @@
             index_2 ("0.0173259");
             index_3 ("9.63565, 9.66628, 9.71058, 9.77387, 9.79318, 9.80875, 9.81964, 9.83633, 9.85469, 9.87669, 9.91372, 9.94013, 10.0263, 10.0783, 10.1211, 10.1496, 10.1729, 10.1996, 10.2611, 10.3066, 10.3456, 10.4, 10.4647, 10.4765");
             values ( \
-              "0.0185582, 0.0221907, 0.0456176, 0.0838903, 0.0907319, 0.0932284, 0.0937382, 0.0931193, 0.0910833, 0.0877135, 0.0804917, 0.0735587, 0.0441481, 0.029664, 0.0206074, 0.0158182, 0.013177, 0.0107794, 0.00657014, 0.00437486, 0.00304652, 0.00176871, 0.000930046, 0.000885564" \
+              "0.0185582, 0.0221907, 0.0456175, 0.0838903, 0.0907319, 0.0932284, 0.0937382, 0.0931193, 0.0910833, 0.0877135, 0.0804917, 0.0735587, 0.0441481, 0.029664, 0.0206074, 0.0158182, 0.013177, 0.0107794, 0.00657014, 0.00437486, 0.00304652, 0.00176871, 0.000930046, 0.000885564" \
             );
           }
           vector (ccs_template) {
@@ -170856,7 +186240,7 @@
             index_2 ("0.0173259");
             index_3 ("0.197767, 0.218601, 0.229263, 0.23628, 0.247987, 0.262672, 0.276484, 0.316979, 0.344399, 0.364113, 0.400906, 0.429723, 0.503922, 0.553134, 0.577602, 0.604935, 0.641377, 0.666991, 0.710343, 0.762601, 0.799359, 0.840336, 0.896995, 0.965317, 1.0657");
             values ( \
-              "0.0660728, 0.081029, 0.0919063, 0.0965641, 0.100798, 0.10261, 0.102064, 0.0968848, 0.0924318, 0.0887879, 0.0810579, 0.0730277, 0.0470787, 0.0325889, 0.0267118, 0.0210929, 0.0151547, 0.0123992, 0.00888973, 0.00572194, 0.00417584, 0.0027548, 0.0016431, 0.000747962, 0.000311077" \
+              "0.0660728, 0.081029, 0.0919063, 0.0965641, 0.100798, 0.10261, 0.102064, 0.0968848, 0.0924318, 0.0887879, 0.0810579, 0.0730277, 0.0470787, 0.0325889, 0.0267118, 0.0210929, 0.0151547, 0.0123992, 0.00888973, 0.00572194, 0.00417584, 0.0027548, 0.0016431, 0.000747963, 0.000311077" \
             );
           }
           vector (ccs_template) {
@@ -170865,7 +186249,7 @@
             index_2 ("0.0646014");
             index_3 ("0.212108, 0.212128, 0.437006, 0.541264, 0.714816, 0.805858, 0.895773, 1.27494, 1.43926, 1.54441, 1.6384, 1.80908, 1.93577, 2.09691, 2.22071, 2.54667");
             values ( \
-              "1e-22, 0.129196, 0.117712, 0.111691, 0.100666, 0.0938842, 0.0856541, 0.0436222, 0.0293908, 0.0223346, 0.0172431, 0.0109374, 0.00769666, 0.00487157, 0.00341598, 0.00133901" \
+              "1e-22, 0.129196, 0.117712, 0.111691, 0.100666, 0.0938842, 0.0856541, 0.0436222, 0.0293908, 0.0223346, 0.0172431, 0.0109374, 0.00769666, 0.00487157, 0.00341598, 0.00133902" \
             );
           }
           vector (ccs_template) {
@@ -171351,7 +186735,7 @@
             index_2 ("0.00124625");
             index_3 ("0.845516, 0.85875, 0.875059, 0.880967, 0.888743, 0.892099, 0.898142, 0.90128, 0.906471, 0.913365, 0.91976, 0.925363, 0.930434, 0.936161, 0.938292, 0.945087, 0.955946, 0.960564, 0.963465, 0.969267, 0.981856, 0.991963, 1.00283, 1.01112, 1.01976, 1.04081, 1.059, 1.08599");
             values ( \
-              "0.000181468, 0.0100884, 0.0205463, 0.0236669, 0.0264302, 0.0270401, 0.0274178, 0.0271599, 0.0261703, 0.0241318, 0.0230118, 0.0213236, 0.0174252, 0.0147914, 0.0134539, 0.0105932, 0.00680251, 0.00714286, 0.00712889, 0.00671336, 0.00455052, 0.00306407, 0.00194859, 0.00137877, 0.000937527, 0.000360498, 0.000177996, 5.55673e-05" \
+              "0.000181468, 0.0100884, 0.0205463, 0.0236669, 0.0264302, 0.0270401, 0.0274178, 0.0271599, 0.0261703, 0.0241318, 0.0230118, 0.0213236, 0.0174252, 0.0147914, 0.0134539, 0.0105932, 0.00680251, 0.00714286, 0.00712889, 0.00671336, 0.00455052, 0.00306407, 0.00194859, 0.00137877, 0.000937527, 0.000360498, 0.000177996, 5.55674e-05" \
             );
           }
           vector (ccs_template) {
@@ -171459,7 +186843,7 @@
             index_2 ("0.00124625");
             index_3 ("9.61649, 9.63927, 9.64928, 9.67214, 9.69382, 9.71325, 9.72857, 9.75474, 9.7565, 9.76002, 9.76681, 9.76967, 9.79583, 9.80078, 9.8055, 9.81302, 9.83084, 9.84214, 9.85466, 9.87654, 9.90035, 9.90358");
             values ( \
-              "0.00232429, 0.00270452, 0.00389964, 0.00785363, 0.0111519, 0.0137893, 0.0154716, 0.0166274, 0.0174111, 0.017446, 0.0167649, 0.0160723, 0.00846807, 0.00770401, 0.00828297, 0.00740258, 0.00423663, 0.00276811, 0.001691, 0.000687635, 0.000273642, 0.000249015" \
+              "0.00232429, 0.00270452, 0.00389964, 0.00785363, 0.0111519, 0.0137893, 0.0154716, 0.0166274, 0.0174111, 0.017446, 0.0167649, 0.0160723, 0.00846807, 0.00770401, 0.00828297, 0.00740258, 0.00423663, 0.00276811, 0.001691, 0.000687635, 0.000273641, 0.000249015" \
             );
           }
           vector (ccs_template) {
@@ -171484,18 +186868,18 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0646014");
-            index_3 ("9.67483, 9.73208, 9.75932, 9.78018, 9.79397, 9.81717, 9.83254, 9.85006, 9.8705, 9.91126, 9.96823, 10.0306, 10.1165, 10.2282, 10.3492, 10.4731, 10.6813, 10.8017, 10.9012, 10.9785, 11.0815, 11.1559, 11.2174, 11.2994, 11.4401, 11.5926, 11.7127, 11.87, 12.0593, 12.2915, 12.6159");
+            index_3 ("9.67483, 9.73208, 9.75932, 9.78019, 9.79397, 9.81717, 9.83254, 9.85006, 9.8705, 9.91126, 9.96823, 10.0306, 10.1165, 10.2282, 10.3492, 10.4731, 10.6813, 10.8017, 10.9012, 10.9785, 11.0815, 11.1559, 11.2174, 11.2994, 11.4401, 11.5926, 11.7127, 11.87, 12.0593, 12.2915, 12.6159");
             values ( \
-              "0.055659, 0.0702334, 0.0921123, 0.105567, 0.111611, 0.117446, 0.119101, 0.119722, 0.119622, 0.118474, 0.116014, 0.112672, 0.107661, 0.100556, 0.0914108, 0.0788134, 0.0549027, 0.0423537, 0.0334435, 0.027547, 0.0209605, 0.01706, 0.0144705, 0.0116611, 0.00788837, 0.00513674, 0.00364404, 0.00225635, 0.00128389, 0.000601202, 0.000222167" \
+              "0.055659, 0.0702333, 0.0921123, 0.105567, 0.111611, 0.117446, 0.119101, 0.119722, 0.119622, 0.118474, 0.116014, 0.112672, 0.107661, 0.100556, 0.0914108, 0.0788134, 0.0549027, 0.0423537, 0.0334435, 0.027547, 0.0209605, 0.01706, 0.0144705, 0.0116611, 0.00788837, 0.00513674, 0.00364404, 0.00225635, 0.00128389, 0.000601202, 0.000222167" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.240872");
-            index_3 ("9.73756, 9.73758, 10.3669, 11.07, 11.4933, 11.7619, 11.9686, 12.3819, 13.3274, 13.791, 13.9879, 14.3262, 14.7128, 14.8861, 15.1811, 15.4839, 15.9045, 16.4151, 16.6664, 17.1227, 17.833, 18.6758, 19.7651");
+            index_3 ("9.73756, 9.73758, 10.3669, 11.07, 11.4933, 11.7619, 11.9686, 12.3819, 13.3274, 13.791, 13.9879, 14.3261, 14.7127, 14.8861, 15.1812, 15.484, 15.9045, 16.4151, 16.6664, 17.1227, 17.8332, 18.676, 19.7653");
             values ( \
-              "1e-22, 0.140046, 0.12471, 0.112598, 0.104869, 0.0993528, 0.0944541, 0.0826038, 0.0519794, 0.0389101, 0.0340669, 0.0268868, 0.0201579, 0.0176891, 0.0141043, 0.0111565, 0.00797391, 0.00527685, 0.00431099, 0.002996, 0.00165291, 0.000792374, 0.000295039" \
+              "1e-22, 0.140046, 0.12471, 0.112598, 0.104869, 0.0993528, 0.0944541, 0.0826037, 0.0519781, 0.0389094, 0.0340667, 0.0268876, 0.0201594, 0.0176894, 0.0141031, 0.0111558, 0.00797366, 0.00527717, 0.004311, 0.00299589, 0.00165272, 0.000792258, 0.000294995" \
             );
           }
           vector (ccs_template) {
@@ -171648,7 +187032,7 @@
             index_2 ("0.00124625");
             index_3 ("0.176791, 0.183943, 0.199107, 0.20417, 0.21265, 0.217645, 0.221251, 0.228463, 0.236778, 0.248334, 0.254678, 0.265019, 0.276727, 0.282508, 0.286741, 0.297747, 0.303228, 0.318761, 0.327387, 0.341258, 0.371313");
             values ( \
-              "0.0103776, 0.0116955, 0.0216624, 0.0240827, 0.0267404, 0.0273082, 0.0272815, 0.0263354, 0.0239488, 0.0214524, 0.0171197, 0.0119264, 0.0075953, 0.00668389, 0.0073099, 0.00595729, 0.00482726, 0.00264684, 0.00183254, 0.00100206, 0.000341171" \
+              "0.0103776, 0.0116955, 0.0216624, 0.0240827, 0.0267404, 0.0273082, 0.0272815, 0.0263354, 0.0239488, 0.0214524, 0.0171197, 0.0119264, 0.0075953, 0.00668388, 0.0073099, 0.00595729, 0.00482726, 0.00264684, 0.00183254, 0.00100206, 0.00034117" \
             );
           }
           vector (ccs_template) {
@@ -171864,7 +187248,7 @@
             index_2 ("0.00124625");
             index_3 ("9.55156, 9.57488, 9.59667, 9.64621, 9.66139, 9.68714, 9.68882, 9.69216, 9.70175, 9.73285, 9.73754, 9.77954, 9.79575, 9.80851, 9.81391");
             values ( \
-              "0.002819, 0.00331639, 0.0061341, 0.0138562, 0.0157138, 0.0169532, 0.0176946, 0.0178011, 0.0163364, 0.00755177, 0.00814452, 0.0022993, 0.00120855, 0.000731652, 0.000620738" \
+              "0.002819, 0.00331639, 0.0061341, 0.0138562, 0.0157138, 0.0169532, 0.0176946, 0.0178011, 0.0163364, 0.00755177, 0.00814452, 0.0022993, 0.00120855, 0.000731653, 0.000620738" \
             );
           }
           vector (ccs_template) {
@@ -172474,7 +187858,7 @@
             index_2 ("0.0173259");
             index_3 ("0.197767, 0.218601, 0.229263, 0.23628, 0.247987, 0.262672, 0.276484, 0.316979, 0.344399, 0.364113, 0.400906, 0.429723, 0.503922, 0.553134, 0.577602, 0.604935, 0.641377, 0.666991, 0.710343, 0.762601, 0.799359, 0.840336, 0.896995, 0.965317, 1.0657");
             values ( \
-              "0.0660728, 0.081029, 0.0919063, 0.0965641, 0.100798, 0.10261, 0.102064, 0.0968848, 0.0924318, 0.0887879, 0.0810579, 0.0730277, 0.0470787, 0.0325889, 0.0267118, 0.0210929, 0.0151547, 0.0123992, 0.00888973, 0.00572194, 0.00417584, 0.0027548, 0.0016431, 0.000747962, 0.000311077" \
+              "0.0660728, 0.081029, 0.0919063, 0.0965641, 0.100798, 0.10261, 0.102064, 0.0968848, 0.0924318, 0.0887879, 0.0810579, 0.0730277, 0.0470787, 0.0325889, 0.0267118, 0.0210929, 0.0151547, 0.0123992, 0.00888973, 0.00572194, 0.00417584, 0.0027548, 0.0016431, 0.000747963, 0.000311077" \
             );
           }
           vector (ccs_template) {
@@ -172483,7 +187867,7 @@
             index_2 ("0.0646014");
             index_3 ("0.212108, 0.212128, 0.437006, 0.541264, 0.714816, 0.805858, 0.895773, 1.27494, 1.43926, 1.54441, 1.6384, 1.80908, 1.93577, 2.09691, 2.22071, 2.54667");
             values ( \
-              "1e-22, 0.129196, 0.117712, 0.111691, 0.100666, 0.0938842, 0.0856541, 0.0436222, 0.0293908, 0.0223346, 0.0172431, 0.0109374, 0.00769666, 0.00487157, 0.00341598, 0.00133901" \
+              "1e-22, 0.129196, 0.117712, 0.111691, 0.100666, 0.0938842, 0.0856541, 0.0436222, 0.0293908, 0.0223346, 0.0172431, 0.0109374, 0.00769666, 0.00487157, 0.00341598, 0.00133902" \
             );
           }
           vector (ccs_template) {
@@ -172993,7 +188377,7 @@
             index_2 ("0.00124625");
             index_3 ("0.806727, 0.83714, 0.853056, 0.863382, 0.874277, 0.886775, 0.901346, 0.903541, 0.90793, 0.913113, 0.933623, 0.941365, 0.946767, 0.955855, 0.995957, 1.0097, 1.02232");
             values ( \
-              "-0.00223284, -0.0130819, -0.0175437, -0.0196858, -0.0214496, -0.0229655, -0.0241636, -0.0241774, -0.023688, -0.0220008, -0.00793191, -0.00435733, -0.00268356, -0.0018658, -0.000471308, -0.000225023, -0.000185257" \
+              "-0.00223284, -0.0130819, -0.0175437, -0.0196858, -0.0214496, -0.0229655, -0.0241636, -0.0241774, -0.0236881, -0.0220008, -0.00793191, -0.00435733, -0.00268356, -0.0018658, -0.000471308, -0.000225023, -0.000185258" \
             );
           }
           vector (ccs_template) {
@@ -173189,7 +188573,7 @@
             "0.192946, 0.220922, 0.302112, 0.554045, 1.47776, 4.92178", \
             "0.234179, 0.262087, 0.343312, 0.595301, 1.5191, 4.96307", \
             "0.364749, 0.395513, 0.480938, 0.734135, 1.65789, 5.1019", \
-            "0.663486, 0.705551, 0.806766, 1.06205, 1.98755, 5.43169", \
+            "0.663486, 0.705477, 0.80671, 1.0621, 1.98755, 5.43164", \
             "1.44563, 1.50665, 1.62902, 1.88235, 2.80499, 6.24975" \
           );
         }
@@ -173201,7 +188585,7 @@
             "0.0529661, 0.0768444, 0.158692, 0.470432, 1.68333, 6.21383", \
             "0.0530519, 0.0769396, 0.158671, 0.470406, 1.68363, 6.21507", \
             "0.06245, 0.0861414, 0.164367, 0.471142, 1.68364, 6.21383", \
-            "0.0975462, 0.123434, 0.187099, 0.474468, 1.6878, 6.21504", \
+            "0.0975462, 0.123455, 0.1871, 0.474553, 1.6878, 6.21504", \
             "0.173202, 0.197132, 0.22445, 0.476641, 1.68505, 6.21781" \
           );
         }
@@ -173315,9 +188699,9 @@
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.0646014");
-            index_3 ("0.195688, 0.241235, 0.274639, 0.313741, 0.344556, 0.375063, 0.393579, 0.424207, 0.466676, 0.511471, 0.62985, 0.663496, 0.730787, 0.744584, 0.772177, 0.827363, 0.951953, 1.01061, 1.05731, 1.10457, 1.17037, 1.22684, 1.29455, 1.38484, 1.55178, 1.73052, 1.94791");
+            index_3 ("0.195688, 0.241235, 0.274639, 0.313741, 0.344556, 0.375063, 0.393579, 0.424207, 0.466676, 0.511471, 0.62985, 0.663496, 0.730787, 0.744584, 0.772177, 0.827363, 0.951954, 1.01061, 1.05731, 1.10457, 1.17037, 1.22684, 1.29455, 1.38484, 1.55178, 1.73052, 1.94791");
             values ( \
-              "-0.116735, -0.119258, -0.135835, -0.148549, -0.155872, -0.15955, -0.160433, -0.160738, -0.159592, -0.157542, -0.150461, -0.147904, -0.140666, -0.138389, -0.132761, -0.115497, -0.0695132, -0.0520099, -0.040611, -0.0313159, -0.0215049, -0.0154107, -0.0102761, -0.00586607, -0.00185937, -0.000480541, -0.000205258" \
+              "-0.116735, -0.119258, -0.135835, -0.148549, -0.155872, -0.15955, -0.160433, -0.160738, -0.159592, -0.157542, -0.150461, -0.147904, -0.140666, -0.138389, -0.132761, -0.115497, -0.0695132, -0.0520099, -0.0406111, -0.0313159, -0.0215048, -0.0154107, -0.0102761, -0.00586609, -0.00185938, -0.000480544, -0.000205259" \
             );
           }
           vector (ccs_template) {
@@ -173459,27 +188843,27 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00464677");
-            index_3 ("2.62233, 2.64572, 2.66195, 2.7011, 2.71942, 2.745, 2.76742, 2.80728, 2.82491, 2.83299, 2.84071, 2.8471, 2.88239, 2.89587, 2.90928, 2.91803, 2.92968, 2.98418");
+            index_3 ("2.61905, 2.64037, 2.65557, 2.70415, 2.71934, 2.74493, 2.76735, 2.80722, 2.82486, 2.82647, 2.83289, 2.8406, 2.84718, 2.88234, 2.89588, 2.90924, 2.91792, 2.9295, 2.98388");
             values ( \
-              "-0.00879931, -0.00953198, -0.0136977, -0.0252556, -0.0299707, -0.0354356, -0.039225, -0.0444796, -0.0478648, -0.0483573, -0.0477359, -0.0450232, -0.0157254, -0.00882797, -0.0044747, -0.00295388, -0.00198568, -0.000817735" \
+              "-0.00735523, -0.0083449, -0.0119641, -0.0261048, -0.0299396, -0.0354434, -0.0392033, -0.0444633, -0.0478697, -0.0477722, -0.048352, -0.0477494, -0.0449433, -0.0157253, -0.0088049, -0.00447395, -0.0029608, -0.00199275, -0.000822359" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0173259");
-            index_3 ("2.64036, 2.68305, 2.73418, 2.81629, 2.87545, 2.88889, 2.90857, 2.9162, 2.92966, 2.9431, 2.97, 3.01381, 3.0465, 3.07714, 3.10121, 3.14267, 3.18992, 3.24, 3.45193");
+            index_3 ("2.63991, 2.68309, 2.73413, 2.81622, 2.8754, 2.88883, 2.90852, 2.91614, 2.9296, 2.94305, 2.96994, 3.01375, 3.04644, 3.07708, 3.10115, 3.14261, 3.18986, 3.23995, 3.45188");
             values ( \
-              "-0.0194786, -0.029304, -0.0515782, -0.0802294, -0.107626, -0.111761, -0.11552, -0.116314, -0.116512, -0.114535, -0.0987478, -0.0555406, -0.0317966, -0.0179545, -0.01122, -0.00481599, -0.00152403, -0.000454195, -0.00021726" \
+              "-0.0189835, -0.0293604, -0.0515787, -0.080228, -0.107623, -0.111762, -0.115519, -0.116316, -0.11651, -0.114536, -0.0987459, -0.0555394, -0.0317954, -0.0179536, -0.0112189, -0.00481465, -0.00152512, -0.000453037, -0.00021839" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.0646014");
-            index_3 ("2.68454, 2.75591, 2.81191, 2.85962, 2.8947, 2.91409, 2.9341, 2.96078, 2.99562, 3.03263, 3.06948, 3.16976, 3.22211, 3.30003, 3.31027, 3.35122, 3.3953, 3.46841, 3.51409, 3.55899, 3.61886, 3.65096, 3.69163, 3.74586, 3.79058, 3.83618, 3.89699, 4.0186, 4.16494, 4.41256");
+            index_3 ("2.68536, 2.75584, 2.81205, 2.85924, 2.89417, 2.91351, 2.9354, 2.96459, 2.99567, 3.03264, 3.06954, 3.16732, 3.24062, 3.27106, 3.30336, 3.36797, 3.50569, 3.58453, 3.63668, 3.68186, 3.7421, 3.7881, 3.83935, 3.90768, 4.04434, 4.16494, 4.17422");
             values ( \
-              "-0.0649337, -0.0701389, -0.0954335, -0.125646, -0.142946, -0.149094, -0.153237, -0.156396, -0.157976, -0.157732, -0.156583, -0.151198, -0.147473, -0.138715, -0.136877, -0.127067, -0.112025, -0.0843282, -0.0685023, -0.054931, -0.0400435, -0.0335895, -0.0267305, -0.0195261, -0.0149947, -0.0114277, -0.00789915, -0.00361994, -0.00130872, -0.000368576" \
+              "-0.0664608, -0.0700801, -0.0954785, -0.125381, -0.142722, -0.148934, -0.153443, -0.156686, -0.157966, -0.157724, -0.156583, -0.151357, -0.14589, -0.142705, -0.138083, -0.121844, -0.0712913, -0.048184, -0.0363883, -0.028289, -0.019964, -0.0152223, -0.0112163, -0.00738958, -0.0030309, -0.00131958, -0.00129125" \
             );
           }
           vector (ccs_template) {
@@ -173495,9 +188879,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.898115");
-            index_3 ("2.64749, 2.91985, 3.00088, 3.16874, 5.55665, 7.51272, 8.536, 9.02876, 9.61032, 10.3872, 12.2633, 12.9468, 14.0483, 15.1547, 16.4527, 18.181, 19.7348, 20.2623");
+            index_3 ("2.64764, 2.91942, 3.00138, 3.16917, 5.55671, 7.51277, 8.53605, 9.02882, 9.61037, 10.3873, 12.2633, 12.9468, 14.0483, 15.1547, 16.4528, 18.1811, 19.7348, 20.2555");
             values ( \
-              "-0.0207671, -0.165375, -0.176454, -0.178687, -0.169599, -0.160883, -0.155086, -0.151343, -0.145011, -0.129782, -0.075459, -0.0587909, -0.037942, -0.0237642, -0.0133879, -0.00608331, -0.00295446, -0.0025689" \
+              "-0.020826, -0.165247, -0.17648, -0.178688, -0.169599, -0.160883, -0.155086, -0.151343, -0.145011, -0.129782, -0.075459, -0.0587909, -0.037942, -0.0237642, -0.0133879, -0.00608331, -0.00295446, -0.00257392" \
             );
           }
           vector (ccs_template) {
@@ -173563,7 +188947,7 @@
             "0.00472586, 0.00472588, 0.00472597, 0.00472606, 0.00472609, 0.0047261", \
             "0.00497572, 0.00497572, 0.00497572, 0.00497572, 0.00497572, 0.00497572", \
             "0.00509841, 0.00509842, 0.00509842, 0.00509842, 0.00509842, 0.00509843", \
-            "0.00518751, 0.00518751, 0.00518749, 0.00518743, 0.00518742, 0.00518746", \
+            "0.00518751, 0.00518747, 0.00518745, 0.00518747, 0.00518742, 0.00518742", \
             "0.00521275, 0.00521275, 0.00521275, 0.00521275, 0.00521275, 0.00521275" \
           );
         }
@@ -173575,7 +188959,7 @@
             "0.00584309, 0.00584306, 0.00584305, 0.00584308, 0.0058431, 0.00584311", \
             "0.00613195, 0.00613144, 0.00613097, 0.00613076, 0.0061307, 0.00613069", \
             "0.00670935, 0.00671322, 0.00671714, 0.00671891, 0.00671929, 0.00671941", \
-            "0.00645306, 0.00645336, 0.00645259, 0.00644243, 0.00645371, 0.00645644", \
+            "0.00645306, 0.00645344, 0.0064527, 0.00644056, 0.00645371, 0.00645675", \
             "0.00647245, 0.00646287, 0.00646529, 0.00646537, 0.00646087, 0.00646213" \
           );
         }
@@ -173830,7 +189214,7 @@
             index_2 ("0.0646014");
             index_3 ("0.852232, 0.906861, 0.9297, 0.963465, 0.996508, 1.01417, 1.034, 1.061, 1.08944, 1.10724, 1.14282, 1.18046, 1.29527, 1.33252, 1.41045, 1.41997, 1.45806, 1.50526, 1.57796, 1.66895, 1.72911, 1.76067, 1.80069, 1.85404, 1.8997, 1.94619, 2.00818, 2.13217, 2.31198, 2.51003");
             values ( \
-              "-0.103384, -0.109256, -0.121827, -0.136535, -0.14867, -0.153049, -0.156283, -0.158754, -0.159849, -0.159855, -0.159024, -0.157444, -0.150706, -0.147899, -0.139029, -0.137292, -0.12827, -0.112224, -0.0845612, -0.0549398, -0.0399649, -0.0336156, -0.0268451, -0.0197085, -0.0150471, -0.011404, -0.00782207, -0.0035205, -0.000940216, -0.000265809" \
+              "-0.103384, -0.109256, -0.121827, -0.136535, -0.148671, -0.153049, -0.156283, -0.158754, -0.159849, -0.159855, -0.159024, -0.157444, -0.150706, -0.147899, -0.139029, -0.137292, -0.12827, -0.112224, -0.0845612, -0.0549398, -0.0399649, -0.0336156, -0.0268451, -0.0197085, -0.0150471, -0.011404, -0.00782207, -0.0035205, -0.000940216, -0.000265809" \
             );
           }
           vector (ccs_template) {
@@ -173884,7 +189268,7 @@
             index_2 ("0.0646014");
             index_3 ("2.68057, 2.75631, 2.81274, 2.87221, 2.90713, 2.94769, 2.98244, 2.99612, 3.0235, 3.07003, 3.15978, 3.2227, 3.29008, 3.30402, 3.3319, 3.38766, 3.50894, 3.57837, 3.65858, 3.74944, 3.83509, 3.8915, 4.00431, 4.12664");
             values ( \
-              "-0.0572056, -0.0702781, -0.0957849, -0.132356, -0.146773, -0.15494, -0.157517, -0.157848, -0.157807, -0.156518, -0.151861, -0.147452, -0.140314, -0.138045, -0.132408, -0.115052, -0.0703584, -0.0498743, -0.0323147, -0.0192019, -0.0115522, -0.00821, -0.00400481, -0.00194347" \
+              "-0.0572056, -0.0702781, -0.0957849, -0.132356, -0.146773, -0.15494, -0.157517, -0.157848, -0.157807, -0.156518, -0.151861, -0.147452, -0.140314, -0.138045, -0.132408, -0.115052, -0.0703584, -0.0498743, -0.0323147, -0.019202, -0.0115522, -0.00820995, -0.00400475, -0.00194346" \
             );
           }
           vector (ccs_template) {
@@ -173911,7 +189295,7 @@
             index_2 ("0.00124625");
             index_3 ("9.48482, 9.5535, 9.57291, 9.59832, 9.63083, 9.67408, 9.7066, 9.72129, 9.75182, 9.77981, 9.79386, 9.80661, 9.81983, 9.83283, 9.85165, 9.85922, 9.8726, 9.88314, 9.88922, 9.91947, 9.93287, 9.94431, 9.95738, 9.97906, 10.0008, 10.0506, 10.0854");
             values ( \
-              "-0.000274893, -0.00103168, -0.00139451, -0.00204594, -0.00326095, -0.00525635, -0.00651214, -0.00697112, -0.0077784, -0.0082135, -0.00837361, -0.00859805, -0.00851848, -0.00859204, -0.00842228, -0.00840173, -0.00789045, -0.00656861, -0.0065315, -0.00299934, -0.0016924, -0.00102191, -0.000537662, -0.000261286, -0.00013602, -4.47403e-05, -4.77153e-05" \
+              "-0.000274893, -0.00103168, -0.00139451, -0.00204594, -0.00326095, -0.00525635, -0.00651214, -0.00697112, -0.0077784, -0.0082135, -0.00837361, -0.00859805, -0.00851848, -0.00859204, -0.00842228, -0.00840173, -0.00789045, -0.00656861, -0.0065315, -0.00299934, -0.0016924, -0.00102191, -0.000537662, -0.000261286, -0.00013602, -4.47404e-05, -4.77153e-05" \
             );
           }
           vector (ccs_template) {
@@ -174206,7 +189590,7 @@
             index_2 ("0.00124625");
             index_3 ("0.806727, 0.83714, 0.853056, 0.863382, 0.874277, 0.886775, 0.901346, 0.903541, 0.90793, 0.913113, 0.933623, 0.941365, 0.946767, 0.955855, 0.995957, 1.0097, 1.02232");
             values ( \
-              "-0.00223284, -0.0130819, -0.0175437, -0.0196858, -0.0214496, -0.0229655, -0.0241636, -0.0241774, -0.023688, -0.0220008, -0.00793191, -0.00435733, -0.00268356, -0.0018658, -0.000471308, -0.000225023, -0.000185257" \
+              "-0.00223284, -0.0130819, -0.0175437, -0.0196858, -0.0214496, -0.0229655, -0.0241636, -0.0241774, -0.0236881, -0.0220008, -0.00793191, -0.00435733, -0.00268356, -0.0018658, -0.000471308, -0.000225023, -0.000185258" \
             );
           }
           vector (ccs_template) {
@@ -174540,7 +189924,7 @@
             "0.0170358, 0.017086, 0.0170271, 0.0164689, 0.014302, 0.0062414", \
             "0.0169408, 0.0169907, 0.0169312, 0.0163732, 0.014206, 0.00616167", \
             "0.0167151, 0.0167635, 0.0167052, 0.0161465, 0.0139815, 0.00593752", \
-            "0.0168375, 0.0168812, 0.0167997, 0.0162426, 0.0140888, 0.00604142", \
+            "0.0168375, 0.0168812, 0.0167919, 0.0162426, 0.0140799, 0.00604142", \
             "0.0188522, 0.0188833, 0.0188377, 0.0184351, 0.0160937, 0.00809245", \
             "0.030008, 0.0300074, 0.0299252, 0.0293522, 0.027296, 0.0190691" \
           );
@@ -174642,7 +190026,7 @@
             "0.0144648, 0.0145131, 0.0144543, 0.0138911, 0.0117204, 0.00366834", \
             "0.0143642, 0.0144142, 0.014356, 0.0137928, 0.0116332, 0.00357004", \
             "0.0141459, 0.0141925, 0.0141348, 0.0135729, 0.0114136, 0.00334952", \
-            "0.0142746, 0.0143243, 0.0141997, 0.0136627, 0.0115022, 0.00345466", \
+            "0.0142746, 0.0143243, 0.0141997, 0.0136627, 0.0115022, 0.00350886", \
             "0.0163122, 0.0163425, 0.016291, 0.0158933, 0.0135541, 0.00554591", \
             "0.0275192, 0.0275095, 0.0274317, 0.0268594, 0.0248023, 0.0165773" \
           );
@@ -174744,7 +190128,7 @@
             "0.0144111, 0.0144598, 0.0144007, 0.013842, 0.0116667, 0.00361414", \
             "0.0143134, 0.0143625, 0.0143042, 0.0137456, 0.0115768, 0.00351828", \
             "0.0140916, 0.0141388, 0.014081, 0.0135191, 0.0113557, 0.00329639", \
-            "0.0142327, 0.0142799, 0.0141741, 0.013625, 0.0114616, 0.00342329", \
+            "0.0142207, 0.0142687, 0.0141654, 0.0136347, 0.0114616, 0.00341342", \
             "0.0162688, 0.0163035, 0.0162493, 0.0158529, 0.0135157, 0.00551393", \
             "0.0274434, 0.0274442, 0.0273641, 0.026796, 0.024738, 0.0165071" \
           );
@@ -174793,7 +190177,7 @@
             "0.0170358, 0.017086, 0.0170271, 0.0164689, 0.014302, 0.0062414", \
             "0.0169408, 0.0169907, 0.0169312, 0.0163732, 0.014206, 0.00616167", \
             "0.0167151, 0.0167635, 0.0167052, 0.0161465, 0.0139815, 0.00593752", \
-            "0.0168375, 0.0168812, 0.0167997, 0.0162426, 0.0140888, 0.00604142", \
+            "0.0168375, 0.0168812, 0.0167919, 0.0162426, 0.0140799, 0.00604142", \
             "0.0188522, 0.0188833, 0.0188377, 0.0184351, 0.0160937, 0.00809245", \
             "0.030008, 0.0300074, 0.0299252, 0.0293522, 0.027296, 0.0190691" \
           );
@@ -174882,7 +190266,7 @@
             "0.0126414, 0.0126944, 0.0126496, 0.0120989, 0.00992587, 0.00189275", \
             "0.0125237, 0.0125715, 0.012529, 0.0119853, 0.00982255, 0.00176207", \
             "0.0124019, 0.0124262, 0.0123629, 0.0118551, 0.00970097, 0.00165746", \
-            "0.0134874, 0.0134812, 0.0133733, 0.0129618, 0.0106593, 0.00266174", \
+            "0.0134874, 0.0134806, 0.013372, 0.0129548, 0.0106593, 0.00266104", \
             "0.019204, 0.0189587, 0.0186014, 0.0179503, 0.0160576, 0.00735215" \
           );
         }
@@ -182559,7 +197943,7 @@
     }
   }
   cell (sky130_osu_sc_12T_ms__inv_l) {
-    area : 4.3857;
+    area : 4.3956;
     cell_footprint : "sky130_osu_sc_12T_ms__inv";
     cell_leakage_power : 0.221904;
     pg_pin (GND) {
@@ -182604,57 +197988,57 @@
       power_down_function : "(!VDD) + (GND)";
       related_ground_pin : GND;
       related_power_pin : VDD;
-      max_capacitance : 0.800901;
+      max_capacitance : 0.800865;
       timing () {
         related_pin : "A";
         timing_sense : negative_unate;
         timing_type : combinational;
         cell_rise (delay_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
-            "0.0298014, 0.0570685, 0.152781, 0.499155, 1.76051, 6.3562", \
-            "0.0401649, 0.0669651, 0.163039, 0.509718, 1.77119, 6.36692", \
-            "0.0715429, 0.11127, 0.20721, 0.55413, 1.81287, 6.41118", \
-            "0.129284, 0.205734, 0.375648, 0.734379, 1.99226, 6.58481", \
-            "0.266551, 0.394665, 0.708446, 1.38012, 2.73909, 7.31284", \
-            "0.690981, 0.882718, 1.40185, 2.61923, 5.20813, 10.3102" \
+            "0.0298199, 0.057083, 0.152802, 0.499173, 1.76051, 6.35583", \
+            "0.040183, 0.0669832, 0.163058, 0.509735, 1.77118, 6.36679", \
+            "0.0715676, 0.111289, 0.207855, 0.55415, 1.81492, 6.41105", \
+            "0.12932, 0.205761, 0.375666, 0.734376, 1.99239, 6.58465", \
+            "0.266602, 0.394704, 0.708478, 1.38013, 2.73907, 7.3127", \
+            "0.691049, 0.882775, 1.40188, 2.61924, 5.20809, 10.3101" \
           );
         }
         rise_transition (delay_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
-            "0.0274374, 0.0665805, 0.209023, 0.727964, 2.61906, 9.50991", \
-            "0.0289046, 0.0665874, 0.209026, 0.727964, 2.619, 9.51008", \
-            "0.0574242, 0.0866315, 0.210183, 0.728006, 2.61898, 9.51007", \
-            "0.123333, 0.18375, 0.309622, 0.737487, 2.61949, 9.50992", \
-            "0.308429, 0.40662, 0.660222, 1.16359, 2.68905, 9.51008", \
-            "1.00202, 1.11388, 1.49734, 2.48249, 4.4303, 9.87568" \
+            "0.0274501, 0.0665973, 0.209039, 0.727986, 2.61903, 9.50991", \
+            "0.0289149, 0.0665999, 0.209043, 0.727986, 2.61903, 9.51005", \
+            "0.0574364, 0.0866416, 0.210059, 0.728029, 2.61906, 9.51005", \
+            "0.123354, 0.183767, 0.309635, 0.737513, 2.61901, 9.5099", \
+            "0.30846, 0.406647, 0.660231, 1.1636, 2.68908, 9.51006", \
+            "1.00204, 1.11392, 1.49737, 2.48249, 4.4303, 9.87567" \
           );
         }
         cell_fall (delay_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
-            "0.0225026, 0.0403093, 0.103473, 0.33271, 1.16778, 4.21087", \
-            "0.0317946, 0.0499256, 0.113407, 0.342809, 1.17797, 4.22101", \
-            "0.0488295, 0.0818735, 0.154213, 0.383468, 1.21863, 4.26174", \
-            "0.0594047, 0.124113, 0.266623, 0.547207, 1.38004, 4.42232", \
-            "0.000980956, 0.121593, 0.395981, 0.960983, 2.0508, 5.08213", \
-            "-0.380607, -0.183315, 0.316519, 1.39495, 3.57479, 7.78079" \
+            "0.0225109, 0.0403176, 0.10348, 0.33271, 1.16775, 4.21069", \
+            "0.0318033, 0.0499335, 0.113413, 0.342809, 1.17793, 4.22083", \
+            "0.04884, 0.0818835, 0.154229, 0.383473, 1.21859, 4.2616", \
+            "0.0594287, 0.124149, 0.266634, 0.547257, 1.38006, 4.42227", \
+            "0.00102678, 0.121734, 0.395924, 0.960979, 2.05078, 5.08199", \
+            "-0.380543, -0.183265, 0.316543, 1.39493, 3.57472, 7.7806" \
           );
         }
         fall_transition (delay_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
-            "0.0158818, 0.038411, 0.120688, 0.420405, 1.5127, 5.49261", \
-            "0.0184199, 0.0385182, 0.120653, 0.420382, 1.51265, 5.49261", \
-            "0.0407713, 0.0627538, 0.126809, 0.4204, 1.51269, 5.49261", \
-            "0.0975143, 0.138248, 0.231062, 0.460077, 1.51274, 5.49412", \
-            "0.273893, 0.344331, 0.511784, 0.874642, 1.69911, 5.49301", \
-            "0.947274, 1.02439, 1.30273, 1.95469, 3.34136, 6.35763" \
+            "0.015888, 0.0384169, 0.120658, 0.4204, 1.51266, 5.49237", \
+            "0.018425, 0.0385237, 0.120657, 0.420375, 1.51261, 5.49237", \
+            "0.0407727, 0.0627583, 0.126808, 0.420396, 1.51268, 5.49237", \
+            "0.0975318, 0.138262, 0.231063, 0.460042, 1.5127, 5.49404", \
+            "0.273916, 0.344131, 0.5119, 0.874637, 1.69906, 5.49387", \
+            "0.947301, 1.02442, 1.30274, 1.9547, 3.34131, 6.35744" \
           );
         }
         output_current_rise () {
@@ -182662,349 +198046,349 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.00124625");
-            index_3 ("0.0198115, 0.0209857, 0.0211375, 0.0219458, 0.0235621, 0.026881, 0.0340108, 0.0377206, 0.0405739, 0.0422173, 0.0500898, 0.05325, 0.0565445, 0.0600073, 0.064631, 0.0690545, 0.0721647, 0.0771558, 0.0828413, 0.0890648, 0.096191, 0.101843, 0.113147, 0.130451, 0.15148");
+            index_3 ("0.0195501, 0.0213542, 0.0235503, 0.0281222, 0.0379192, 0.0422206, 0.0526449, 0.0572383, 0.0648418, 0.073135, 0.0789608, 0.0889481, 0.10261, 0.113796");
             values ( \
-              "0.00662706, 0.0701056, 0.0701218, 0.0699155, 0.0689481, 0.0662176, 0.0595237, 0.0554959, 0.0516323, 0.0489349, 0.0331211, 0.0276956, 0.0231131, 0.0190492, 0.0146561, 0.0113624, 0.00945295, 0.0069997, 0.00493735, 0.00333778, 0.00213193, 0.00148408, 0.000698588, 0.000199665, 3.93442e-05" \
+              "0.00934303, 0.0699894, 0.0689931, 0.0651518, 0.0552053, 0.0488974, 0.028649, 0.022251, 0.0144887, 0.00891428, 0.00627048, 0.00335964, 0.00141467, 0.000685801" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.00812174;
             index_1 ("0.00974609");
-            index_2 ("0.00454152");
-            index_3 ("0.0211535, 0.0231045, 0.0294626, 0.0504935, 0.0660934, 0.0757811, 0.10289, 0.113197, 0.124978, 0.131923, 0.142743, 0.151929, 0.159817, 0.168436, 0.180703, 0.196856, 0.218395, 0.252197, 0.292466");
+            index_2 ("0.00454148");
+            index_3 ("0.0204952, 0.0231007, 0.0463535, 0.0550793, 0.065174, 0.0740336, 0.079325, 0.0989584, 0.11337, 0.123688, 0.128905, 0.136882, 0.145997, 0.154404, 0.164341, 0.17759, 0.185936, 0.198792, 0.215934, 0.250216, 0.290285");
             values ( \
-              "0.0635952, 0.10436, 0.101325, 0.0900309, 0.0805397, 0.0725936, 0.0435964, 0.034493, 0.0258133, 0.0216388, 0.0162292, 0.0126065, 0.0101106, 0.00790596, 0.00553219, 0.00343993, 0.0017781, 0.000586158, 0.000145086" \
+              "0.0214354, 0.104329, 0.0922813, 0.0874447, 0.0811589, 0.0742652, 0.0690619, 0.0475005, 0.0343601, 0.0266955, 0.023415, 0.0190121, 0.0148583, 0.011782, 0.00890244, 0.00606177, 0.00475522, 0.00325727, 0.00193971, 0.000628367, 0.0001568" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.00812174;
             index_1 ("0.00974609");
-            index_2 ("0.0165499");
-            index_3 ("0.022737, 0.022757, 0.0601872, 0.1301, 0.159447, 0.169643, 0.19454, 0.221028, 0.254, 0.291172, 0.307753, 0.340915, 0.359881, 0.380828, 0.408757, 0.427846, 0.460427, 0.497663, 0.525841, 0.568993, 0.626528, 0.730187, 0.852568");
+            index_2 ("0.0165496");
+            index_3 ("0.0226899, 0.0227099, 0.060187, 0.130118, 0.159466, 0.169662, 0.194562, 0.221056, 0.254028, 0.291204, 0.307787, 0.340955, 0.359921, 0.380869, 0.408799, 0.427893, 0.460485, 0.497732, 0.525903, 0.569046, 0.626569, 0.730237, 0.852625");
             values ( \
-              "1e-22, 0.123933, 0.1137, 0.0999929, 0.0935814, 0.0910478, 0.0838002, 0.0744137, 0.061635, 0.0479412, 0.0423959, 0.0325339, 0.0277506, 0.0231297, 0.0179796, 0.015071, 0.0110855, 0.00771664, 0.00585652, 0.00381671, 0.00211694, 0.000665314, 0.000158255" \
+              "1e-22, 0.123558, 0.113691, 0.0999848, 0.0935737, 0.0910401, 0.0837921, 0.0744043, 0.0616274, 0.0479348, 0.0423898, 0.0325288, 0.0277465, 0.0231266, 0.0179775, 0.0150688, 0.0110833, 0.0077146, 0.00585556, 0.00381667, 0.00211738, 0.00066551, 0.000158316" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.00812174;
             index_1 ("0.00974609");
-            index_2 ("0.0603101");
-            index_3 ("0.0281283, 0.0281483, 0.154978, 0.399554, 0.502207, 0.578108, 0.718047, 0.958588, 1.1256, 1.2712, 1.43021, 1.5276, 1.63892, 1.76069, 1.94521, 2.19123, 2.38557");
+            index_2 ("0.0603084");
+            index_3 ("0.0280072, 0.0280272, 0.154989, 0.399568, 0.502221, 0.578128, 0.718061, 0.958625, 1.12566, 1.27125, 1.43028, 1.52772, 1.63907, 1.7608, 1.94526, 2.1912, 2.38589");
             values ( \
-              "1e-22, 0.137091, 0.118451, 0.10418, 0.0975458, 0.0917116, 0.077908, 0.0508929, 0.0349601, 0.0243302, 0.0159357, 0.012196, 0.00890309, 0.00628484, 0.00367264, 0.00173457, 0.00108769" \
+              "1e-22, 0.136824, 0.118445, 0.104176, 0.0975415, 0.0917065, 0.0779033, 0.0508878, 0.0349554, 0.024328, 0.0159341, 0.0121937, 0.00890043, 0.00628393, 0.00367296, 0.00173532, 0.00108706" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.00812174;
             index_1 ("0.00974609");
-            index_2 ("0.219778");
-            index_3 ("0.0435719, 0.0435919, 0.445456, 1.38062, 1.75089, 2.01612, 2.19159, 2.54254, 3.38966, 3.98292, 4.23688, 4.51638, 4.88904, 5.22396, 5.70654, 6.11981, 6.61015, 7.26393, 8.39864, 8.63106");
+            index_2 ("0.21977");
+            index_3 ("0.0430119, 0.0430319, 0.499535, 1.3806, 1.75038, 2.02326, 2.19162, 2.52834, 3.38988, 3.98445, 4.23697, 4.51488, 4.88543, 5.08212, 5.4164, 5.70951, 6.12148, 6.60776, 7.25613, 8.39084, 8.68902");
             values ( \
-              "1e-22, 0.140833, 0.120706, 0.10539, 0.0986835, 0.092996, 0.0886138, 0.078496, 0.0517746, 0.0357199, 0.0300503, 0.0246569, 0.0187327, 0.0145311, 0.0099786, 0.00717644, 0.00483393, 0.00280439, 0.00102673, 0.000892283" \
+              "1e-22, 0.138885, 0.119821, 0.105388, 0.0986905, 0.0928245, 0.0886091, 0.0789268, 0.0517637, 0.0356806, 0.0300461, 0.024682, 0.0187831, 0.0161905, 0.0125297, 0.00995563, 0.00716747, 0.00484403, 0.00282382, 0.00103403, 0.00086032" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.00812174;
             index_1 ("0.00974609");
-            index_2 ("0.800901");
-            index_3 ("0.105056, 0.105076, 2.25193, 4.95531, 6.3653, 7.50001, 7.90151, 9.65854, 12.2548, 13.8915, 15.0262, 15.9608, 16.9196, 18.0543, 19.6171, 20.6334, 21.7682, 22.5486, 23.854, 24.9887, 27.2581, 29.5275, 32.9316, 36.3358");
+            index_2 ("0.800865");
+            index_3 ("0.10283, 0.10285, 2.2844, 4.76387, 6.10644, 6.88555, 7.89967, 9.03438, 12.2504, 13.8829, 15.0176, 15.9795, 16.9314, 18.0661, 19.5964, 20.6204, 22.5456, 25.0092, 27.2786, 29.5481, 31.3453");
             values ( \
-              "1e-22, 0.137692, 0.117978, 0.105727, 0.0986458, 0.0917399, 0.0889138, 0.0746148, 0.0519639, 0.0393829, 0.0319517, 0.0266874, 0.0220406, 0.017452, 0.0125415, 0.0100695, 0.00785425, 0.00661252, 0.00494959, 0.00383695, 0.00229772, 0.00137163, 0.000630645, 0.000289309" \
+              "1e-22, 0.137228, 0.117833, 0.106621, 0.100035, 0.0956611, 0.08892, 0.079976, 0.0519967, 0.0394403, 0.0320067, 0.0265929, 0.0219857, 0.0174129, 0.0126015, 0.0100961, 0.00661471, 0.00381678, 0.00228436, 0.00136239, 0.00107792" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.00124625");
-            index_3 ("0.04789, 0.0558659, 0.0573806, 0.058096, 0.0588115, 0.0602424, 0.0608457, 0.061449, 0.0620523, 0.0626556, 0.0638321, 0.0649981, 0.0650688, 0.0652103, 0.0656623, 0.0659034, 0.0661446, 0.0667441, 0.066944, 0.0676595, 0.0681295, 0.068824, 0.06975, 0.0706761, 0.0727429, 0.0747345, 0.0757302, 0.0770482, 0.0783369, 0.08027, 0.0833562, 0.0839554, 0.0857529, 0.0872078, 0.0901958, 0.0922401, 0.0946604, 0.096525, 0.0986406, 0.100552, 0.101974, 0.103111, 0.106524, 0.107661, 0.110214, 0.113847, 0.117556, 0.119125, 0.122264, 0.126558");
+            index_3 ("0.0479024, 0.055878, 0.0573937, 0.0581089, 0.0588241, 0.0602544, 0.0608559, 0.0614573, 0.0620587, 0.0626601, 0.0638415, 0.0649409, 0.0650658, 0.0653157, 0.0659517, 0.0662916, 0.0666315, 0.0673547, 0.0679074, 0.0682192, 0.0688426, 0.0697913, 0.07074, 0.0727582, 0.0747514, 0.075748, 0.0770653, 0.0783483, 0.0802728, 0.0834201, 0.0840166, 0.0858063, 0.0872497, 0.090202, 0.0922138, 0.0946484, 0.096523, 0.0986492, 0.100575, 0.102013, 0.103164, 0.106615, 0.107766, 0.10944, 0.112028, 0.113855, 0.116595, 0.119092, 0.122259, 0.126589");
             values ( \
-              "0.0336607, 0.035626, 0.0382539, 0.0400224, 0.0419805, 0.0464654, 0.0466232, 0.0468792, 0.0472334, 0.0476859, 0.048851, 0.050456, 0.0534898, 0.0539464, 0.0562262, 0.0570806, 0.0577821, 0.0588078, 0.0590144, 0.0590857, 0.0590308, 0.0588028, 0.0581457, 0.05734, 0.0550061, 0.0524191, 0.0510012, 0.0489645, 0.0464832, 0.0425705, 0.0359631, 0.0348066, 0.0315288, 0.0290998, 0.0245767, 0.0218497, 0.0191671, 0.0173176, 0.0153722, 0.0137114, 0.0125878, 0.0117625, 0.00967696, 0.00905137, 0.00779066, 0.00614712, 0.00491964, 0.00447647, 0.00368861, 0.00281628" \
+              "0.0336738, 0.035622, 0.0382507, 0.0400161, 0.0419702, 0.0464442, 0.0466038, 0.0468606, 0.0472147, 0.0476661, 0.0488359, 0.0503481, 0.0538853, 0.0545477, 0.0571945, 0.0580649, 0.0586655, 0.0588743, 0.0589059, 0.0588747, 0.0587065, 0.0580759, 0.0572723, 0.0549877, 0.0523987, 0.0509802, 0.0489448, 0.0464749, 0.0425845, 0.0358619, 0.0347141, 0.0314602, 0.0290565, 0.0245907, 0.0218998, 0.019197, 0.0173365, 0.015381, 0.0137069, 0.0125732, 0.0117412, 0.00963755, 0.00900759, 0.00817591, 0.00695426, 0.00615436, 0.0052249, 0.00449315, 0.00369618, 0.00281581" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
-            index_2 ("0.00454152");
-            index_3 ("0.0453595, 0.0524227, 0.0687282, 0.0712246, 0.0937231, 0.109377, 0.137714, 0.152295, 0.169595, 0.186795, 0.199908, 0.213891, 0.243059, 0.267755");
+            index_2 ("0.00454148");
+            index_3 ("0.0453754, 0.0524396, 0.0687194, 0.0712069, 0.0939607, 0.109409, 0.13778, 0.151267, 0.16714, 0.186499, 0.202643, 0.214991, 0.237806, 0.263705");
             values ( \
-              "0.00243675, 0.0439181, 0.0976231, 0.0973999, 0.0849104, 0.0733971, 0.0431937, 0.0307889, 0.0198502, 0.012437, 0.00859023, 0.00571916, 0.00241364, 0.00113976" \
+              "0.00242797, 0.0439203, 0.0975908, 0.0973938, 0.0847619, 0.0733751, 0.0431502, 0.031583, 0.0211897, 0.012549, 0.00795781, 0.00554946, 0.00284297, 0.00174051" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
-            index_2 ("0.0165499");
-            index_3 ("0.0457063, 0.0620309, 0.0648764, 0.0674921, 0.0689919, 0.0709212, 0.0775097, 0.134621, 0.164643, 0.194022, 0.203969, 0.229077, 0.256559, 0.288599, 0.325696, 0.342245, 0.375342, 0.394416, 0.415484, 0.443573, 0.462446, 0.476247, 0.494649, 0.531454, 0.560307, 0.60445, 0.663307, 0.767253, 0.890279");
+            index_2 ("0.0165496");
+            index_3 ("0.0457131, 0.0620706, 0.0648775, 0.067483, 0.0689731, 0.0698957, 0.0711258, 0.0777485, 0.134624, 0.164661, 0.194048, 0.203958, 0.215284, 0.2291, 0.256732, 0.288636, 0.325727, 0.342274, 0.375367, 0.394457, 0.415543, 0.443657, 0.462504, 0.476287, 0.494664, 0.531419, 0.560364, 0.575123, 0.604642, 0.663679, 0.767678, 0.890806");
             values ( \
-              "0.00138359, 0.0878027, 0.0977109, 0.116167, 0.117826, 0.118141, 0.117041, 0.105982, 0.0999903, 0.093575, 0.0911032, 0.0838021, 0.0740396, 0.0616135, 0.0479481, 0.0424126, 0.0325657, 0.0277528, 0.0231069, 0.0179345, 0.015063, 0.0132384, 0.0111197, 0.0077744, 0.00586148, 0.00378201, 0.00206754, 0.000646929, 0.000152357" \
+              "0.00136889, 0.0879111, 0.0976647, 0.116108, 0.117792, 0.118092, 0.118118, 0.116985, 0.105976, 0.0999823, 0.093566, 0.0911034, 0.0880219, 0.0837941, 0.0739757, 0.0616026, 0.0479425, 0.0424087, 0.0325649, 0.0277489, 0.0231004, 0.0179258, 0.0150595, 0.0132379, 0.0111222, 0.00778045, 0.00586101, 0.00506961, 0.00377678, 0.00206077, 0.00064444, 0.00015157" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
-            index_2 ("0.0603101");
-            index_3 ("0.0464228, 0.0745985, 0.434383, 0.572455, 0.659582, 0.752875, 0.993415, 1.16042, 1.30603, 1.46503, 1.56242, 1.67373, 1.79549, 1.98, 2.22601, 2.40967");
+            index_2 ("0.0603084");
+            index_3 ("0.0464267, 0.0746099, 0.434398, 0.572474, 0.659602, 0.75289, 0.993453, 1.16048, 1.30608, 1.4651, 1.56253, 1.67388, 1.79561, 1.98006, 2.22599, 2.40982");
             values ( \
-              "0.029025, 0.125183, 0.10418, 0.0949592, 0.0875294, 0.0779083, 0.0508933, 0.0349605, 0.0243306, 0.0159361, 0.0121965, 0.00890362, 0.00628526, 0.003673, 0.00173482, 0.00112341" \
+              "0.0289844, 0.125179, 0.104176, 0.0949546, 0.0875242, 0.0779035, 0.0508881, 0.0349558, 0.0243283, 0.0159344, 0.0121941, 0.00890097, 0.00628435, 0.00367331, 0.00173556, 0.00112338" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
-            index_2 ("0.219778");
-            index_3 ("0.0825475, 0.0825675, 0.534475, 1.41564, 1.78542, 2.05827, 2.22666, 2.56344, 3.42487, 4.01937, 4.27192, 4.54985, 4.92042, 5.26021, 5.74426, 6.15623, 6.64256, 7.291, 8.42571, 8.67091");
+            index_2 ("0.21977");
+            index_3 ("0.0825508, 0.0825708, 0.534481, 1.41563, 1.78542, 2.05828, 2.22665, 2.56341, 3.42491, 4.01948, 4.272, 4.54992, 4.92047, 5.2604, 5.74452, 6.1565, 6.64277, 7.29114, 8.42585, 8.67107");
             values ( \
-              "1e-22, 0.141478, 0.119821, 0.105391, 0.098694, 0.092829, 0.0886133, 0.0789297, 0.0517685, 0.0356853, 0.0300494, 0.0246841, 0.018784, 0.0145175, 0.00995746, 0.00716863, 0.00484445, 0.00282377, 0.0010339, 0.000891068" \
+              "1e-22, 0.14147, 0.119818, 0.105388, 0.0986907, 0.0928249, 0.0886091, 0.0789258, 0.0517638, 0.0356808, 0.0300462, 0.0246821, 0.0187831, 0.0145155, 0.0099558, 0.0071676, 0.00484411, 0.00282388, 0.00103404, 0.000891189" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
-            index_2 ("0.800901");
-            index_3 ("0.15978, 0.1598, 1.78956, 4.99024, 6.12494, 6.95372, 7.93481, 9.06952, 12.2852, 13.9177, 15.0524, 16.0142, 16.966, 18.1007, 19.6308, 20.6548, 22.5799, 25.0434, 27.3128, 29.5822, 31.4338");
+            index_2 ("0.800865");
+            index_3 ("0.1599, 0.15992, 1.78953, 4.99013, 6.12484, 6.95354, 7.93467, 9.06937, 12.2852, 13.9178, 15.0525, 16.0144, 16.9663, 18.101, 19.6313, 20.6553, 22.5805, 25.0441, 27.3135, 29.5829, 31.4322");
             values ( \
-              "1e-22, 0.143875, 0.120203, 0.105721, 0.100129, 0.0954686, 0.0889193, 0.079983, 0.0519983, 0.0394415, 0.0320126, 0.0265985, 0.0219855, 0.0174172, 0.0126057, 0.010095, 0.00661319, 0.00381523, 0.00228262, 0.00136059, 0.00106755" \
+              "1e-22, 0.14389, 0.120201, 0.105719, 0.100126, 0.0954654, 0.0889155, 0.0799784, 0.0519939, 0.0394377, 0.0320094, 0.0265953, 0.0219833, 0.0174152, 0.0126039, 0.0100939, 0.00661263, 0.00381506, 0.00228269, 0.00136077, 0.00106812" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.102127, 0.130496, 0.132968, 0.136265, 0.142756, 0.15645, 0.171391, 0.182954, 0.192928, 0.201974, 0.210515, 0.218995, 0.227465, 0.23158, 0.234709, 0.240969, 0.24594, 0.248626, 0.252979, 0.258922, 0.260483, 0.261062, 0.26222, 0.26386, 0.26714, 0.270297, 0.274682, 0.278146, 0.282766, 0.292004, 0.29339");
+            index_3 ("0.102126, 0.130496, 0.133016, 0.136376, 0.142784, 0.156466, 0.17141, 0.182975, 0.192951, 0.201999, 0.210542, 0.219024, 0.227495, 0.231613, 0.234745, 0.24101, 0.245974, 0.248657, 0.253014, 0.258961, 0.260483, 0.261102, 0.26234, 0.263994, 0.267301, 0.270418, 0.274756, 0.278137, 0.282646, 0.291663, 0.293468");
             values ( \
-              "0.000809671, 0.00287521, 0.00341433, 0.00420374, 0.00628461, 0.012359, 0.0176551, 0.0211674, 0.023814, 0.0257277, 0.0269216, 0.0260625, 0.0204385, 0.0173014, 0.0152034, 0.011613, 0.00932028, 0.00820982, 0.00661356, 0.00485512, 0.00446301, 0.00542743, 0.00582887, 0.00571756, 0.00489248, 0.00399085, 0.0030406, 0.00243962, 0.00182169, 0.000991464, 0.000933902" \
+              "0.000809612, 0.00287292, 0.00342245, 0.00423001, 0.0062917, 0.0123567, 0.017651, 0.0211628, 0.0238091, 0.0257232, 0.0269162, 0.0260566, 0.0204322, 0.0172952, 0.0151969, 0.0116059, 0.00931792, 0.00820943, 0.00661241, 0.00485347, 0.00447098, 0.00544756, 0.00584282, 0.00569678, 0.00485112, 0.00396757, 0.00303251, 0.00244602, 0.0018395, 0.00101734, 0.00094037" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
-            index_2 ("0.00454152");
-            index_3 ("0.11087, 0.130288, 0.137601, 0.151096, 0.196689, 0.213776, 0.228357, 0.241527, 0.254143, 0.260275, 0.263606, 0.285791, 0.306221, 0.322337, 0.338402, 0.347167, 0.359539, 0.367562, 0.382554, 0.402544, 0.407775");
+            index_2 ("0.00454148");
+            index_3 ("0.110872, 0.130289, 0.137601, 0.15114, 0.196707, 0.213794, 0.228377, 0.241548, 0.254166, 0.260276, 0.263618, 0.285824, 0.30626, 0.322357, 0.338454, 0.347216, 0.359589, 0.367606, 0.382586, 0.402559, 0.407747");
             values ( \
-              "0.00297433, 0.0062316, 0.00871161, 0.0155874, 0.043141, 0.0525856, 0.0596844, 0.0644563, 0.0653011, 0.0624465, 0.0623955, 0.0400374, 0.0244138, 0.0159804, 0.0102437, 0.00797169, 0.00556694, 0.00440014, 0.00282398, 0.00153792, 0.00138099" \
+              "0.00297394, 0.00622754, 0.0087063, 0.015605, 0.0431378, 0.0525804, 0.0596796, 0.0644494, 0.0652929, 0.0624487, 0.0623929, 0.0400237, 0.0244042, 0.0159833, 0.0102378, 0.00796798, 0.00556493, 0.00439937, 0.00282485, 0.00153952, 0.00138371" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
-            index_2 ("0.0165499");
-            index_3 ("0.12929, 0.161775, 0.171457, 0.242431, 0.259277, 0.264443, 0.270524, 0.276719, 0.289108, 0.329629, 0.350284, 0.370086, 0.404457, 0.455661, 0.473941, 0.494832, 0.527002, 0.554878, 0.575229, 0.608639, 0.622906, 0.649004, 0.685797, 0.724463, 0.75414, 0.813494, 0.919987, 1.04667");
+            index_2 ("0.0165496");
+            index_3 ("0.130075, 0.162562, 0.17152, 0.249625, 0.260062, 0.265217, 0.271657, 0.278141, 0.290751, 0.325452, 0.337939, 0.362911, 0.38885, 0.462547, 0.500384, 0.529625, 0.552267, 0.582455, 0.609253, 0.643225, 0.684449, 0.703334, 0.731747, 0.769632, 0.8454, 0.900688");
             values ( \
-              "0.00917188, 0.0275553, 0.0345538, 0.0913431, 0.103857, 0.107213, 0.107336, 0.105628, 0.103423, 0.0948417, 0.089716, 0.0838084, 0.0714283, 0.051892, 0.0454928, 0.0387955, 0.0298118, 0.0234568, 0.0195445, 0.0143593, 0.0125627, 0.00978045, 0.0068301, 0.00467051, 0.00346775, 0.00188738, 0.000566335, 0.000128257" \
+              "0.00917151, 0.0275468, 0.0339944, 0.0964353, 0.103844, 0.107322, 0.10741, 0.105603, 0.103384, 0.0960936, 0.0931982, 0.0865257, 0.077831, 0.0499099, 0.0375403, 0.0295121, 0.0242869, 0.0185326, 0.0144698, 0.0104886, 0.00699721, 0.00581523, 0.00439133, 0.0030105, 0.00134782, 0.000869951" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
-            index_2 ("0.0603101");
-            index_3 ("0.157827, 0.199077, 0.259852, 0.266558, 0.275664, 0.284012, 0.287146, 0.572367, 0.684179, 0.79394, 0.848823, 0.911548, 1.08645, 1.14979, 1.23387, 1.34179, 1.43936, 1.5087, 1.62236, 1.6706, 1.76013, 1.8862, 1.94252, 2.01901, 2.121, 2.32497, 2.69084, 3.12485");
+            index_2 ("0.0603084");
+            index_3 ("0.158187, 0.199094, 0.259848, 0.266554, 0.275711, 0.28406, 0.287156, 0.57238, 0.684194, 0.793957, 0.848848, 0.91158, 1.08642, 1.14995, 1.23399, 1.34188, 1.43933, 1.50871, 1.62244, 1.67073, 1.76025, 1.88632, 1.94263, 2.0191, 2.12106, 2.32497, 2.69086, 3.12486");
             values ( \
-              "0.0454469, 0.0598849, 0.117023, 0.121434, 0.122148, 0.121, 0.121059, 0.104428, 0.0971769, 0.0882685, 0.0828347, 0.0760601, 0.0561816, 0.0493787, 0.0410694, 0.0317744, 0.024884, 0.0207628, 0.0152888, 0.0133961, 0.0104283, 0.00727687, 0.00619327, 0.00496839, 0.00368757, 0.00199817, 0.000598137, 0.000132641" \
+              "0.046319, 0.0598931, 0.117008, 0.121427, 0.122144, 0.120993, 0.121053, 0.104424, 0.0971725, 0.0882634, 0.0828288, 0.0760533, 0.0561833, 0.0493608, 0.0410581, 0.0317686, 0.0248869, 0.0207635, 0.0152869, 0.0133927, 0.0104261, 0.00727561, 0.00619246, 0.00496817, 0.00368784, 0.00199882, 0.000598404, 0.000132723" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
-            index_2 ("0.219778");
-            index_3 ("0.21387, 0.21389, 0.710769, 1.55491, 1.92453, 2.36593, 2.69842, 3.56418, 4.15906, 4.68863, 5.25601, 5.5916, 5.88432, 6.2959, 6.78102, 7.42783, 8.56254, 8.72339");
+            index_2 ("0.21977");
+            index_3 ("0.21531, 0.21533, 0.649247, 0.845942, 1.1795, 1.19208, 1.55734, 1.94529, 2.36832, 2.71211, 3.5665, 4.16045, 4.41368, 4.69237, 5.06396, 5.25924, 5.59111, 5.88485, 6.29747, 6.78572, 7.43672, 8.57143, 9.70614, 10.8408");
             values ( \
-              "1e-22, 0.136236, 0.119223, 0.105394, 0.0986937, 0.0886132, 0.0790608, 0.0517666, 0.0356748, 0.0246923, 0.0161952, 0.0125207, 0.00995107, 0.00716639, 0.00484775, 0.00282963, 0.00103615, 0.000972453" \
+              "1e-22, 0.136233, 0.121001, 0.116331, 0.112395, 0.111453, 0.105388, 0.0983314, 0.0886094, 0.0787109, 0.051767, 0.0356981, 0.0300468, 0.0246687, 0.0187578, 0.0161855, 0.0125494, 0.00996643, 0.00717161, 0.00483905, 0.00281438, 0.00103054, 0.000371742, 0.000136621" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
-            index_2 ("0.800901");
-            index_3 ("0.294117, 0.294137, 1.93255, 4.55035, 6.26827, 7.09706, 8.07813, 9.21283, 12.4285, 14.061, 15.5163, 16.1577, 17.1095, 18.2442, 19.7739, 20.798, 22.7232, 25.1866, 27.4561, 29.7255, 31.7165");
+            index_2 ("0.800865");
+            index_3 ("0.3106, 0.31062, 1.93262, 4.55014, 6.26821, 7.09692, 8.07803, 9.21274, 12.4285, 14.0611, 15.5165, 17.1097, 18.7544, 19.7744, 20.7985, 23.2933, 25.1873, 27.4568, 31.3344");
             values ( \
-              "1e-22, 0.142498, 0.120136, 0.108502, 0.100187, 0.0955231, 0.088868, 0.0800317, 0.0519585, 0.0394034, 0.0300716, 0.0266339, 0.0219496, 0.017452, 0.0126405, 0.0100615, 0.00658014, 0.00378487, 0.0022527, 0.00133109, 0.00101635" \
+              "1e-22, 0.145158, 0.12013, 0.108503, 0.100187, 0.0955228, 0.0888615, 0.0800297, 0.051952, 0.0393976, 0.0300667, 0.0219453, 0.0156074, 0.0126405, 0.0100586, 0.00579708, 0.003783, 0.00225109, 0.000992759" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.332114, 0.456744, 0.493922, 0.51233, 0.527329, 0.583032, 0.608199, 0.629888, 0.649745, 0.668725, 0.687291, 0.705843, 0.735047, 0.754041, 0.771013, 0.794987, 0.814841, 0.84539, 0.881939, 1.04087, 1.06015");
+            index_3 ("0.333466, 0.424742, 0.458611, 0.494063, 0.512462, 0.527324, 0.583106, 0.608276, 0.629969, 0.64983, 0.668813, 0.687382, 0.705938, 0.735148, 0.754147, 0.771126, 0.795106, 0.814966, 0.845522, 0.882081, 1.04092, 1.05744");
             values ( \
-              "9.18106e-05, 0.000586277, 0.00137229, 0.00198622, 0.00285825, 0.00803575, 0.00980165, 0.0109041, 0.0116399, 0.0120524, 0.0121419, 0.0113505, 0.00600099, 0.00345572, 0.00196705, 0.000819937, 0.000366772, 9.62117e-05, 2.95983e-05, 1.12458e-05, 0.000224207" \
+              "9.38538e-05, 0.000392319, 0.000743756, 0.00137836, 0.00199556, 0.00285563, 0.00803538, 0.00979945, 0.0109028, 0.0116372, 0.0120509, 0.0121391, 0.0113487, 0.0059997, 0.00345483, 0.00196635, 0.000819585, 0.00036662, 9.61513e-05, 2.96117e-05, 1.12297e-05, 0.000194213" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
-            index_2 ("0.00454152");
-            index_3 ("0.366244, 0.477401, 0.497869, 0.52048, 0.533702, 0.560146, 0.608767, 0.626184, 0.663772, 0.696349, 0.726031, 0.753964, 0.781204, 0.808401, 0.849033, 0.879721, 0.903227, 0.920504, 0.941625, 0.958499, 0.992246, 1.04064, 1.06047, 1.11161");
+            index_2 ("0.00454148");
+            index_3 ("0.366425, 0.477418, 0.497767, 0.520481, 0.533716, 0.560186, 0.608732, 0.626203, 0.663795, 0.696374, 0.726059, 0.753994, 0.781236, 0.808435, 0.849074, 0.879766, 0.90328, 0.92056, 0.941687, 0.958567, 0.992326, 1.04064, 1.06046, 1.11157");
             values ( \
-              "0.000210068, 0.0027341, 0.0037044, 0.00525128, 0.00656607, 0.0102076, 0.0175505, 0.0197664, 0.0237159, 0.0265449, 0.0285469, 0.0299455, 0.0301899, 0.0268312, 0.015724, 0.00905732, 0.00554404, 0.00374444, 0.00227175, 0.00149341, 0.000602709, 0.000144361, 0.000730405, 0.000115302" \
+              "0.000214178, 0.00273434, 0.00369802, 0.00525001, 0.00656595, 0.0102111, 0.0175419, 0.0197645, 0.0237134, 0.0265424, 0.0285441, 0.0299428, 0.0301868, 0.0268277, 0.0157213, 0.00905564, 0.00554264, 0.0037434, 0.00227091, 0.00149275, 0.00060232, 0.000144798, 0.000730825, 0.000115656" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
-            index_2 ("0.0165499");
-            index_3 ("0.401278, 0.512743, 0.535939, 0.566867, 0.646894, 0.702791, 0.785557, 0.810393, 0.856062, 0.895967, 0.941405, 0.986832, 1.01682, 1.04063, 1.04186, 1.04432, 1.07755, 1.10958, 1.12477, 1.15398, 1.18237, 1.20628, 1.23179, 1.26813, 1.28395, 1.3051, 1.3333, 1.3897, 1.49577, 1.62072");
+            index_2 ("0.0165496");
+            index_3 ("0.400958, 0.512744, 0.535933, 0.566851, 0.646904, 0.702795, 0.785589, 0.810375, 0.856276, 0.895985, 0.941426, 0.986854, 1.01681, 1.04063, 1.04181, 1.04416, 1.07654, 1.10921, 1.12532, 1.15642, 1.18304, 1.20511, 1.23106, 1.26773, 1.28399, 1.30595, 1.33523, 1.3938, 1.5005, 1.62671");
             values ( \
-              "0.00259864, 0.00810218, 0.0106326, 0.0150161, 0.0291254, 0.037963, 0.0504439, 0.0539741, 0.0599793, 0.0643055, 0.0669167, 0.0629478, 0.0558443, 0.0492751, 0.0495436, 0.0492798, 0.0384963, 0.0296035, 0.0260093, 0.020084, 0.0154707, 0.0123631, 0.00968426, 0.00678656, 0.00581169, 0.00471797, 0.00356182, 0.00199749, 0.000607761, 0.00013746" \
+              "0.0025694, 0.00810055, 0.0106301, 0.0150114, 0.0291238, 0.0379597, 0.050444, 0.053967, 0.0600004, 0.0643022, 0.0669127, 0.0629417, 0.0558456, 0.0492764, 0.0495498, 0.0493181, 0.0388051, 0.029696, 0.0258891, 0.0196476, 0.0153793, 0.0125055, 0.00975622, 0.0068152, 0.00581194, 0.00468042, 0.00349482, 0.00191392, 0.000577061, 0.0001278" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
-            index_2 ("0.0603101");
-            index_3 ("0.585153, 0.747527, 0.858847, 0.879984, 0.901121, 0.922258, 0.943395, 0.956085, 0.968776, 0.981466, 0.994157, 1.0066, 1.01904, 1.03149, 1.04393, 1.04777, 1.0516, 1.05926, 1.07971, 1.15035, 1.2015, 1.24397, 1.28384, 1.33525, 1.36463, 1.37567, 1.4051, 1.45347, 1.53807, 1.62133, 1.68107, 1.73039, 1.77492, 1.82568, 1.86397, 1.93281, 1.98476, 2.04144, 2.06034, 2.08621, 2.13795, 2.18001, 2.19619, 2.22855, 2.26091, 2.29328, 2.358, 2.39036, 2.41227, 2.51069");
+            index_2 ("0.0603084");
+            index_3 ("0.585061, 0.747549, 0.858779, 0.879931, 0.901083, 0.922235, 0.943387, 0.956078, 0.96877, 0.981461, 0.994152, 1.00659, 1.01904, 1.03149, 1.04393, 1.04776, 1.05159, 1.05925, 1.07967, 1.15035, 1.20154, 1.24398, 1.28386, 1.33533, 1.36471, 1.37567, 1.40489, 1.45336, 1.53807, 1.62133, 1.68108, 1.73042, 1.77498, 1.82573, 1.86402, 1.93285, 1.98479, 2.04145, 2.06034, 2.08622, 2.13799, 2.18006, 2.19625, 2.22863, 2.261, 2.29338, 2.35814, 2.39052, 2.41241, 2.51079");
             values ( \
-              "0.0463917, 0.050751, 0.0733681, 0.0787188, 0.084616, 0.0910597, 0.09805, 0.0988708, 0.0998865, 0.101097, 0.102502, 0.10407, 0.105824, 0.107766, 0.109896, 0.10993, 0.109837, 0.109528, 0.108389, 0.104162, 0.100921, 0.097966, 0.0950159, 0.0909583, 0.0884973, 0.0875065, 0.0845805, 0.0794935, 0.0700708, 0.060545, 0.0539182, 0.0486035, 0.044014, 0.0393321, 0.0360426, 0.0304583, 0.0265314, 0.0230215, 0.0219302, 0.0205555, 0.0179151, 0.0158764, 0.0151175, 0.0138022, 0.0125942, 0.0115556, 0.00967563, 0.00883418, 0.00832843, 0.00620916" \
+              "0.0463518, 0.0507509, 0.0733487, 0.0787018, 0.0846017, 0.0910485, 0.0980422, 0.0988631, 0.0998789, 0.101089, 0.102495, 0.104062, 0.105817, 0.107759, 0.109889, 0.109925, 0.109832, 0.109526, 0.108387, 0.104158, 0.100913, 0.0979607, 0.0950091, 0.0909466, 0.0884852, 0.0875017, 0.0845973, 0.0795, 0.0700659, 0.0605419, 0.0539137, 0.048597, 0.0440056, 0.039325, 0.0360374, 0.0304548, 0.0265294, 0.0230212, 0.0219303, 0.0205552, 0.0179141, 0.0158747, 0.0151155, 0.0138, 0.0125916, 0.0115529, 0.0096726, 0.00883114, 0.00832615, 0.00620815" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
-            index_2 ("0.219778");
-            index_3 ("0.519457, 0.72969, 1.05218, 1.06701, 2.12399, 2.51244, 2.65218, 2.93466, 3.36505, 4.09483, 4.471, 4.87514, 5.08834, 5.47402, 5.79299, 6.04891, 6.37016, 6.82199, 7.29941, 7.66626, 8.39996, 9.53467, 10.6694");
+            index_2 ("0.21977");
+            index_3 ("0.519415, 0.729607, 1.05223, 1.06714, 2.12376, 2.49349, 2.62204, 2.93492, 3.26683, 4.13322, 4.33155, 4.72821, 4.98026, 5.25763, 5.62747, 5.87309, 6.16102, 6.45368, 6.86521, 7.35011, 7.99665, 9.13136, 10.2661, 11.4008");
             values ( \
-              "0.0253269, 0.0499835, 0.122823, 0.12278, 0.105417, 0.0983446, 0.0953978, 0.0886459, 0.0760281, 0.0529318, 0.0421959, 0.0323187, 0.0278712, 0.0210952, 0.0166051, 0.0136561, 0.0106297, 0.00741039, 0.00505178, 0.00374596, 0.00202148, 0.000735612, 0.000267147" \
+              "0.0253511, 0.0499713, 0.122818, 0.122774, 0.105393, 0.0986919, 0.0960668, 0.0886089, 0.0790739, 0.0517617, 0.046008, 0.035669, 0.0300459, 0.0246914, 0.0188007, 0.0156185, 0.0125168, 0.00994864, 0.00716504, 0.00484779, 0.00283053, 0.00103654, 0.000373897, 0.000137433" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
-            index_2 ("0.800901");
-            index_3 ("0.771832, 0.771852, 6.0849, 7.63488, 8.64588, 9.78058, 12.6364, 14.6289, 16.7253, 18.8118, 20.3421, 22.5007, 24.6199, 25.7546, 30.2934, 30.4261");
+            index_2 ("0.800865");
+            index_3 ("0.772133, 0.772153, 6.08492, 7.6352, 8.64591, 9.78062, 12.6365, 14.6292, 16.7256, 18.8122, 20.3428, 22.5014, 24.6207, 25.7554, 30.2943, 30.412");
             values ( \
-              "1e-22, 0.128535, 0.103934, 0.0956103, 0.0889576, 0.0799482, 0.0549964, 0.0394699, 0.026572, 0.0173911, 0.0125798, 0.0078588, 0.00491044, 0.00383797, 0.00138266, 0.00136165" \
+              "1e-22, 0.128545, 0.103931, 0.0956052, 0.0889537, 0.0799437, 0.0549919, 0.039466, 0.026569, 0.0173894, 0.0125781, 0.00785794, 0.00490987, 0.00383757, 0.00138261, 0.00136396" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("1.54631, 1.81336, 1.95734, 2.0208, 2.08107, 2.18251, 2.2454, 2.29936, 2.34915, 2.39696, 2.44376, 2.49053, 2.50437, 2.61272, 2.65612, 2.71898, 2.77427");
+            index_3 ("1.54635, 1.81337, 1.95736, 2.02083, 2.08109, 2.18254, 2.24544, 2.29941, 2.34921, 2.39702, 2.44382, 2.4906, 2.50443, 2.61279, 2.65619, 2.71903, 2.77435");
             values ( \
-              "0.000176847, 0.000222655, 0.000479639, 0.000794635, 0.00147221, 0.0031963, 0.00394293, 0.00436825, 0.00462681, 0.00477585, 0.00482105, 0.00468504, 0.00450838, 0.00116256, 0.000585165, 0.00024435, 0.000124387" \
+              "0.000176883, 0.000222657, 0.000479628, 0.000794653, 0.0014721, 0.00319599, 0.00394252, 0.0043678, 0.00462635, 0.00477542, 0.00482061, 0.00468464, 0.00450802, 0.00116275, 0.000585272, 0.000244374, 0.000124323" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
-            index_2 ("0.00454152");
-            index_3 ("1.55349, 1.79758, 1.91422, 1.98899, 2.00474, 2.03624, 2.08376, 2.2553, 2.3397, 2.41175, 2.47756, 2.53991, 2.60071, 2.66146, 2.68019, 2.78643, 2.825, 2.86425, 2.88771, 2.93461, 3.01037, 3.09844, 3.20782, 4.16522, 4.21123");
+            index_2 ("0.00454148");
+            index_3 ("1.55349, 1.79759, 1.91425, 1.98901, 2.00476, 2.03625, 2.08376, 2.25533, 2.33973, 2.41179, 2.4776, 2.53995, 2.60075, 2.66151, 2.68025, 2.78649, 2.82507, 2.86432, 2.88778, 2.93469, 3.01045, 3.09853, 3.20792, 4.16522, 4.21113");
             values ( \
-              "0.000638219, 0.000702196, 0.00117281, 0.00174059, 0.0019231, 0.00235447, 0.00330217, 0.00866187, 0.0107171, 0.0119997, 0.0128502, 0.0133632, 0.013561, 0.0130981, 0.0124782, 0.00544459, 0.00348024, 0.00208965, 0.00150691, 0.00075296, 0.000205671, 4.99388e-05, 1.08772e-05, 1.95257e-06, 0.000111633" \
+              "0.000638124, 0.000702189, 0.00117287, 0.00174064, 0.00192306, 0.00235424, 0.00330165, 0.00866125, 0.0107163, 0.0119988, 0.0128493, 0.0133622, 0.01356, 0.013097, 0.0124767, 0.00544402, 0.00347975, 0.00208936, 0.00150673, 0.000752866, 0.000205646, 4.992e-05, 1.08822e-05, 1.95307e-06, 0.00011179" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
-            index_2 ("0.0165499");
-            index_3 ("1.03171, 1.79904, 1.90516, 1.98669, 2.08039, 2.13593, 2.35457, 2.43184, 2.56772, 2.6848, 2.79178, 2.89264, 2.99077, 3.08877, 3.13796, 3.27729, 3.32748, 3.38426, 3.43514, 3.50011, 3.58675, 3.71815");
+            index_2 ("0.0165496");
+            index_3 ("1.03172, 1.79897, 1.90566, 1.98771, 2.08043, 2.13541, 2.35686, 2.43186, 2.56772, 2.68483, 2.79181, 2.89268, 2.9908, 3.08881, 3.13802, 3.27733, 3.32754, 3.38433, 3.43521, 3.50018, 3.58682, 3.71815");
             values ( \
-              "3.88232e-05, 0.00138125, 0.00274408, 0.00390585, 0.00588798, 0.00762128, 0.0169678, 0.0198495, 0.0240336, 0.0268498, 0.0288525, 0.0301814, 0.0306395, 0.0282073, 0.0248261, 0.0135618, 0.0101553, 0.0070725, 0.00497583, 0.00309399, 0.00154853, 0.000488149" \
+              "3.88367e-05, 0.00138086, 0.00275049, 0.00392249, 0.00588915, 0.00760232, 0.0170584, 0.0198489, 0.0240323, 0.0268489, 0.0288517, 0.0301803, 0.0306384, 0.0282055, 0.0248231, 0.0135615, 0.0101542, 0.00707131, 0.00497505, 0.00309367, 0.00154851, 0.000488804" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
-            index_2 ("0.0603101");
-            index_3 ("1.03693, 1.79511, 1.94281, 2.02766, 2.1917, 2.51522, 3.07376, 3.27842, 3.46191, 3.63334, 3.80495, 3.97531, 4.33607, 4.49503, 4.65733, 4.7833, 4.91574, 5.0174, 5.22073, 5.41879");
+            index_2 ("0.0603084");
+            index_3 ("1.03741, 1.7955, 2.02813, 2.19213, 2.69436, 3.07423, 3.27889, 3.46238, 3.63381, 3.80543, 3.97579, 4.33708, 4.49567, 4.65771, 4.78376, 4.91646, 5.01836, 5.22215, 5.39703");
             values ( \
-              "0.000116009, 0.0033453, 0.00603928, 0.0079682, 0.0130961, 0.0277696, 0.0495366, 0.0565203, 0.0618025, 0.0648135, 0.0622664, 0.0521109, 0.0248968, 0.0163334, 0.0104242, 0.00727194, 0.00497079, 0.00369491, 0.00200457, 0.00124508" \
+              "0.000116069, 0.00334439, 0.00796832, 0.0130944, 0.0351503, 0.0495353, 0.0565186, 0.0618006, 0.064811, 0.0622627, 0.0521068, 0.0248617, 0.0163258, 0.0104276, 0.00727288, 0.00496783, 0.00369028, 0.00199921, 0.00133051" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
-            index_2 ("0.219778");
-            index_3 ("1.79732, 2.28548, 2.49726, 3.00346, 3.65388, 4.06687, 4.16583, 4.23097, 4.43482, 4.82328, 5.21803, 5.64898, 6.22603, 6.58265, 7.04324, 7.29046, 7.58641, 7.981, 8.26401, 8.42273, 8.73084, 9.15771, 9.33563, 9.69145, 10.4031, 11.5378, 12.6725, 13.8072");
+            index_2 ("0.21977");
+            index_3 ("1.79726, 2.28549, 2.49733, 3.00346, 3.65388, 4.06686, 4.16582, 4.23097, 4.43481, 4.82326, 5.21802, 5.64906, 6.22552, 6.58373, 7.04399, 7.29057, 7.58547, 7.97866, 8.26506, 8.42569, 8.73295, 9.15898, 9.33596, 9.68991, 10.3978, 11.5325, 12.6672, 13.8019");
             values ( \
-              "0.0127702, 0.0196636, 0.0290414, 0.0532279, 0.0861907, 0.105488, 0.109517, 0.108805, 0.105398, 0.0983202, 0.0893656, 0.0768868, 0.0584661, 0.0477073, 0.0355714, 0.0300607, 0.0243751, 0.0181916, 0.0146861, 0.0129903, 0.0102142, 0.00725813, 0.00630085, 0.00471746, 0.00260756, 0.000946991, 0.0003483, 0.000121135" \
+              "0.0127646, 0.0196635, 0.0290437, 0.0532263, 0.0861881, 0.105485, 0.109513, 0.108802, 0.105395, 0.0983169, 0.0893617, 0.0768793, 0.0584779, 0.047673, 0.0355515, 0.030057, 0.0243908, 0.0182237, 0.0146747, 0.0129609, 0.0101979, 0.00725152, 0.00629992, 0.00472416, 0.00262015, 0.00095174, 0.000350057, 0.000121792" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
-            index_2 ("0.800901");
-            index_3 ("2.08558, 2.85711, 4.20541, 7.30448, 9.9537, 12.0692, 14.7449, 16.8074, 18.3719, 20.1002, 21.6126, 23.4849, 25.5282, 28.2272, 32.7661, 39.5743");
+            index_2 ("0.800865");
+            index_3 ("2.08561, 2.85713, 4.20542, 7.30449, 9.95355, 12.0691, 14.7449, 16.8063, 18.372, 20.1019, 21.613, 23.4836, 25.5282, 28.2313, 32.7701, 39.5783");
             values ( \
-              "0.0272252, 0.0475302, 0.123138, 0.109112, 0.0956558, 0.0801548, 0.0563906, 0.0400769, 0.029973, 0.021256, 0.0155031, 0.0103418, 0.00655742, 0.00353497, 0.0011816, 0.000151728" \
+              "0.0272232, 0.0475289, 0.123136, 0.10911, 0.0956531, 0.0801506, 0.056386, 0.0400811, 0.0299699, 0.0212473, 0.0155012, 0.0103445, 0.00655739, 0.00353176, 0.00118049, 0.000151473" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("5.3703, 7.0488, 7.27777, 7.73572, 7.82861, 8.0144, 8.17623, 8.33805, 8.5017, 8.69169, 8.86203, 9.02519, 9.18497, 9.34341, 9.50183, 9.53786, 9.5763, 9.74936, 9.80816, 9.90094, 9.98067, 10.1398, 10.3806, 10.3991, 10.4361, 10.5102, 10.6585, 10.9549, 11.4854, 12.0978");
+            index_3 ("5.3708, 7.04836, 7.27719, 7.73487, 8.01476, 8.17618, 8.33759, 8.50126, 8.69129, 8.86163, 9.02479, 9.18458, 9.34302, 9.50145, 9.53747, 9.5759, 9.74898, 9.80777, 9.90053, 9.98025, 10.1393, 10.3801, 10.3987, 10.4357, 10.5099, 10.6581, 10.9546, 11.4852, 12.0976");
             values ( \
-              "4.45251e-06, 4.90412e-05, 6.42608e-05, 0.000117205, 0.000158257, 0.000226145, 0.000420568, 0.000774947, 0.00108929, 0.00127702, 0.00135583, 0.00139369, 0.00141679, 0.00141664, 0.00137444, 0.00133506, 0.00127062, 0.000595332, 0.000426978, 0.000287521, 0.000223557, 0.000152433, 9.26559e-05, 9.40696e-05, 8.32235e-05, 7.57415e-05, 5.20609e-05, 2.98083e-05, 4.17451e-06, 4.17781e-06" \
+              "4.49016e-06, 4.90335e-05, 6.426e-05, 0.000117127, 0.000226612, 0.000421336, 0.000774857, 0.00108913, 0.00127702, 0.00135574, 0.00139372, 0.00141672, 0.00141667, 0.00137437, 0.00133505, 0.00127062, 0.000595356, 0.000427017, 0.000287538, 0.000223573, 0.000152448, 9.26597e-05, 9.40653e-05, 8.3224e-05, 7.57328e-05, 5.20546e-05, 2.97938e-05, 4.17447e-06, 4.17334e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
-            index_2 ("0.00454152");
-            index_3 ("5.37975, 7.06643, 7.29139, 7.7413, 7.85881, 8.09382, 8.28933, 8.50938, 8.61859, 8.8422, 9.03606, 9.2163, 9.39022, 9.56091, 9.73276, 9.80864, 9.88697, 10.0808, 10.2121, 10.3266, 10.4108, 10.5297, 10.6882, 11.0052, 11.159");
+            index_2 ("0.00454148");
+            index_3 ("5.38078, 7.06642, 7.29124, 7.74088, 7.85879, 8.09463, 8.28934, 8.5117, 8.61858, 8.84218, 9.03608, 9.21633, 9.39025, 9.56095, 9.7328, 9.80867, 9.88699, 10.0808, 10.212, 10.3264, 10.4107, 10.5298, 10.6885, 11.0058, 11.1608");
             values ( \
-              "1.34343e-05, 0.000180557, 0.000227939, 0.000410429, 0.000538095, 0.000874244, 0.00161959, 0.00279756, 0.00327984, 0.00403071, 0.00441078, 0.00464888, 0.00476683, 0.00482008, 0.00469847, 0.00444014, 0.00378479, 0.00159916, 0.000849214, 0.000529671, 0.000408073, 0.000301906, 0.000209642, 9.95346e-05, 7.62164e-05" \
+              "1.35735e-05, 0.000180537, 0.000227923, 0.000410169, 0.000538085, 0.000875878, 0.00161943, 0.00280914, 0.00327927, 0.0040307, 0.0044103, 0.00464899, 0.00476639, 0.00482022, 0.00469831, 0.00444006, 0.0037849, 0.00159906, 0.000849598, 0.000530055, 0.000408196, 0.000301869, 0.000209498, 9.93813e-05, 7.59183e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
-            index_2 ("0.0165499");
-            index_3 ("5.36237, 7.12895, 7.4566, 7.87874, 8.11243, 8.33712, 8.91476, 9.22699, 9.49284, 9.73543, 9.96505, 10.1885, 10.4119, 10.5804, 10.9026, 11.1882, 11.3002, 11.4725");
+            index_2 ("0.0165496");
+            index_3 ("5.36337, 7.12896, 7.45601, 7.87946, 8.11089, 8.33711, 8.91477, 9.22701, 9.49286, 9.73545, 9.96507, 10.1886, 10.4119, 10.5804, 10.9027, 11.1884, 11.3005, 11.4728");
             values ( \
-              "3.60349e-05, 0.000639169, 0.000897957, 0.00153627, 0.00229467, 0.00356466, 0.00851212, 0.0105787, 0.0118576, 0.0127064, 0.0132297, 0.0134629, 0.0131337, 0.0113638, 0.0049014, 0.00163873, 0.00103035, 0.0005211" \
+              "3.64153e-05, 0.000639152, 0.000897379, 0.00153751, 0.00228816, 0.0035641, 0.00851172, 0.0105783, 0.0118572, 0.0127059, 0.0132292, 0.0134624, 0.0131332, 0.0113632, 0.00490126, 0.0016381, 0.00102944, 0.000520843" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
-            index_2 ("0.0603101");
-            index_3 ("6.49733, 7.76757, 8.1942, 8.51308, 9.59738, 10.1093, 10.5501, 10.9525, 11.3323, 11.7018, 12.0708, 12.1391, 12.8843, 13.3709, 13.6399, 13.9986, 14.2416");
+            index_2 ("0.0603084");
+            index_3 ("6.49834, 7.76707, 8.19408, 8.51306, 9.59739, 10.1093, 10.5501, 10.9525, 11.3323, 11.7018, 12.0708, 12.1392, 12.8844, 13.371, 13.64, 13.9986, 14.2415");
             values ( \
-              "0.00204169, 0.00326208, 0.00516509, 0.0075659, 0.0191685, 0.0232731, 0.0260316, 0.027929, 0.0292036, 0.029656, 0.0276842, 0.0266871, 0.0112764, 0.00462826, 0.00264582, 0.00114801, 0.00074207" \
+              "0.00204678, 0.00326042, 0.00516421, 0.00756539, 0.019168, 0.0232725, 0.0260309, 0.0279282, 0.0292028, 0.0296551, 0.027683, 0.0266855, 0.0112756, 0.00462777, 0.00264574, 0.00114814, 0.00074252" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
-            index_2 ("0.219778");
-            index_3 ("6.49981, 7.86671, 8.33717, 8.98777, 9.92784, 10.6532, 12.0562, 12.8402, 13.543, 14.1985, 14.8483, 15.4969, 17.0455, 17.7779, 18.3218, 19.0683, 19.6864, 20.2338");
+            index_2 ("0.21977");
+            index_3 ("6.50084, 7.86672, 8.33718, 8.98777, 9.92783, 10.6546, 12.0561, 12.8402, 13.543, 14.1984, 14.8482, 15.4969, 17.0454, 17.7785, 18.3221, 19.0681, 19.6857, 20.2337");
             values ( \
-              "0.00482869, 0.00675196, 0.00959624, 0.0155096, 0.026551, 0.0341111, 0.0472089, 0.0537783, 0.0587963, 0.061849, 0.0601337, 0.0509265, 0.0213684, 0.0122513, 0.0079402, 0.0043539, 0.00260557, 0.00180741" \
+              "0.00483712, 0.00675177, 0.00959599, 0.0155092, 0.0265504, 0.0341249, 0.0472073, 0.0537767, 0.0587944, 0.0618468, 0.0601305, 0.0509229, 0.0213689, 0.0122449, 0.00793836, 0.00435494, 0.00260757, 0.00180801" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
-            index_2 ("0.800901");
-            index_3 ("7.42158, 9.06041, 11.3034, 15.2414, 15.8708, 16.6692, 17.7531, 18.6497, 19.2678, 20.1834, 21.3181, 23.9935, 25.2537, 26.166, 27.3007, 28.2625, 29.2143, 30.3491, 30.8591, 31.8791, 32.9031, 34.0378, 35.3977, 37.2917, 39.5611, 41.8305, 45.2346, 48.6388");
+            index_2 ("0.800865");
+            index_3 ("7.42209, 9.06039, 11.3032, 15.2413, 15.8707, 16.6692, 17.7511, 18.6495, 19.2754, 20.1832, 21.3179, 23.9934, 25.2537, 26.166, 27.3007, 28.2627, 29.2145, 30.3492, 30.8593, 31.8795, 32.9035, 34.0382, 35.3982, 37.2923, 39.5617, 41.8312, 45.2353, 48.6394");
             values ( \
-              "0.0161453, 0.01905, 0.0447303, 0.0932659, 0.10029, 0.10828, 0.103262, 0.0986411, 0.0950628, 0.0889238, 0.0799749, 0.0565552, 0.0462183, 0.0394469, 0.0320073, 0.0265933, 0.0219907, 0.0174121, 0.0156504, 0.0126008, 0.0101, 0.00787913, 0.00583488, 0.00381983, 0.00228717, 0.00136508, 0.000628441, 0.000287447" \
+              "0.0161557, 0.0190495, 0.0447278, 0.0932625, 0.100287, 0.108277, 0.103268, 0.0986381, 0.0950115, 0.0889196, 0.0799703, 0.0565507, 0.0462137, 0.039443, 0.0320043, 0.0265903, 0.0219882, 0.0174104, 0.0156486, 0.0125991, 0.0100987, 0.00787828, 0.00583419, 0.00381944, 0.00228702, 0.00136504, 0.00062847, 0.000287467" \
             );
           }
         }
         receiver_capacitance1_rise (delay_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
-            "0.00152282, 0.00159723, 0.00164028, 0.00165563, 0.00166018, 0.00166145", \
-            "0.00178484, 0.00182697, 0.00186697, 0.00188423, 0.0018896, 0.00189113", \
-            "0.00197409, 0.00195369, 0.00194484, 0.00194741, 0.00194934, 0.00195", \
-            "0.00211373, 0.0020588, 0.0020099, 0.0019888, 0.00197368, 0.00196929", \
-            "0.0022936, 0.00218183, 0.00208698, 0.00202745, 0.00199343, 0.00197936", \
-            "0.00243247, 0.00236039, 0.00221531, 0.00209977, 0.00203458, 0.00199484" \
+            "0.00152271, 0.00159703, 0.00164003, 0.00165537, 0.00165991, 0.00166119", \
+            "0.00178559, 0.00182774, 0.00186776, 0.00188503, 0.00189041, 0.00189194", \
+            "0.0019751, 0.00195474, 0.0019458, 0.00194844, 0.00195039, 0.00195106", \
+            "0.00211496, 0.0020599, 0.00201103, 0.00198982, 0.00197477, 0.0019704", \
+            "0.00229507, 0.002183, 0.00208831, 0.00202862, 0.00199457, 0.00198049", \
+            "0.00243417, 0.00236199, 0.00221672, 0.00210103, 0.00203577, 0.00199599" \
           );
         }
         receiver_capacitance2_rise (delay_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
-            "0.0018835, 0.00192987, 0.00195756, 0.00196497, 0.0019661, 0.00196634", \
-            "0.00213389, 0.00196359, 0.00186151, 0.00183401, 0.00182116, 0.00181654", \
-            "0.00278232, 0.00225668, 0.00193561, 0.00181446, 0.00178225, 0.00178463", \
-            "0.00267398, 0.00276493, 0.00236737, 0.0019383, 0.00180502, 0.00176351", \
-            "0.00238204, 0.00256874, 0.00272643, 0.00244615, 0.00196154, 0.00180434", \
-            "0.00215238, 0.00227251, 0.00251439, 0.00270723, 0.00251666, 0.00198443" \
+            "0.00188477, 0.00193133, 0.00195909, 0.00196659, 0.00196775, 0.001968", \
+            "0.00213541, 0.00196508, 0.00186299, 0.00183545, 0.00182259, 0.00181798", \
+            "0.00278459, 0.00225839, 0.00193709, 0.00181586, 0.00178344, 0.0017856", \
+            "0.00267624, 0.0027674, 0.00236928, 0.0019398, 0.00180631, 0.00176444", \
+            "0.00238394, 0.00257116, 0.00272856, 0.00244819, 0.00196297, 0.00180565", \
+            "0.00215388, 0.00227418, 0.00251638, 0.00270947, 0.00251879, 0.00198588" \
           );
         }
         output_current_fall () {
@@ -183012,349 +198396,349 @@
             reference_time : 0.00812174;
             index_1 ("0.00974609");
             index_2 ("0.00124625");
-            index_3 ("0.0182799, 0.0188623, 0.0207911, 0.028065, 0.0323784, 0.0344877, 0.0359126, 0.038704, 0.0446844, 0.0478891, 0.0515036, 0.055781, 0.0592184, 0.0619925");
+            index_3 ("0.0182825, 0.0188659, 0.0207955, 0.0280722, 0.0323867, 0.0344975, 0.0359229, 0.0387154, 0.044698, 0.047904, 0.0515197, 0.055799, 0.0592379, 0.0620145");
             values ( \
-              "-0.0620754, -0.0925445, -0.0933058, -0.0905571, -0.0864683, -0.0826138, -0.0782453, -0.0648035, -0.0309677, -0.0189274, -0.0103978, -0.00496217, -0.00265075, -0.0018055" \
+              "-0.0618927, -0.0925024, -0.0932689, -0.0905212, -0.0864347, -0.0825812, -0.0782149, -0.0647788, -0.0309559, -0.0189203, -0.0103941, -0.00496016, -0.00264959, -0.00180427" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.00812174;
             index_1 ("0.00974609");
-            index_2 ("0.00454152");
-            index_3 ("0.018453, 0.0201025, 0.0304457, 0.045294, 0.0545598, 0.0590046, 0.061342, 0.0660166, 0.0797118, 0.0871483, 0.0924802, 0.100239, 0.105592, 0.112731, 0.126215, 0.141895");
+            index_2 ("0.00454148");
+            index_3 ("0.0184534, 0.0201064, 0.0304511, 0.0453017, 0.054569, 0.0590145, 0.0613522, 0.0660276, 0.0797247, 0.0871625, 0.0924951, 0.100255, 0.105609, 0.112749, 0.126235, 0.141917");
             values ( \
-              "-0.0553889, -0.143594, -0.140581, -0.133816, -0.127518, -0.122325, -0.11845, -0.106756, -0.0555104, -0.0345867, -0.02403, -0.0137223, -0.00922324, -0.00532809, -0.00170594, -0.000426217" \
+              "-0.0550017, -0.143569, -0.140558, -0.133794, -0.127497, -0.122306, -0.118431, -0.106739, -0.0555015, -0.0345811, -0.0240261, -0.0137201, -0.00922175, -0.00532722, -0.00170565, -0.000426157" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.00812174;
             index_1 ("0.00974609");
-            index_2 ("0.0165499");
-            index_3 ("0.0192577, 0.0206211, 0.0370209, 0.0696078, 0.111222, 0.131476, 0.148647, 0.15974, 0.17574, 0.205942, 0.225421, 0.236882, 0.246128, 0.256841, 0.271928, 0.291632, 0.317904, 0.359868, 0.409607");
+            index_2 ("0.0165496");
+            index_3 ("0.0192135, 0.020575, 0.06931, 0.111186, 0.131436, 0.14895, 0.159022, 0.172451, 0.20608, 0.229163, 0.243938, 0.269846, 0.291117, 0.308271, 0.342577, 0.389891");
             values ( \
-              "-0.168611, -0.168752, -0.166437, -0.160952, -0.152656, -0.147175, -0.140122, -0.132399, -0.113377, -0.069786, -0.0474003, -0.0370709, -0.030206, -0.0236546, -0.0165871, -0.0103356, -0.00533258, -0.00169387, -0.000401335" \
+              "-0.168595, -0.168741, -0.160989, -0.152646, -0.147168, -0.139929, -0.132982, -0.117875, -0.0694897, -0.0437277, -0.0316478, -0.017353, -0.0104407, -0.00686213, -0.00278548, -0.000730088" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.00812174;
             index_1 ("0.00974609");
-            index_2 ("0.0603101");
-            index_3 ("0.0191446, 0.0211113, 0.207919, 0.340745, 0.419954, 0.457277, 0.482748, 0.533689, 0.564315, 0.66773, 0.707658, 0.760895, 0.818325, 0.853126, 0.901627, 0.958995, 1.03549, 1.17849, 1.3446");
+            index_2 ("0.0603084");
+            index_3 ("0.0191475, 0.0210937, 0.20792, 0.340744, 0.419952, 0.457275, 0.482745, 0.533686, 0.564312, 0.667725, 0.707653, 0.76089, 0.818318, 0.853119, 0.901619, 0.958987, 1.03548, 1.17848, 1.34459");
             values ( \
-              "-0.176911, -0.177349, -0.167517, -0.159303, -0.152797, -0.14845, -0.144408, -0.131077, -0.118909, -0.0737561, -0.0590216, -0.0428079, -0.0297016, -0.0235974, -0.0169762, -0.0114253, -0.00660614, -0.00216079, -0.000549766" \
+              "-0.176897, -0.177345, -0.167514, -0.159301, -0.152794, -0.148448, -0.144406, -0.131075, -0.118907, -0.073755, -0.0590207, -0.0428072, -0.0297012, -0.0235971, -0.016976, -0.0114251, -0.00660603, -0.00216074, -0.000549748" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.00812174;
             index_1 ("0.00974609");
-            index_2 ("0.219778");
-            index_3 ("0.0187519, 0.0227965, 0.718857, 1.17583, 1.46238, 1.597, 1.6869, 1.86669, 1.98011, 2.35331, 2.49255, 2.67822, 2.77807, 2.90298, 3.06953, 3.20693, 3.34441, 3.52772, 3.89433, 4.44636, 5.11288");
+            index_2 ("0.21977");
+            index_3 ("0.0187556, 0.0227659, 0.718839, 1.17579, 1.46234, 1.59695, 1.68685, 1.86664, 1.98005, 2.35324, 2.49248, 2.67814, 2.77798, 2.90289, 3.06943, 3.20683, 3.34431, 3.52761, 3.89421, 4.44623, 5.11273");
             values ( \
-              "-0.179368, -0.179904, -0.169295, -0.161271, -0.154662, -0.150283, -0.146306, -0.133196, -0.120541, -0.0746123, -0.0601085, -0.0440592, -0.0370183, -0.0295912, -0.0217193, -0.0167578, -0.0128838, -0.00899692, -0.00425212, -0.00123234, -0.000258639" \
+              "-0.179356, -0.179904, -0.169294, -0.16127, -0.154661, -0.150283, -0.146306, -0.133195, -0.12054, -0.0746119, -0.0601082, -0.044059, -0.0370182, -0.0295911, -0.0217192, -0.0167577, -0.0128838, -0.00899687, -0.00425209, -0.00123232, -0.000258631" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.00812174;
             index_1 ("0.00974609");
-            index_2 ("0.800901");
-            index_3 ("0.017206, 0.0212843, 2.58197, 4.21684, 5.12548, 5.94711, 6.07615, 6.33422, 6.85037, 8.40301, 9.25921, 10.042, 10.39, 11.0859, 11.5746, 12.1127, 12.8301, 13.6518, 14.4734, 15.2951, 17.76");
+            index_2 ("0.800865");
+            index_3 ("0.0172102, 0.0212541, 2.58175, 4.21668, 5.12526, 5.94688, 6.07589, 6.33392, 6.84998, 8.40265, 9.25882, 10.0415, 10.3895, 11.0854, 11.5741, 12.1122, 12.8296, 13.6512, 14.4728, 15.2945, 17.7593");
             values ( \
-              "-0.180053, -0.180637, -0.169781, -0.16183, -0.156193, -0.148552, -0.146833, -0.142647, -0.130188, -0.0777098, -0.0536814, -0.0370466, -0.0312083, -0.0218717, -0.0169584, -0.0127707, -0.0086707, -0.00552832, -0.00350935, -0.00222447, -0.00056067" \
+              "-0.180041, -0.180637, -0.169782, -0.16183, -0.156193, -0.148552, -0.146833, -0.142648, -0.130191, -0.0777099, -0.053681, -0.0370466, -0.0312084, -0.021872, -0.0169584, -0.0127706, -0.00867058, -0.00552818, -0.00350923, -0.00222437, -0.000560628" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
             index_2 ("0.00124625");
-            index_3 ("0.0404293, 0.0522876, 0.0613063, 0.0649665, 0.065617, 0.0666079, 0.0676909, 0.0690649, 0.070979, 0.0781745, 0.0809992, 0.0834028, 0.0853708, 0.0877653, 0.0924636, 0.0984065, 0.105359");
+            index_3 ("0.0404342, 0.0522937, 0.0613144, 0.0649665, 0.0656163, 0.0666042, 0.0677251, 0.0691108, 0.0709176, 0.077956, 0.0812997, 0.0837257, 0.0860002, 0.0885226, 0.0905127, 0.0944929, 0.100811, 0.10843");
             values ( \
-              "-0.00362757, -0.0522155, -0.0729561, -0.0795713, -0.0841909, -0.085351, -0.0837109, -0.0804296, -0.0730524, -0.0322918, -0.0210265, -0.0142657, -0.0102379, -0.00678864, -0.00293304, -0.000903184, -0.000209648" \
+              "-0.00363066, -0.0522045, -0.0729421, -0.0795422, -0.0841614, -0.0853276, -0.0836291, -0.080289, -0.07336, -0.0333683, -0.0201029, -0.0135522, -0.00920495, -0.00595958, -0.00419502, -0.00201243, -0.000559094, -0.000106181" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
-            index_2 ("0.00454152");
-            index_3 ("0.0420136, 0.0571902, 0.0657497, 0.0674765, 0.0764127, 0.0857834, 0.0951933, 0.0993196, 0.116203, 0.123623, 0.131447, 0.142716, 0.151744, 0.152666");
+            index_2 ("0.00454148");
+            index_3 ("0.0420177, 0.0571953, 0.0657468, 0.0674679, 0.0764196, 0.085794, 0.0952032, 0.0993294, 0.116216, 0.123637, 0.131463, 0.142733, 0.151763, 0.152685");
             values ( \
-              "-0.00898577, -0.0988129, -0.135349, -0.13916, -0.135409, -0.129805, -0.119157, -0.109307, -0.048117, -0.0295439, -0.0169923, -0.00735879, -0.00361273, -0.00344748" \
+              "-0.00898153, -0.098809, -0.135311, -0.139136, -0.135387, -0.129782, -0.119138, -0.109291, -0.0481092, -0.0295391, -0.0169898, -0.00735747, -0.00361197, -0.00344683" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
-            index_2 ("0.0165499");
-            index_3 ("0.0439867, 0.0547886, 0.0664278, 0.0681401, 0.0697617, 0.107874, 0.145961, 0.168775, 0.1795, 0.186687, 0.20106, 0.243785, 0.266136, 0.284114, 0.297438, 0.308493, 0.319555, 0.334304, 0.363802, 0.391243");
+            index_2 ("0.0165496");
+            index_3 ("0.043991, 0.0547933, 0.0664269, 0.0681382, 0.0697586, 0.107879, 0.145968, 0.168783, 0.179509, 0.186695, 0.201067, 0.243795, 0.266143, 0.284131, 0.297461, 0.308509, 0.319564, 0.334304, 0.363784, 0.391244");
             values ( \
-              "-0.00427492, -0.106223, -0.163481, -0.167366, -0.166558, -0.160219, -0.152526, -0.146195, -0.141858, -0.137894, -0.125262, -0.0653083, -0.0413364, -0.0277887, -0.020435, -0.015728, -0.0120787, -0.00844394, -0.00396099, -0.00222539" \
+              "-0.00426433, -0.106228, -0.163463, -0.167353, -0.16655, -0.16021, -0.152518, -0.146187, -0.14185, -0.137887, -0.125258, -0.0653049, -0.041338, -0.0277843, -0.0204285, -0.0157259, -0.0120792, -0.0084463, -0.00396426, -0.002226" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
-            index_2 ("0.0603101");
-            index_3 ("0.0455112, 0.0658977, 0.0682408, 0.257246, 0.382957, 0.444593, 0.506146, 0.517328, 0.539692, 0.58442, 0.68585, 0.748049, 0.792035, 0.831859, 0.888341, 0.936531, 0.992952, 1.06818, 1.21007, 1.37489");
+            index_2 ("0.0603084");
+            index_3 ("0.0455147, 0.0659, 0.068244, 0.257266, 0.382952, 0.444591, 0.506173, 0.517325, 0.539629, 0.584236, 0.686117, 0.747678, 0.791165, 0.832145, 0.888967, 0.936851, 0.992356, 1.06636, 1.20807, 1.3724");
             values ( \
-              "-0.0391866, -0.174044, -0.176764, -0.166672, -0.158757, -0.153752, -0.146348, -0.144394, -0.139626, -0.124905, -0.0803845, -0.0570236, -0.0437222, -0.034037, -0.0235031, -0.016933, -0.01147, -0.0067052, -0.00220974, -0.000577536" \
+              "-0.0391934, -0.174044, -0.176759, -0.166668, -0.158755, -0.15375, -0.146341, -0.144391, -0.139639, -0.124976, -0.08027, -0.0571441, -0.0439567, -0.0339736, -0.0234045, -0.0168962, -0.0115184, -0.00679621, -0.00224423, -0.000589616" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
-            index_2 ("0.219778");
-            index_3 ("0.0471963, 0.0707591, 0.0750924, 0.0799312, 0.0850085, 0.0910806, 0.728193, 0.968149, 1.2749, 1.45982, 1.6817, 1.72155, 1.80124, 1.96064, 2.33029, 2.54689, 2.69946, 2.81229, 2.94157, 3.11394, 3.28825, 3.3757, 3.55059, 3.90037, 4.44623, 5.10067");
+            index_2 ("0.21977");
+            index_3 ("0.0472017, 0.0707581, 0.0750907, 0.0799297, 0.0849985, 0.0910767, 0.728184, 0.968124, 1.27491, 1.45978, 1.68148, 1.7215, 1.80153, 1.96159, 2.41297, 2.54862, 2.70365, 2.81237, 2.93688, 3.10289, 3.24103, 3.37926, 3.56356, 3.93218, 4.48201, 5.14551");
             values ( \
-              "-0.0764657, -0.180889, -0.179013, -0.179939, -0.17927, -0.179561, -0.169728, -0.165715, -0.159956, -0.15566, -0.14822, -0.146301, -0.141538, -0.12687, -0.0811477, -0.0581899, -0.0450756, -0.037035, -0.0293692, -0.0213251, -0.0153271, -0.0129585, -0.0092151, -0.00451382, -0.00134288, -0.000283815" \
+              "-0.0764754, -0.180888, -0.179013, -0.179938, -0.17927, -0.17956, -0.169727, -0.165715, -0.159955, -0.15566, -0.148226, -0.1463, -0.141514, -0.126757, -0.0717896, -0.0580198, -0.0447446, -0.0370235, -0.0296159, -0.0217718, -0.016763, -0.0128678, -0.00897847, -0.00421452, -0.0012372, -0.000254558" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.0325;
             index_1 ("0.039");
-            index_2 ("0.800901");
-            index_3 ("0.0476341, 0.0710178, 0.0753088, 0.0801217, 2.50225, 4.56614, 5.16168, 6.11236, 6.37043, 6.88658, 8.43922, 9.29541, 10.0782, 11.1221, 12.1489, 12.8663, 13.688, 15.3312, 15.3696");
+            index_2 ("0.800865");
+            index_3 ("0.0476438, 0.0710211, 0.0753114, 0.0801245, 2.50217, 4.56384, 5.16147, 6.1121, 6.37013, 6.88619, 8.43886, 9.29503, 10.0778, 11.1216, 12.1484, 12.8658, 13.6874, 15.3307, 15.3685");
             values ( \
-              "-0.0776094, -0.181787, -0.17992, -0.180911, -0.170374, -0.160058, -0.156191, -0.146831, -0.142649, -0.130187, -0.0777113, -0.0536804, -0.0370455, -0.0218706, -0.0127718, -0.00866969, -0.00552942, -0.00222554, -0.00219965" \
+              "-0.0776196, -0.181786, -0.179921, -0.18091, -0.170374, -0.16007, -0.156191, -0.146831, -0.14265, -0.13019, -0.0777113, -0.05368, -0.0370455, -0.0218709, -0.0127717, -0.00866956, -0.00552928, -0.00222544, -0.00219988" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.00124625");
-            index_3 ("0.120522, 0.12962, 0.133367, 0.137212, 0.149106, 0.164782, 0.172233, 0.178939, 0.185129, 0.191026, 0.196915, 0.210172, 0.214546, 0.218459, 0.221235, 0.226787, 0.234867, 0.244816, 0.260142, 0.262878, 0.26686, 0.270069");
+            index_3 ("0.120632, 0.129727, 0.133386, 0.137314, 0.145238, 0.156222, 0.16486, 0.172336, 0.179044, 0.185236, 0.191134, 0.197025, 0.203654, 0.207114, 0.210286, 0.214661, 0.218576, 0.221353, 0.226908, 0.234965, 0.244926, 0.260237, 0.262827");
             values ( \
-              "-0.00414797, -0.00680886, -0.00875103, -0.0110845, -0.0196261, -0.028253, -0.0319366, -0.0349765, -0.037349, -0.0389012, -0.0376006, -0.0128965, -0.00747943, -0.00443237, -0.00304416, -0.00131774, -0.000405219, -8.58561e-05, -1.94475e-05, -0.00110921, -0.000828692, -0.000314138" \
+              "-0.00415322, -0.00681004, -0.00870672, -0.0110794, -0.0172214, -0.0236387, -0.028239, -0.0319224, -0.0349708, -0.0373382, -0.0388925, -0.0375914, -0.0258293, -0.0182838, -0.0128903, -0.00747466, -0.00442885, -0.0030437, -0.00131546, -0.000408014, -8.48931e-05, -1.85917e-05, -0.00105256" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
-            index_2 ("0.00454152");
-            index_3 ("0.134601, 0.14861, 0.151522, 0.154434, 0.157346, 0.160258, 0.169744, 0.177133, 0.190405, 0.196128, 0.201852, 0.206979, 0.212106, 0.214469, 0.216831, 0.219194, 0.221556, 0.223818, 0.226079, 0.22834, 0.230601, 0.232857, 0.235113, 0.237369, 0.239625, 0.239894, 0.240433, 0.24124, 0.242048, 0.243663, 0.24705, 0.252012, 0.253687, 0.257035, 0.260256, 0.260785, 0.261314, 0.261842, 0.262371, 0.262746, 0.263495, 0.264244, 0.264994, 0.266492, 0.267991, 0.269161, 0.270332, 0.271503, 0.272673, 0.275046");
+            index_2 ("0.00454148");
+            index_3 ("0.134602, 0.148616, 0.151528, 0.15444, 0.157353, 0.160265, 0.169751, 0.17714, 0.190413, 0.196137, 0.201862, 0.206989, 0.212116, 0.214479, 0.216842, 0.219204, 0.221567, 0.223829, 0.22609, 0.228351, 0.230613, 0.232869, 0.235125, 0.237381, 0.239637, 0.239906, 0.240445, 0.241253, 0.242061, 0.243677, 0.252026, 0.2537, 0.255374, 0.257048, 0.260256, 0.260788, 0.26132, 0.261852, 0.262384, 0.262762, 0.263516, 0.264271, 0.265026, 0.266536, 0.268046, 0.269222, 0.270398, 0.271575, 0.272751, 0.274931");
             values ( \
-              "-0.0287579, -0.029624, -0.0325799, -0.0354676, -0.0382871, -0.0410384, -0.0493837, -0.0559808, -0.0672549, -0.0718554, -0.0763465, -0.0802765, -0.0841188, -0.0860168, -0.087955, -0.0899333, -0.0919519, -0.0915929, -0.0910353, -0.0902791, -0.0893242, -0.0881735, -0.0868252, -0.0852791, -0.0835354, -0.0832129, -0.0822287, -0.0803242, -0.0782871, -0.0740392, -0.0646207, -0.0506873, -0.046161, -0.0380039, -0.0298145, -0.0299309, -0.0298976, -0.0297146, -0.0293818, -0.0290554, -0.0277899, -0.0263081, -0.0249159, -0.0224814, -0.0202428, -0.0186624, -0.0171801, -0.0157958, -0.0145095, -0.0121802" \
+              "-0.0287407, -0.0296217, -0.0325776, -0.0354651, -0.0382845, -0.0410356, -0.0493802, -0.0559767, -0.0672499, -0.0718499, -0.0763406, -0.0802702, -0.0841122, -0.08601, -0.0879481, -0.0899264, -0.0919449, -0.0915858, -0.091028, -0.0902715, -0.0893162, -0.0881651, -0.0868161, -0.0852694, -0.0835248, -0.0832035, -0.0822204, -0.0803133, -0.078275, -0.0740259, -0.0506797, -0.0461558, -0.0421315, -0.0380067, -0.0298213, -0.0299358, -0.0299005, -0.0297154, -0.0293803, -0.0290518, -0.0277734, -0.026281, -0.0248797, -0.0224349, -0.0201868, -0.0186026, -0.0171173, -0.0157309, -0.0144434, -0.0123043" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
-            index_2 ("0.0165499");
-            index_3 ("0.129995, 0.154578, 0.184612, 0.221702, 0.244991, 0.26252, 0.264921, 0.28936, 0.304007, 0.31256, 0.324913, 0.346053, 0.378309, 0.390364, 0.409825, 0.429242, 0.444454, 0.460253, 0.47235, 0.496545, 0.540535, 0.592477");
+            index_2 ("0.0165496");
+            index_3 ("0.129994, 0.154623, 0.18464, 0.221721, 0.245008, 0.262528, 0.264936, 0.289361, 0.304023, 0.312666, 0.32493, 0.346073, 0.378324, 0.398923, 0.417185, 0.426967, 0.44361, 0.462115, 0.476773, 0.506087, 0.551496, 0.606373");
             values ( \
-              "-0.00687526, -0.041628, -0.0765044, -0.116382, -0.139831, -0.156496, -0.156807, -0.151274, -0.147179, -0.144137, -0.137906, -0.116775, -0.0702201, -0.0555943, -0.0368864, -0.0238205, -0.0166602, -0.0114317, -0.0085157, -0.0046363, -0.00136807, -0.000297634" \
+              "-0.00673994, -0.0416727, -0.0765318, -0.116394, -0.13984, -0.156489, -0.156799, -0.15127, -0.147171, -0.144093, -0.137898, -0.116766, -0.0702214, -0.0466293, -0.0313624, -0.0251158, -0.0169911, -0.0109262, -0.00763173, -0.00360503, -0.00100451, -0.000194683" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
-            index_2 ("0.0603101");
-            index_3 ("0.129874, 0.181156, 0.214575, 0.259861, 0.26407, 0.269578, 0.274376, 0.430029, 0.513443, 0.592832, 0.630192, 0.655446, 0.705955, 0.737005, 0.840605, 0.879996, 0.932517, 0.953501, 0.992261, 1.02666, 1.09347, 1.1309, 1.20577, 1.34748, 1.51167");
+            index_2 ("0.0603084");
+            index_3 ("0.129878, 0.181179, 0.214597, 0.259865, 0.264071, 0.269564, 0.274358, 0.427617, 0.513453, 0.592784, 0.630131, 0.655454, 0.7061, 0.737015, 0.840554, 0.880116, 0.932864, 0.953582, 0.991871, 1.0264, 1.09347, 1.13115, 1.20653, 1.34832, 1.51271");
             values ( \
-              "-0.00719149, -0.0775213, -0.117773, -0.170136, -0.173374, -0.173788, -0.173129, -0.164668, -0.159294, -0.15278, -0.148422, -0.144408, -0.131228, -0.11891, -0.0736849, -0.059144, -0.0430979, -0.0377968, -0.0294644, -0.0234683, -0.0148936, -0.0114908, -0.00672712, -0.00222829, -0.000579307" \
+              "-0.00714322, -0.077539, -0.117801, -0.170126, -0.17337, -0.173787, -0.173128, -0.16481, -0.159291, -0.152783, -0.148429, -0.144405, -0.131178, -0.118908, -0.0737066, -0.0591043, -0.0430052, -0.037777, -0.0295395, -0.0235092, -0.0148937, -0.0114702, -0.00668882, -0.00221384, -0.00057417" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
-            index_2 ("0.219778");
-            index_3 ("0.171143, 0.232266, 0.259122, 0.265005, 0.274966, 0.284533, 0.976265, 1.34825, 1.6355, 1.77025, 1.85936, 2.0376, 2.15255, 2.52642, 2.66371, 2.84676, 2.92882, 3.07992, 3.25259, 3.42623, 3.68752, 4.03589, 4.5801, 5.23298");
+            index_2 ("0.21977");
+            index_3 ("0.172357, 0.232862, 0.259708, 0.275536, 0.977457, 1.39817, 1.59843, 1.80209, 1.86003, 1.9759, 2.15245, 2.5267, 2.66494, 2.84927, 3.0783, 3.24797, 3.38164, 3.51564, 3.69431, 4.05165, 4.43006");
             values ( \
-              "-0.116793, -0.142368, -0.175426, -0.178588, -0.178949, -0.178428, -0.167909, -0.161273, -0.154643, -0.150253, -0.146306, -0.133347, -0.120543, -0.0745389, -0.0602347, -0.0443604, -0.0384525, -0.0293527, -0.0212889, -0.0153187, -0.00922686, -0.00454329, -0.00134985, -0.000294478" \
+              "-0.119412, -0.142379, -0.17542, -0.178949, -0.167898, -0.160275, -0.15566, -0.149002, -0.146302, -0.138845, -0.120633, -0.0745786, -0.0601766, -0.0442083, -0.0294652, -0.0215031, -0.0166998, -0.0129242, -0.0091172, -0.00439372, -0.00225196" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.130052;
             index_1 ("0.156062");
-            index_2 ("0.800901");
-            index_3 ("0.136258, 0.266263, 2.64061, 4.3914, 5.30003, 6.12167, 6.2507, 6.50878, 7.02493, 8.57756, 9.43376, 10.2165, 10.5645, 11.2605, 11.7492, 12.2873, 13.0047, 13.8263, 14.6479, 15.4696, 17.9345");
+            index_2 ("0.800865");
+            index_3 ("0.136696, 0.265169, 2.88913, 4.39172, 5.3003, 6.25093, 6.50896, 7.02502, 8.57769, 9.43386, 10.2166, 11.2605, 12.2872, 13.0046, 13.8263, 15.4695, 15.4885");
             values ( \
-              "-0.0252672, -0.18058, -0.170332, -0.16183, -0.156192, -0.148553, -0.146832, -0.142648, -0.130188, -0.0777105, -0.0536811, -0.0370462, -0.0312088, -0.0218713, -0.0169589, -0.0127712, -0.00867035, -0.00552882, -0.00350895, -0.00222493, -0.000560243" \
+              "-0.0252585, -0.180569, -0.169188, -0.161831, -0.156194, -0.146834, -0.142647, -0.130192, -0.0777094, -0.0536816, -0.0370471, -0.0218725, -0.0127702, -0.00867114, -0.00552776, -0.00222391, -0.00221105" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00124625");
-            index_3 ("0.382561, 0.430012, 0.438051, 0.45448, 0.469154, 0.490422, 0.52497, 0.546294, 0.564208, 0.580173, 0.594879, 0.608874, 0.622523, 0.632745, 0.654736, 0.660637, 0.666222, 0.670321, 0.678517, 0.693816, 0.70574");
+            index_3 ("0.382348, 0.430126, 0.438053, 0.45401, 0.469157, 0.490537, 0.524988, 0.546317, 0.564234, 0.580202, 0.594911, 0.608908, 0.622559, 0.632782, 0.654777, 0.660678, 0.666263, 0.670361, 0.678556, 0.693856, 0.705427");
             values ( \
-              "-0.000435297, -0.00120768, -0.00148353, -0.00220978, -0.00306919, -0.00501696, -0.00937238, -0.0116859, -0.0133788, -0.014728, -0.0157118, -0.0164139, -0.0165128, -0.0149906, -0.00458662, -0.0029346, -0.00190218, -0.00139245, -0.000755964, -0.000298909, -0.000209442" \
+              "-0.000433903, -0.00120931, -0.00148172, -0.00218473, -0.00306604, -0.00502793, -0.00937041, -0.0116837, -0.0133762, -0.0147256, -0.0157091, -0.0164115, -0.0165103, -0.0149883, -0.0045862, -0.00293446, -0.00190232, -0.00139266, -0.000756196, -0.000298888, -0.000212048" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
-            index_2 ("0.00454152");
-            index_3 ("0.39215, 0.457197, 0.468646, 0.481731, 0.492409, 0.513764, 0.53441, 0.552814, 0.566032, 0.592467, 0.627074, 0.644511, 0.661054, 0.674145, 0.685105, 0.704319, 0.71872, 0.741416, 0.751835, 0.76221, 0.768263, 0.780369, 0.801204, 0.825415, 0.855565, 1.04076, 1.06125");
+            index_2 ("0.00454148");
+            index_3 ("0.391858, 0.457216, 0.485035, 0.506499, 0.566062, 0.59314, 0.621808, 0.644544, 0.665437, 0.685139, 0.704369, 0.718766, 0.738031, 0.751877, 0.762253, 0.768308, 0.780419, 0.801278, 0.825487, 0.85565, 1.04076, 1.06122");
             values ( \
-              "-0.000130634, -0.00489688, -0.00614098, -0.00777393, -0.00936398, -0.013292, -0.0177691, -0.0215444, -0.0241869, -0.0291565, -0.0350668, -0.0377144, -0.0399609, -0.0414825, -0.042477, -0.0426949, -0.0372298, -0.0187995, -0.0120926, -0.007421, -0.00549358, -0.00290091, -0.000841534, -0.000205902, -5.56352e-05, -1.45662e-05, -0.000279753" \
+              "-0.000105043, -0.0049012, -0.00823348, -0.0118437, -0.0241804, -0.0292864, -0.0342175, -0.0377169, -0.0404967, -0.0424893, -0.0426896, -0.0372438, -0.0214006, -0.0120947, -0.0074219, -0.00549131, -0.00290102, -0.000838413, -0.000206771, -5.43873e-05, -1.56703e-05, -0.00028013" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
-            index_2 ("0.0165499");
-            index_3 ("0.501156, 0.577713, 0.591651, 0.61256, 0.628957, 0.647814, 0.669758, 0.682275, 0.694791, 0.707308, 0.717943, 0.728578, 0.739213, 0.749848, 0.759315, 0.768782, 0.787717, 0.805078, 0.82244, 0.830683, 0.838926, 0.847169, 0.855412, 0.863639, 0.871867, 0.880094, 0.888321, 0.894506, 0.900691, 0.906876, 0.913062, 0.91626, 0.919458, 0.925854, 0.930515, 0.935176, 0.943115, 0.945762, 0.952575, 0.959388, 0.964997, 0.968737, 0.970607, 0.976369, 0.982131, 0.986201, 0.990272, 0.994342, 1.00014, 1.00532");
+            index_2 ("0.0165496");
+            index_3 ("0.50136, 0.577727, 0.591663, 0.612568, 0.628966, 0.647824, 0.669769, 0.682286, 0.694802, 0.707319, 0.717954, 0.728589, 0.739224, 0.749859, 0.759326, 0.768793, 0.787728, 0.805089, 0.822451, 0.830694, 0.838937, 0.847181, 0.855424, 0.863651, 0.871878, 0.880105, 0.888333, 0.894518, 0.900703, 0.906888, 0.913074, 0.916272, 0.91947, 0.922669, 0.925867, 0.930528, 0.935189, 0.943129, 0.945775, 0.952588, 0.959401, 0.965011, 0.970621, 0.976383, 0.982146, 0.986216, 0.990287, 0.994358, 1.00016, 1.00534");
             values ( \
-              "-0.0315922, -0.0334438, -0.0371639, -0.0426133, -0.046786, -0.0514822, -0.0568162, -0.0597953, -0.0627284, -0.0656155, -0.0680295, -0.0704093, -0.0727549, -0.0750662, -0.0770899, -0.0790847, -0.0829876, -0.0867761, -0.0906632, -0.0912085, -0.0913677, -0.0911408, -0.0905279, -0.0895311, -0.0881497, -0.0863837, -0.0842331, -0.0814691, -0.0782049, -0.0744404, -0.0701757, -0.0677301, -0.0651775, -0.0597516, -0.0555855, -0.0515346, -0.0452546, -0.0432587, -0.0384063, -0.0337532, -0.0301061, -0.0278641, -0.0267999, -0.0238999, -0.021232, -0.0195602, -0.0179555, -0.0164181, -0.0143441, -0.0126054" \
+              "-0.0317537, -0.033445, -0.0371644, -0.0426129, -0.0467852, -0.0514815, -0.0568154, -0.0597943, -0.0627273, -0.0656143, -0.0680282, -0.0704078, -0.0727532, -0.0750644, -0.077088, -0.0790828, -0.0829856, -0.0867741, -0.0906611, -0.0912062, -0.0913653, -0.0911383, -0.0905252, -0.0895283, -0.0881468, -0.0863808, -0.0842302, -0.0814662, -0.078202, -0.0744376, -0.070173, -0.0677284, -0.0651763, -0.0625167, -0.0597497, -0.0555823, -0.0515317, -0.0452522, -0.0432565, -0.0384045, -0.0337516, -0.0301043, -0.0267979, -0.0238984, -0.0212308, -0.0195588, -0.017954, -0.0164167, -0.0143429, -0.0126046" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
-            index_2 ("0.0603101");
-            index_3 ("0.539589, 0.670401, 0.736741, 0.778253, 0.831754, 0.854415, 0.899736, 0.922397, 0.960893, 0.99939, 1.02042, 1.03094, 1.04145, 1.05132, 1.05805, 1.06838, 1.07238, 1.09095, 1.11062, 1.12418, 1.13775, 1.15131, 1.16488, 1.19201, 1.20122, 1.21043, 1.21965, 1.22886, 1.23807, 1.24728, 1.25841, 1.28067, 1.29179, 1.32386, 1.35592, 1.40868, 1.42142, 1.43416, 1.4469, 1.45964, 1.47238, 1.48512, 1.50792, 1.5259, 1.53789, 1.54988, 1.57386, 1.59784, 1.62506, 1.64628");
+            index_2 ("0.0603084");
+            index_3 ("0.539958, 0.670234, 0.737024, 0.778607, 0.831835, 0.854491, 0.899804, 0.92246, 0.960951, 0.999443, 1.02045, 1.03095, 1.04145, 1.05133, 1.05807, 1.06843, 1.07243, 1.091, 1.11067, 1.12423, 1.1378, 1.15136, 1.16493, 1.19206, 1.20127, 1.21048, 1.21969, 1.22891, 1.23812, 1.24733, 1.25846, 1.28071, 1.29184, 1.3239, 1.35596, 1.40873, 1.42147, 1.43421, 1.44695, 1.45969, 1.47243, 1.48517, 1.50796, 1.52595, 1.53794, 1.54993, 1.57391, 1.59788, 1.62511, 1.64633");
             values ( \
-              "-0.0583575, -0.0627942, -0.0817704, -0.0934847, -0.10825, -0.114306, -0.126119, -0.131877, -0.141431, -0.1507, -0.156381, -0.15861, -0.16043, -0.160131, -0.159849, -0.159295, -0.15901, -0.157629, -0.156057, -0.154827, -0.153494, -0.152056, -0.150513, -0.147115, -0.145601, -0.143962, -0.141858, -0.13954, -0.13701, -0.134267, -0.130444, -0.122319, -0.118019, -0.103912, -0.0901393, -0.06815, -0.0634454, -0.058992, -0.0548603, -0.0509328, -0.0472094, -0.0436901, -0.0379033, -0.0335257, -0.030945, -0.0285573, -0.0245195, -0.0209362, -0.0174741, -0.0149532" \
+              "-0.058598, -0.0627471, -0.0818506, -0.093582, -0.108272, -0.114326, -0.126137, -0.131893, -0.141446, -0.150713, -0.156387, -0.158612, -0.160429, -0.160131, -0.159849, -0.159293, -0.159008, -0.157626, -0.156054, -0.154825, -0.153491, -0.152053, -0.150511, -0.147112, -0.145599, -0.14396, -0.141855, -0.139538, -0.137009, -0.134266, -0.130443, -0.122318, -0.118017, -0.103911, -0.0901378, -0.0681487, -0.0634441, -0.0589907, -0.0548597, -0.0509326, -0.0472094, -0.04369, -0.0379026, -0.0335248, -0.0309444, -0.028557, -0.0245194, -0.0209361, -0.0174735, -0.0149531" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
-            index_2 ("0.219778");
-            index_3 ("0.520283, 0.665413, 0.871958, 1.04044, 1.06128, 1.4226, 1.71382, 1.90067, 2.14432, 2.1863, 2.32073, 2.41173, 2.59374, 2.705, 2.9275, 3.07723, 3.21923, 3.40856, 3.48333, 3.54254, 3.62148, 3.74543, 3.91861, 3.98619, 4.12135, 4.39166, 4.90053, 5.49091");
+            index_2 ("0.21977");
+            index_3 ("0.520285, 0.665565, 0.873386, 1.04044, 1.06128, 1.42263, 1.71385, 1.90069, 2.14433, 2.18631, 2.32074, 2.41174, 2.59374, 2.70499, 2.92749, 3.07721, 3.21921, 3.40853, 3.4833, 3.5425, 3.62144, 3.74539, 3.91857, 3.98614, 4.1213, 4.3916, 4.90045, 5.49081");
             values ( \
-              "-0.0455801, -0.0634773, -0.125627, -0.174597, -0.175209, -0.169652, -0.164755, -0.161273, -0.155821, -0.154687, -0.150326, -0.146307, -0.132981, -0.120538, -0.0924744, -0.0747161, -0.0599325, -0.0436399, -0.0383024, -0.0344908, -0.0299322, -0.0238179, -0.0171807, -0.0150958, -0.0116425, -0.00678939, -0.00225917, -0.000579966" \
+              "-0.0454217, -0.063522, -0.12605, -0.174598, -0.175209, -0.169652, -0.164754, -0.161272, -0.15582, -0.154687, -0.150325, -0.146306, -0.132981, -0.120538, -0.0924741, -0.0747158, -0.0599323, -0.0436397, -0.0383022, -0.0344907, -0.0299321, -0.0238178, -0.0171806, -0.0150958, -0.0116425, -0.00678935, -0.00225916, -0.000579964" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
-            index_2 ("0.800901");
-            index_3 ("0.737094, 1.03869, 1.05638, 3.20659, 4.94278, 5.71633, 6.10905, 6.79887, 7.13125, 7.86005, 9.23682, 10.0463, 10.6129, 11.0785, 11.5524, 12.2266, 13.0864, 13.9081, 14.7297, 16.373, 18.0163");
+            index_2 ("0.800865");
+            index_3 ("0.301156, 0.481975, 1.05775, 3.20715, 4.94259, 5.73683, 6.0718, 6.42502, 6.79875, 7.54621, 9.12732, 9.98934, 10.7678, 11.1136, 11.8052, 12.2983, 12.8406, 13.5635, 14.3851, 15.2068, 16.0284, 18.4932");
             values ( \
-              "-0.176886, -0.178442, -0.179322, -0.170238, -0.161819, -0.157132, -0.154189, -0.146836, -0.141211, -0.121153, -0.0743316, -0.0521865, -0.0399317, -0.0317941, -0.0250327, -0.0176324, -0.0111801, -0.00714662, -0.00454855, -0.00182689, -0.000730278" \
+              "-0.0021941, -0.013533, -0.179319, -0.170239, -0.161838, -0.156977, -0.154481, -0.151315, -0.146824, -0.131095, -0.0777342, -0.0535952, -0.0370664, -0.0312219, -0.0219665, -0.016957, -0.0127369, -0.00865376, -0.00548499, -0.0035139, -0.00219513, -0.0005778" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("1.45162, 1.6388, 1.67121, 1.73215, 1.75308, 1.79493, 1.83908, 1.8549, 1.93287, 1.96785, 1.99093, 2.03726, 2.06855, 2.08359, 2.11367, 2.14594, 2.16614, 2.20658, 2.2233, 2.23613, 2.2512, 2.2826, 2.2939, 2.31631, 2.33873, 2.36387, 2.40452, 2.44178, 2.65595, 2.85961");
+            index_3 ("1.45151, 1.63881, 1.67132, 1.73219, 1.75309, 1.79491, 1.83909, 1.85494, 1.9329, 1.96788, 1.99097, 2.03728, 2.06864, 2.08359, 2.11349, 2.14599, 2.16619, 2.20663, 2.22332, 2.23617, 2.25125, 2.28265, 2.29395, 2.31635, 2.33875, 2.36388, 2.40452, 2.44179, 2.65593, 2.85988");
             values ( \
-              "-9.05286e-05, -0.000389161, -0.000483537, -0.000723671, -0.000846651, -0.00114009, -0.00165185, -0.00189915, -0.0033791, -0.0039782, -0.00431777, -0.00487922, -0.00515862, -0.00526504, -0.00543271, -0.00553307, -0.0055483, -0.00544287, -0.0052588, -0.00498426, -0.00431508, -0.0020058, -0.00138738, -0.000686242, -0.000406921, -0.000296889, -0.00023069, -0.00018796, -6.94973e-05, -3.35942e-05" \
+              "-9.02472e-05, -0.000389146, -0.000483849, -0.000723765, -0.00084663, -0.0011397, -0.00165155, -0.00189922, -0.00337874, -0.0039777, -0.00431733, -0.00487847, -0.00515849, -0.0052643, -0.00543127, -0.00553268, -0.005548, -0.00544262, -0.00525915, -0.0049847, -0.0043151, -0.00200611, -0.00138787, -0.000686697, -0.000407129, -0.000296973, -0.000230703, -0.00018796, -6.9513e-05, -3.35435e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
-            index_2 ("0.00454152");
-            index_3 ("1.47946, 1.66822, 1.70179, 1.76894, 1.7938, 1.8435, 1.88423, 1.92605, 1.98179, 2.04642, 2.10975, 2.13779, 2.16872, 2.2041, 2.25601, 2.30546, 2.35381, 2.39003, 2.40491, 2.45151, 2.46515, 2.48671, 2.50412, 2.51659, 2.54152, 2.59139, 2.65623, 2.74783, 2.88898, 3.17128");
+            index_2 ("0.00454148");
+            index_3 ("1.47975, 1.67098, 1.72733, 1.78723, 1.8078, 1.83523, 1.87725, 1.89838, 1.94065, 2.00953, 2.0854, 2.14466, 2.1985, 2.2366, 2.25612, 2.29516, 2.32613, 2.35377, 2.38982, 2.40482, 2.43843, 2.46508, 2.48665, 2.50407, 2.51654, 2.54149, 2.59139, 2.6563, 2.74794, 2.88923, 3.17181");
             values ( \
-              "-0.000465167, -0.00126872, -0.0015784, -0.00239238, -0.00278413, -0.00375363, -0.00486434, -0.00628767, -0.00842082, -0.0107803, -0.012818, -0.0136221, -0.0144305, -0.0152509, -0.0162372, -0.016873, -0.0169907, -0.0158107, -0.0140698, -0.00613652, -0.0044539, -0.00252104, -0.00164889, -0.00128267, -0.000756314, -0.000436457, -0.000276166, -0.000231571, -7.19387e-05, -3.338e-05" \
+              "-0.00038702, -0.00132371, -0.00187596, -0.0026767, -0.00303396, -0.00358684, -0.00464728, -0.00532213, -0.00683205, -0.00947132, -0.012078, -0.0138146, -0.0151332, -0.0159021, -0.0162382, -0.01677, -0.0170096, -0.0169753, -0.0158139, -0.0140616, -0.00818576, -0.00445349, -0.002518, -0.00164645, -0.00128339, -0.000754462, -0.000437775, -0.000274625, -0.000232882, -7.03529e-05, -3.47368e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
-            index_2 ("0.0165499");
-            index_3 ("1.54013, 1.75166, 1.80065, 1.86598, 1.96234, 2.02971, 2.18691, 2.30029, 2.39489, 2.47881, 2.55565, 2.62834, 2.6988, 2.75154, 2.85473, 2.88447, 2.91669, 2.94236, 2.99371, 3.07076, 3.16347");
+            index_2 ("0.0165496");
+            index_3 ("1.54159, 1.75152, 1.83807, 1.89805, 1.99094, 2.18701, 2.30021, 2.39501, 2.47894, 2.5558, 2.6285, 2.69896, 2.75171, 2.8549, 2.88464, 2.91686, 2.94254, 2.9939, 3.07095, 3.16362");
             values ( \
-              "-0.00150518, -0.00412993, -0.00532849, -0.0072716, -0.0110858, -0.0145429, -0.023361, -0.0292581, -0.0337308, -0.0372556, -0.0400591, -0.042094, -0.0426468, -0.0384189, -0.0147688, -0.00995281, -0.00629687, -0.00426414, -0.00190209, -0.00048314, -0.000147696" \
+              "-0.0015604, -0.00411807, -0.00638062, -0.00839463, -0.012459, -0.0233659, -0.0292276, -0.0337366, -0.0372368, -0.0400657, -0.0420772, -0.0426537, -0.0384043, -0.01477, -0.00994989, -0.00629491, -0.00426434, -0.00190043, -0.000484021, -0.000146816" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
-            index_2 ("0.0603101");
-            index_3 ("1.74467, 1.99335, 2.14189, 2.54792, 2.73866, 2.89995, 3.04345, 3.17487, 3.2994, 3.42279, 3.51518, 3.63014, 3.69293, 3.76036, 3.84053, 3.88683, 3.97943, 4.13758, 4.16457, 4.29072");
+            index_2 ("0.0603084");
+            index_3 ("1.74473, 1.99335, 2.1419, 2.54792, 2.73866, 2.89995, 3.04345, 3.17486, 3.29939, 3.42278, 3.51517, 3.63013, 3.69293, 3.76035, 3.84052, 3.88682, 3.97942, 4.13757, 4.16457, 4.29074");
             values ( \
-              "-0.0115431, -0.0156561, -0.0243916, -0.051161, -0.062767, -0.0719102, -0.0793872, -0.0853737, -0.0894346, -0.086841, -0.0706776, -0.0443736, -0.0322935, -0.0221707, -0.0136658, -0.01032, -0.00546771, -0.00173622, -0.00133198, -0.000664088" \
+              "-0.0115489, -0.0156559, -0.0243915, -0.0511599, -0.0627657, -0.0719087, -0.0793855, -0.0853719, -0.0894327, -0.0868392, -0.0706761, -0.0443726, -0.0322928, -0.0221701, -0.0136654, -0.0103196, -0.00546747, -0.00173615, -0.00133183, -0.000664006" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
-            index_2 ("0.219778");
-            index_3 ("1.42162, 1.52755, 2.01914, 2.14547, 2.27665, 2.96909, 3.2372, 3.58125, 3.87364, 4.01749, 4.16134, 4.22295, 4.37956, 4.50403, 4.64155, 4.90696, 5.11859, 5.30667, 5.46447, 5.56875, 5.70272, 5.79102, 5.90876, 6.12037, 6.21588, 6.35796, 6.5474, 6.92628, 7.49545, 8.18596");
+            index_2 ("0.21977");
+            index_3 ("1.42382, 1.52661, 2.01797, 2.14553, 2.27686, 2.96915, 3.23718, 3.58123, 3.87361, 4.01747, 4.16132, 4.22297, 4.37952, 4.50391, 4.64149, 4.9069, 5.11853, 5.3066, 5.46437, 5.56865, 5.70262, 5.79094, 5.9087, 6.12028, 6.21578, 6.35783, 6.54723, 6.92604, 7.49518, 8.18563");
             values ( \
-              "-0.00107582, -0.00138061, -0.0188887, -0.02671, -0.0356244, -0.0850899, -0.10362, -0.126443, -0.14455, -0.152771, -0.160411, -0.159373, -0.155672, -0.151996, -0.146307, -0.124151, -0.0975001, -0.0749907, -0.0585855, -0.0493904, -0.0391347, -0.0334635, -0.02709, -0.0181186, -0.0151069, -0.0114881, -0.00798253, -0.00360094, -0.00104399, -0.000152024" \
+              "-0.00107595, -0.00136913, -0.0188213, -0.0267148, -0.0356398, -0.0850948, -0.103619, -0.126441, -0.144548, -0.15277, -0.16041, -0.159371, -0.155671, -0.151999, -0.146306, -0.124151, -0.0974978, -0.0749893, -0.0585878, -0.0493916, -0.039135, -0.0334628, -0.0270885, -0.0181183, -0.0151069, -0.0114885, -0.00798332, -0.00360182, -0.00104428, -0.000152106" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
-            index_2 ("0.800901");
-            index_3 ("2.08217, 2.59946, 3.68032, 4.16363, 4.24298, 5.42923, 6.35648, 7.16545, 7.93846, 8.33276, 8.85849, 9.02154, 9.34766, 9.99989, 11.3181, 11.7049, 12.2988, 12.8387, 13.292, 13.7688, 14.4453, 14.7346, 15.3133, 16.135, 16.9566, 17.7782, 18.5999, 19.4215, 20.2431");
+            index_2 ("0.800865");
+            index_3 ("2.08216, 2.59962, 3.67905, 4.16362, 4.23516, 5.42916, 6.35633, 7.1653, 7.9389, 8.33136, 8.85464, 9.0213, 9.35463, 10.0827, 10.7913, 11.4522, 11.9408, 12.3201, 12.8483, 13.2758, 13.7586, 14.4399, 14.735, 15.128, 15.652, 16.4736, 17.2953, 18.1169, 18.9385, 20.5817");
             values ( \
-              "-0.0515607, -0.0599601, -0.140635, -0.175424, -0.175336, -0.170225, -0.16595, -0.161819, -0.157135, -0.15418, -0.148968, -0.146835, -0.141336, -0.123858, -0.0787149, -0.0670021, -0.0514369, -0.0398578, -0.0319282, -0.025093, -0.0176647, -0.0151663, -0.0111535, -0.00711958, -0.00454098, -0.00286903, -0.00182738, -0.00114423, -0.000734059" \
+              "-0.051507, -0.0599723, -0.140543, -0.175424, -0.175369, -0.170225, -0.16595, -0.161819, -0.157131, -0.15419, -0.149012, -0.146835, -0.141192, -0.121143, -0.0963762, -0.0745397, -0.0604883, -0.0509578, -0.0396844, -0.0321951, -0.0252398, -0.0177148, -0.015178, -0.0123319, -0.00930983, -0.00594013, -0.00377278, -0.00239209, -0.00151213, -0.000603184" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00124625");
-            index_3 ("5.95267, 6.66433, 6.85051, 6.96445, 7.11636, 7.18677, 7.3276, 7.47024, 7.6481, 7.80317, 7.95296, 8.10274, 8.25684, 8.41802, 8.541, 8.67815, 8.77062, 8.90943, 9.08177, 9.26409, 9.65771, 9.69112, 9.75795, 9.89161, 10.1589, 11.5152, 12.3368");
+            index_3 ("5.95256, 6.66452, 6.85052, 6.96421, 7.1158, 7.18679, 7.32877, 7.47027, 7.64816, 7.80323, 7.95302, 8.10281, 8.2569, 8.41808, 8.54106, 8.67819, 8.77063, 8.90944, 9.08191, 9.2642, 9.65774, 9.69114, 9.75792, 9.89149, 10.1586, 11.5145, 12.3362");
             values ( \
-              "-6.83092e-05, -0.00014981, -0.000220061, -0.000280353, -0.000403304, -0.000497749, -0.000766919, -0.00112953, -0.00139746, -0.00149554, -0.00150119, -0.00148779, -0.00143839, -0.00134434, -0.00116125, -0.000528645, -0.000240647, -0.00015698, -0.000111658, -8.04422e-05, -4.85247e-05, -5.1762e-05, -4.14813e-05, -4.22763e-05, -2.5758e-05, -2.53642e-06, -5.28054e-06" \
+              "-6.82487e-05, -0.000149862, -0.000220058, -0.000280198, -0.000402709, -0.000497681, -0.000769514, -0.00112933, -0.00139737, -0.00149548, -0.00150119, -0.00148779, -0.00143841, -0.00134437, -0.00116129, -0.000528773, -0.000240714, -0.000156983, -0.000111631, -8.04322e-05, -4.85246e-05, -5.17593e-05, -4.1486e-05, -4.22784e-05, -2.57701e-05, -2.5445e-06, -5.27993e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
-            index_2 ("0.00454152");
-            index_3 ("5.95279, 6.43999, 6.72842, 6.90557, 6.998, 7.12124, 7.22916, 7.26605, 7.33983, 7.48738, 7.59773, 7.80939, 7.98692, 8.15017, 8.3078, 8.33747, 8.39682, 8.46343, 8.59667, 8.62205, 8.67282, 8.77111, 8.79941, 8.84946, 8.9187, 8.95313, 8.97496, 8.98149, 8.99455, 9.02068, 9.07292, 9.17742, 9.33097, 9.54823, 9.70898, 10.0305, 10.6692, 11.4909, 12.3125");
+            index_2 ("0.00454148");
+            index_3 ("5.95269, 6.43999, 6.72836, 6.90557, 6.99807, 7.1214, 7.22921, 7.26606, 7.33977, 7.48718, 7.59776, 7.80944, 7.98697, 8.15022, 8.30786, 8.33747, 8.39672, 8.46349, 8.59704, 8.62212, 8.67227, 8.77083, 8.79946, 8.84949, 8.91874, 8.95317, 8.975, 8.98153, 8.99459, 9.02071, 9.07295, 9.17744, 9.33097, 9.54818, 9.70899, 10.0306, 10.6694, 11.491, 12.3126");
             values ( \
-              "-0.000331566, -0.000339639, -0.00055074, -0.000769364, -0.000918639, -0.00117839, -0.00149235, -0.0016318, -0.00194527, -0.00275849, -0.00338141, -0.00435157, -0.00486132, -0.00514094, -0.00524874, -0.00526005, -0.00525338, -0.00523411, -0.00508939, -0.00504179, -0.00489811, -0.00429037, -0.00389365, -0.00297354, -0.00161257, -0.0011946, -0.000966013, -0.000938479, -0.000827135, -0.000705835, -0.00050883, -0.000378781, -0.000277196, -0.000222271, -0.000167982, -0.000133024, -5.1178e-05, -3.47216e-05, -1e-22" \
+              "-0.000331419, -0.000339632, -0.00055068, -0.000769345, -0.000918719, -0.00117868, -0.00149242, -0.00163171, -0.00194481, -0.00275698, -0.00338126, -0.00435138, -0.00486115, -0.00514077, -0.00524864, -0.00525991, -0.00525332, -0.00523401, -0.00508882, -0.00504173, -0.00490021, -0.00429408, -0.00389381, -0.00297416, -0.00161288, -0.00119481, -0.000966221, -0.000938629, -0.000827305, -0.00070592, -0.000508897, -0.000378759, -0.000277219, -0.000222265, -0.000168001, -0.000132985, -5.11892e-05, -3.46956e-05, -1e-22" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
-            index_2 ("0.0165499");
-            index_3 ("5.93687, 6.56664, 6.78245, 7.03384, 7.14394, 7.29073, 7.43583, 7.48532, 7.58429, 7.91518, 8.0924, 8.33464, 8.43828, 8.65026, 8.84619, 9.034, 9.21812, 9.35609, 9.41221, 9.5908, 9.6446, 9.73447, 9.84223, 9.94765, 10.1417, 10.4107, 10.8069, 12.2902");
+            index_2 ("0.0165496");
+            index_3 ("5.93703, 6.56664, 6.78255, 7.03379, 7.14405, 7.29106, 7.43593, 7.48533, 7.58413, 7.9152, 8.09247, 8.33466, 8.4383, 8.65028, 8.84621, 9.03403, 9.21815, 9.35612, 9.41224, 9.59084, 9.64463, 9.7345, 9.84225, 9.94767, 10.1417, 10.4107, 10.8068, 12.2901");
             values ( \
-              "-0.000754462, -0.00113835, -0.00162247, -0.00239591, -0.00284515, -0.00358268, -0.0045237, -0.00490899, -0.0057652, -0.00906872, -0.0107381, -0.0127182, -0.0134449, -0.0147258, -0.0156126, -0.0161704, -0.0162287, -0.0151804, -0.013647, -0.00555652, -0.00387059, -0.00197579, -0.00103116, -0.00069981, -0.000414428, -0.000351848, -0.000197136, -9.07926e-06" \
+              "-0.000754877, -0.00113835, -0.0016227, -0.00239566, -0.00284556, -0.00358441, -0.00452421, -0.00490882, -0.00576342, -0.00906849, -0.0107382, -0.0127177, -0.0134445, -0.0147253, -0.0156122, -0.0161699, -0.0162283, -0.01518, -0.0136466, -0.00555647, -0.00387055, -0.00197583, -0.00103121, -0.000699818, -0.000414437, -0.000351829, -0.000197156, -9.10035e-06" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
-            index_2 ("0.0603101");
-            index_3 ("5.86929, 6.88606, 7.02398, 7.29984, 7.48045, 7.84169, 8.00189, 8.61228, 9.04694, 9.40905, 9.72921, 10.0231, 10.2994, 10.5677, 10.7685, 11.1658, 11.3094, 11.4508, 11.6124, 11.8871, 12.2145, 12.6313");
+            index_2 ("0.0603084");
+            index_3 ("5.86957, 6.88604, 7.02362, 7.29877, 7.48045, 7.8438, 8.00184, 8.61225, 9.04692, 9.40903, 9.72919, 10.0231, 10.2994, 10.5676, 10.7685, 11.1658, 11.3094, 11.4508, 11.6123, 11.8871, 12.2145, 12.6313");
             values ( \
-              "-0.000665292, -0.00360789, -0.00436464, -0.00619366, -0.00766435, -0.0114013, -0.0134739, -0.0221022, -0.0278621, -0.0322057, -0.0355974, -0.0382917, -0.0402379, -0.0408617, -0.0372607, -0.0138322, -0.00806412, -0.00465595, -0.00231052, -0.000716524, -0.000175431, -0.000108501" \
+              "-0.000666577, -0.00360775, -0.00436241, -0.00618559, -0.0076641, -0.0114263, -0.0134728, -0.0221014, -0.0278611, -0.0322048, -0.0355964, -0.0382907, -0.0402368, -0.0408607, -0.0372597, -0.0138318, -0.00806392, -0.00465573, -0.00231044, -0.000716588, -0.000175487, -0.000108474" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
-            index_2 ("0.219778");
-            index_3 ("6.76656, 7.91437, 8.42423, 10.013, 10.7441, 11.3605, 11.909, 12.4127, 12.8867, 13.3558, 13.7069, 14.1261, 14.3624, 14.6637, 14.8922, 15.2425, 15.7096, 16.3407, 16.666");
+            index_2 ("0.21977");
+            index_3 ("6.76661, 7.91432, 8.42429, 10.013, 10.744, 11.3604, 11.9089, 12.4126, 12.8866, 13.3557, 13.7068, 14.1259, 14.3622, 14.6636, 14.8921, 15.2424, 15.7094, 16.3405, 16.666");
             values ( \
-              "-0.00755294, -0.0151675, -0.0224609, -0.0486367, -0.0598043, -0.0685475, -0.0756922, -0.0814377, -0.0853942, -0.0836299, -0.0688224, -0.0439627, -0.0319381, -0.0202325, -0.0139354, -0.00759097, -0.00316399, -0.000754811, -0.000460104" \
+              "-0.00755415, -0.0151666, -0.0224616, -0.0486356, -0.059803, -0.068546, -0.0756906, -0.0814359, -0.0853924, -0.0836283, -0.0688212, -0.0439622, -0.0319376, -0.0202321, -0.0139352, -0.0075909, -0.00316399, -0.000754815, -0.000459949" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
-            index_2 ("0.800901");
-            index_3 ("7.5473, 9.02236, 11.802, 13.596, 15.1166, 15.9382, 16.662, 17.242, 17.6029, 17.9848, 18.7484, 20.3145, 21.1736, 21.9543, 22.3011, 22.9949, 23.4859, 24.026, 24.7463, 25.5679, 26.3895, 27.2112, 29.6761");
+            index_2 ("0.800865");
+            index_3 ("7.54724, 9.02224, 11.8019, 13.5958, 15.1164, 15.938, 16.662, 17.2418, 17.6027, 17.9845, 18.7481, 20.3141, 21.1732, 21.9538, 22.3007, 22.9944, 23.4854, 24.0255, 24.7457, 25.5673, 26.3889, 27.2106, 29.6754");
             values ( \
-              "-0.0238024, -0.0343146, -0.083719, -0.114146, -0.138009, -0.149674, -0.158643, -0.154621, -0.151385, -0.146837, -0.130623, -0.0777183, -0.0536429, -0.0370612, -0.0312106, -0.0219238, -0.0169532, -0.0127492, -0.00866697, -0.00550198, -0.00351642, -0.00220508, -0.000574052" \
+              "-0.0238008, -0.0343124, -0.0837169, -0.114143, -0.138006, -0.14967, -0.158641, -0.15462, -0.151384, -0.146837, -0.130624, -0.0777183, -0.0536427, -0.0370611, -0.0312107, -0.0219238, -0.0169532, -0.0127491, -0.00866691, -0.00550189, -0.00351632, -0.002205, -0.000574008" \
             );
           }
         }
         receiver_capacitance1_fall (delay_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
-            "0.00149337, 0.00152441, 0.00154533, 0.00155332, 0.00155575, 0.00155644", \
-            "0.00170767, 0.00171235, 0.00172251, 0.00172884, 0.00173109, 0.00173176", \
-            "0.00180025, 0.00179124, 0.00178548, 0.00178429, 0.00178464, 0.00178485", \
-            "0.00184517, 0.00183332, 0.00181899, 0.00180973, 0.00180307, 0.00180102", \
-            "0.00187448, 0.00186186, 0.00184541, 0.00182808, 0.00181491, 0.00180809", \
-            "0.00189654, 0.00188447, 0.00186811, 0.00184946, 0.00183046, 0.00181606" \
+            "0.00149351, 0.00152457, 0.00154551, 0.00155352, 0.00155595, 0.00155663", \
+            "0.00170849, 0.00171318, 0.00172336, 0.00172969, 0.00173195, 0.00173262", \
+            "0.00180132, 0.0017923, 0.00178653, 0.00178536, 0.00178571, 0.00178592", \
+            "0.0018463, 0.00183447, 0.00182013, 0.00181088, 0.0018042, 0.00180215", \
+            "0.00187568, 0.00186305, 0.00184658, 0.00182924, 0.00181606, 0.00180923", \
+            "0.00189778, 0.00188569, 0.00186932, 0.00185064, 0.00183162, 0.00181721" \
           );
         }
         receiver_capacitance2_fall (delay_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
-            "0.00183239, 0.00185512, 0.00186795, 0.00187165, 0.00187252, 0.00187272", \
-            "0.00196295, 0.00190964, 0.00188393, 0.00187225, 0.00186802, 0.00186669", \
-            "0.00234614, 0.0020776, 0.00192639, 0.00187088, 0.00185749, 0.00185212", \
-            "0.0029188, 0.00265357, 0.00215184, 0.00193947, 0.0018729, 0.00185229", \
-            "0.0028931, 0.00291406, 0.00283063, 0.00219728, 0.00195074, 0.00187831", \
-            "0.00286087, 0.00288146, 0.0029083, 0.00288828, 0.00223295, 0.00196314" \
+            "0.00183312, 0.0018559, 0.00186881, 0.00187255, 0.00187342, 0.00187364", \
+            "0.0019641, 0.00191081, 0.00188509, 0.0018734, 0.00186918, 0.00186785", \
+            "0.00234772, 0.00207899, 0.00192775, 0.00187205, 0.0018593, 0.00185391", \
+            "0.00292118, 0.00265559, 0.0021534, 0.00194074, 0.00187405, 0.00185339", \
+            "0.00289542, 0.0029164, 0.0028329, 0.00219894, 0.00195205, 0.00187946", \
+            "0.00286315, 0.00288376, 0.00291062, 0.00289061, 0.00223467, 0.00196446" \
           );
         }
       }
@@ -183363,7 +198747,7 @@
         related_pg_pin : GND;
         rise_power (power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
             "0, 0, 0, 0, 0, 0", \
             "0, 0, 0, 0, 0, 0", \
@@ -183375,7 +198759,7 @@
         }
         fall_power (power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
             "0, 0, 0, 0, 0, 0", \
             "0, 0, 0, 0, 0, 0", \
@@ -183391,26 +198775,26 @@
         related_pg_pin : VDD;
         rise_power (power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
-            "0.00305558, 0.00318166, 0.00325142, 0.00327618, 0.0032861, 0.00328359", \
-            "0.00291016, 0.00303702, 0.00317618, 0.00324835, 0.00328602, 0.0032887", \
-            "0.00309247, 0.00306191, 0.00305596, 0.00321177, 0.00296547, 0.00328128", \
-            "0.00438574, 0.00407764, 0.00371476, 0.00329687, 0.00334788, 0.0033053", \
-            "0.0105045, 0.00969022, 0.00901611, 0.00717485, 0.00513785, 0.00386967", \
-            "0.0359479, 0.0343714, 0.0307147, 0.0250918, 0.0177829, 0.010193" \
+            "0.00305781, 0.00318129, 0.00325382, 0.0032786, 0.00328844, 0.003286", \
+            "0.00291267, 0.00303937, 0.0031786, 0.00325083, 0.00328847, 0.00329114", \
+            "0.00309472, 0.0030642, 0.00312301, 0.00321397, 0.00320328, 0.00328359", \
+            "0.00434378, 0.00407996, 0.00371713, 0.00330041, 0.00335475, 0.00330793", \
+            "0.0105063, 0.0096921, 0.00901806, 0.00717667, 0.00514002, 0.00387226", \
+            "0.0359495, 0.0343732, 0.0307166, 0.025094, 0.0177853, 0.0101954" \
           );
         }
         fall_power (power_template) {
           index_1 ("0.00974609, 0.039, 0.156062, 0.624499, 2.499, 10");
-          index_2 ("0.00124625, 0.00454152, 0.0165499, 0.0603101, 0.219778, 0.800901");
+          index_2 ("0.00124625, 0.00454148, 0.0165496, 0.0603084, 0.21977, 0.800865");
           values ( \
-            "-0.00048578, -0.000418075, -0.000378163, -0.00036631, -0.000363566, -0.000364386", \
-            "-0.000653739, -0.000519287, -0.000422937, -0.000378404, -0.00037648, -0.000374308", \
-            "-0.000727067, -0.000659217, -0.000504641, -0.000418027, -0.000385364, -0.000370502", \
-            "9.88851e-05, -0.000298142, -0.00046122, -0.000331179, -0.000362037, -0.000368349", \
-            "0.00600182, 0.0043927, 0.00194939, 0.000524096, -6.83956e-06, -0.000182069", \
-            "0.0312831, 0.0287607, 0.0223261, 0.0117338, 0.00428596, 0.00141471" \
+            "-0.000486322, -0.000418575, -0.000378631, -0.000366757, -0.000364008, -0.000364828", \
+            "-0.000654235, -0.000519769, -0.000423404, -0.000378875, -0.000376934, -0.000374763", \
+            "-0.000727868, -0.000659765, -0.000505605, -0.000418699, -0.000385889, -0.000369703", \
+            "9.84248e-05, -0.000298428, -0.000461795, -0.000332179, -0.000362733, -0.000368898", \
+            "0.00600058, 0.00436931, 0.00194989, 0.00052352, -7.14409e-06, -0.000181947", \
+            "0.0312816, 0.0287593, 0.0223251, 0.011733, 0.00428544, 0.00141421" \
           );
         }
       }
@@ -183420,11 +198804,11 @@
       related_ground_pin : GND;
       related_power_pin : VDD;
       max_transition : 10;
-      capacitance : 0.00220812;
-      rise_capacitance : 0.00220812;
-      rise_capacitance_range (0.00171667, 0.00220812);
-      fall_capacitance : 0.00220586;
-      fall_capacitance_range (0.00167195, 0.00220586);
+      capacitance : 0.00220966;
+      rise_capacitance : 0.00220966;
+      rise_capacitance_range (0.00171732, 0.00220966);
+      fall_capacitance : 0.0022074;
+      fall_capacitance_range (0.00167245, 0.0022074);
     }
   }
   cell (sky130_osu_sc_12T_ms__mux2_1) {
@@ -227363,7 +242747,7 @@
             "0.0280946, 0.0471576, 0.111285, 0.333528, 1.10933, 3.81981", \
             "0.036687, 0.0561464, 0.120943, 0.34356, 1.11949, 3.83005", \
             "0.0560455, 0.0876608, 0.15875, 0.381515, 1.15773, 3.86843", \
-            "0.0673418, 0.129413, 0.266853, 0.538705, 1.31318, 4.02336", \
+            "0.0673418, 0.129413, 0.266697, 0.538705, 1.31318, 4.02336", \
             "-0.0027754, 0.114839, 0.377837, 0.914961, 1.95096, 4.65479", \
             "-0.480029, -0.269092, 0.222256, 1.24594, 3.2796, 7.17709" \
           );
@@ -227375,7 +242759,7 @@
             "0.0208305, 0.0452664, 0.130286, 0.426953, 1.46347, 5.08577", \
             "0.0218782, 0.045222, 0.130351, 0.426964, 1.46347, 5.08679", \
             "0.0428653, 0.0658423, 0.135031, 0.42696, 1.46348, 5.08679", \
-            "0.0977404, 0.139456, 0.23241, 0.465712, 1.4645, 5.0868", \
+            "0.0977404, 0.139456, 0.232542, 0.465712, 1.4645, 5.0868", \
             "0.252347, 0.328649, 0.500176, 0.859449, 1.67002, 5.0853", \
             "0.770937, 0.890507, 1.20422, 1.86334, 3.21084, 6.078" \
           );
@@ -227549,7 +242933,7 @@
             index_2 ("0.00124625");
             index_3 ("0.389748, 0.471384, 0.507572, 0.520667, 0.543797, 0.561966, 0.605917, 0.641323, 0.663765, 0.684132, 0.70329, 0.721872, 0.740431, 0.760345, 0.783122, 0.802179, 0.827946, 0.841549, 0.864154, 0.882431, 0.918985, 1.0407, 1.04251, 1.04438, 1.04812, 1.05801");
             values ( \
-              "0.000117604, 0.000450774, 0.000995518, 0.0012735, 0.00208217, 0.0033044, 0.00709338, 0.00944491, 0.0105821, 0.0114299, 0.0119701, 0.0122158, 0.011161, 0.00661884, 0.00376458, 0.00231919, 0.00115323, 0.000786081, 0.000415078, 0.00024654, 8.05548e-05, 7.69384e-06, 0.000454646, 0.000578742, 0.00059318, 0.000443464" \
+              "0.000117604, 0.000450774, 0.000995518, 0.0012735, 0.00208217, 0.0033044, 0.00709338, 0.00944491, 0.0105821, 0.0114299, 0.0119701, 0.0122158, 0.011161, 0.00661884, 0.00376458, 0.00231919, 0.00115323, 0.000786081, 0.000415078, 0.00024654, 8.05548e-05, 7.69384e-06, 0.000454647, 0.000578743, 0.000593179, 0.000443464" \
             );
           }
           vector (ccs_template) {
@@ -227594,7 +242978,7 @@
             index_2 ("0.64907");
             index_3 ("0.837269, 0.837289, 2.90881, 4.70013, 6.7924, 7.53157, 8.66784, 9.05878, 9.84065, 10.7646, 13.8727, 15.8693, 17.5747, 19.1544, 20.0413, 21.0182, 22.1545, 24.0718, 25.2081, 26.3443, 28.6169, 30.8894, 30.9773");
             values ( \
-              "1e-22, 0.0993843, 0.088602, 0.0854876, 0.0811456, 0.0793256, 0.0752547, 0.0734656, 0.0690421, 0.062959, 0.0400204, 0.0274732, 0.019287, 0.0136146, 0.0111082, 0.00888483, 0.00678233, 0.00429889, 0.00328437, 0.00247731, 0.00141925, 0.000809464, 0.000798832" \
+              "1e-22, 0.0993843, 0.088602, 0.0854876, 0.0811456, 0.0793256, 0.0752547, 0.0734656, 0.0690421, 0.062959, 0.0400204, 0.0274732, 0.019287, 0.0136146, 0.0111082, 0.00888483, 0.00678233, 0.00429889, 0.00328437, 0.00247731, 0.00141925, 0.000809464, 0.000798831" \
             );
           }
           vector (ccs_template) {
@@ -227621,7 +243005,7 @@
             index_2 ("0.0152153");
             index_3 ("1.81187, 2.08285, 2.18959, 2.23248, 2.4837, 2.61127, 2.66726, 2.78205, 2.8856, 2.98209, 3.07475, 3.16727, 3.31159, 3.38964, 3.42805, 3.47926, 3.54028, 3.57925, 3.6572, 3.79915, 3.9641, 4.16431, 4.24641, 4.35451");
             values ( \
-              "0.00228556, 0.00353122, 0.00577005, 0.00712924, 0.0168815, 0.0209112, 0.0224593, 0.025344, 0.0275146, 0.0291716, 0.0300672, 0.0275357, 0.0153149, 0.00978759, 0.00767496, 0.00544727, 0.00354544, 0.00265821, 0.00147039, 0.000423196, 9.78292e-05, 8.77373e-06, 0.000319671, 0.000141526" \
+              "0.00228556, 0.00353122, 0.00577005, 0.00712924, 0.0168815, 0.0209112, 0.0224593, 0.025344, 0.0275146, 0.0291716, 0.0300672, 0.0275357, 0.0153149, 0.00978759, 0.00767496, 0.00544727, 0.00354544, 0.00265821, 0.00147039, 0.000423196, 9.78292e-05, 8.77375e-06, 0.000319671, 0.000141526" \
             );
           }
           vector (ccs_template) {
@@ -227657,7 +243041,7 @@
             index_2 ("0.00124625");
             index_3 ("6.59121, 7.6124, 7.90936, 8.22507, 8.33663, 8.48186, 8.82594, 8.9919, 9.13773, 9.27222, 9.40146, 9.52731, 9.65311, 9.74703, 9.81936, 9.93122, 9.98568, 10.0952, 10.2385, 10.3781, 10.4321, 10.5403, 10.7567, 11.1894, 11.7141");
             values ( \
-              "2.78647e-05, 6.0034e-05, 8.59473e-05, 0.000160185, 0.000225042, 0.000389289, 0.00121864, 0.00147341, 0.00161439, 0.00171093, 0.00176647, 0.00180208, 0.00177608, 0.00154387, 0.000934356, 0.000381621, 0.000274687, 0.000170345, 0.000136081, 8.47488e-05, 9.86248e-05, 5.9744e-05, 5.76335e-05, 5.8826e-06, 1.49048e-05" \
+              "2.78647e-05, 6.0034e-05, 8.59473e-05, 0.000160185, 0.000225042, 0.000389289, 0.00121864, 0.00147341, 0.00161439, 0.00171093, 0.00176647, 0.00180208, 0.00177608, 0.00154387, 0.000934356, 0.000381621, 0.000274687, 0.000170345, 0.000136081, 8.47488e-05, 9.86248e-05, 5.9744e-05, 5.76335e-05, 5.88259e-06, 1.49048e-05" \
             );
           }
           vector (ccs_template) {
@@ -227713,7 +243097,7 @@
             "0.00191617, 0.00200567, 0.0020678, 0.00209388, 0.00210231, 0.00210481", \
             "0.00237009, 0.00244715, 0.00252892, 0.00257138, 0.00258602, 0.00259044", \
             "0.00271188, 0.00269753, 0.00270182, 0.00271666, 0.00272524, 0.00272817", \
-            "0.00293866, 0.00288169, 0.00281863, 0.0027883, 0.00277254, 0.00276859", \
+            "0.00293866, 0.00288169, 0.0028196, 0.0027883, 0.00277254, 0.00276859", \
             "0.00318994, 0.00304837, 0.00293445, 0.00286079, 0.00281085, 0.00278667", \
             "0.00344942, 0.00332415, 0.00311112, 0.00295791, 0.00287581, 0.00281682" \
           );
@@ -227725,7 +243109,7 @@
             "0.00252145, 0.00260575, 0.00266123, 0.00267413, 0.00267696, 0.0026777", \
             "0.002878, 0.00269832, 0.00256277, 0.00250915, 0.00248958, 0.00248362", \
             "0.00345665, 0.00290272, 0.00256251, 0.0024158, 0.00237129, 0.00235314", \
-            "0.00351288, 0.00360426, 0.00301649, 0.00253541, 0.00237623, 0.00233705", \
+            "0.00351288, 0.00360426, 0.00301544, 0.00253541, 0.00237623, 0.00233705", \
             "0.00310937, 0.00334486, 0.00353485, 0.00315078, 0.00255841, 0.00237766", \
             "0.00268033, 0.00288954, 0.0032442, 0.00349875, 0.0033146, 0.00259745" \
           );
@@ -227899,7 +243283,7 @@
             index_2 ("0.00124625");
             index_3 ("0.421674, 0.449625, 0.464296, 0.478344, 0.48546, 0.498129, 0.520623, 0.532487, 0.553752, 0.571708, 0.587749, 0.602517, 0.616551, 0.630251, 0.64051, 0.655929, 0.664419, 0.67139, 0.680684, 0.688425, 0.703906, 0.723309, 0.781302, 1.04078, 1.06291");
             values ( \
-              "-0.0015161, -0.00169891, -0.00228638, -0.00315741, -0.00375781, -0.00519867, -0.00814522, -0.00945556, -0.0116701, -0.0133159, -0.0146529, -0.0156581, -0.0163798, -0.0164282, -0.0142037, -0.00717722, -0.00443738, -0.00283272, -0.0015403, -0.000913593, -0.000299977, -9.1544e-05, -2.32307e-05, -1.83637e-06, -0.000162957" \
+              "-0.0015161, -0.00169891, -0.00228638, -0.00315741, -0.00375781, -0.00519867, -0.00814522, -0.00945556, -0.0116701, -0.0133159, -0.0146529, -0.0156581, -0.0163798, -0.0164282, -0.0142037, -0.00717722, -0.00443738, -0.00283272, -0.0015403, -0.000913593, -0.000299977, -9.1544e-05, -2.32306e-05, -1.83637e-06, -0.000162957" \
             );
           }
           vector (ccs_template) {
@@ -227915,9 +243299,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.0152153");
-            index_3 ("0.443389, 0.466621, 0.49379, 0.520959, 0.548247, 0.61748, 0.70768, 0.749915, 0.787657, 0.822399, 0.855701, 0.890612, 0.938115, 0.964684, 0.986447, 0.999188, 1.02343, 1.04111, 1.04915, 1.06687, 1.08539, 1.11473, 1.13385");
+            index_3 ("0.437775, 0.485024, 0.514521, 0.534708, 0.633691, 0.707793, 0.750029, 0.787792, 0.822549, 0.855863, 0.890783, 0.938291, 0.96491, 0.986612, 0.999318, 1.02359, 1.04141, 1.04952, 1.06738, 1.08605, 1.11566, 1.12271");
             values ( \
-              "-0.00583548, -0.00822716, -0.0123084, -0.0174032, -0.0237812, -0.0410046, -0.0607989, -0.0690706, -0.0760667, -0.0812488, -0.0837717, -0.0724588, -0.0431895, -0.0293535, -0.0207277, -0.01674, -0.0109232, -0.00795841, -0.00789001, -0.00570803, -0.00407817, -0.0022482, -0.00171876" \
+              "-0.0054641, -0.010772, -0.0160467, -0.0204034, -0.0446001, -0.0607024, -0.0690769, -0.075981, -0.0812635, -0.0837, -0.0724503, -0.0431832, -0.0293274, -0.0207332, -0.0167521, -0.0109273, -0.00794128, -0.00786742, -0.00567517, -0.00404332, -0.00221566, -0.00202372" \
             );
           }
           vector (ccs_template) {
@@ -227953,7 +243337,7 @@
             index_2 ("0.00124625");
             index_3 ("1.4169, 1.64601, 1.67444, 1.73129, 1.76423, 1.83011, 1.90468, 1.93809, 1.99323, 2.03841, 2.03903, 2.07992, 2.11798, 2.14435, 2.15453, 2.17261, 2.19051, 2.21753, 2.22889, 2.26614, 2.28156, 2.29869, 2.31164, 2.32358, 2.34746, 2.39106, 2.45434, 2.55046, 2.71906, 2.98339, 3.29734");
             values ( \
-              "-1.87836e-05, -0.000373109, -0.000454783, -0.000646544, -0.000824909, -0.00136755, -0.0028492, -0.00359786, -0.00454243, -0.00526167, -0.00524924, -0.00571944, -0.00604263, -0.00618891, -0.00622377, -0.00625279, -0.0062096, -0.0057971, -0.005106, -0.00195162, -0.00113215, -0.000624235, -0.000424032, -0.000319997, -0.000211452, -0.000147971, -0.000107627, -6.6087e-05, -2.18106e-05, -3.71864e-06, -1.32755e-06" \
+              "-1.87836e-05, -0.000373109, -0.000454783, -0.000646544, -0.000824909, -0.00136755, -0.0028492, -0.00359786, -0.00454243, -0.00526167, -0.00524924, -0.00571944, -0.00604263, -0.00618891, -0.00622377, -0.00625279, -0.0062096, -0.0057971, -0.005106, -0.00195162, -0.00113215, -0.000624235, -0.000424032, -0.000319997, -0.000211452, -0.000147971, -0.000107627, -6.60871e-05, -2.18106e-05, -3.71864e-06, -1.32755e-06" \
             );
           }
           vector (ccs_template) {
@@ -227962,7 +243346,7 @@
             index_2 ("0.00435455");
             index_3 ("1.43051, 1.67371, 1.72657, 1.79146, 1.81639, 1.84963, 1.88985, 1.93124, 1.98495, 2.04679, 2.14372, 2.19739, 2.24667, 2.29347, 2.33889, 2.3729, 2.38674, 2.42872, 2.44067, 2.45807, 2.46771, 2.48362, 2.50484, 2.54728, 2.59989, 2.6691, 2.94491, 3.26109");
             values ( \
-              "-4.81727e-05, -0.00124229, -0.00170884, -0.00247731, -0.00290026, -0.00361694, -0.00476715, -0.00633073, -0.00850063, -0.0107872, -0.0139088, -0.0153056, -0.0164229, -0.0171472, -0.0174303, -0.0161874, -0.0143089, -0.00701164, -0.0053754, -0.00346254, -0.00271905, -0.00181467, -0.00108806, -0.000391121, -0.000220724, -0.000119039, -4.47903e-06, -1.84013e-05" \
+              "-4.81727e-05, -0.00124229, -0.00170884, -0.00247731, -0.00290026, -0.00361694, -0.00476715, -0.00633073, -0.00850063, -0.0107872, -0.0139088, -0.0153056, -0.0164229, -0.0171472, -0.0174303, -0.0161874, -0.0143089, -0.00701164, -0.0053754, -0.00346254, -0.00271905, -0.00181467, -0.00108806, -0.000391121, -0.000220724, -0.000119039, -4.47905e-06, -1.84012e-05" \
             );
           }
           vector (ccs_template) {
@@ -228023,9 +243407,9 @@
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0152153");
-            index_3 ("5.66494, 6.52952, 6.67749, 6.87479, 7.05172, 7.3934, 7.59781, 7.87035, 8.10393, 8.13918, 8.20967, 8.33752, 8.36021, 8.40561, 8.48469, 8.55604, 8.69875, 8.7368, 8.90792, 9.07452, 9.19943, 9.24776, 9.32111, 9.38849, 9.42972, 9.49578, 9.5408, 9.62932, 9.74736, 9.91692, 10.1398, 10.4503, 10.8624");
+            index_3 ("5.66494, 6.52952, 6.6775, 6.87479, 7.05172, 7.3934, 7.59781, 7.87035, 8.10393, 8.13918, 8.20967, 8.33752, 8.36021, 8.40561, 8.48469, 8.55604, 8.69875, 8.7368, 8.90792, 9.07452, 9.19943, 9.24776, 9.32111, 9.38849, 9.42972, 9.49578, 9.5408, 9.62932, 9.74736, 9.91692, 10.1398, 10.4503, 10.8624");
             values ( \
-              "-0.000257149, -0.0010104, -0.00128255, -0.00173276, -0.00227847, -0.00401817, -0.00592497, -0.00890958, -0.0111105, -0.0115022, -0.0120472, -0.013154, -0.0132622, -0.0136613, -0.014155, -0.0146781, -0.0154341, -0.015681, -0.0163608, -0.0165413, -0.0157031, -0.0142224, -0.010784, -0.00715291, -0.00544532, -0.00319531, -0.00224417, -0.00113846, -0.000602003, -0.000258971, -0.000272045, -9.88245e-05, -0.000102229" \
+              "-0.000257149, -0.0010104, -0.00128255, -0.00173277, -0.00227847, -0.00401817, -0.00592497, -0.00890958, -0.0111105, -0.0115022, -0.0120472, -0.013154, -0.0132622, -0.0136613, -0.014155, -0.0146781, -0.0154341, -0.015681, -0.0163608, -0.0165413, -0.0157031, -0.0142224, -0.010784, -0.00715291, -0.00544532, -0.00319531, -0.00224417, -0.00113846, -0.000602003, -0.000258971, -0.000272045, -9.88245e-05, -0.000102229" \
             );
           }
           vector (ccs_template) {
@@ -228194,7 +243578,7 @@
             "0.0642459, 0.097268, 0.210479, 0.605742, 1.98651, 6.81111", \
             "0.102173, 0.139973, 0.253515, 0.648841, 2.02961, 6.8542", \
             "0.176294, 0.24966, 0.4084, 0.815188, 2.19681, 7.02171", \
-            "0.207798, 0.39929, 0.756695, 1.40134, 2.85532, 7.69232", \
+            "0.207798, 0.39929, 0.756695, 1.40103, 2.85532, 7.69232", \
             "-0.468053, 0.0926128, 1.07408, 2.59583, 5.09021, 10.3449" \
           );
         }
@@ -228206,7 +243590,7 @@
             "0.0450833, 0.0897963, 0.248401, 0.804284, 2.74683, 9.53051", \
             "0.0573716, 0.0957666, 0.248529, 0.804159, 2.74683, 9.53051", \
             "0.121319, 0.163461, 0.29477, 0.807371, 2.74619, 9.53042", \
-            "0.342132, 0.408615, 0.574656, 1.04333, 2.76833, 9.53036", \
+            "0.342132, 0.408615, 0.574656, 1.04302, 2.76833, 9.53036", \
             "1.12052, 1.23011, 1.51652, 2.14959, 3.81617, 9.71272" \
           );
         }
@@ -228218,7 +243602,7 @@
             "0.0639961, 0.0828053, 0.146544, 0.368608, 1.14433, 3.85484", \
             "0.0991242, 0.118725, 0.183112, 0.40519, 1.18094, 3.89146", \
             "0.17074, 0.19325, 0.25919, 0.482492, 1.25857, 3.96916", \
-            "0.344319, 0.377704, 0.454328, 0.679965, 1.45699, 4.16862", \
+            "0.344339, 0.377704, 0.454328, 0.679965, 1.45694, 4.1691", \
             "0.855487, 0.919138, 1.04434, 1.30347, 2.0936, 4.8071" \
           );
         }
@@ -228230,7 +243614,7 @@
             "0.0225286, 0.0461453, 0.13047, 0.427169, 1.46347, 5.08632", \
             "0.0243115, 0.0475271, 0.130863, 0.427034, 1.46327, 5.08632", \
             "0.0310472, 0.0520477, 0.132397, 0.427717, 1.46395, 5.08652", \
-            "0.0499373, 0.0711335, 0.142542, 0.429299, 1.46631, 5.08687", \
+            "0.0499339, 0.0711335, 0.142542, 0.429299, 1.46577, 5.08687", \
             "0.103413, 0.131694, 0.20419, 0.456885, 1.47529, 5.08595" \
           );
         }
@@ -228259,7 +243643,7 @@
             index_2 ("0.0152153");
             index_3 ("0.032719, 0.032739, 0.0944038, 0.110827, 0.169532, 0.201185, 0.216565, 0.237072, 0.26259, 0.3374, 0.397228, 0.455317, 0.488948, 0.536248, 0.564292, 0.610677, 0.663036, 0.70449, 0.787399, 0.841682");
             values ( \
-              "1e-22, 0.0820679, 0.0812251, 0.0803335, 0.0761806, 0.0733098, 0.0714158, 0.0680378, 0.0622816, 0.0427471, 0.0293479, 0.0193006, 0.0149076, 0.0102066, 0.00812787, 0.00548516, 0.00351832, 0.00248168, 0.0011612, 0.000817738" \
+              "1e-22, 0.0820679, 0.0812251, 0.0803335, 0.0761806, 0.0733098, 0.0714158, 0.0680378, 0.0622816, 0.0427471, 0.0293479, 0.0193006, 0.0149076, 0.0102066, 0.00812787, 0.00548516, 0.00351832, 0.00248167, 0.0011612, 0.000817738" \
             );
           }
           vector (ccs_template) {
@@ -228482,9 +243866,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.053164");
-            index_3 ("2.08401, 2.31469, 2.35617, 2.46379, 2.55222, 3.07411, 3.15396, 3.3265, 3.48448, 3.63915, 3.79348, 3.91585, 4.16546, 4.34867, 4.42035, 4.5142, 4.58947, 4.68295, 4.81448, 4.95289, 5.05916, 5.2717, 5.52586");
+            index_3 ("2.0838, 2.31304, 2.36618, 2.49998, 2.56899, 2.95097, 3.15379, 3.23509, 3.32585, 3.48404, 3.63866, 3.793, 3.91535, 4.16526, 4.26643, 4.34442, 4.41747, 4.51281, 4.59089, 4.68371, 4.81473, 4.95124, 5.05585, 5.26506, 5.64568, 6.09617");
             values ( \
-              "0.0106765, 0.0119029, 0.0133691, 0.0175365, 0.021457, 0.0483765, 0.0521678, 0.0587885, 0.0622713, 0.0617751, 0.0559137, 0.0486968, 0.0317822, 0.0209558, 0.0175385, 0.0137844, 0.0113209, 0.00881798, 0.00615099, 0.00420197, 0.00312, 0.00169045, 0.000901367" \
+              "0.0106802, 0.0118794, 0.0137364, 0.0190788, 0.0223042, 0.0420473, 0.0521792, 0.0556006, 0.0587591, 0.0622736, 0.0617548, 0.0559223, 0.0486962, 0.0317639, 0.0254952, 0.021153, 0.0176466, 0.0138184, 0.0112653, 0.00878959, 0.00613955, 0.00421622, 0.00314552, 0.00172197, 0.000517346, 0.000115287" \
             );
           }
           vector (ccs_template) {
@@ -228520,7 +243904,7 @@
             index_2 ("0.00435455");
             index_3 ("6.60944, 6.90096, 7.0822, 7.30631, 7.38333, 7.53736, 7.76759, 8.03442, 8.33752, 8.42639, 8.60242, 8.61011, 8.62549, 8.65625, 8.71778, 8.80361, 8.95118, 8.9982, 9.07947, 9.10455, 9.14981, 9.19825, 9.20086, 9.20609, 9.21656, 9.23748, 9.33652, 9.39047, 9.4624, 9.48718, 9.49575, 9.51289, 9.54718, 9.61576, 9.71049, 9.79336, 9.88142, 9.97544, 10.0873, 10.265, 10.5508, 10.9182");
             values ( \
-              "0.000770842, 0.000788133, 0.00103069, 0.00143449, 0.00157779, 0.00193423, 0.00252368, 0.00335627, 0.00438209, 0.00452842, 0.00400935, 0.00413889, 0.00403384, 0.00411024, 0.00400019, 0.0040847, 0.00398695, 0.00406029, 0.00392061, 0.00395936, 0.00376033, 0.00356392, 0.00349265, 0.00348427, 0.00337275, 0.00321009, 0.0021739, 0.00166049, 0.00111582, 0.000946833, 0.000911715, 0.00080625, 0.000657564, 0.000404324, 0.000223383, 0.000123463, 7.92736e-05, 3.64589e-05, 3.06428e-05, 8.91226e-06, 1.50527e-05, 1e-22" \
+              "0.000770842, 0.000788133, 0.00103069, 0.00143449, 0.00157779, 0.00193423, 0.00252368, 0.00335627, 0.00438209, 0.00452842, 0.00400935, 0.00413889, 0.00403384, 0.00411024, 0.00400019, 0.0040847, 0.00398695, 0.00406029, 0.00392061, 0.00395936, 0.00376033, 0.00356392, 0.00349265, 0.00348427, 0.00337275, 0.00321009, 0.0021739, 0.00166049, 0.00111582, 0.000946833, 0.000911715, 0.00080625, 0.000657564, 0.000404324, 0.000223383, 0.000123463, 7.92736e-05, 3.64589e-05, 3.06428e-05, 8.91224e-06, 1.50527e-05, 1e-22" \
             );
           }
           vector (ccs_template) {
@@ -228699,7 +244083,7 @@
             index_2 ("0.00124625");
             index_3 ("0.204215, 0.208504, 0.211622, 0.215262, 0.217713, 0.221805, 0.225539, 0.229145, 0.232744, 0.234864, 0.240714, 0.24784, 0.252433, 0.255569, 0.260429, 0.265499, 0.267538, 0.271615, 0.279769, 0.290608, 0.303785");
             values ( \
-              "-0.00833352, -0.0270662, -0.03683, -0.0462081, -0.0515128, -0.0581854, -0.0617461, -0.0629885, -0.0605927, -0.0555607, -0.0394188, -0.0240559, -0.0165806, -0.0126199, -0.00787862, -0.00495978, -0.00410449, -0.00279703, -0.00124712, -0.000403652, -9.88458e-05" \
+              "-0.00833352, -0.0270662, -0.03683, -0.0462081, -0.0515128, -0.0581854, -0.0617461, -0.0629885, -0.0605927, -0.0555607, -0.0394188, -0.0240559, -0.0165806, -0.0126199, -0.00787862, -0.00495978, -0.00410449, -0.00279703, -0.00124711, -0.000403651, -9.88455e-05" \
             );
           }
           vector (ccs_template) {
@@ -228735,7 +244119,7 @@
             index_2 ("0.185761");
             index_3 ("0.203385, 0.211733, 0.225329, 0.233165, 0.249184, 0.280156, 0.306405, 0.641478, 0.90285, 1.14744, 1.27736, 1.3764, 1.50844, 1.62049, 1.76988, 1.79995, 1.96823, 2.29657, 2.50675, 2.62592, 2.7053, 2.86407, 2.96768, 3.13338, 3.32275, 3.47731, 3.6332, 3.84105, 4.25675, 4.87738, 5.53978");
             values ( \
-              "-0.0151471, -0.0836917, -0.134663, -0.145212, -0.153603, -0.157868, -0.158404, -0.154541, -0.151161, -0.147591, -0.145355, -0.143351, -0.139903, -0.135556, -0.126099, -0.123675, -0.108066, -0.0756226, -0.0573468, -0.0484537, -0.0431279, -0.0338814, -0.0288064, -0.0220961, -0.0161568, -0.0124713, -0.00958143, -0.0066932, -0.00316701, -0.000940097, -0.000255989" \
+              "-0.0151471, -0.0836917, -0.134663, -0.145212, -0.153603, -0.157868, -0.158404, -0.154541, -0.151161, -0.147591, -0.145355, -0.143351, -0.139903, -0.135556, -0.126098, -0.123675, -0.108066, -0.0756226, -0.0573468, -0.0484537, -0.0431279, -0.0338814, -0.0288064, -0.0220961, -0.0161568, -0.0124713, -0.00958143, -0.00669321, -0.00316702, -0.000940101, -0.00025599" \
             );
           }
           vector (ccs_template) {
@@ -228787,9 +244171,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.185761");
-            index_3 ("0.651087, 0.677624, 0.708372, 0.722539, 0.739792, 0.756506, 0.772085, 0.787362, 0.811596, 0.88533, 1.03958, 1.07943, 1.09426, 1.19815, 1.4077, 1.55006, 1.7626, 1.8716, 1.99617, 2.04901, 2.11946, 2.2672, 2.52706, 2.75675, 2.95158, 3.06205, 3.11721, 3.22753, 3.40914, 3.46175, 3.56696, 3.7774, 3.93629, 3.99466, 4.11141, 4.34491, 4.81192, 5.44419, 6.1066");
+            index_3 ("0.651087, 0.677624, 0.708372, 0.722539, 0.739792, 0.756506, 0.772085, 0.787362, 0.811596, 0.88533, 1.03958, 1.07943, 1.09426, 1.19815, 1.4077, 1.55006, 1.7626, 1.8716, 1.99617, 2.04901, 2.11946, 2.2672, 2.52706, 2.75675, 2.95158, 3.06205, 3.11721, 3.22753, 3.40914, 3.46175, 3.56696, 3.7774, 3.93629, 3.99466, 4.11141, 4.34491, 4.81192, 5.44419, 6.10659");
             values ( \
-              "-0.00570362, -0.0798938, -0.138464, -0.148833, -0.153912, -0.156139, -0.156938, -0.157229, -0.157272, -0.156605, -0.154897, -0.154834, -0.154699, -0.153409, -0.150654, -0.148602, -0.145027, -0.142719, -0.13925, -0.137286, -0.133947, -0.123695, -0.0990135, -0.0763799, -0.0592492, -0.0507486, -0.0468835, -0.0397857, -0.0300555, -0.0276425, -0.0233711, -0.0164998, -0.0126583, -0.0114562, -0.00941398, -0.00627067, -0.00268292, -0.000760618, -0.000218693" \
+              "-0.00570362, -0.0798938, -0.138464, -0.148833, -0.153912, -0.156139, -0.156938, -0.157229, -0.157272, -0.156605, -0.154897, -0.154834, -0.154699, -0.153409, -0.150654, -0.148602, -0.145027, -0.142719, -0.13925, -0.137286, -0.133947, -0.123695, -0.0990135, -0.0763799, -0.0592492, -0.0507486, -0.0468835, -0.0397858, -0.0300555, -0.0276425, -0.0233711, -0.0164998, -0.0126583, -0.0114562, -0.00941399, -0.00627068, -0.00268294, -0.000760622, -0.000218694" \
             );
           }
           vector (ccs_template) {
@@ -228805,9 +244189,9 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.00124625");
-            index_3 ("2.36112, 2.36998, 2.38884, 2.41933, 2.42694, 2.43429, 2.44164, 2.4442, 2.44713, 2.47149, 2.48147, 2.48851, 2.49429, 2.50403");
+            index_3 ("2.35647, 2.375, 2.39512, 2.41934, 2.42695, 2.4343, 2.44164, 2.44421, 2.44714, 2.47149, 2.48148, 2.48851, 2.49427, 2.50459");
             values ( \
-              "-0.00283415, -0.00730606, -0.0145676, -0.028062, -0.0309019, -0.0301904, -0.0279661, -0.0276494, -0.0265679, -0.00813413, -0.00389484, -0.002233, -0.00137838, -0.000631502" \
+              "-9.73253e-05, -0.00924508, -0.0171913, -0.0280824, -0.0308875, -0.0302075, -0.0279546, -0.0276495, -0.0265738, -0.00813504, -0.00389351, -0.00223513, -0.00138157, -0.000587716" \
             );
           }
           vector (ccs_template) {
@@ -228841,18 +244225,18 @@
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.185761");
-            index_3 ("2.43543, 2.54606, 2.58282, 2.61758, 2.65414, 2.75284, 2.86943, 3.31126, 3.59896, 3.77542, 3.99241, 4.02988, 4.21509, 4.55394, 4.74865, 4.84297, 5.02371, 5.18175, 5.31124, 5.46222, 5.6753, 5.89477, 6.06254, 6.3981, 7.01642, 7.67882");
+            index_3 ("2.43268, 2.52437, 2.54636, 2.58346, 2.65444, 2.75344, 3.07446, 3.36326, 3.53975, 3.77574, 3.89023, 4.09694, 4.46116, 4.79501, 4.95239, 5.15878, 5.39687, 5.59491, 5.84602, 6.01058, 6.3397, 6.94184, 7.60424");
             values ( \
-              "-0.150919, -0.151416, -0.154849, -0.155836, -0.156052, -0.155465, -0.154259, -0.148471, -0.143382, -0.138528, -0.126618, -0.123563, -0.106485, -0.0731865, -0.0565058, -0.0494557, -0.0378326, -0.0295926, -0.0240779, -0.0188241, -0.0131682, -0.00908743, -0.00681622, -0.00375759, -0.00113311, -0.000299793" \
+              "-0.146643, -0.146671, -0.151384, -0.154906, -0.156084, -0.155493, -0.15179, -0.147699, -0.144635, -0.138542, -0.133357, -0.117643, -0.0819283, -0.0529426, -0.0421133, -0.0306341, -0.0209361, -0.0150638, -0.00987389, -0.00744296, -0.00416623, -0.00130076, -0.000351609" \
             );
           }
           vector (ccs_template) {
             reference_time : 2.0825;
             index_1 ("2.499");
             index_2 ("0.64907");
-            index_3 ("2.35627, 2.50694, 2.54282, 2.57302, 2.61044, 2.64502, 2.74383, 3.04825, 4.36632, 5.45668, 6.11908, 6.50525, 7.06832, 7.73072, 7.95098, 8.3915, 9.47783, 10.0967, 10.5129, 10.815, 11.2178, 11.8802, 12.1678, 12.6222, 13.0915, 13.7437, 14.4732, 15.1356, 15.798, 16.4604, 17.1228, 17.7852, 18.4476, 20.4348");
+            index_3 ("2.35797, 2.4906, 2.53507, 2.58938, 2.66185, 2.75957, 3.17947, 4.35704, 5.46056, 6.12296, 6.50914, 7.07221, 7.73461, 7.95486, 8.39537, 9.48172, 10.1006, 10.8189, 11.2217, 11.8841, 12.1717, 12.6261, 13.0954, 13.7476, 14.477, 15.1394, 15.8018, 17.1266, 17.789, 18.4514, 20.4386");
             values ( \
-              "-0.00381127, -0.142218, -0.153031, -0.15627, -0.157971, -0.158561, -0.158877, -0.158165, -0.153726, -0.149353, -0.146164, -0.143907, -0.139386, -0.129439, -0.124443, -0.112889, -0.0816149, -0.0651314, -0.0553326, -0.0488904, -0.0411655, -0.0306916, -0.0268897, -0.0217758, -0.0174691, -0.0127125, -0.0088941, -0.00643144, -0.00458543, -0.00331688, -0.00234252, -0.00170605, -0.00118787, -0.00045712" \
+              "-0.00230412, -0.12987, -0.150727, -0.157155, -0.158748, -0.158932, -0.157803, -0.153773, -0.149351, -0.146165, -0.143908, -0.139386, -0.129439, -0.124443, -0.112889, -0.0816146, -0.0651313, -0.0488903, -0.0411659, -0.0306917, -0.0268898, -0.0217756, -0.0174687, -0.0127126, -0.00889444, -0.0064313, -0.00458571, -0.00234278, -0.00170584, -0.00118811, -0.000456894" \
             );
           }
           vector (ccs_template) {
@@ -228861,7 +244245,7 @@
             index_2 ("0.00124625");
             index_3 ("9.04099, 9.08882, 9.1088, 9.13419, 9.17357, 9.18904, 9.20458, 9.20488, 9.22919, 9.23762, 9.25018, 9.27137, 9.2862, 9.29945, 9.31106, 9.32062");
             values ( \
-              "-0.00124503, -0.00471749, -0.00645095, -0.00906291, -0.013801, -0.0151164, -0.0136373, -0.0138487, -0.0135543, -0.0132471, -0.0115022, -0.00558467, -0.00268775, -0.00128913, -0.000630211, -0.000400955" \
+              "-0.00124502, -0.00471749, -0.00645095, -0.00906291, -0.013801, -0.0151164, -0.0136373, -0.0138487, -0.0135543, -0.0132471, -0.0115022, -0.00558467, -0.00268775, -0.00128913, -0.000630211, -0.000400955" \
             );
           }
           vector (ccs_template) {
@@ -228906,7 +244290,7 @@
             index_2 ("0.64907");
             index_3 ("9.03639, 9.14615, 9.4499, 9.55408, 9.61362, 9.68962, 9.76586, 9.95094, 10.2963, 10.8162, 11.577, 12.3506, 13.0176, 13.3948, 13.8977, 14.0942, 14.3562, 14.7929, 14.942, 16.6688, 17.5126, 17.9949, 18.6573, 19.3722, 19.8831, 20.5455, 20.8821, 21.5445, 22.2069, 22.8693, 24.1941, 25.5189, 26.8437");
             values ( \
-              "-0.000751526, -0.0218306, -0.127594, -0.148155, -0.152146, -0.15409, -0.154907, -0.155766, -0.156004, -0.154976, -0.152433, -0.149312, -0.14612, -0.143916, -0.140005, -0.137917, -0.134307, -0.125654, -0.12202, -0.0734383, -0.0531181, -0.0434026, -0.0324096, -0.0233674, -0.0183628, -0.0133414, -0.0113296, -0.00817327, -0.00587627, -0.00421681, -0.00216122, -0.00110404, -0.000563138" \
+              "-0.000751527, -0.0218306, -0.127594, -0.148155, -0.152146, -0.15409, -0.154907, -0.155766, -0.156004, -0.154976, -0.152433, -0.149312, -0.14612, -0.143916, -0.140005, -0.137917, -0.134307, -0.125654, -0.12202, -0.0734383, -0.0531181, -0.0434026, -0.0324096, -0.0233674, -0.0183628, -0.0133414, -0.0113296, -0.00817327, -0.00587627, -0.00421681, -0.00216122, -0.00110404, -0.000563138" \
             );
           }
         }
@@ -228918,7 +244302,7 @@
             "0.00319498, 0.00319497, 0.00319497, 0.00319497, 0.00319497, 0.00319497", \
             "0.00338141, 0.00338141, 0.00338141, 0.00338141, 0.00338141, 0.00338141", \
             "0.00347769, 0.00347772, 0.00347773, 0.00347774, 0.00347774, 0.00347774", \
-            "0.00352045, 0.00351971, 0.00351937, 0.00351937, 0.00351933, 0.00351929", \
+            "0.00352045, 0.00351971, 0.00351937, 0.00351934, 0.00351933, 0.00351929", \
             "0.0035528, 0.00353592, 0.00353347, 0.00353265, 0.00353244, 0.00353237" \
           );
         }
@@ -228930,7 +244314,7 @@
             "0.00411093, 0.00411114, 0.00411124, 0.00411128, 0.00411129, 0.0041113", \
             "0.00480338, 0.00479911, 0.00479698, 0.00479623, 0.004796, 0.00479593", \
             "0.00582284, 0.00554664, 0.00546169, 0.00545344, 0.00545103, 0.00545021", \
-            "0.00588698, 0.00588798, 0.00579661, 0.00543573, 0.00542147, 0.0054129", \
+            "0.00588698, 0.00588798, 0.00579661, 0.00543544, 0.00542147, 0.0054129", \
             "0.00584158, 0.00587601, 0.00587713, 0.00585999, 0.00543016, 0.00541644" \
           );
         }
@@ -228985,7 +244369,7 @@
             "-0.000734303, -0.000616756, -0.000544287, -0.000516848, -0.000509693, -0.000508029", \
             "-0.000926425, -0.000735958, -0.000554912, -0.000473284, -0.000446993, -0.000439193", \
             "-0.00110448, -0.000960323, -0.000718006, -0.000530948, -0.000447696, -0.000434644", \
-            "-0.000571275, -0.00076778, -0.000800077, -0.000533938, -0.000475386, -0.000437201", \
+            "-0.000571275, -0.00076778, -0.000794793, -0.000533938, -0.000475386, -0.000437201", \
             "0.00344326, 0.00253946, 0.00126722, 0.000350552, -6.61935e-05, -0.00030361", \
             "0.0220797, 0.0202942, 0.0161489, 0.00986031, 0.00486101, 0.00186493" \
           );
@@ -229030,7 +244414,7 @@
             "0.00978579, 0.00978968, 0.00978915, 0.00978924, 0.00978914, 0.00978906", \
             "0.00990001, 0.00990725, 0.00991662, 0.00989896, 0.00991468, 0.00991481", \
             "0.0110011, 0.010977, 0.0109871, 0.0109771, 0.0109808, 0.0109781", \
-            "0.0161224, 0.0161208, 0.0160908, 0.0160986, 0.0155952, 0.0160901", \
+            "0.0161224, 0.0161208, 0.0160908, 0.0161039, 0.0155952, 0.0160901", \
             "0.0385127, 0.0384247, 0.0382797, 0.038269, 0.0384662, 0.0383462" \
           );
         }
@@ -229042,7 +244426,7 @@
             "0.0084185, 0.00841834, 0.00841826, 0.00841817, 0.00841814, 0.00841812", \
             "0.00859633, 0.00860659, 0.00862582, 0.008612, 0.00860993, 0.00862819", \
             "0.00984031, 0.00986781, 0.00983816, 0.00984329, 0.00985284, 0.00983285", \
-            "0.0149176, 0.0148764, 0.0146563, 0.0145153, 0.0144532, 0.0144943", \
+            "0.0149192, 0.0148764, 0.0146563, 0.0145153, 0.0144831, 0.0144669", \
             "0.037265, 0.037198, 0.0368039, 0.0354984, 0.0340597, 0.033917" \
           );
         }
@@ -229437,7 +244821,7 @@
             "0.0309642, 0.0542432, 0.128181, 0.367283, 1.14388, 3.66705", \
             "0.0395324, 0.0633926, 0.137904, 0.377332, 1.15394, 3.67746", \
             "0.0612655, 0.0970595, 0.175466, 0.415047, 1.19193, 3.71528", \
-            "0.0782149, 0.147069, 0.289375, 0.568524, 1.34343, 3.86641", \
+            "0.0782149, 0.147034, 0.289375, 0.568524, 1.34343, 3.86641", \
             "0.0278212, 0.155865, 0.427458, 0.964146, 1.9705, 4.4837", \
             "-0.367999, -0.147934, 0.350404, 1.35474, 3.2974, 6.95247" \
           );
@@ -229449,7 +244833,7 @@
             "0.0248722, 0.0550831, 0.152928, 0.470195, 1.50211, 4.85261", \
             "0.0255091, 0.0550471, 0.152894, 0.470503, 1.50145, 4.85462", \
             "0.0474344, 0.0738849, 0.155683, 0.470152, 1.50131, 4.8518", \
-            "0.108317, 0.153374, 0.254435, 0.503556, 1.50195, 4.85243", \
+            "0.108317, 0.153368, 0.254435, 0.503556, 1.50195, 4.85243", \
             "0.291153, 0.369837, 0.540567, 0.899896, 1.70481, 4.85529", \
             "0.949325, 1.05407, 1.34814, 1.97017, 3.24256, 5.90606" \
           );
@@ -229533,7 +244917,7 @@
             index_2 ("0.0131602");
             index_3 ("0.046989, 0.0728709, 0.0781155, 0.0834529, 0.0941278, 0.175311, 0.222327, 0.260199, 0.281639, 0.310226, 0.326505, 0.370924, 0.418973, 0.459871, 0.484641, 0.534181, 0.567093, 0.589441, 0.634136, 0.673039, 0.700282, 0.754768, 0.783749, 0.826316, 0.883072, 0.996585, 1.1551, 1.3502");
             values ( \
-              "0.00430709, 0.0622889, 0.0611559, 0.0606457, 0.0600692, 0.05639, 0.0540024, 0.0517336, 0.050094, 0.0472507, 0.0452214, 0.0388603, 0.031689, 0.02604, 0.0228862, 0.017222, 0.0141281, 0.0123036, 0.00918991, 0.00709866, 0.00591259, 0.00403656, 0.00329735, 0.00244205, 0.00163316, 0.000684139, 0.000192757, 2.85401e-05" \
+              "0.00430709, 0.0622889, 0.0611559, 0.0606457, 0.0600692, 0.05639, 0.0540024, 0.0517336, 0.050094, 0.0472507, 0.0452214, 0.0388603, 0.031689, 0.02604, 0.0228862, 0.017222, 0.0141281, 0.0123036, 0.00918991, 0.00709866, 0.00591259, 0.00403656, 0.00329735, 0.00244205, 0.00163316, 0.00068414, 0.000192757, 2.85401e-05" \
             );
           }
           vector (ccs_template) {
@@ -229585,9 +244969,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0131602");
-            index_3 ("0.130015, 0.170101, 0.196594, 0.263754, 0.269655, 0.272041, 0.369119, 0.39433, 0.415886, 0.444627, 0.460211, 0.593681, 0.617961, 0.666521, 0.703164, 0.72808, 0.77344, 0.813722, 0.86743, 0.902724, 0.942296, 0.995057, 1.10058, 1.25156, 1.43542");
+            index_3 ("0.130015, 0.170101, 0.196594, 0.263754, 0.269655, 0.272041, 0.369119, 0.39433, 0.415886, 0.444627, 0.460211, 0.593681, 0.617961, 0.666521, 0.703164, 0.728081, 0.77344, 0.813721, 0.867429, 0.902724, 0.942296, 0.995059, 1.10058, 1.25156, 1.43543");
             values ( \
-              "0.00236432, 0.0212781, 0.0325268, 0.0587685, 0.0587882, 0.0582109, 0.0532992, 0.0517281, 0.0500882, 0.0472235, 0.0452798, 0.0260929, 0.022988, 0.0174158, 0.0139682, 0.0119569, 0.00888794, 0.00679167, 0.00469822, 0.00366578, 0.00277658, 0.00191116, 0.000861296, 0.000259654, 4.8877e-05" \
+              "0.00236432, 0.0212781, 0.0325268, 0.0587685, 0.0587882, 0.0582109, 0.0532992, 0.0517281, 0.0500882, 0.0472235, 0.0452799, 0.0260929, 0.022988, 0.0174158, 0.0139682, 0.0119568, 0.00888793, 0.00679168, 0.00469825, 0.00366579, 0.00277657, 0.00191115, 0.000861277, 0.000259648, 4.88753e-05" \
             );
           }
           vector (ccs_template) {
@@ -229623,7 +245007,7 @@
             index_2 ("0.00124625");
             index_3 ("0.268259, 0.423223, 0.452863, 0.465434, 0.490575, 0.515735, 0.530938, 0.54236, 0.5885, 0.600247, 0.629399, 0.65466, 0.677929, 0.700108, 0.72182, 0.743514, 0.759958, 0.774052, 0.788875, 0.812066, 0.826123, 0.844864, 0.866283, 0.88323, 0.917125, 0.966923, 1.0411, 1.05253, 1.06969, 1.07728");
             values ( \
-              "5.76861e-05, 0.000295684, 0.000648484, 0.000731047, 0.00105356, 0.00160663, 0.00222821, 0.00288494, 0.00624806, 0.00695682, 0.00839895, 0.00932631, 0.00994744, 0.0103111, 0.0103789, 0.00931426, 0.00670828, 0.00503941, 0.00373016, 0.00223066, 0.00160097, 0.00100454, 0.000584389, 0.000374909, 0.000147642, 3.64577e-05, 8.91705e-06, 0.000425466, 0.000332343, 0.000236073" \
+              "5.76861e-05, 0.000295684, 0.000648484, 0.000731047, 0.00105356, 0.00160663, 0.00222821, 0.00288494, 0.00624806, 0.00695682, 0.00839895, 0.00932631, 0.00994744, 0.0103111, 0.0103789, 0.00931426, 0.00670828, 0.00503941, 0.00373016, 0.00223066, 0.00160097, 0.00100454, 0.000584389, 0.000374909, 0.000147642, 3.64577e-05, 8.91704e-06, 0.000425466, 0.000332343, 0.000236073" \
             );
           }
           vector (ccs_template) {
@@ -229686,7 +245070,7 @@
             index_2 ("0.00404981");
             index_3 ("1.54794, 1.93172, 2.00271, 2.04929, 2.08323, 2.14365, 2.26649, 2.35074, 2.38909, 2.46863, 2.54084, 2.60906, 2.67532, 2.74152, 2.77958, 2.83798, 2.90156, 2.96003, 3.00855, 3.04841, 3.12814, 3.23047, 3.3583, 4.16468, 4.24966");
             values ( \
-              "0.000390772, 0.000962772, 0.0013658, 0.00179836, 0.00225076, 0.00341234, 0.00638571, 0.00803365, 0.00864012, 0.00973047, 0.0104352, 0.010923, 0.0111123, 0.0107245, 0.00924025, 0.0061662, 0.00347272, 0.0018475, 0.00105355, 0.000652953, 0.00021298, 5.02175e-05, 5.53197e-06, 5.36429e-06, 0.000114328" \
+              "0.000390772, 0.000962772, 0.0013658, 0.00179836, 0.00225076, 0.00341234, 0.00638571, 0.00803365, 0.00864012, 0.00973047, 0.0104352, 0.010923, 0.0111123, 0.0107245, 0.00924025, 0.0061662, 0.00347272, 0.0018475, 0.00105355, 0.000652953, 0.00021298, 5.02176e-05, 5.53196e-06, 5.3643e-06, 0.000114328" \
             );
           }
           vector (ccs_template) {
@@ -229713,7 +245097,7 @@
             index_2 ("0.13897");
             index_3 ("2.16268, 2.71506, 3.09745, 3.33208, 3.42252, 3.51295, 3.60339, 3.69383, 3.75421, 3.81459, 3.87498, 3.93536, 3.98956, 4.04375, 4.14377, 4.15872, 4.1769, 4.21325, 4.24685, 4.56875, 4.83817, 5.01296, 5.13321, 5.25346, 5.37024, 5.48702, 5.60381, 5.72059, 5.83737, 6.02126, 6.38606, 6.86814, 6.99188, 7.11563, 7.34426, 7.45858, 7.57289, 7.81992, 7.98416, 8.17226, 8.31629, 8.56029, 8.77391, 8.91633, 9.05875, 9.19394, 9.32914, 9.46433, 9.59953, 9.831");
             values ( \
-              "0.0245449, 0.024878, 0.0346586, 0.0404027, 0.0432374, 0.0464174, 0.0499428, 0.0538135, 0.0539814, 0.0543039, 0.0547811, 0.0554129, 0.0561116, 0.0569349, 0.0589849, 0.0594147, 0.0596551, 0.059561, 0.0594274, 0.0576834, 0.0560469, 0.0548985, 0.0539422, 0.052851, 0.0515969, 0.0502603, 0.0488407, 0.0473392, 0.0457557, 0.0430966, 0.0371841, 0.0292018, 0.0273137, 0.025493, 0.0223065, 0.0207995, 0.0193499, 0.0164387, 0.0146795, 0.0129607, 0.0117603, 0.00988106, 0.00835719, 0.00755343, 0.00681222, 0.00618058, 0.0055959, 0.00505819, 0.00456745, 0.00382663" \
+              "0.0245449, 0.024878, 0.0346586, 0.0404027, 0.0432374, 0.0464174, 0.0499428, 0.0538135, 0.0539814, 0.0543039, 0.0547811, 0.0554129, 0.0561116, 0.0569349, 0.0589849, 0.0594147, 0.0596551, 0.059561, 0.0594274, 0.0576834, 0.0560469, 0.0548985, 0.0539422, 0.052851, 0.0515969, 0.0502603, 0.0488407, 0.0473392, 0.0457557, 0.0430966, 0.0371841, 0.0292018, 0.0273137, 0.025493, 0.0223065, 0.0207995, 0.0193499, 0.0164387, 0.0146795, 0.0129607, 0.0117603, 0.00988106, 0.00835719, 0.00755341, 0.00681219, 0.00618059, 0.00559594, 0.00505824, 0.00456748, 0.00382663" \
             );
           }
           vector (ccs_template) {
@@ -229731,7 +245115,7 @@
             index_2 ("0.00124625");
             index_3 ("5.3732, 6.94412, 7.40603, 7.76542, 8.02431, 8.28802, 8.43539, 8.53535, 8.69577, 8.78601, 8.96142, 9.12677, 9.2871, 9.44488, 9.60261, 9.67696, 9.83896, 9.89575, 9.98334, 10.0653, 10.2373, 10.4181, 10.4842, 10.5032, 10.5411, 10.617, 10.7686, 11.072, 11.6398, 12.2869");
             values ( \
-              "1.44047e-05, 4.2717e-05, 6.74332e-05, 0.000108976, 0.000186279, 0.000436305, 0.00075996, 0.000948768, 0.00115526, 0.00122805, 0.0013319, 0.00138257, 0.00141911, 0.00142671, 0.00139798, 0.00129191, 0.000641773, 0.000459422, 0.000302663, 0.000220104, 0.000140178, 0.000103505, 8.58491e-05, 8.9911e-05, 7.729e-05, 7.34526e-05, 4.88888e-05, 3.05876e-05, 2.02581e-06, 5.25128e-06" \
+              "1.44047e-05, 4.2717e-05, 6.74332e-05, 0.000108976, 0.000186279, 0.000436305, 0.00075996, 0.000948768, 0.00115526, 0.00122805, 0.0013319, 0.00138257, 0.00141911, 0.00142671, 0.00139798, 0.00129191, 0.000641773, 0.000459422, 0.000302663, 0.000220104, 0.000140178, 0.000103505, 8.58491e-05, 8.9911e-05, 7.729e-05, 7.34526e-05, 4.88888e-05, 3.05877e-05, 2.02581e-06, 5.25129e-06" \
             );
           }
           vector (ccs_template) {
@@ -229740,7 +245124,7 @@
             index_2 ("0.00404981");
             index_3 ("5.35558, 6.96615, 7.15547, 7.53412, 7.81697, 8.02493, 8.30221, 8.53101, 8.72021, 8.95701, 9.16016, 9.34694, 9.5256, 9.69927, 9.87284, 10.0025, 10.2171, 10.296, 10.3575, 10.4804, 10.5434, 10.5748, 10.7635, 11.0152, 11.3764");
             values ( \
-              "4.20478e-05, 0.000139101, 0.000165197, 0.00024282, 0.000363687, 0.00053584, 0.00107016, 0.00202826, 0.00275656, 0.0034039, 0.00377973, 0.00401041, 0.00416589, 0.00423832, 0.00418583, 0.00362608, 0.001485, 0.00101034, 0.000770831, 0.000434783, 0.000369113, 0.000318652, 0.000192934, 0.00012221, 4.37692e-05" \
+              "4.20478e-05, 0.000139101, 0.000165197, 0.00024282, 0.000363687, 0.00053584, 0.00107016, 0.00202826, 0.00275656, 0.0034039, 0.00377973, 0.00401041, 0.00416589, 0.00423832, 0.00418583, 0.00362608, 0.001485, 0.00101034, 0.000770831, 0.000434783, 0.000369113, 0.000318652, 0.000192934, 0.000122209, 4.37692e-05" \
             );
           }
           vector (ccs_template) {
@@ -229787,7 +245171,7 @@
             "0.00155519, 0.00161917, 0.00165845, 0.00167474, 0.00168026, 0.00168201", \
             "0.00179479, 0.00184756, 0.00189528, 0.00191783, 0.00192589, 0.00192848", \
             "0.00199014, 0.00198205, 0.00198525, 0.00199383, 0.00199839, 0.00200003", \
-            "0.0021327, 0.00209513, 0.00206253, 0.00203834, 0.00202681, 0.00202343", \
+            "0.0021327, 0.00209525, 0.00206253, 0.00203834, 0.00202681, 0.00202343", \
             "0.00227026, 0.00219273, 0.00212935, 0.00208281, 0.00205309, 0.00203618", \
             "0.00240488, 0.0023383, 0.00222979, 0.00214677, 0.00209521, 0.00205612" \
           );
@@ -229799,7 +245183,7 @@
             "0.00188711, 0.00192453, 0.0019366, 0.0019362, 0.00193538, 0.00193506", \
             "0.00206368, 0.00194486, 0.0018647, 0.00183679, 0.00182454, 0.0018206", \
             "0.00240745, 0.00207976, 0.00188312, 0.0017964, 0.00177243, 0.00176005", \
-            "0.00257119, 0.00262201, 0.00215393, 0.00187828, 0.00178095, 0.0017481", \
+            "0.00257119, 0.00262179, 0.00215393, 0.00187828, 0.00178095, 0.0017481", \
             "0.00235315, 0.00248213, 0.00258745, 0.00226716, 0.00190785, 0.0017801", \
             "0.00213153, 0.00224255, 0.00242337, 0.00256144, 0.00241916, 0.0019511" \
           );
@@ -229980,9 +245364,9 @@
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.00404981");
-            index_3 ("0.415376, 0.455476, 0.491594, 0.521147, 0.567636, 0.614566, 0.642765, 0.668025, 0.691159, 0.713084, 0.734724, 0.782952, 0.798251, 0.81137, 0.83707, 0.86598, 0.887352");
+            index_3 ("0.414658, 0.459132, 0.467314, 0.483678, 0.502471, 0.509731, 0.521264, 0.550513, 0.577105, 0.603637, 0.614631, 0.636621, 0.655432, 0.668086, 0.691263, 0.713171, 0.734813, 0.751011, 0.759106, 0.760991, 0.764761, 0.77164, 0.783045, 0.798344, 0.811464, 0.820031, 0.837165, 0.86604, 0.869644");
             values ( \
-              "-0.00187545, -0.00374426, -0.00675994, -0.010628, -0.0177641, -0.0241212, -0.0275467, -0.0302981, -0.0325606, -0.0340976, -0.0334177, -0.011689, -0.00703751, -0.00442343, -0.00164815, -0.000590563, -0.000256521" \
+              "-0.00185361, -0.00396923, -0.00460804, -0.00597514, -0.00801008, -0.00897789, -0.0106816, -0.0152708, -0.0190991, -0.0226769, -0.0240935, -0.0267909, -0.0289481, -0.0303086, -0.0325393, -0.0341107, -0.0333972, -0.0266089, -0.0229815, -0.0216381, -0.0199825, -0.0164097, -0.0116966, -0.00704418, -0.00442895, -0.00337294, -0.00165328, -0.000586401, -0.000531049" \
             );
           }
           vector (ccs_template) {
@@ -230009,16 +245393,16 @@
             index_2 ("0.13897");
             index_3 ("0.519361, 0.658187, 0.906278, 1.03952, 1.05473, 1.39855, 1.73094, 1.90805, 1.99821, 2.10524, 2.31931, 2.36494, 2.45621, 2.90001, 3.19007, 3.37537, 3.53275, 3.6604, 3.81382, 4.02977, 4.25346, 4.42466, 4.76705, 5.39179, 6.13177");
             values ( \
-              "-0.029341, -0.0427879, -0.0898691, -0.112408, -0.112883, -0.109976, -0.106784, -0.104632, -0.103264, -0.101153, -0.0933926, -0.0908231, -0.0851046, -0.0537708, -0.036467, -0.0278065, -0.0218732, -0.0178976, -0.0139462, -0.00977962, -0.00673295, -0.00500531, -0.00276846, -0.000811497, -0.000197275" \
+              "-0.029341, -0.0427879, -0.0898691, -0.112408, -0.112883, -0.109976, -0.106784, -0.104632, -0.103264, -0.101153, -0.0933926, -0.0908231, -0.0851046, -0.0537708, -0.036467, -0.0278064, -0.0218732, -0.0178976, -0.0139462, -0.00977962, -0.00673295, -0.00500531, -0.00276845, -0.000811497, -0.000197275" \
             );
           }
           vector (ccs_template) {
             reference_time : 0.520416;
             index_1 ("0.624499");
             index_2 ("0.451596");
-            index_3 ("0.638429, 0.867558, 1.05425, 2.88308, 3.93741, 4.6137, 5.07241, 5.35532, 5.66459, 6.004, 6.68282, 7.5623, 8.19569, 8.62349, 9.24458, 9.76688, 10.2031, 10.6925, 11.3851, 11.8216, 12.629, 13.3993, 14.1695, 14.9398, 17.2505");
+            index_3 ("0.638429, 0.867558, 1.05425, 2.88308, 3.93741, 4.6137, 5.07241, 5.35532, 5.66459, 6.004, 6.68282, 7.5623, 8.19568, 8.62349, 9.24458, 9.76688, 10.2031, 10.6925, 11.3851, 11.8216, 12.629, 13.3993, 14.1695, 14.9398, 17.2505");
             values ( \
-              "-0.0773842, -0.0846449, -0.115227, -0.11055, -0.107385, -0.104794, -0.102344, -0.100184, -0.0967704, -0.0914089, -0.0773247, -0.0577168, -0.0449691, -0.0374785, -0.0283113, -0.0220958, -0.0178634, -0.0139854, -0.00980271, -0.00782322, -0.00512189, -0.00339361, -0.00224067, -0.00147794, -0.000420365" \
+              "-0.0773842, -0.0846449, -0.115227, -0.11055, -0.107385, -0.104794, -0.102344, -0.100184, -0.0967705, -0.0914089, -0.0773247, -0.0577168, -0.0449691, -0.0374785, -0.0283113, -0.0220958, -0.0178634, -0.0139854, -0.00980271, -0.00782322, -0.00512189, -0.00339361, -0.00224067, -0.00147794, -0.000420365" \
             );
           }
           vector (ccs_template) {
@@ -230027,7 +245411,7 @@
             index_2 ("0.00124625");
             index_3 ("1.50198, 1.63526, 1.6939, 1.74963, 1.76782, 1.79206, 1.8349, 1.85386, 1.89178, 1.94827, 2.01114, 2.06346, 2.11047, 2.15464, 2.19728, 2.23955, 2.27123, 2.28526, 2.31788, 2.3253, 2.33555, 2.34922, 2.37656, 2.4108, 2.4536, 2.52343, 2.61654, 2.80275, 3.08644, 3.44425");
             values ( \
-              "-0.000296387, -0.000377152, -0.000532678, -0.000757461, -0.000853513, -0.00100755, -0.00138145, -0.00163604, -0.00220498, -0.00315773, -0.00399154, -0.00458548, -0.00496445, -0.00519209, -0.00534295, -0.00528035, -0.00486343, -0.00413045, -0.00197234, -0.00158991, -0.0011698, -0.000790759, -0.000376322, -0.000233515, -0.000181373, -0.000139671, -0.000102215, -4.85237e-05, -1.05255e-05, -1.2084e-07" \
+              "-0.000296387, -0.000377152, -0.000532678, -0.000757461, -0.000853513, -0.00100755, -0.00138145, -0.00163604, -0.00220498, -0.00315773, -0.00399154, -0.00458548, -0.00496445, -0.00519209, -0.00534295, -0.00528035, -0.00486343, -0.00413045, -0.00197234, -0.00158991, -0.0011698, -0.000790759, -0.000376322, -0.000233515, -0.000181373, -0.000139671, -0.000102215, -4.85237e-05, -1.05255e-05, -1.20836e-07" \
             );
           }
           vector (ccs_template) {
@@ -230045,7 +245429,7 @@
             index_2 ("0.0131602");
             index_3 ("1.51528, 1.73997, 1.79821, 1.89546, 1.94511, 2.01427, 2.16372, 2.27186, 2.42141, 2.5101, 2.59147, 2.66782, 2.74221, 2.79786, 2.8889, 2.92467, 2.95785, 2.9919, 3.01852, 3.07177, 3.16226, 3.27038, 3.4084");
             values ( \
-              "-0.00111966, -0.0031014, -0.00410154, -0.00627452, -0.00768861, -0.0101163, -0.0160958, -0.0202122, -0.0253583, -0.0280409, -0.0302041, -0.0317925, -0.0320552, -0.0275081, -0.0134519, -0.00921826, -0.00633139, -0.00422837, -0.00302756, -0.00155496, -0.000425368, -0.00012476, -1.49852e-05" \
+              "-0.00111966, -0.0031014, -0.00410155, -0.00627452, -0.00768861, -0.0101163, -0.0160958, -0.0202122, -0.0253583, -0.0280409, -0.0302041, -0.0317925, -0.0320552, -0.0275081, -0.0134519, -0.00921826, -0.00633139, -0.00422837, -0.00302756, -0.00155496, -0.000425368, -0.00012476, -1.49852e-05" \
             );
           }
           vector (ccs_template) {
@@ -230081,25 +245465,25 @@
             index_2 ("0.00124625");
             index_3 ("5.64414, 6.33567, 6.64682, 6.81519, 7.12929, 7.26302, 7.42857, 7.56034, 7.81496, 8.11424, 8.33722, 8.42162, 8.49507, 8.58414, 8.68968, 8.77508, 8.89155, 8.90463, 8.93079, 8.98312, 9.08778, 9.29709, 9.63712, 9.77409, 9.81663, 9.9017, 10.0718, 10.4121, 11.0927, 11.863, 11.9125");
             values ( \
-              "-3.89837e-05, -9.08217e-05, -0.000152017, -0.000205435, -0.000387897, -0.000596894, -0.000993931, -0.00124027, -0.00148295, -0.00151661, -0.00143601, -0.00138136, -0.00130162, -0.00107104, -0.000478304, -0.00020716, -0.000130437, -0.00015015, -0.000118848, -0.000129594, -8.52034e-05, -8.30858e-05, -3.6879e-05, -5.46586e-05, -3.24534e-05, -4.79827e-05, -2.41406e-05, -3.4036e-05, -4.13241e-06, -1.46177e-05, -1.36778e-05" \
+              "-3.89837e-05, -9.08217e-05, -0.000152017, -0.000205435, -0.000387897, -0.000596894, -0.000993931, -0.00124027, -0.00148295, -0.00151661, -0.00143601, -0.00138136, -0.00130162, -0.00107104, -0.000478305, -0.00020716, -0.000130437, -0.00015015, -0.000118848, -0.000129594, -8.52034e-05, -8.30858e-05, -3.6879e-05, -5.46586e-05, -3.24533e-05, -4.79827e-05, -2.41406e-05, -3.40361e-05, -4.13245e-06, -1.46178e-05, -1.36778e-05" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.00404981");
-            index_3 ("5.64452, 6.37632, 6.45461, 6.80672, 7.00806, 7.19413, 7.25899, 7.3887, 7.60935, 7.83166, 8.01704, 8.2394, 8.34683, 8.47611, 8.56191, 8.66393, 8.78392, 8.99668, 9.05163, 9.1249, 9.24269, 9.55123, 10.1532, 11.3519, 12.8924");
+            index_3 ("5.64452, 6.37632, 6.45461, 6.80672, 7.00806, 7.19413, 7.25899, 7.3887, 7.60934, 7.83166, 8.01704, 8.2394, 8.34683, 8.47611, 8.56191, 8.66393, 8.78392, 8.99668, 9.05163, 9.1249, 9.24269, 9.55123, 10.1532, 11.3519, 12.8924");
             values ( \
-              "-0.000119412, -0.000279278, -0.000318244, -0.000569512, -0.000810097, -0.00117479, -0.00136423, -0.00184558, -0.00287251, -0.00369183, -0.00418213, -0.00451287, -0.00459562, -0.00462882, -0.00460664, -0.00451126, -0.00412236, -0.00103863, -0.000644279, -0.000398092, -0.000262841, -0.00017849, -0.000100441, -3.06704e-05, -3.29936e-07" \
+              "-0.000119412, -0.000279278, -0.000318244, -0.000569512, -0.000810097, -0.00117479, -0.00136423, -0.00184558, -0.00287251, -0.00369183, -0.00418212, -0.00451287, -0.00459562, -0.00462882, -0.00460664, -0.00451126, -0.00412236, -0.00103863, -0.000644279, -0.000398092, -0.000262841, -0.00017849, -0.000100441, -3.06704e-05, -3.29933e-07" \
             );
           }
           vector (ccs_template) {
             reference_time : 8.33333;
             index_1 ("10");
             index_2 ("0.0131602");
-            index_3 ("5.51646, 6.50281, 6.70632, 6.94769, 7.0317, 7.19972, 7.40051, 7.47032, 7.60993, 7.82514, 8.10038, 8.21883, 8.33728, 8.46443, 8.6841, 8.88663, 9.07994, 9.26855, 9.40985, 9.46756, 9.60081, 9.66215, 9.74394, 9.83293, 9.90028, 9.95997, 10.0793, 10.3067, 10.634, 11.13, 11.9003, 12.6705");
+            index_3 ("5.51647, 6.50281, 6.70632, 6.94769, 7.0317, 7.19972, 7.40051, 7.47032, 7.60993, 7.82514, 8.10038, 8.21883, 8.33728, 8.46443, 8.6841, 8.88663, 9.07994, 9.26855, 9.40985, 9.46756, 9.60081, 9.66215, 9.74394, 9.83293, 9.90028, 9.95997, 10.0793, 10.3067, 10.634, 11.13, 11.9003, 12.6705");
             values ( \
-              "-7.83544e-05, -0.000883201, -0.00119705, -0.00168846, -0.00190776, -0.0024315, -0.00330538, -0.00370076, -0.00461393, -0.00622609, -0.00817159, -0.00891587, -0.00962278, -0.0102955, -0.0113149, -0.0120341, -0.0125223, -0.0126333, -0.0117839, -0.0104086, -0.00572121, -0.00391305, -0.00227075, -0.00124902, -0.000876086, -0.000652826, -0.000458702, -0.000302202, -0.000245235, -0.000141748, -6.45124e-05, -1.19736e-05" \
+              "-7.83545e-05, -0.000883201, -0.00119705, -0.00168846, -0.00190776, -0.0024315, -0.00330538, -0.00370076, -0.00461393, -0.00622609, -0.00817159, -0.00891587, -0.00962278, -0.0102955, -0.0113149, -0.0120341, -0.0125223, -0.0126333, -0.0117839, -0.0104086, -0.00572121, -0.00391305, -0.00227075, -0.00124902, -0.000876086, -0.000652826, -0.000458702, -0.000302202, -0.000245235, -0.000141748, -6.45124e-05, -1.19735e-05" \
             );
           }
           vector (ccs_template) {
@@ -230378,7 +245762,7 @@
             index_2 ("0.00404981");
             index_3 ("0.0565359, 0.0723956, 0.0767185, 0.0802533, 0.0848073, 0.0942381, 0.101618, 0.110355, 0.117912, 0.125785, 0.137678, 0.1419, 0.150126, 0.159343, 0.185645, 0.205936, 0.228268, 0.246271, 0.255985, 0.273844, 0.288814, 0.30107, 0.316012, 0.337107, 0.359401, 0.37653, 0.410789, 0.472172, 0.544968");
             values ( \
-              "0.00170523, 0.0438556, 0.0469231, 0.0479174, 0.0484946, 0.0487077, 0.0485027, 0.0480292, 0.0473144, 0.0464596, 0.0447112, 0.0439582, 0.0420747, 0.0392464, 0.0291908, 0.0226102, 0.0166919, 0.0128443, 0.0110864, 0.00837536, 0.00655479, 0.00535627, 0.00418473, 0.00290197, 0.00197724, 0.00148258, 0.000788923, 0.000248663, 4.44167e-05" \
+              "0.00170523, 0.0438556, 0.0469231, 0.0479174, 0.0484946, 0.0487077, 0.0485027, 0.0480292, 0.0473144, 0.0464596, 0.0447112, 0.0439582, 0.0420747, 0.0392464, 0.0291908, 0.0226102, 0.0166919, 0.0128443, 0.0110864, 0.00837536, 0.00655479, 0.00535627, 0.00418473, 0.00290197, 0.00197724, 0.00148258, 0.000788923, 0.000248663, 4.44166e-05" \
             );
           }
           vector (ccs_template) {
@@ -230439,9 +245823,9 @@
             reference_time : 0.130052;
             index_1 ("0.156062");
             index_2 ("0.0131602");
-            index_3 ("0.176284, 0.1985, 0.220968, 0.228284, 0.24714, 0.272891, 0.281768, 0.288615, 0.311449, 0.353724, 0.3982, 0.413553, 0.444259, 0.461852, 0.497039, 0.583378, 0.643786, 0.685629, 0.718846, 0.763136, 0.790718, 0.812909, 0.842496, 0.901672, 0.944249, 0.973173, 1.03102, 1.14672, 1.30556, 1.50204");
+            index_3 ("0.176284, 0.1985, 0.220968, 0.228284, 0.24714, 0.272891, 0.281768, 0.288615, 0.311449, 0.353724, 0.3982, 0.413553, 0.444259, 0.461852, 0.497039, 0.583378, 0.643785, 0.685629, 0.718847, 0.763136, 0.790718, 0.812909, 0.842496, 0.901672, 0.944249, 0.973173, 1.03102, 1.14672, 1.30556, 1.50204");
             values ( \
-              "0.0270637, 0.0300249, 0.0418692, 0.0450599, 0.0516063, 0.0579153, 0.058008, 0.0578192, 0.0568565, 0.054791, 0.0522837, 0.0512098, 0.048597, 0.0465616, 0.0418463, 0.0291784, 0.0212973, 0.0167312, 0.0136691, 0.0102957, 0.00860129, 0.00742029, 0.00606662, 0.00402323, 0.0029816, 0.00243726, 0.00160236, 0.000670712, 0.000177318, 3.5422e-05" \
+              "0.0270637, 0.0300249, 0.0418692, 0.0450599, 0.0516063, 0.0579153, 0.058008, 0.0578192, 0.0568565, 0.054791, 0.0522837, 0.0512098, 0.048597, 0.0465616, 0.0418463, 0.0291784, 0.0212973, 0.0167312, 0.0136691, 0.0102957, 0.00860129, 0.00742029, 0.00606662, 0.00402323, 0.0029816, 0.00243726, 0.00160236, 0.000670708, 0.000177317, 3.54216e-05" \
             );
           }
           vector (ccs_template) {
@@ -230495,7 +245879,7 @@
             index_2 ("0.0131602");
             index_3 ("0.546608, 0.614309, 0.620159, 0.678709, 0.718995, 0.777326, 0.792371, 0.812432, 0.844356, 0.890903, 0.899383, 0.916343, 0.951427, 1.00324, 1.02141, 1.04088, 1.0502, 1.05744, 1.10167, 1.14878, 1.17276, 1.22072, 1.24647, 1.28632, 1.32959, 1.36768, 1.41846, 1.45675, 1.49916, 1.55572, 1.66884, 1.75471");
             values ( \
-              "0.0112639, 0.0129476, 0.0135318, 0.0204593, 0.0258184, 0.0340324, 0.0359272, 0.0382094, 0.0413104, 0.0444051, 0.0448138, 0.0454305, 0.046056, 0.0444061, 0.042886, 0.0409162, 0.0401576, 0.039256, 0.0327566, 0.0261492, 0.0230425, 0.0175547, 0.0150495, 0.0117338, 0.00885187, 0.00686525, 0.00483969, 0.00370853, 0.00275377, 0.00183526, 0.000781911, 0.000468914" \
+              "0.0112639, 0.0129476, 0.0135318, 0.0204593, 0.0258184, 0.0340324, 0.0359272, 0.0382094, 0.0413104, 0.0444051, 0.0448138, 0.0454305, 0.046056, 0.0444061, 0.042886, 0.0409162, 0.0401576, 0.039256, 0.0327566, 0.0261492, 0.0230425, 0.0175547, 0.0150495, 0.0117338, 0.00885187, 0.00686525, 0.00483969, 0.00370853, 0.00275377, 0.00183525, 0.00078191, 0.000468914" \
             );
           }
           vector (ccs_template) {
@@ -230504,7 +245888,7 @@
             index_2 ("0.0427654");
             index_3 ("0.601554, 0.714975, 0.814773, 0.886249, 0.946673, 0.993325, 1.04025, 1.06198, 1.08903, 1.2566, 1.3907, 1.4639, 1.54402, 1.71224, 1.99891, 2.21329, 2.30511, 2.42753, 2.58867, 2.68394, 2.85143, 3.0416, 3.19318, 3.49635, 3.72908");
             values ( \
-              "0.0240275, 0.0269358, 0.0418472, 0.0498758, 0.0546201, 0.0573294, 0.0592993, 0.0597601, 0.0596848, 0.0567734, 0.0541065, 0.0522035, 0.0495266, 0.0420298, 0.0275665, 0.0184109, 0.0152438, 0.011697, 0.00815919, 0.00653943, 0.00440109, 0.00279247, 0.00192104, 0.000890258, 0.000560989" \
+              "0.0240275, 0.0269358, 0.0418472, 0.0498758, 0.0546201, 0.0573294, 0.0592993, 0.0597601, 0.0596848, 0.0567734, 0.0541065, 0.0522035, 0.0495266, 0.0420298, 0.0275665, 0.0184109, 0.0152438, 0.011697, 0.00815919, 0.00653943, 0.00440109, 0.00279247, 0.00192104, 0.000890259, 0.000560989" \
             );
           }
           vector (ccs_template) {
@@ -230549,7 +245933,7 @@
             index_2 ("0.0131602");
             index_3 ("1.75343, 1.89988, 1.943, 2.01343, 2.06179, 2.12797, 2.27733, 2.44003, 2.76754, 2.81513, 2.9117, 3.0082, 3.10473, 3.26173, 3.33022, 3.38005, 3.41834, 3.4628, 3.52491, 3.55147, 3.60459, 3.71082, 3.88139, 4.08103, 4.16531, 4.22543");
             values ( \
-              "0.0031899, 0.00328424, 0.00380936, 0.00486198, 0.00560012, 0.00675998, 0.00981513, 0.0137438, 0.022858, 0.0239552, 0.0251631, 0.0239443, 0.0205041, 0.0121973, 0.00901259, 0.00707301, 0.00580848, 0.00456447, 0.00321613, 0.00275053, 0.00200876, 0.00101524, 0.000300126, 5.72696e-05, 3.79378e-05, 0.000114352" \
+              "0.0031899, 0.00328424, 0.00380936, 0.00486198, 0.00560012, 0.00675998, 0.00981513, 0.0137438, 0.022858, 0.0239552, 0.0251631, 0.0239443, 0.0205041, 0.0121973, 0.00901259, 0.00707301, 0.00580848, 0.00456447, 0.00321613, 0.00275053, 0.00200876, 0.00101524, 0.000300126, 5.72695e-05, 3.79378e-05, 0.000114352" \
             );
           }
           vector (ccs_template) {
@@ -230585,7 +245969,7 @@
             index_2 ("0.00124625");
             index_3 ("5.49157, 5.7546, 5.85031, 6.22225, 6.43807, 6.66305, 6.92285, 7.13105, 7.31617, 7.50115, 7.5322, 7.59431, 7.68084, 7.77344, 7.95864, 8.02717, 8.15595, 8.28901, 8.29628, 8.39104, 8.63684, 8.71027, 8.84235, 8.91751, 9.0126, 9.14764");
             values ( \
-              "0.000133777, 0.000207634, 0.000245807, 0.000432202, 0.000572693, 0.000748861, 0.000978136, 0.0011796, 0.00124633, 0.000861375, 0.00103565, 0.00087283, 0.000987837, 0.00084003, 0.000938792, 0.00080415, 0.000900962, 0.000733175, 0.000783306, 0.000675029, 0.000237199, 0.000153748, 6.39218e-05, 3.70298e-05, 1.84456e-05, 8.95875e-06" \
+              "0.000133777, 0.000207634, 0.000245807, 0.000432202, 0.000572693, 0.000748861, 0.000978136, 0.0011796, 0.00124633, 0.000861375, 0.00103565, 0.00087283, 0.000987837, 0.000840029, 0.000938792, 0.00080415, 0.000900962, 0.000733175, 0.000783306, 0.000675029, 0.000237199, 0.000153748, 6.39218e-05, 3.70298e-05, 1.84456e-05, 8.95874e-06" \
             );
           }
           vector (ccs_template) {
@@ -230594,7 +245978,7 @@
             index_2 ("0.00404981");
             index_3 ("5.49094, 5.96696, 6.1331, 6.33835, 6.71245, 7.08661, 7.17851, 7.50055, 7.75503, 7.97362, 8.15567, 8.19226, 8.265, 8.33773, 8.42187, 8.59017, 8.65963, 8.78005, 8.86582, 8.87739, 8.8956, 8.9144, 8.9878, 9.15016, 9.27005, 9.28067, 9.30191, 9.42935, 9.59928, 9.74602, 9.87846, 10.0013, 10.131, 10.3347, 10.6722");
             values ( \
-              "0.000171053, 0.000441789, 0.000562794, 0.000754538, 0.0011951, 0.00178726, 0.0019479, 0.00258776, 0.00314792, 0.00351951, 0.00319015, 0.00328811, 0.00314627, 0.00320383, 0.00308054, 0.00308693, 0.0029696, 0.00294391, 0.00271021, 0.00274288, 0.00262287, 0.00260304, 0.00223225, 0.00124619, 0.000715022, 0.000715349, 0.000611182, 0.000300695, 0.000127008, 3.71205e-05, 4.53689e-05, 3.47045e-06, 2.91791e-05, 1e-22, 2.11927e-05" \
+              "0.000171053, 0.000441789, 0.000562794, 0.000754538, 0.0011951, 0.00178726, 0.0019479, 0.00258776, 0.00314792, 0.00351951, 0.00319015, 0.00328811, 0.00314627, 0.00320383, 0.00308054, 0.00308693, 0.0029696, 0.00294391, 0.00271021, 0.00274288, 0.00262287, 0.00260304, 0.00223225, 0.00124619, 0.000715022, 0.000715349, 0.000611182, 0.000300695, 0.000127008, 3.71205e-05, 4.53689e-05, 3.47047e-06, 2.91791e-05, 1e-22, 2.11927e-05" \
             );
           }
           vector (ccs_template) {
@@ -230692,7 +246076,7 @@
             index_2 ("0.0427654");
             index_3 ("0, 0.000144589, 0.00259689, 0.00369226, 0.00788337, 0.016001, 0.016429, 0.0331694, 0.0384001, 0.0453073, 0.0523862, 0.0656434, 0.0723805, 0.0809322, 0.0909999, 0.103034, 0.184855, 0.327262, 0.418118, 0.476456, 0.552978, 0.586468, 0.732089, 0.817191, 0.875443, 0.925019, 0.965317, 1.01355, 1.08147, 1.15176, 1.20555, 1.31313, 1.50991, 1.74276");
             values ( \
-              "-0.0112978, -0.00673805, -0.00139021, -0.00123269, -0.0015459, -0.00101209, -1e-22, -0.000213975, -1e-22, -0.0666758, -0.0938992, -0.107918, -0.109996, -0.111207, -0.111762, -0.111831, -0.110056, -0.106127, -0.102809, -0.0994544, -0.0900147, -0.0833918, -0.0513661, -0.0356893, -0.027216, -0.0214005, -0.0174977, -0.0136376, -0.00956289, -0.0065854, -0.00490096, -0.00271022, -0.000795732, -0.000190978" \
+              "-0.0112978, -0.00673805, -0.00139021, -0.00123269, -0.0015459, -0.00101209, -1e-22, -0.000213976, -1e-22, -0.0666758, -0.0938992, -0.107918, -0.109996, -0.111207, -0.111762, -0.111831, -0.110056, -0.106127, -0.102809, -0.0994544, -0.0900147, -0.0833918, -0.0513661, -0.0356893, -0.027216, -0.0214005, -0.0174977, -0.0136376, -0.00956289, -0.0065854, -0.00490096, -0.00271022, -0.000795732, -0.000190978" \
             );
           }
           vector (ccs_template) {
@@ -230773,7 +246157,7 @@
             index_2 ("0.00124625");
             index_3 ("0.201367, 0.216821, 0.221747, 0.226223, 0.230513, 0.234804, 0.236038, 0.24838, 0.254558, 0.260073, 0.267803, 0.273774, 0.2817, 0.290155, 0.290647");
             values ( \
-              "-0.0153181, -0.0425639, -0.0485669, -0.051705, -0.0529105, -0.051235, -0.0495833, -0.0271413, -0.0179375, -0.0118732, -0.00641164, -0.00390572, -0.00199145, -0.000936176, -0.000920989" \
+              "-0.0153181, -0.0425639, -0.0485669, -0.051705, -0.0529105, -0.051235, -0.0495833, -0.0271413, -0.0179375, -0.0118732, -0.00641164, -0.00390572, -0.00199145, -0.000936176, -0.000920988" \
             );
           }
           vector (ccs_template) {
@@ -230800,7 +246184,7 @@
             index_2 ("0.0427654");
             index_3 ("0.213309, 0.293098, 0.329422, 0.422612, 0.556016, 0.591375, 0.63852, 0.673922, 0.714381, 0.745617, 0.901569, 0.969252, 1.00351, 1.07202, 1.11812, 1.19052, 1.2783, 1.32823, 1.42809, 1.61142, 1.82517");
             values ( \
-              "-0.111224, -0.111271, -0.11063, -0.108209, -0.104023, -0.102532, -0.0998379, -0.096651, -0.0909333, -0.0849965, -0.0507856, -0.038128, -0.0326555, -0.02353, -0.0187325, -0.0129358, -0.00813998, -0.00622669, -0.00358851, -0.00119442, -0.000312306" \
+              "-0.111224, -0.111271, -0.11063, -0.108209, -0.104023, -0.102532, -0.0998379, -0.096651, -0.0909333, -0.0849966, -0.0507856, -0.038128, -0.0326555, -0.02353, -0.0187325, -0.0129358, -0.00813998, -0.00622669, -0.00358851, -0.00119442, -0.000312306" \
             );
           }
           vector (ccs_template) {
@@ -230935,7 +246319,7 @@
             index_2 ("0.00124625");
             index_3 ("8.83057, 8.88875, 8.91719, 8.95511, 9.00468, 9.02406, 9.04338, 9.06122, 9.06313, 9.06694, 9.07456, 9.08299, 9.09799, 9.12362, 9.14165, 9.15307, 9.1683, 9.18427");
             values ( \
-              "-0.000671065, -0.00320422, -0.00470632, -0.00717262, -0.0109892, -0.0120876, -0.0111985, -0.0115283, -0.0112851, -0.0114575, -0.0112241, -0.0112144, -0.00981174, -0.00462888, -0.00208327, -0.00118025, -0.000517477, -0.000231424" \
+              "-0.000671066, -0.00320422, -0.00470632, -0.00717262, -0.0109892, -0.0120876, -0.0111985, -0.0115283, -0.0112851, -0.0114575, -0.0112241, -0.0112144, -0.00981174, -0.00462888, -0.00208327, -0.00118025, -0.000517477, -0.000231425" \
             );
           }
           vector (ccs_template) {
@@ -230971,7 +246355,7 @@
             index_2 ("0.13897");
             index_3 ("8.9746, 9.11433, 9.25334, 9.35479, 9.40615, 9.50889, 9.5838, 9.64916, 9.76592, 9.88175, 9.98406, 10.1948, 10.3521, 10.4548, 10.596, 10.8414, 10.8862, 11.0449, 11.4144, 11.5802, 11.6817, 11.8647, 12.0248, 12.1556, 12.3083, 12.5237, 12.6606, 12.9145, 13.253, 13.8733, 14.5129");
             values ( \
-              "-0.0314478, -0.040182, -0.0680428, -0.086838, -0.0945919, -0.103593, -0.106376, -0.107237, -0.10778, -0.107646, -0.10728, -0.105974, -0.104514, -0.10317, -0.100768, -0.0915045, -0.0888956, -0.0782837, -0.0522802, -0.042036, -0.0365047, -0.027943, -0.02191, -0.017842, -0.0139053, -0.00977491, -0.00773795, -0.00502597, -0.00281473, -0.000822901, -0.000269017" \
+              "-0.0314478, -0.040182, -0.0680428, -0.086838, -0.0945919, -0.103593, -0.106376, -0.107237, -0.10778, -0.107646, -0.10728, -0.105974, -0.104514, -0.10317, -0.100768, -0.0915045, -0.0888956, -0.0782837, -0.0522802, -0.042036, -0.0365047, -0.027943, -0.02191, -0.017842, -0.0139053, -0.00977491, -0.00773795, -0.00502597, -0.00281473, -0.000822901, -0.000269018" \
             );
           }
           vector (ccs_template) {
@@ -231059,7 +246443,7 @@
             "-0.000522314, -0.000457099, -0.000421297, -0.000407983, -0.000404032, -0.000403871", \
             "-0.000638653, -0.000515462, -0.000417314, -0.000378231, -0.000365208, -0.00036131", \
             "-0.000784301, -0.000663317, -0.000510707, -0.000398753, -0.000362426, -0.00035011", \
-            "-0.00021879, -0.000466477, -0.000390667, -0.000363818, -0.000354214, -0.000342323", \
+            "-0.00021879, -0.000464798, -0.000390667, -0.000363818, -0.000354214, -0.000342323", \
             "0.00441148, 0.00330711, 0.00162585, 0.000422606, 0.000191583, -0.00014393", \
             "0.0249714, 0.022987, 0.0183143, 0.0106157, 0.00439716, 0.00172012" \
           );
diff --git a/12T_ms/magic/sky130_osu_sc_12T_ms__and2_6.mag b/12T_ms/magic/sky130_osu_sc_12T_ms__and2_6.mag
index 94c37cb..d96eed9 100644
--- a/12T_ms/magic/sky130_osu_sc_12T_ms__and2_6.mag
+++ b/12T_ms/magic/sky130_osu_sc_12T_ms__and2_6.mag
@@ -1,11 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1604092967
-<< checkpaint >>
-rect -1269 2461 2074 2601
-rect -1760 -1129 6260 2461
-rect -1269 -1260 2074 -1129
+timestamp 1612465588
 << nwell >>
 rect -9 485 814 897
 << nmos >>
@@ -204,13 +200,13 @@
 rect 166 372 200 406
 rect 262 274 296 308
 << locali >>
-rect 0 861 814 888
-rect 0 827 51 861
-rect 85 827 187 861
-rect 221 827 323 861
-rect 357 827 459 861
-rect 493 827 595 861
-rect 629 827 814 861
+rect 0 867 814 888
+rect 0 827 51 867
+rect 85 827 187 867
+rect 221 827 323 867
+rect 357 827 459 867
+rect 493 827 595 867
+rect 629 827 814 867
 rect 35 757 69 827
 rect 35 681 69 697
 rect 121 757 155 773
@@ -253,14 +249,24 @@
 rect 637 115 671 131
 rect 723 171 757 187
 rect 723 61 757 131
-rect 0 27 51 61
-rect 85 27 187 61
-rect 221 27 323 61
-rect 357 27 459 61
-rect 493 27 595 61
-rect 629 27 814 61
-rect 0 0 814 27
+rect 0 21 51 61
+rect 85 21 187 61
+rect 221 21 323 61
+rect 357 21 459 61
+rect 493 21 595 61
+rect 629 21 814 61
+rect 0 0 814 21
 << viali >>
+rect 51 861 85 867
+rect 51 833 85 861
+rect 187 861 221 867
+rect 187 833 221 861
+rect 323 861 357 867
+rect 323 833 357 861
+rect 459 861 493 867
+rect 459 833 493 861
+rect 595 861 629 867
+rect 595 833 629 861
 rect 30 553 64 587
 rect 166 479 200 513
 rect 293 405 327 439
@@ -269,8 +275,25 @@
 rect 293 183 327 217
 rect 465 183 499 217
 rect 637 183 671 217
+rect 51 27 85 55
+rect 51 21 85 27
+rect 187 27 221 55
+rect 187 21 221 27
+rect 323 27 357 55
+rect 323 21 357 27
+rect 459 27 493 55
+rect 459 21 493 27
+rect 595 27 629 55
+rect 595 21 629 27
 << metal1 >>
-rect 0 827 814 888
+rect 0 867 814 888
+rect 0 833 51 867
+rect 85 833 187 867
+rect 221 833 323 867
+rect 357 833 459 867
+rect 493 833 595 867
+rect 629 833 814 867
+rect 0 827 814 833
 rect 18 587 76 593
 rect 18 553 30 587
 rect 64 553 98 587
@@ -302,7 +325,14 @@
 rect 281 177 339 183
 rect 453 177 511 183
 rect 625 177 683 183
-rect 0 0 814 61
+rect 0 55 814 61
+rect 0 21 51 55
+rect 85 21 187 55
+rect 221 21 323 55
+rect 357 21 459 55
+rect 493 21 595 55
+rect 629 21 814 55
+rect 0 0 814 21
 << labels >>
 rlabel viali 184 496 184 496 1 B
 port 1 n
@@ -310,6 +340,6 @@
 port 2 n
 rlabel metal1 311 348 311 348 1 Y
 port 3 n
-rlabel metal1 68 844 68 844 1 vdd
-rlabel metal1 68 44 68 44 1 gnd
+rlabel viali 68 844 68 844 1 vdd
+rlabel viali 68 44 68 44 1 gnd
 << end >>
diff --git a/12T_ms/magic/sky130_osu_sc_12T_ms__dffnr_1.mag b/12T_ms/magic/sky130_osu_sc_12T_ms__dffnr_1.mag
new file mode 100644
index 0000000..78e4746
--- /dev/null
+++ b/12T_ms/magic/sky130_osu_sc_12T_ms__dffnr_1.mag
@@ -0,0 +1,837 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1613174128
+<< nwell >>
+rect -10 485 1917 897
+<< nmos >>
+rect 80 115 110 219
+rect 270 115 300 187
+rect 356 115 386 187
+rect 546 115 576 219
+rect 618 115 648 219
+rect 738 115 768 219
+rect 810 115 840 219
+rect 896 115 926 219
+rect 968 115 998 219
+rect 1088 115 1118 219
+rect 1160 115 1190 219
+rect 1246 115 1276 219
+rect 1436 115 1466 187
+rect 1522 115 1552 187
+rect 1712 115 1742 219
+rect 1798 115 1828 219
+<< pmos >>
+rect 80 521 110 773
+rect 270 605 300 773
+rect 342 605 372 773
+rect 546 521 576 773
+rect 618 521 648 773
+rect 738 521 768 773
+rect 810 521 840 773
+rect 896 521 926 773
+rect 968 521 998 773
+rect 1088 521 1118 773
+rect 1160 521 1190 773
+rect 1246 521 1276 773
+rect 1436 605 1466 773
+rect 1508 605 1538 773
+rect 1712 521 1742 773
+rect 1798 521 1828 773
+<< ndiff >>
+rect 27 171 80 219
+rect 27 131 35 171
+rect 69 131 80 171
+rect 27 115 80 131
+rect 110 171 163 219
+rect 110 131 121 171
+rect 155 131 163 171
+rect 110 115 163 131
+rect 217 165 270 187
+rect 217 131 225 165
+rect 259 131 270 165
+rect 217 115 270 131
+rect 300 165 356 187
+rect 300 131 311 165
+rect 345 131 356 165
+rect 300 115 356 131
+rect 386 165 439 187
+rect 386 131 397 165
+rect 431 131 439 165
+rect 386 115 439 131
+rect 493 171 546 219
+rect 493 131 501 171
+rect 535 131 546 171
+rect 493 115 546 131
+rect 576 115 618 219
+rect 648 171 738 219
+rect 648 131 659 171
+rect 727 131 738 171
+rect 648 115 738 131
+rect 768 115 810 219
+rect 840 165 896 219
+rect 840 131 851 165
+rect 885 131 896 165
+rect 840 115 896 131
+rect 926 115 968 219
+rect 998 171 1088 219
+rect 998 131 1009 171
+rect 1077 131 1088 171
+rect 998 115 1088 131
+rect 1118 115 1160 219
+rect 1190 171 1246 219
+rect 1190 131 1201 171
+rect 1235 131 1246 171
+rect 1190 115 1246 131
+rect 1276 171 1329 219
+rect 1276 131 1287 171
+rect 1321 131 1329 171
+rect 1276 115 1329 131
+rect 1383 165 1436 187
+rect 1383 131 1391 165
+rect 1425 131 1436 165
+rect 1383 115 1436 131
+rect 1466 165 1522 187
+rect 1466 131 1477 165
+rect 1511 131 1522 165
+rect 1466 115 1522 131
+rect 1552 165 1605 187
+rect 1552 131 1563 165
+rect 1597 131 1605 165
+rect 1552 115 1605 131
+rect 1659 165 1712 219
+rect 1659 131 1667 165
+rect 1701 131 1712 165
+rect 1659 115 1712 131
+rect 1742 165 1798 219
+rect 1742 131 1753 165
+rect 1787 131 1798 165
+rect 1742 115 1798 131
+rect 1828 165 1881 219
+rect 1828 131 1839 165
+rect 1873 131 1881 165
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 758 80 773
+rect 27 697 35 758
+rect 69 697 80 758
+rect 27 521 80 697
+rect 110 757 163 773
+rect 110 560 121 757
+rect 155 560 163 757
+rect 217 757 270 773
+rect 217 621 225 757
+rect 259 621 270 757
+rect 217 605 270 621
+rect 300 605 342 773
+rect 372 757 425 773
+rect 372 621 383 757
+rect 417 621 425 757
+rect 372 605 425 621
+rect 493 757 546 773
+rect 493 629 501 757
+rect 535 629 546 757
+rect 110 521 163 560
+rect 493 521 546 629
+rect 576 521 618 773
+rect 648 757 738 773
+rect 648 561 659 757
+rect 727 561 738 757
+rect 648 521 738 561
+rect 768 521 810 773
+rect 840 757 896 773
+rect 840 629 851 757
+rect 885 629 896 757
+rect 840 521 896 629
+rect 926 521 968 773
+rect 998 757 1088 773
+rect 998 629 1009 757
+rect 1077 629 1088 757
+rect 998 521 1088 629
+rect 1118 521 1160 773
+rect 1190 757 1246 773
+rect 1190 595 1201 757
+rect 1235 595 1246 757
+rect 1190 521 1246 595
+rect 1276 757 1329 773
+rect 1276 561 1287 757
+rect 1321 561 1329 757
+rect 1383 757 1436 773
+rect 1383 621 1391 757
+rect 1425 621 1436 757
+rect 1383 605 1436 621
+rect 1466 605 1508 773
+rect 1538 757 1591 773
+rect 1538 621 1549 757
+rect 1583 621 1591 757
+rect 1538 605 1591 621
+rect 1659 757 1712 773
+rect 1659 617 1667 757
+rect 1701 617 1712 757
+rect 1276 521 1329 561
+rect 1659 521 1712 617
+rect 1742 757 1798 773
+rect 1742 617 1753 757
+rect 1787 617 1798 757
+rect 1742 521 1798 617
+rect 1828 757 1881 773
+rect 1828 617 1839 757
+rect 1873 617 1881 757
+rect 1828 521 1881 617
+<< ndiffc >>
+rect 35 131 69 171
+rect 121 131 155 171
+rect 225 131 259 165
+rect 311 131 345 165
+rect 397 131 431 165
+rect 501 131 535 171
+rect 659 131 727 171
+rect 851 131 885 165
+rect 1009 131 1077 171
+rect 1201 131 1235 171
+rect 1287 131 1321 171
+rect 1391 131 1425 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1667 131 1701 165
+rect 1753 131 1787 165
+rect 1839 131 1873 165
+<< pdiffc >>
+rect 35 697 69 758
+rect 121 560 155 757
+rect 225 621 259 757
+rect 383 621 417 757
+rect 501 629 535 757
+rect 659 561 727 757
+rect 851 629 885 757
+rect 1009 629 1077 757
+rect 1201 595 1235 757
+rect 1287 561 1321 757
+rect 1391 621 1425 757
+rect 1549 621 1583 757
+rect 1667 617 1701 757
+rect 1753 617 1787 757
+rect 1839 617 1873 757
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 827 50 861
+rect 84 827 108 861
+rect 162 827 186 861
+rect 220 827 244 861
+rect 298 827 322 861
+rect 356 827 380 861
+rect 434 827 458 861
+rect 492 827 516 861
+rect 570 827 594 861
+rect 628 827 652 861
+rect 706 827 730 861
+rect 764 827 788 861
+rect 842 827 866 861
+rect 900 827 924 861
+rect 978 827 1002 861
+rect 1036 827 1060 861
+rect 1114 827 1138 861
+rect 1172 827 1196 861
+rect 1250 827 1274 861
+rect 1308 827 1332 861
+rect 1386 827 1410 861
+rect 1444 827 1468 861
+rect 1522 827 1546 861
+rect 1580 827 1604 861
+rect 1658 827 1682 861
+rect 1716 827 1740 861
+rect 1794 827 1818 861
+rect 1852 827 1876 861
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 827 84 861
+rect 186 827 220 861
+rect 322 827 356 861
+rect 458 827 492 861
+rect 594 827 628 861
+rect 730 827 764 861
+rect 866 827 900 861
+rect 1002 827 1036 861
+rect 1138 827 1172 861
+rect 1274 827 1308 861
+rect 1410 827 1444 861
+rect 1546 827 1580 861
+rect 1682 827 1716 861
+rect 1818 827 1852 861
+<< poly >>
+rect 80 773 110 799
+rect 270 773 300 799
+rect 342 773 372 799
+rect 546 773 576 799
+rect 618 773 648 799
+rect 738 773 768 799
+rect 810 773 840 799
+rect 896 773 926 799
+rect 968 773 998 799
+rect 1088 773 1118 799
+rect 1160 773 1190 799
+rect 1246 773 1276 799
+rect 1436 773 1466 799
+rect 1508 773 1538 799
+rect 1712 773 1742 799
+rect 1798 773 1828 799
+rect 80 398 110 521
+rect 79 382 133 398
+rect 79 348 89 382
+rect 123 348 133 382
+rect 79 332 133 348
+rect 79 331 110 332
+rect 80 219 110 331
+rect 270 275 300 605
+rect 342 508 372 605
+rect 342 492 415 508
+rect 342 458 371 492
+rect 405 458 415 492
+rect 342 442 415 458
+rect 217 259 300 275
+rect 217 225 227 259
+rect 261 225 300 259
+rect 217 209 300 225
+rect 270 187 300 209
+rect 356 187 386 442
+rect 546 381 576 521
+rect 618 490 648 521
+rect 618 474 672 490
+rect 618 440 628 474
+rect 662 440 672 474
+rect 618 424 672 440
+rect 546 365 600 381
+rect 738 379 768 521
+rect 810 484 840 521
+rect 896 484 926 521
+rect 810 474 926 484
+rect 810 440 842 474
+rect 876 440 926 474
+rect 810 430 926 440
+rect 968 379 998 521
+rect 1088 490 1118 521
+rect 1064 474 1118 490
+rect 1064 440 1074 474
+rect 1108 440 1118 474
+rect 1064 424 1118 440
+rect 1160 451 1190 521
+rect 1246 490 1276 521
+rect 1246 474 1317 490
+rect 1246 460 1273 474
+rect 1160 421 1196 451
+rect 1257 440 1273 460
+rect 1307 440 1317 474
+rect 1257 424 1317 440
+rect 1166 387 1196 421
+rect 546 331 556 365
+rect 590 331 600 365
+rect 546 315 600 331
+rect 642 365 1124 379
+rect 642 349 1074 365
+rect 546 219 576 315
+rect 642 271 672 349
+rect 1064 325 1074 349
+rect 1108 325 1124 365
+rect 618 241 672 271
+rect 714 291 768 307
+rect 714 257 724 291
+rect 758 257 768 291
+rect 714 241 768 257
+rect 618 219 648 241
+rect 738 219 768 241
+rect 810 291 926 301
+rect 810 257 842 291
+rect 876 257 926 291
+rect 810 247 926 257
+rect 810 219 840 247
+rect 896 219 926 247
+rect 968 291 1022 307
+rect 1064 304 1124 325
+rect 1166 371 1220 387
+rect 1166 337 1176 371
+rect 1210 337 1220 371
+rect 1166 321 1220 337
+rect 968 257 978 291
+rect 1012 257 1022 291
+rect 968 241 1022 257
+rect 968 219 998 241
+rect 1088 219 1118 304
+rect 1166 273 1196 321
+rect 1160 241 1196 273
+rect 1262 271 1292 424
+rect 1436 307 1466 605
+rect 1246 241 1292 271
+rect 1383 291 1466 307
+rect 1383 257 1393 291
+rect 1427 257 1466 291
+rect 1508 304 1538 605
+rect 1712 505 1742 521
+rect 1702 475 1742 505
+rect 1702 381 1732 475
+rect 1798 416 1828 521
+rect 1677 365 1732 381
+rect 1677 331 1687 365
+rect 1721 331 1732 365
+rect 1774 400 1828 416
+rect 1774 366 1784 400
+rect 1818 366 1828 400
+rect 1774 350 1828 366
+rect 1677 315 1732 331
+rect 1508 275 1552 304
+rect 1383 241 1466 257
+rect 1160 219 1190 241
+rect 1246 219 1276 241
+rect 1436 187 1466 241
+rect 1522 259 1605 275
+rect 1522 225 1561 259
+rect 1595 225 1605 259
+rect 1702 264 1732 315
+rect 1702 234 1742 264
+rect 1522 209 1605 225
+rect 1712 219 1742 234
+rect 1798 219 1828 350
+rect 1522 187 1552 209
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 348 123 382
+rect 371 458 405 492
+rect 227 225 261 259
+rect 628 440 662 474
+rect 842 440 876 474
+rect 1074 440 1108 474
+rect 1273 440 1307 474
+rect 556 331 590 365
+rect 1074 325 1108 365
+rect 724 257 758 291
+rect 842 257 876 291
+rect 1176 337 1210 371
+rect 978 257 1012 291
+rect 1393 257 1427 291
+rect 1687 331 1721 365
+rect 1784 366 1818 400
+rect 1561 225 1595 259
+<< locali >>
+rect 0 867 1914 888
+rect 0 827 50 867
+rect 84 827 186 867
+rect 220 827 322 867
+rect 356 827 458 867
+rect 492 827 594 867
+rect 628 827 730 867
+rect 764 827 866 867
+rect 900 827 1002 867
+rect 1036 827 1138 867
+rect 1172 827 1274 867
+rect 1308 827 1410 867
+rect 1444 827 1546 867
+rect 1580 827 1682 867
+rect 1716 827 1818 867
+rect 1852 827 1914 867
+rect 35 758 69 827
+rect 35 681 69 697
+rect 121 757 155 773
+rect 47 398 81 553
+rect 121 469 155 560
+rect 225 757 259 773
+rect 121 435 191 469
+rect 47 382 123 398
+rect 47 348 89 382
+rect 89 332 123 348
+rect 157 259 191 435
+rect 225 379 259 621
+rect 383 757 417 827
+rect 383 605 417 621
+rect 501 757 535 827
+rect 501 613 535 629
+rect 659 757 727 773
+rect 851 757 885 827
+rect 851 613 885 629
+rect 1009 757 1077 773
+rect 659 558 727 561
+rect 1009 558 1077 629
+rect 1201 757 1235 827
+rect 1201 579 1235 595
+rect 1287 757 1321 773
+rect 405 524 727 558
+rect 910 524 1077 558
+rect 1391 757 1425 773
+rect 1549 757 1583 827
+rect 1425 621 1511 626
+rect 1391 592 1511 621
+rect 1549 605 1583 621
+rect 1667 757 1701 773
+rect 1287 558 1321 561
+rect 1287 524 1391 558
+rect 405 508 439 524
+rect 371 492 439 508
+rect 405 458 439 492
+rect 371 442 439 458
+rect 225 345 345 379
+rect 311 291 345 345
+rect 157 239 227 259
+rect 121 225 227 239
+rect 261 225 277 259
+rect 121 205 191 225
+rect 35 171 69 187
+rect 35 61 69 131
+rect 121 171 155 205
+rect 121 115 155 131
+rect 225 165 259 181
+rect 225 61 259 131
+rect 311 165 345 257
+rect 405 294 439 442
+rect 628 474 662 490
+rect 628 439 662 440
+rect 842 474 876 490
+rect 662 405 758 439
+rect 556 365 590 381
+rect 556 315 590 331
+rect 405 274 440 294
+rect 724 291 758 405
+rect 842 291 876 440
+rect 405 240 690 274
+rect 724 241 758 257
+rect 842 241 876 257
+rect 910 291 944 524
+rect 1074 474 1108 490
+rect 1074 439 1108 440
+rect 910 241 944 257
+rect 978 405 1074 439
+rect 1170 405 1204 479
+rect 1244 474 1307 490
+rect 1244 440 1273 474
+rect 1244 424 1307 440
+rect 1357 439 1391 524
+rect 978 291 1012 405
+rect 1170 371 1210 405
+rect 1058 325 1074 365
+rect 1108 325 1124 365
+rect 1176 321 1210 337
+rect 1244 365 1278 424
+rect 1357 386 1391 405
+rect 1321 352 1391 386
+rect 1477 365 1511 592
+rect 1667 513 1701 617
+rect 1753 757 1787 827
+rect 1753 545 1787 617
+rect 1839 757 1873 773
+rect 1839 587 1873 617
+rect 1872 570 1873 587
+rect 1872 553 1896 570
+rect 1839 536 1896 553
+rect 1667 474 1701 479
+rect 1667 440 1818 474
+rect 1784 400 1818 440
+rect 978 241 1012 257
+rect 656 187 690 240
+rect 1046 207 1080 257
+rect 311 115 345 131
+rect 397 165 431 181
+rect 397 61 431 131
+rect 501 171 535 187
+rect 656 171 727 187
+rect 656 153 659 171
+rect 501 61 535 131
+rect 659 115 727 131
+rect 851 165 885 181
+rect 851 61 885 131
+rect 1009 171 1080 207
+rect 1077 131 1080 171
+rect 1009 115 1080 131
+rect 1201 171 1235 187
+rect 1271 131 1287 171
+rect 1321 131 1355 352
+rect 1477 331 1687 365
+rect 1721 331 1737 365
+rect 1393 291 1427 307
+rect 1393 241 1427 257
+rect 1391 165 1425 181
+rect 1201 61 1235 131
+rect 1391 61 1425 131
+rect 1477 165 1511 331
+rect 1784 291 1818 366
+rect 1545 225 1561 259
+rect 1595 225 1611 259
+rect 1667 257 1818 291
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1667 165 1701 257
+rect 1862 224 1896 536
+rect 1839 190 1896 224
+rect 1667 115 1701 131
+rect 1753 165 1787 181
+rect 1753 61 1787 131
+rect 1839 165 1873 190
+rect 1839 115 1873 131
+rect 0 21 50 61
+rect 84 21 186 61
+rect 220 21 322 61
+rect 356 21 458 61
+rect 492 21 594 61
+rect 628 21 730 61
+rect 764 21 866 61
+rect 900 21 1002 61
+rect 1036 21 1138 61
+rect 1172 21 1274 61
+rect 1308 21 1410 61
+rect 1444 21 1546 61
+rect 1580 21 1682 61
+rect 1716 21 1818 61
+rect 1852 21 1914 61
+rect 0 0 1914 21
+<< viali >>
+rect 50 861 84 867
+rect 50 833 84 861
+rect 186 861 220 867
+rect 186 833 220 861
+rect 322 861 356 867
+rect 322 833 356 861
+rect 458 861 492 867
+rect 458 833 492 861
+rect 594 861 628 867
+rect 594 833 628 861
+rect 730 861 764 867
+rect 730 833 764 861
+rect 866 861 900 867
+rect 866 833 900 861
+rect 1002 861 1036 867
+rect 1002 833 1036 861
+rect 1138 861 1172 867
+rect 1138 833 1172 861
+rect 1274 861 1308 867
+rect 1274 833 1308 861
+rect 1410 861 1444 867
+rect 1410 833 1444 861
+rect 1546 861 1580 867
+rect 1546 833 1580 861
+rect 1682 861 1716 867
+rect 1682 833 1716 861
+rect 1818 861 1852 867
+rect 1818 833 1852 861
+rect 47 553 81 587
+rect 227 259 261 291
+rect 227 257 261 259
+rect 311 257 345 291
+rect 628 405 662 439
+rect 556 331 590 365
+rect 824 257 842 291
+rect 842 257 858 291
+rect 910 257 944 291
+rect 1074 405 1108 439
+rect 1170 479 1204 513
+rect 1074 331 1108 365
+rect 1357 405 1391 439
+rect 1244 331 1278 365
+rect 1838 553 1872 587
+rect 1667 479 1701 513
+rect 1046 257 1080 291
+rect 1687 331 1721 365
+rect 1393 257 1427 291
+rect 1561 259 1595 291
+rect 1561 257 1595 259
+rect 50 27 84 55
+rect 50 21 84 27
+rect 186 27 220 55
+rect 186 21 220 27
+rect 322 27 356 55
+rect 322 21 356 27
+rect 458 27 492 55
+rect 458 21 492 27
+rect 594 27 628 55
+rect 594 21 628 27
+rect 730 27 764 55
+rect 730 21 764 27
+rect 866 27 900 55
+rect 866 21 900 27
+rect 1002 27 1036 55
+rect 1002 21 1036 27
+rect 1138 27 1172 55
+rect 1138 21 1172 27
+rect 1274 27 1308 55
+rect 1274 21 1308 27
+rect 1410 27 1444 55
+rect 1410 21 1444 27
+rect 1546 27 1580 55
+rect 1546 21 1580 27
+rect 1682 27 1716 55
+rect 1682 21 1716 27
+rect 1818 27 1852 55
+rect 1818 21 1852 27
+<< metal1 >>
+rect 0 867 1914 888
+rect 0 833 50 867
+rect 84 833 186 867
+rect 220 833 322 867
+rect 356 833 458 867
+rect 492 833 594 867
+rect 628 833 730 867
+rect 764 833 866 867
+rect 900 833 1002 867
+rect 1036 833 1138 867
+rect 1172 833 1274 867
+rect 1308 833 1410 867
+rect 1444 833 1546 867
+rect 1580 833 1682 867
+rect 1716 833 1818 867
+rect 1852 833 1914 867
+rect 0 827 1914 833
+rect 35 587 93 593
+rect 1826 587 1884 593
+rect 35 553 47 587
+rect 81 586 121 587
+rect 81 553 127 586
+rect 1804 553 1838 587
+rect 1872 553 1884 587
+rect 35 547 93 553
+rect 1826 547 1884 553
+rect 1158 513 1216 519
+rect 1655 513 1713 519
+rect 1158 479 1170 513
+rect 1204 479 1467 513
+rect 1632 479 1667 513
+rect 1701 479 1713 513
+rect 1158 473 1216 479
+rect 616 439 674 445
+rect 1062 439 1120 445
+rect 1345 439 1403 445
+rect 616 405 628 439
+rect 662 405 1074 439
+rect 1108 405 1357 439
+rect 1391 405 1403 439
+rect 616 399 674 405
+rect 1062 399 1120 405
+rect 1345 399 1403 405
+rect 544 365 602 371
+rect 1062 365 1120 371
+rect 1232 365 1291 371
+rect 544 331 556 365
+rect 590 331 624 365
+rect 1062 331 1074 365
+rect 1108 331 1244 365
+rect 1278 331 1291 365
+rect 544 325 602 331
+rect 1062 325 1120 331
+rect 1232 325 1291 331
+rect 1431 365 1467 479
+rect 1655 473 1713 479
+rect 1675 365 1733 371
+rect 1431 331 1687 365
+rect 1721 331 1733 365
+rect 1431 330 1733 331
+rect 1675 325 1733 330
+rect 217 291 271 303
+rect 217 257 227 291
+rect 261 257 271 291
+rect 217 251 271 257
+rect 299 291 356 299
+rect 812 291 870 297
+rect 299 257 311 291
+rect 345 257 824 291
+rect 858 257 870 291
+rect 227 217 261 251
+rect 299 250 356 257
+rect 812 251 870 257
+rect 898 291 956 297
+rect 1036 291 1088 297
+rect 1381 291 1439 297
+rect 898 257 910 291
+rect 944 257 1046 291
+rect 1080 257 1393 291
+rect 1427 257 1439 291
+rect 898 251 956 257
+rect 1036 251 1088 257
+rect 1381 251 1439 257
+rect 1549 291 1607 297
+rect 1549 257 1561 291
+rect 1595 257 1607 291
+rect 1549 251 1607 257
+rect 1561 217 1595 251
+rect 227 183 1595 217
+rect 0 55 1914 61
+rect 0 21 50 55
+rect 84 21 186 55
+rect 220 21 322 55
+rect 356 21 458 55
+rect 492 21 594 55
+rect 628 21 730 55
+rect 764 21 866 55
+rect 900 21 1002 55
+rect 1036 21 1138 55
+rect 1172 21 1274 55
+rect 1308 21 1410 55
+rect 1444 21 1546 55
+rect 1580 21 1682 55
+rect 1716 21 1818 55
+rect 1852 21 1914 55
+rect 0 0 1914 21
+<< labels >>
+rlabel viali 65 570 65 570 1 RN
+port 1 n
+rlabel viali 1855 570 1855 570 1 Q
+port 4 n
+rlabel viali 1685 496 1685 496 1 QN
+port 5 n
+rlabel viali 573 348 573 348 1 D
+port 2 n
+rlabel viali 67 48 67 48 1 gnd
+rlabel viali 67 840 67 840 1 vdd
+rlabel viali 1261 349 1261 349 1 CK
+<< end >>
diff --git a/12T_ms/magic/sky130_osu_sc_12T_ms__dffnr_l.mag b/12T_ms/magic/sky130_osu_sc_12T_ms__dffnr_l.mag
new file mode 100644
index 0000000..5673716
--- /dev/null
+++ b/12T_ms/magic/sky130_osu_sc_12T_ms__dffnr_l.mag
@@ -0,0 +1,837 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1613174014
+<< nwell >>
+rect -10 485 1917 897
+<< nmos >>
+rect 80 115 110 219
+rect 270 115 300 187
+rect 356 115 386 187
+rect 546 115 576 219
+rect 618 115 648 219
+rect 738 115 768 219
+rect 810 115 840 219
+rect 896 115 926 219
+rect 968 115 998 219
+rect 1088 115 1118 219
+rect 1160 115 1190 219
+rect 1246 115 1276 219
+rect 1436 115 1466 187
+rect 1522 115 1552 187
+rect 1712 115 1742 187
+rect 1798 115 1828 187
+<< pmos >>
+rect 80 521 110 773
+rect 270 605 300 773
+rect 342 605 372 773
+rect 546 521 576 773
+rect 618 521 648 773
+rect 738 521 768 773
+rect 810 521 840 773
+rect 896 521 926 773
+rect 968 521 998 773
+rect 1088 521 1118 773
+rect 1160 521 1190 773
+rect 1246 521 1276 773
+rect 1436 605 1466 773
+rect 1508 605 1538 773
+rect 1712 605 1742 773
+rect 1798 605 1828 773
+<< ndiff >>
+rect 27 171 80 219
+rect 27 131 35 171
+rect 69 131 80 171
+rect 27 115 80 131
+rect 110 171 163 219
+rect 110 131 121 171
+rect 155 131 163 171
+rect 110 115 163 131
+rect 217 165 270 187
+rect 217 131 225 165
+rect 259 131 270 165
+rect 217 115 270 131
+rect 300 165 356 187
+rect 300 131 311 165
+rect 345 131 356 165
+rect 300 115 356 131
+rect 386 165 439 187
+rect 386 131 397 165
+rect 431 131 439 165
+rect 386 115 439 131
+rect 493 171 546 219
+rect 493 131 501 171
+rect 535 131 546 171
+rect 493 115 546 131
+rect 576 115 618 219
+rect 648 171 738 219
+rect 648 131 659 171
+rect 727 131 738 171
+rect 648 115 738 131
+rect 768 115 810 219
+rect 840 165 896 219
+rect 840 131 851 165
+rect 885 131 896 165
+rect 840 115 896 131
+rect 926 115 968 219
+rect 998 171 1088 219
+rect 998 131 1009 171
+rect 1077 131 1088 171
+rect 998 115 1088 131
+rect 1118 115 1160 219
+rect 1190 171 1246 219
+rect 1190 131 1201 171
+rect 1235 131 1246 171
+rect 1190 115 1246 131
+rect 1276 171 1329 219
+rect 1276 131 1287 171
+rect 1321 131 1329 171
+rect 1276 115 1329 131
+rect 1383 165 1436 187
+rect 1383 131 1391 165
+rect 1425 131 1436 165
+rect 1383 115 1436 131
+rect 1466 165 1522 187
+rect 1466 131 1477 165
+rect 1511 131 1522 165
+rect 1466 115 1522 131
+rect 1552 165 1605 187
+rect 1552 131 1563 165
+rect 1597 131 1605 165
+rect 1552 115 1605 131
+rect 1659 165 1712 187
+rect 1659 131 1667 165
+rect 1701 131 1712 165
+rect 1659 115 1712 131
+rect 1742 165 1798 187
+rect 1742 131 1753 165
+rect 1787 131 1798 165
+rect 1742 115 1798 131
+rect 1828 165 1881 187
+rect 1828 131 1839 165
+rect 1873 131 1881 165
+rect 1828 115 1881 131
+<< pdiff >>
+rect 27 758 80 773
+rect 27 697 35 758
+rect 69 697 80 758
+rect 27 521 80 697
+rect 110 757 163 773
+rect 110 560 121 757
+rect 155 560 163 757
+rect 217 757 270 773
+rect 217 621 225 757
+rect 259 621 270 757
+rect 217 605 270 621
+rect 300 605 342 773
+rect 372 757 425 773
+rect 372 621 383 757
+rect 417 621 425 757
+rect 372 605 425 621
+rect 493 757 546 773
+rect 493 629 501 757
+rect 535 629 546 757
+rect 110 521 163 560
+rect 493 521 546 629
+rect 576 521 618 773
+rect 648 757 738 773
+rect 648 561 659 757
+rect 727 561 738 757
+rect 648 521 738 561
+rect 768 521 810 773
+rect 840 757 896 773
+rect 840 629 851 757
+rect 885 629 896 757
+rect 840 521 896 629
+rect 926 521 968 773
+rect 998 757 1088 773
+rect 998 629 1009 757
+rect 1077 629 1088 757
+rect 998 521 1088 629
+rect 1118 521 1160 773
+rect 1190 757 1246 773
+rect 1190 595 1201 757
+rect 1235 595 1246 757
+rect 1190 521 1246 595
+rect 1276 757 1329 773
+rect 1276 561 1287 757
+rect 1321 561 1329 757
+rect 1383 757 1436 773
+rect 1383 621 1391 757
+rect 1425 621 1436 757
+rect 1383 605 1436 621
+rect 1466 605 1508 773
+rect 1538 757 1591 773
+rect 1538 621 1549 757
+rect 1583 621 1591 757
+rect 1538 605 1591 621
+rect 1659 757 1712 773
+rect 1659 617 1667 757
+rect 1701 617 1712 757
+rect 1659 605 1712 617
+rect 1742 757 1798 773
+rect 1742 617 1753 757
+rect 1787 617 1798 757
+rect 1742 605 1798 617
+rect 1828 757 1881 773
+rect 1828 617 1839 757
+rect 1873 617 1881 757
+rect 1828 605 1881 617
+rect 1276 521 1329 561
+<< ndiffc >>
+rect 35 131 69 171
+rect 121 131 155 171
+rect 225 131 259 165
+rect 311 131 345 165
+rect 397 131 431 165
+rect 501 131 535 171
+rect 659 131 727 171
+rect 851 131 885 165
+rect 1009 131 1077 171
+rect 1201 131 1235 171
+rect 1287 131 1321 171
+rect 1391 131 1425 165
+rect 1477 131 1511 165
+rect 1563 131 1597 165
+rect 1667 131 1701 165
+rect 1753 131 1787 165
+rect 1839 131 1873 165
+<< pdiffc >>
+rect 35 697 69 758
+rect 121 560 155 757
+rect 225 621 259 757
+rect 383 621 417 757
+rect 501 629 535 757
+rect 659 561 727 757
+rect 851 629 885 757
+rect 1009 629 1077 757
+rect 1201 595 1235 757
+rect 1287 561 1321 757
+rect 1391 621 1425 757
+rect 1549 621 1583 757
+rect 1667 617 1701 757
+rect 1753 617 1787 757
+rect 1839 617 1873 757
+<< psubdiff >>
+rect 26 27 50 61
+rect 84 27 108 61
+rect 162 27 186 61
+rect 220 27 244 61
+rect 298 27 322 61
+rect 356 27 380 61
+rect 434 27 458 61
+rect 492 27 516 61
+rect 570 27 594 61
+rect 628 27 652 61
+rect 706 27 730 61
+rect 764 27 788 61
+rect 842 27 866 61
+rect 900 27 924 61
+rect 978 27 1002 61
+rect 1036 27 1060 61
+rect 1114 27 1138 61
+rect 1172 27 1196 61
+rect 1250 27 1274 61
+rect 1308 27 1332 61
+rect 1386 27 1410 61
+rect 1444 27 1468 61
+rect 1522 27 1546 61
+rect 1580 27 1604 61
+rect 1658 27 1682 61
+rect 1716 27 1740 61
+rect 1794 27 1818 61
+rect 1852 27 1876 61
+<< nsubdiff >>
+rect 26 827 50 861
+rect 84 827 108 861
+rect 162 827 186 861
+rect 220 827 244 861
+rect 298 827 322 861
+rect 356 827 380 861
+rect 434 827 458 861
+rect 492 827 516 861
+rect 570 827 594 861
+rect 628 827 652 861
+rect 706 827 730 861
+rect 764 827 788 861
+rect 842 827 866 861
+rect 900 827 924 861
+rect 978 827 1002 861
+rect 1036 827 1060 861
+rect 1114 827 1138 861
+rect 1172 827 1196 861
+rect 1250 827 1274 861
+rect 1308 827 1332 861
+rect 1386 827 1410 861
+rect 1444 827 1468 861
+rect 1522 827 1546 861
+rect 1580 827 1604 861
+rect 1658 827 1682 861
+rect 1716 827 1740 861
+rect 1794 827 1818 861
+rect 1852 827 1876 861
+<< psubdiffcont >>
+rect 50 27 84 61
+rect 186 27 220 61
+rect 322 27 356 61
+rect 458 27 492 61
+rect 594 27 628 61
+rect 730 27 764 61
+rect 866 27 900 61
+rect 1002 27 1036 61
+rect 1138 27 1172 61
+rect 1274 27 1308 61
+rect 1410 27 1444 61
+rect 1546 27 1580 61
+rect 1682 27 1716 61
+rect 1818 27 1852 61
+<< nsubdiffcont >>
+rect 50 827 84 861
+rect 186 827 220 861
+rect 322 827 356 861
+rect 458 827 492 861
+rect 594 827 628 861
+rect 730 827 764 861
+rect 866 827 900 861
+rect 1002 827 1036 861
+rect 1138 827 1172 861
+rect 1274 827 1308 861
+rect 1410 827 1444 861
+rect 1546 827 1580 861
+rect 1682 827 1716 861
+rect 1818 827 1852 861
+<< poly >>
+rect 80 773 110 799
+rect 270 773 300 799
+rect 342 773 372 799
+rect 546 773 576 799
+rect 618 773 648 799
+rect 738 773 768 799
+rect 810 773 840 799
+rect 896 773 926 799
+rect 968 773 998 799
+rect 1088 773 1118 799
+rect 1160 773 1190 799
+rect 1246 773 1276 799
+rect 1436 773 1466 799
+rect 1508 773 1538 799
+rect 1712 773 1742 799
+rect 1798 773 1828 799
+rect 80 398 110 521
+rect 79 382 133 398
+rect 79 348 89 382
+rect 123 348 133 382
+rect 79 332 133 348
+rect 79 331 110 332
+rect 80 219 110 331
+rect 270 275 300 605
+rect 342 508 372 605
+rect 342 492 415 508
+rect 342 458 371 492
+rect 405 458 415 492
+rect 342 442 415 458
+rect 217 259 300 275
+rect 217 225 227 259
+rect 261 225 300 259
+rect 217 209 300 225
+rect 270 187 300 209
+rect 356 187 386 442
+rect 546 381 576 521
+rect 618 490 648 521
+rect 618 474 672 490
+rect 618 440 628 474
+rect 662 440 672 474
+rect 618 424 672 440
+rect 546 365 600 381
+rect 738 379 768 521
+rect 810 484 840 521
+rect 896 484 926 521
+rect 810 474 926 484
+rect 810 440 842 474
+rect 876 440 926 474
+rect 810 430 926 440
+rect 968 379 998 521
+rect 1088 490 1118 521
+rect 1064 474 1118 490
+rect 1064 440 1074 474
+rect 1108 440 1118 474
+rect 1064 424 1118 440
+rect 1160 451 1190 521
+rect 1246 490 1276 521
+rect 1246 474 1317 490
+rect 1246 460 1273 474
+rect 1160 421 1196 451
+rect 1257 440 1273 460
+rect 1307 440 1317 474
+rect 1257 424 1317 440
+rect 1166 387 1196 421
+rect 546 331 556 365
+rect 590 331 600 365
+rect 546 315 600 331
+rect 642 365 1124 379
+rect 642 349 1074 365
+rect 546 219 576 315
+rect 642 271 672 349
+rect 1064 325 1074 349
+rect 1108 325 1124 365
+rect 618 241 672 271
+rect 714 291 768 307
+rect 714 257 724 291
+rect 758 257 768 291
+rect 714 241 768 257
+rect 618 219 648 241
+rect 738 219 768 241
+rect 810 291 926 301
+rect 810 257 842 291
+rect 876 257 926 291
+rect 810 247 926 257
+rect 810 219 840 247
+rect 896 219 926 247
+rect 968 291 1022 307
+rect 1064 304 1124 325
+rect 1166 371 1220 387
+rect 1166 337 1176 371
+rect 1210 337 1220 371
+rect 1166 321 1220 337
+rect 968 257 978 291
+rect 1012 257 1022 291
+rect 968 241 1022 257
+rect 968 219 998 241
+rect 1088 219 1118 304
+rect 1166 273 1196 321
+rect 1160 241 1196 273
+rect 1262 271 1292 424
+rect 1436 307 1466 605
+rect 1246 241 1292 271
+rect 1383 291 1466 307
+rect 1383 257 1393 291
+rect 1427 257 1466 291
+rect 1508 304 1538 605
+rect 1712 505 1742 605
+rect 1702 475 1742 505
+rect 1702 381 1732 475
+rect 1798 416 1828 605
+rect 1677 365 1732 381
+rect 1677 331 1687 365
+rect 1721 331 1732 365
+rect 1774 400 1828 416
+rect 1774 366 1784 400
+rect 1818 366 1828 400
+rect 1774 350 1828 366
+rect 1677 315 1732 331
+rect 1508 275 1552 304
+rect 1383 241 1466 257
+rect 1160 219 1190 241
+rect 1246 219 1276 241
+rect 1436 187 1466 241
+rect 1522 259 1605 275
+rect 1522 225 1561 259
+rect 1595 225 1605 259
+rect 1702 264 1732 315
+rect 1702 234 1742 264
+rect 1522 209 1605 225
+rect 1522 187 1552 209
+rect 1712 187 1742 234
+rect 1798 187 1828 350
+rect 80 89 110 115
+rect 270 89 300 115
+rect 356 89 386 115
+rect 546 89 576 115
+rect 618 89 648 115
+rect 738 89 768 115
+rect 810 89 840 115
+rect 896 89 926 115
+rect 968 89 998 115
+rect 1088 89 1118 115
+rect 1160 89 1190 115
+rect 1246 89 1276 115
+rect 1436 89 1466 115
+rect 1522 89 1552 115
+rect 1712 89 1742 115
+rect 1798 89 1828 115
+<< polycont >>
+rect 89 348 123 382
+rect 371 458 405 492
+rect 227 225 261 259
+rect 628 440 662 474
+rect 842 440 876 474
+rect 1074 440 1108 474
+rect 1273 440 1307 474
+rect 556 331 590 365
+rect 1074 325 1108 365
+rect 724 257 758 291
+rect 842 257 876 291
+rect 1176 337 1210 371
+rect 978 257 1012 291
+rect 1393 257 1427 291
+rect 1687 331 1721 365
+rect 1784 366 1818 400
+rect 1561 225 1595 259
+<< locali >>
+rect 0 867 1914 888
+rect 0 827 50 867
+rect 84 827 186 867
+rect 220 827 322 867
+rect 356 827 458 867
+rect 492 827 594 867
+rect 628 827 730 867
+rect 764 827 866 867
+rect 900 827 1002 867
+rect 1036 827 1138 867
+rect 1172 827 1274 867
+rect 1308 827 1410 867
+rect 1444 827 1546 867
+rect 1580 827 1682 867
+rect 1716 827 1818 867
+rect 1852 827 1914 867
+rect 35 758 69 827
+rect 35 681 69 697
+rect 121 757 155 773
+rect 47 398 81 553
+rect 121 469 155 560
+rect 225 757 259 773
+rect 121 435 191 469
+rect 47 382 123 398
+rect 47 348 89 382
+rect 89 332 123 348
+rect 157 259 191 435
+rect 225 379 259 621
+rect 383 757 417 827
+rect 383 605 417 621
+rect 501 757 535 827
+rect 501 613 535 629
+rect 659 757 727 773
+rect 851 757 885 827
+rect 851 613 885 629
+rect 1009 757 1077 773
+rect 659 558 727 561
+rect 1009 558 1077 629
+rect 1201 757 1235 827
+rect 1201 579 1235 595
+rect 1287 757 1321 773
+rect 405 524 727 558
+rect 910 524 1077 558
+rect 1391 757 1425 773
+rect 1549 757 1583 827
+rect 1425 621 1511 626
+rect 1391 592 1511 621
+rect 1549 605 1583 621
+rect 1667 757 1701 773
+rect 1287 558 1321 561
+rect 1287 524 1391 558
+rect 405 508 439 524
+rect 371 492 439 508
+rect 405 458 439 492
+rect 371 442 439 458
+rect 225 345 345 379
+rect 311 291 345 345
+rect 157 239 227 259
+rect 121 225 227 239
+rect 261 225 277 259
+rect 121 205 191 225
+rect 35 171 69 187
+rect 35 61 69 131
+rect 121 171 155 205
+rect 121 115 155 131
+rect 225 165 259 181
+rect 225 61 259 131
+rect 311 165 345 257
+rect 405 294 439 442
+rect 628 474 662 490
+rect 628 439 662 440
+rect 842 474 876 490
+rect 662 405 758 439
+rect 556 365 590 381
+rect 556 315 590 331
+rect 405 274 440 294
+rect 724 291 758 405
+rect 842 291 876 440
+rect 405 240 690 274
+rect 724 241 758 257
+rect 842 241 876 257
+rect 910 291 944 524
+rect 1074 474 1108 490
+rect 1074 439 1108 440
+rect 910 241 944 257
+rect 978 405 1074 439
+rect 1170 405 1204 479
+rect 1244 474 1307 490
+rect 1244 440 1273 474
+rect 1244 424 1307 440
+rect 1357 439 1391 524
+rect 978 291 1012 405
+rect 1170 371 1210 405
+rect 1058 325 1074 365
+rect 1108 325 1124 365
+rect 1176 321 1210 337
+rect 1244 365 1278 424
+rect 1357 386 1391 405
+rect 1321 352 1391 386
+rect 1477 365 1511 592
+rect 1667 513 1701 617
+rect 1753 757 1787 827
+rect 1753 545 1787 617
+rect 1839 757 1873 773
+rect 1839 587 1873 617
+rect 1872 570 1873 587
+rect 1872 553 1896 570
+rect 1839 536 1896 553
+rect 1667 474 1701 479
+rect 1667 440 1818 474
+rect 1784 400 1818 440
+rect 978 241 1012 257
+rect 656 187 690 240
+rect 1046 207 1080 257
+rect 311 115 345 131
+rect 397 165 431 181
+rect 397 61 431 131
+rect 501 171 535 187
+rect 656 171 727 187
+rect 656 153 659 171
+rect 501 61 535 131
+rect 659 115 727 131
+rect 851 165 885 181
+rect 851 61 885 131
+rect 1009 171 1080 207
+rect 1077 131 1080 171
+rect 1009 115 1080 131
+rect 1201 171 1235 187
+rect 1271 131 1287 171
+rect 1321 131 1355 352
+rect 1477 331 1687 365
+rect 1721 331 1737 365
+rect 1393 291 1427 307
+rect 1393 241 1427 257
+rect 1391 165 1425 181
+rect 1201 61 1235 131
+rect 1391 61 1425 131
+rect 1477 165 1511 331
+rect 1784 291 1818 366
+rect 1545 225 1561 259
+rect 1595 225 1611 259
+rect 1667 257 1818 291
+rect 1477 115 1511 131
+rect 1563 165 1597 181
+rect 1563 61 1597 131
+rect 1667 165 1701 257
+rect 1862 224 1896 536
+rect 1839 190 1896 224
+rect 1667 115 1701 131
+rect 1753 165 1787 181
+rect 1753 61 1787 131
+rect 1839 165 1873 190
+rect 1839 115 1873 131
+rect 0 21 50 61
+rect 84 21 186 61
+rect 220 21 322 61
+rect 356 21 458 61
+rect 492 21 594 61
+rect 628 21 730 61
+rect 764 21 866 61
+rect 900 21 1002 61
+rect 1036 21 1138 61
+rect 1172 21 1274 61
+rect 1308 21 1410 61
+rect 1444 21 1546 61
+rect 1580 21 1682 61
+rect 1716 21 1818 61
+rect 1852 21 1914 61
+rect 0 0 1914 21
+<< viali >>
+rect 50 861 84 867
+rect 50 833 84 861
+rect 186 861 220 867
+rect 186 833 220 861
+rect 322 861 356 867
+rect 322 833 356 861
+rect 458 861 492 867
+rect 458 833 492 861
+rect 594 861 628 867
+rect 594 833 628 861
+rect 730 861 764 867
+rect 730 833 764 861
+rect 866 861 900 867
+rect 866 833 900 861
+rect 1002 861 1036 867
+rect 1002 833 1036 861
+rect 1138 861 1172 867
+rect 1138 833 1172 861
+rect 1274 861 1308 867
+rect 1274 833 1308 861
+rect 1410 861 1444 867
+rect 1410 833 1444 861
+rect 1546 861 1580 867
+rect 1546 833 1580 861
+rect 1682 861 1716 867
+rect 1682 833 1716 861
+rect 1818 861 1852 867
+rect 1818 833 1852 861
+rect 47 553 81 587
+rect 227 259 261 291
+rect 227 257 261 259
+rect 311 257 345 291
+rect 628 405 662 439
+rect 556 331 590 365
+rect 824 257 842 291
+rect 842 257 858 291
+rect 910 257 944 291
+rect 1074 405 1108 439
+rect 1170 479 1204 513
+rect 1074 331 1108 365
+rect 1357 405 1391 439
+rect 1244 331 1278 365
+rect 1838 553 1872 587
+rect 1667 479 1701 513
+rect 1046 257 1080 291
+rect 1687 331 1721 365
+rect 1393 257 1427 291
+rect 1561 259 1595 291
+rect 1561 257 1595 259
+rect 50 27 84 55
+rect 50 21 84 27
+rect 186 27 220 55
+rect 186 21 220 27
+rect 322 27 356 55
+rect 322 21 356 27
+rect 458 27 492 55
+rect 458 21 492 27
+rect 594 27 628 55
+rect 594 21 628 27
+rect 730 27 764 55
+rect 730 21 764 27
+rect 866 27 900 55
+rect 866 21 900 27
+rect 1002 27 1036 55
+rect 1002 21 1036 27
+rect 1138 27 1172 55
+rect 1138 21 1172 27
+rect 1274 27 1308 55
+rect 1274 21 1308 27
+rect 1410 27 1444 55
+rect 1410 21 1444 27
+rect 1546 27 1580 55
+rect 1546 21 1580 27
+rect 1682 27 1716 55
+rect 1682 21 1716 27
+rect 1818 27 1852 55
+rect 1818 21 1852 27
+<< metal1 >>
+rect 0 867 1914 888
+rect 0 833 50 867
+rect 84 833 186 867
+rect 220 833 322 867
+rect 356 833 458 867
+rect 492 833 594 867
+rect 628 833 730 867
+rect 764 833 866 867
+rect 900 833 1002 867
+rect 1036 833 1138 867
+rect 1172 833 1274 867
+rect 1308 833 1410 867
+rect 1444 833 1546 867
+rect 1580 833 1682 867
+rect 1716 833 1818 867
+rect 1852 833 1914 867
+rect 0 827 1914 833
+rect 35 587 93 593
+rect 1826 587 1884 593
+rect 35 553 47 587
+rect 81 586 121 587
+rect 81 553 127 586
+rect 1804 553 1838 587
+rect 1872 553 1884 587
+rect 35 547 93 553
+rect 1826 547 1884 553
+rect 1158 513 1216 519
+rect 1655 513 1713 519
+rect 1158 479 1170 513
+rect 1204 479 1467 513
+rect 1632 479 1667 513
+rect 1701 479 1713 513
+rect 1158 473 1216 479
+rect 616 439 674 445
+rect 1062 439 1120 445
+rect 1345 439 1403 445
+rect 616 405 628 439
+rect 662 405 1074 439
+rect 1108 405 1357 439
+rect 1391 405 1403 439
+rect 616 399 674 405
+rect 1062 399 1120 405
+rect 1345 399 1403 405
+rect 544 365 602 371
+rect 1062 365 1120 371
+rect 1232 365 1291 371
+rect 544 331 556 365
+rect 590 331 624 365
+rect 1062 331 1074 365
+rect 1108 331 1244 365
+rect 1278 331 1291 365
+rect 544 325 602 331
+rect 1062 325 1120 331
+rect 1232 325 1291 331
+rect 1431 365 1467 479
+rect 1655 473 1713 479
+rect 1675 365 1733 371
+rect 1431 331 1687 365
+rect 1721 331 1733 365
+rect 1431 330 1733 331
+rect 1675 325 1733 330
+rect 217 291 271 303
+rect 217 257 227 291
+rect 261 257 271 291
+rect 217 251 271 257
+rect 299 291 356 299
+rect 812 291 870 297
+rect 299 257 311 291
+rect 345 257 824 291
+rect 858 257 870 291
+rect 227 217 261 251
+rect 299 250 356 257
+rect 812 251 870 257
+rect 898 291 956 297
+rect 1036 291 1088 297
+rect 1381 291 1439 297
+rect 898 257 910 291
+rect 944 257 1046 291
+rect 1080 257 1393 291
+rect 1427 257 1439 291
+rect 898 251 956 257
+rect 1036 251 1088 257
+rect 1381 251 1439 257
+rect 1549 291 1607 297
+rect 1549 257 1561 291
+rect 1595 257 1607 291
+rect 1549 251 1607 257
+rect 1561 217 1595 251
+rect 227 183 1595 217
+rect 0 55 1914 61
+rect 0 21 50 55
+rect 84 21 186 55
+rect 220 21 322 55
+rect 356 21 458 55
+rect 492 21 594 55
+rect 628 21 730 55
+rect 764 21 866 55
+rect 900 21 1002 55
+rect 1036 21 1138 55
+rect 1172 21 1274 55
+rect 1308 21 1410 55
+rect 1444 21 1546 55
+rect 1580 21 1682 55
+rect 1716 21 1818 55
+rect 1852 21 1914 55
+rect 0 0 1914 21
+<< labels >>
+rlabel viali 65 570 65 570 1 RN
+port 1 n
+rlabel viali 1855 570 1855 570 1 Q
+port 4 n
+rlabel viali 1685 496 1685 496 1 QN
+port 5 n
+rlabel viali 573 348 573 348 1 D
+port 2 n
+rlabel viali 67 48 67 48 1 gnd
+rlabel viali 67 840 67 840 1 vdd
+rlabel viali 1261 349 1261 349 1 CK
+<< end >>
diff --git a/12T_ms/magic/sky130_osu_sc_12T_ms__inv_l.mag b/12T_ms/magic/sky130_osu_sc_12T_ms__inv_l.mag
index d712cb2..6d779e6 100644
--- a/12T_ms/magic/sky130_osu_sc_12T_ms__inv_l.mag
+++ b/12T_ms/magic/sky130_osu_sc_12T_ms__inv_l.mag
@@ -1,15 +1,13 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1606864425
-<< checkpaint >>
-rect -1209 -1243 1345 2129
+timestamp 1613183145
 << nwell >>
-rect -9 485 199 895
+rect -9 485 199 897
 << nmos >>
 rect 80 115 110 187
 << pmos >>
-rect 80 603 110 771
+rect 80 605 110 773
 << ndiff >>
 rect 27 165 80 187
 rect 27 131 35 165
@@ -20,33 +18,33 @@
 rect 155 131 163 165
 rect 110 115 163 131
 << pdiff >>
-rect 27 755 80 771
-rect 27 687 35 755
-rect 69 687 80 755
-rect 27 603 80 687
-rect 110 755 163 771
-rect 110 687 121 755
-rect 155 687 163 755
-rect 110 603 163 687
+rect 27 757 80 773
+rect 27 689 35 757
+rect 69 689 80 757
+rect 27 605 80 689
+rect 110 757 163 773
+rect 110 689 121 757
+rect 155 689 163 757
+rect 110 605 163 689
 << ndiffc >>
 rect 35 131 69 165
 rect 121 131 155 165
 << pdiffc >>
-rect 35 687 69 755
-rect 121 687 155 755
+rect 35 689 69 757
+rect 121 689 155 757
 << psubdiff >>
 rect 27 27 51 61
 rect 85 27 109 61
 << nsubdiff >>
-rect 27 825 51 859
-rect 85 825 109 859
+rect 27 827 51 861
+rect 85 827 109 861
 << psubdiffcont >>
 rect 51 27 85 61
 << nsubdiffcont >>
-rect 51 825 85 859
+rect 51 827 85 861
 << poly >>
-rect 80 771 110 797
-rect 80 398 110 603
+rect 80 773 110 799
+rect 80 398 110 605
 rect 80 382 134 398
 rect 80 348 90 382
 rect 124 348 134 382
@@ -56,14 +54,14 @@
 << polycont >>
 rect 90 348 124 382
 << locali >>
-rect 0 865 198 886
-rect 0 825 51 865
-rect 85 825 198 865
-rect 35 755 69 825
-rect 35 671 69 687
-rect 121 755 155 771
+rect 0 867 198 888
+rect 0 827 51 867
+rect 85 827 198 867
+rect 35 757 69 827
+rect 35 673 69 689
+rect 121 757 155 773
 rect 47 382 81 553
-rect 121 513 155 687
+rect 121 513 155 689
 rect 47 348 90 382
 rect 124 348 140 382
 rect 35 165 69 181
@@ -74,18 +72,18 @@
 rect 85 21 198 61
 rect 0 0 198 21
 << viali >>
-rect 51 859 85 865
-rect 51 831 85 859
+rect 51 861 85 867
+rect 51 833 85 861
 rect 47 553 81 587
 rect 121 479 155 513
 rect 121 257 155 291
 rect 51 27 85 55
 rect 51 21 85 27
 << metal1 >>
-rect 0 865 198 886
-rect 0 831 51 865
-rect 85 831 198 865
-rect 0 825 198 831
+rect 0 867 198 888
+rect 0 833 51 867
+rect 85 833 198 867
+rect 0 827 198 833
 rect 35 587 93 593
 rect 35 553 47 587
 rect 81 553 127 587
@@ -109,5 +107,5 @@
 rlabel metal1 151 345 151 345 1 Y
 port 2 n
 rlabel viali 68 48 68 48 1 gnd
-rlabel viali 68 838 68 838 1 vdd
+rlabel viali 68 840 68 840 1 vdd
 << end >>
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__addf_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__addf_1.spice
index 32653d0..96de2f2 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__addf_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__addf_1.spice
@@ -1,113 +1,60 @@
-* File: sky130_osu_sc_12T_ms__addf_1.spice
-* Created: Mon Nov 16 22:19:56 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__addf_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__addf_1  GND VDD A B CI CON S CO
-* 
-* CO	CO
-* S	S
-* CON	CON
-* CI	CI
-* B	B
-* A	A
-* VDD	VDD
-* GND	GND
-MM1012 N_GND_M1012_d N_A_M1012_g N_A_27_115#_M1012_s N_GND_M1012_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75005.3 A=0.078 P=1.34 MULT=1
-MM1000 N_A_27_115#_M1000_d N_B_M1000_g N_GND_M1012_d N_GND_M1012_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75004.9 A=0.078 P=1.34 MULT=1
-MM1001 N_CON_M1001_d N_CI_M1001_g N_A_27_115#_M1000_d N_GND_M1012_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75001 SB=75004.4 A=0.078 P=1.34 MULT=1
-MM1025 A_368_115# N_B_M1025_g N_CON_M1001_d N_GND_M1012_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75004 A=0.078 P=1.34 MULT=1
-MM1027 N_GND_M1027_d N_A_M1027_g A_368_115# N_GND_M1012_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75001.8
-+ SB=75003.6 A=0.078 P=1.34 MULT=1
-MM1019 N_A_526_115#_M1019_d N_A_M1019_g N_GND_M1027_d N_GND_M1012_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75002.3 SB=75003.2 A=0.078 P=1.34 MULT=1
-MM1024 N_GND_M1024_d N_B_M1024_g N_A_526_115#_M1019_d N_GND_M1012_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75002.7 SB=75002.8 A=0.078 P=1.34 MULT=1
-MM1013 N_A_526_115#_M1013_d N_CI_M1013_g N_GND_M1024_d N_GND_M1012_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75003.1 SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1015 N_A_784_115#_M1015_d N_CON_M1015_g N_A_526_115#_M1013_d N_GND_M1012_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75003.6 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1016 A_870_115# N_B_M1016_g N_A_784_115#_M1015_d N_GND_M1012_b NSHORT L=0.15
-+ W=0.52 AD=0.0676 AS=0.0728 PD=0.78 PS=0.8 NRD=17.304 NRS=0 M=1 R=3.46667
-+ SA=75004 SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1007 A_952_115# N_CI_M1007_g A_870_115# N_GND_M1012_b NSHORT L=0.15 W=0.52
-+ AD=0.0676 AS=0.0676 PD=0.78 PS=0.78 NRD=17.304 NRS=17.304 M=1 R=3.46667
-+ SA=75004.4 SB=75001.1 A=0.078 P=1.34 MULT=1
-MM1002 N_GND_M1002_d N_A_M1002_g A_952_115# N_GND_M1012_b NSHORT L=0.15 W=0.52
-+ AD=0.0884 AS=0.0676 PD=0.86 PS=0.78 NRD=0 NRS=17.304 M=1 R=3.46667 SA=75004.8
-+ SB=75000.7 A=0.078 P=1.34 MULT=1
-MM1017 N_S_M1017_d N_A_784_115#_M1017_g N_GND_M1002_d N_GND_M1012_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.0884 PD=1.57 PS=0.86 NRD=0 NRS=13.836 M=1
-+ R=3.46667 SA=75005.3 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1020 N_CO_M1020_d N_CON_M1020_g N_GND_M1020_s N_GND_M1012_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1003 N_VDD_M1003_d N_A_M1003_g N_A_27_521#_M1003_s N_VDD_M1003_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75005.3 A=0.189 P=2.82 MULT=1
-MM1021 N_A_27_521#_M1021_d N_B_M1021_g N_VDD_M1003_d N_VDD_M1003_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75004.9 A=0.189 P=2.82 MULT=1
-MM1022 N_CON_M1022_d N_CI_M1022_g N_A_27_521#_M1021_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75001.1 SB=75004.4 A=0.189 P=2.82 MULT=1
-MM1014 A_368_521# N_B_M1014_g N_CON_M1022_d N_VDD_M1003_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75004 A=0.189 P=2.82 MULT=1
-MM1018 N_VDD_M1018_d N_A_M1018_g A_368_521# N_VDD_M1003_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4 SA=75001.8
-+ SB=75003.6 A=0.189 P=2.82 MULT=1
-MM1009 N_A_526_521#_M1009_d N_A_M1009_g N_VDD_M1018_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75002.3 SB=75003.2 A=0.189 P=2.82 MULT=1
-MM1011 N_VDD_M1011_d N_B_M1011_g N_A_526_521#_M1009_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75002.7 SB=75002.8 A=0.189 P=2.82 MULT=1
-MM1004 N_A_526_521#_M1004_d N_CI_M1004_g N_VDD_M1011_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75003.1 SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1005 N_A_784_115#_M1005_d N_CON_M1005_g N_A_526_521#_M1004_d N_VDD_M1003_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75003.6 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1006 A_870_521# N_B_M1006_g N_A_784_115#_M1005_d N_VDD_M1003_b PSHORT L=0.15
-+ W=1.26 AD=0.1638 AS=0.1764 PD=1.52 PS=1.54 NRD=11.7215 NRS=0 M=1 R=8.4
-+ SA=75004 SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1026 A_952_521# N_CI_M1026_g A_870_521# N_VDD_M1003_b PSHORT L=0.15 W=1.26
-+ AD=0.1638 AS=0.1638 PD=1.52 PS=1.52 NRD=11.7215 NRS=11.7215 M=1 R=8.4
-+ SA=75004.4 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1023 N_VDD_M1023_d N_A_M1023_g A_952_521# N_VDD_M1003_b PSHORT L=0.15 W=1.26
-+ AD=0.2142 AS=0.1638 PD=1.6 PS=1.52 NRD=0 NRS=11.7215 M=1 R=8.4 SA=75004.8
-+ SB=75000.7 A=0.189 P=2.82 MULT=1
-MM1008 N_S_M1008_d N_A_784_115#_M1008_g N_VDD_M1023_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.2142 PD=3.05 PS=1.6 NRD=0 NRS=9.3772 M=1 R=8.4
-+ SA=75005.3 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1010 N_CO_M1010_d N_CON_M1010_g N_VDD_M1010_s N_VDD_M1003_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX28_noxref N_GND_M1012_b N_VDD_M1003_b NWDIODE A=14.8732 P=18.56
-pX29_noxref noxref_20 A A PROBETYPE=1
-pX30_noxref noxref_21 B B PROBETYPE=1
-pX31_noxref noxref_22 CI CI PROBETYPE=1
-pX32_noxref noxref_23 S S PROBETYPE=1
-pX33_noxref noxref_24 CON CON PROBETYPE=1
-pX34_noxref noxref_25 CO CO PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__addf_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__addf_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__addf_1 S CO B CI A CON
+M1000 a_27_115# B gnd gnd nshort w=0.52u l=0.15u
++  ad=0.2834p pd=3.17u as=0.7514p ps=8.09u
+M1001 CON CI a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1456p pd=1.6u as=0p ps=0u
+M1002 gnd A a_952_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1352p ps=1.56u
+M1003 vdd A a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=1.8207p pd=15.49u as=0.6867p ps=6.13u
+M1004 a_526_521# CI vdd vdd pshort w=1.26u l=0.15u
++  ad=0.7056p pd=6.16u as=0p ps=0u
+M1005 a_784_115# CON a_526_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0p ps=0u
+M1006 a_870_521# B a_784_115# vdd pshort w=1.26u l=0.15u
++  ad=0.3276p pd=3.04u as=0p ps=0u
+M1007 a_952_115# CI a_870_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1352p ps=1.56u
+M1008 S a_784_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1009 a_526_521# A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 CO CON vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1011 vdd B a_526_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 gnd A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 a_526_115# CI gnd gnd nshort w=0.52u l=0.15u
++  ad=0.2912p pd=3.2u as=0p ps=0u
+M1014 a_368_521# B CON vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.3528p ps=3.08u
+M1015 a_784_115# CON a_526_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1456p pd=1.6u as=0p ps=0u
+M1016 a_870_115# B a_784_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1017 S a_784_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1018 vdd A a_368_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1019 a_526_115# A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1020 CO CON gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1021 a_27_521# B vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1022 CON CI a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1023 vdd A a_952_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.3276p ps=3.04u
+M1024 gnd B a_526_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1025 a_368_115# B CON gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1026 a_952_521# CI a_870_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1027 gnd A a_368_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__addf_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__addf_l.spice
index 83fc435..ae40f05 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__addf_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__addf_l.spice
@@ -1,113 +1,60 @@
-* File: sky130_osu_sc_12T_ms__addf_l.spice
-* Created: Mon Nov 16 22:20:01 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__addf_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__addf_l  GND VDD A B CI CON S CO
-* 
-* CO	CO
-* S	S
-* CON	CON
-* CI	CI
-* B	B
-* A	A
-* VDD	VDD
-* GND	GND
-MM1011 N_GND_M1011_d N_A_M1011_g N_A_27_115#_M1011_s N_GND_M1011_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75005.3 A=0.078 P=1.34 MULT=1
-MM1000 N_A_27_115#_M1000_d N_B_M1000_g N_GND_M1011_d N_GND_M1011_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75004.9 A=0.078 P=1.34 MULT=1
-MM1001 N_CON_M1001_d N_CI_M1001_g N_A_27_115#_M1000_d N_GND_M1011_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75001 SB=75004.4 A=0.078 P=1.34 MULT=1
-MM1025 A_368_115# N_B_M1025_g N_CON_M1001_d N_GND_M1011_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75004 A=0.078 P=1.34 MULT=1
-MM1027 N_GND_M1027_d N_A_M1027_g A_368_115# N_GND_M1011_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75001.8
-+ SB=75003.6 A=0.078 P=1.34 MULT=1
-MM1019 N_A_526_115#_M1019_d N_A_M1019_g N_GND_M1027_d N_GND_M1011_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75002.3 SB=75003.2 A=0.078 P=1.34 MULT=1
-MM1024 N_GND_M1024_d N_B_M1024_g N_A_526_115#_M1019_d N_GND_M1011_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75002.7 SB=75002.8 A=0.078 P=1.34 MULT=1
-MM1012 N_A_526_115#_M1012_d N_CI_M1012_g N_GND_M1024_d N_GND_M1011_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75003.1 SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1014 N_A_784_115#_M1014_d N_CON_M1014_g N_A_526_115#_M1012_d N_GND_M1011_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75003.6 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1015 A_870_115# N_B_M1015_g N_A_784_115#_M1014_d N_GND_M1011_b NSHORT L=0.15
-+ W=0.52 AD=0.0676 AS=0.0728 PD=0.78 PS=0.8 NRD=17.304 NRS=0 M=1 R=3.46667
-+ SA=75004 SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1007 A_952_115# N_CI_M1007_g A_870_115# N_GND_M1011_b NSHORT L=0.15 W=0.52
-+ AD=0.0676 AS=0.0676 PD=0.78 PS=0.78 NRD=17.304 NRS=17.304 M=1 R=3.46667
-+ SA=75004.4 SB=75001.1 A=0.078 P=1.34 MULT=1
-MM1002 N_GND_M1002_d N_A_M1002_g A_952_115# N_GND_M1011_b NSHORT L=0.15 W=0.52
-+ AD=0.0884 AS=0.0676 PD=0.86 PS=0.78 NRD=0 NRS=17.304 M=1 R=3.46667 SA=75004.8
-+ SB=75000.7 A=0.078 P=1.34 MULT=1
-MM1016 N_S_M1016_d N_A_784_115#_M1016_g N_GND_M1002_d N_GND_M1011_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.0884 PD=1.57 PS=0.86 NRD=0 NRS=13.836 M=1
-+ R=3.46667 SA=75005.3 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1020 N_CO_M1020_d N_CON_M1020_g N_GND_M1020_s N_GND_M1011_b NSHORT L=0.15
-+ W=0.36 AD=0.0954 AS=0.0954 PD=1.25 PS=1.25 NRD=0 NRS=0 M=1 R=2.4 SA=75000.2
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1003 N_VDD_M1003_d N_A_M1003_g N_A_27_521#_M1003_s N_VDD_M1003_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75005.3 A=0.189 P=2.82 MULT=1
-MM1021 N_A_27_521#_M1021_d N_B_M1021_g N_VDD_M1003_d N_VDD_M1003_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75004.9 A=0.189 P=2.82 MULT=1
-MM1022 N_CON_M1022_d N_CI_M1022_g N_A_27_521#_M1021_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75001.1 SB=75004.4 A=0.189 P=2.82 MULT=1
-MM1013 A_368_521# N_B_M1013_g N_CON_M1022_d N_VDD_M1003_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75004 A=0.189 P=2.82 MULT=1
-MM1017 N_VDD_M1017_d N_A_M1017_g A_368_521# N_VDD_M1003_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4 SA=75001.8
-+ SB=75003.6 A=0.189 P=2.82 MULT=1
-MM1009 N_A_526_521#_M1009_d N_A_M1009_g N_VDD_M1017_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75002.3 SB=75003.2 A=0.189 P=2.82 MULT=1
-MM1010 N_VDD_M1010_d N_B_M1010_g N_A_526_521#_M1009_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75002.7 SB=75002.8 A=0.189 P=2.82 MULT=1
-MM1004 N_A_526_521#_M1004_d N_CI_M1004_g N_VDD_M1010_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75003.1 SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1005 N_A_784_115#_M1005_d N_CON_M1005_g N_A_526_521#_M1004_d N_VDD_M1003_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75003.6 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1006 A_870_521# N_B_M1006_g N_A_784_115#_M1005_d N_VDD_M1003_b PSHORT L=0.15
-+ W=1.26 AD=0.1638 AS=0.1764 PD=1.52 PS=1.54 NRD=11.7215 NRS=0 M=1 R=8.4
-+ SA=75004 SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1026 A_952_521# N_CI_M1026_g A_870_521# N_VDD_M1003_b PSHORT L=0.15 W=1.26
-+ AD=0.1638 AS=0.1638 PD=1.52 PS=1.52 NRD=11.7215 NRS=11.7215 M=1 R=8.4
-+ SA=75004.4 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1023 N_VDD_M1023_d N_A_M1023_g A_952_521# N_VDD_M1003_b PSHORT L=0.15 W=1.26
-+ AD=0.2142 AS=0.1638 PD=1.6 PS=1.52 NRD=0 NRS=11.7215 M=1 R=8.4 SA=75004.8
-+ SB=75000.7 A=0.189 P=2.82 MULT=1
-MM1008 N_S_M1008_d N_A_784_115#_M1008_g N_VDD_M1023_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.2142 PD=3.05 PS=1.6 NRD=0 NRS=9.3772 M=1 R=8.4
-+ SA=75005.3 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1018 N_CO_M1018_d N_CON_M1018_g N_VDD_M1018_s N_VDD_M1003_b PSHORT L=0.15
-+ W=0.84 AD=0.2226 AS=0.2226 PD=2.21 PS=2.21 NRD=0 NRS=0 M=1 R=5.6 SA=75000.2
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX28_noxref N_GND_M1011_b N_VDD_M1003_b NWDIODE A=14.8732 P=18.56
-pX29_noxref noxref_20 A A PROBETYPE=1
-pX30_noxref noxref_21 B B PROBETYPE=1
-pX31_noxref noxref_22 CI CI PROBETYPE=1
-pX32_noxref noxref_23 S S PROBETYPE=1
-pX33_noxref noxref_24 CON CON PROBETYPE=1
-pX34_noxref noxref_25 CO CO PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__addf_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__addf_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__addf_l S CO B CI A CON
+M1000 a_27_115# B gnd gnd nshort w=0.52u l=0.15u
++  ad=0.2834p pd=3.17u as=0.709p ps=7.77u
+M1001 CON CI a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1456p pd=1.6u as=0p ps=0u
+M1002 gnd A a_952_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1352p ps=1.56u
+M1003 vdd A a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=1.7094p pd=14.65u as=0.6867p ps=6.13u
+M1004 a_526_521# CI vdd vdd pshort w=1.26u l=0.15u
++  ad=0.7056p pd=6.16u as=0p ps=0u
+M1005 a_784_115# CON a_526_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0p ps=0u
+M1006 a_870_521# B a_784_115# vdd pshort w=1.26u l=0.15u
++  ad=0.3276p pd=3.04u as=0p ps=0u
+M1007 a_952_115# CI a_870_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1352p ps=1.56u
+M1008 S a_784_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1009 a_526_521# A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 vdd B a_526_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 gnd A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 a_526_115# CI gnd gnd nshort w=0.52u l=0.15u
++  ad=0.2912p pd=3.2u as=0p ps=0u
+M1013 a_368_521# B CON vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.3528p ps=3.08u
+M1014 a_784_115# CON a_526_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1456p pd=1.6u as=0p ps=0u
+M1015 a_870_115# B a_784_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1016 S a_784_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1017 vdd A a_368_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1018 CO CON vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0p ps=0u
+M1019 a_526_115# A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1020 CO CON gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0p ps=0u
+M1021 a_27_521# B vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1022 CON CI a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1023 vdd A a_952_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.3276p ps=3.04u
+M1024 gnd B a_526_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1025 a_368_115# B CON gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1026 a_952_521# CI a_870_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1027 gnd A a_368_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__addh_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__addh_1.spice
index 4d7341a..cf0b1c1 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__addh_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__addh_1.spice
@@ -1,69 +1,32 @@
-* File: sky130_osu_sc_12T_ms__addh_1.spice
-* Created: Mon Nov 16 22:20:07 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__addh_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__addh_1  GND VDD CON B A S CO
-* 
-* CO	CO
-* S	S
-* A	A
-* B	B
-* CON	CON
-* VDD	VDD
-* GND	GND
-MM1007 N_GND_M1007_d N_CON_M1007_g N_S_M1007_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0884 AS=0.1378 PD=0.86 PS=1.57 NRD=13.836 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75001 A=0.078 P=1.34 MULT=1
-MM1008 A_208_115# N_B_M1008_g N_GND_M1007_d N_GND_M1007_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.0884 PD=0.73 PS=0.86 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.7
-+ SB=75000.5 A=0.078 P=1.34 MULT=1
-MM1010 N_A_208_521#_M1010_d N_A_M1010_g A_208_115# N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0546 PD=1.57 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75001 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 N_GND_M1002_d N_A_208_521#_M1002_g N_CO_M1002_s N_GND_M1007_b NSHORT
-+ L=0.15 W=0.52 AD=0.0884 AS=0.1378 PD=0.86 PS=1.57 NRD=13.836 NRS=0 M=1
-+ R=3.46667 SA=75000.2 SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1011 N_CON_M1011_d N_A_208_521#_M1011_g N_GND_M1002_d N_GND_M1007_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0884 PD=0.8 PS=0.86 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.7 SB=75001 A=0.078 P=1.34 MULT=1
-MM1004 N_CON_M1011_d N_B_M1004_g N_CON_M1011_d N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.1
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1005 N_CON_M1011_d N_A_M1005_g N_CON_M1011_d N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1000 N_VDD_M1000_d N_CON_M1000_g N_S_M1000_s N_VDD_M1000_b PSHORT L=0.15
-+ W=1.26 AD=0.2142 AS=0.3339 PD=1.6 PS=3.05 NRD=9.3772 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75001.6 A=0.189 P=2.82 MULT=1
-MM1001 N_A_208_521#_M1001_d N_B_M1001_g N_VDD_M1000_d N_VDD_M1000_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.2142 PD=1.54 PS=1.6 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.7 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1003 N_VDD_M1003_d N_A_M1003_g N_A_208_521#_M1001_d N_VDD_M1000_b PSHORT
-+ L=0.15 W=1.26 AD=0.2142 AS=0.1764 PD=1.6 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75001.1 SB=75000.7 A=0.189 P=2.82 MULT=1
-MM1012 N_CO_M1012_d N_A_208_521#_M1012_g N_VDD_M1003_d N_VDD_M1000_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.2142 PD=3.05 PS=1.6 NRD=0 NRS=9.3772 M=1 R=8.4
-+ SA=75001.6 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1013 N_VDD_M1013_d N_A_208_521#_M1013_g N_CON_M1013_s N_VDD_M1000_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75001 A=0.189 P=2.82 MULT=1
-MM1006 A_668_521# N_B_M1006_g N_VDD_M1013_d N_VDD_M1000_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1009 N_CON_M1009_d N_A_M1009_g A_668_521# N_VDD_M1000_b PSHORT L=0.15 W=1.26
-+ AD=0.3528 AS=0.1323 PD=3.08 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4 SA=75001
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX14_noxref N_GND_M1007_b N_VDD_M1000_b NWDIODE A=8.7138 P=12.58
-pX15_noxref noxref_11 S S PROBETYPE=1
-pX16_noxref noxref_12 CO CO PROBETYPE=1
-pX17_noxref noxref_13 B B PROBETYPE=1
-pX18_noxref noxref_14 CON CON PROBETYPE=1
-pX19_noxref noxref_15 A A PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__addh_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__addh_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__addh_1 S A B CO CON
+M1000 vdd CON S vdd pshort w=1.26u l=0.15u
++  ad=1.2096p pd=9.48u as=0.3339p ps=3.05u
+M1001 a_208_521# B vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0p ps=0u
+M1002 gnd a_208_521# CO gnd nshort w=0.52u l=0.15u
++  ad=0.3536p pd=3.44u as=0.1378p ps=1.57u
+M1003 vdd A a_208_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 CON B CON gnd nshort w=0.52u l=0.15u
++  ad=0.429p pd=4.77u as=0p ps=0u
+M1005 CON A CON gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 a_668_521# B vdd vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0p ps=0u
+M1007 gnd CON S gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1008 a_208_115# B gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1009 CON A a_668_521# vdd pshort w=1.26u l=0.15u
++  ad=0.6867p pd=6.13u as=0p ps=0u
+M1010 a_208_521# A a_208_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1011 CON a_208_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 CO a_208_521# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1013 vdd a_208_521# CON vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__addh_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__addh_l.spice
index 5c65b6e..6cfa24c 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__addh_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__addh_l.spice
@@ -1,69 +1,32 @@
-* File: sky130_osu_sc_12T_ms__addh_l.spice
-* Created: Mon Nov 16 22:20:13 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__addh_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__addh_l  GND VDD CON B A S CO
-* 
-* CO	CO
-* S	S
-* A	A
-* B	B
-* CON	CON
-* VDD	VDD
-* GND	GND
-MM1007 N_GND_M1007_d N_CON_M1007_g N_S_M1007_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.36 AD=0.0674182 AS=0.0954 PD=0.703636 PS=1.25 NRD=19.992 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75001 A=0.054 P=1.02 MULT=1
-MM1008 A_208_115# N_B_M1008_g N_GND_M1007_d N_GND_M1007_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.0973818 PD=0.73 PS=1.01636 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75000.5 SB=75000.5 A=0.078 P=1.34 MULT=1
-MM1010 N_A_208_521#_M1010_d N_A_M1010_g A_208_115# N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0546 PD=1.57 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75000.9 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_GND_M1001_d N_A_208_521#_M1001_g N_CO_M1001_s N_GND_M1007_b NSHORT
-+ L=0.15 W=0.36 AD=0.0674182 AS=0.0954 PD=0.703636 PS=1.25 NRD=19.992 NRS=0 M=1
-+ R=2.4 SA=75000.2 SB=75001.5 A=0.054 P=1.02 MULT=1
-MM1011 N_CON_M1011_d N_A_208_521#_M1011_g N_GND_M1001_d N_GND_M1007_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0973818 PD=0.8 PS=1.01636 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75000.5 SB=75001 A=0.078 P=1.34 MULT=1
-MM1003 N_CON_M1011_d N_B_M1003_g N_CON_M1011_d N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1005 N_CON_M1011_d N_A_M1005_g N_CON_M1011_d N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.4
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1004 N_VDD_M1004_d N_CON_M1004_g N_S_M1004_s N_VDD_M1004_b PSHORT L=0.15
-+ W=0.835 AD=0.158042 AS=0.221275 PD=1.27542 PS=2.2 NRD=14.5386 NRS=0 M=1
-+ R=5.56667 SA=75000.2 SB=75001.6 A=0.12525 P=1.97 MULT=1
-MM1000 N_A_208_521#_M1000_d N_B_M1000_g N_VDD_M1004_d N_VDD_M1004_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.238483 PD=1.54 PS=1.92458 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.5 SB=75000.9 A=0.189 P=2.82 MULT=1
-MM1002 N_VDD_M1002_d N_A_M1002_g N_A_208_521#_M1000_d N_VDD_M1004_b PSHORT
-+ L=0.15 W=1.26 AD=0.23814 AS=0.1764 PD=1.92 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.9 SB=75000.5 A=0.189 P=2.82 MULT=1
-MM1013 N_CO_M1013_d N_A_208_521#_M1013_g N_VDD_M1002_d N_VDD_M1004_b PSHORT
-+ L=0.15 W=0.84 AD=0.2226 AS=0.15876 PD=2.21 PS=1.28 NRD=0 NRS=14.0658 M=1 R=5.6
-+ SA=75001.6 SB=75000.2 A=0.126 P=1.98 MULT=1
-MM1012 N_VDD_M1012_d N_A_208_521#_M1012_g N_CON_M1012_s N_VDD_M1004_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75001 A=0.189 P=2.82 MULT=1
-MM1006 A_668_521# N_B_M1006_g N_VDD_M1012_d N_VDD_M1004_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1009 N_CON_M1009_d N_A_M1009_g A_668_521# N_VDD_M1004_b PSHORT L=0.15 W=1.26
-+ AD=0.3528 AS=0.1323 PD=3.08 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4 SA=75001
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX14_noxref N_GND_M1007_b N_VDD_M1004_b NWDIODE A=8.7138 P=12.58
-pX15_noxref noxref_11 S S PROBETYPE=1
-pX16_noxref noxref_12 CO CO PROBETYPE=1
-pX17_noxref noxref_13 B B PROBETYPE=1
-pX18_noxref noxref_14 CON CON PROBETYPE=1
-pX19_noxref noxref_15 A A PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__addh_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__addh_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__addh_l S A B CO CON
+M1000 a_208_521# B vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=1.14622p ps=9.48u
+M1001 gnd a_208_521# CO gnd nshort w=0.36u l=0.15u
++  ad=0.3296p pd=3.44u as=0.0954p ps=1.25u
+M1002 vdd A a_208_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1003 CON B CON gnd nshort w=0.52u l=0.15u
++  ad=0.429p pd=4.77u as=0p ps=0u
+M1004 vdd CON S vdd pshort w=0.835u l=0.15u
++  ad=0p pd=0u as=0.221275p ps=2.2u
+M1005 CON A CON gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 a_668_521# B vdd vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0p ps=0u
+M1007 gnd CON S gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1008 a_208_115# B gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1009 CON A a_668_521# vdd pshort w=1.26u l=0.15u
++  ad=0.6867p pd=6.13u as=0p ps=0u
+M1010 a_208_521# A a_208_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1011 CON a_208_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 vdd a_208_521# CON vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 CO a_208_521# vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_1.spice
index 9d365a2..91a53b4 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_1.spice
@@ -1,41 +1,16 @@
-* File: sky130_osu_sc_12T_ms__and2_1.spice
-* Created: Mon Nov 16 22:20:18 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__and2_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__and2_1  GND VDD A B Y
-* 
-* Y	Y
-* B	B
-* A	A
-* VDD	VDD
-* GND	GND
-MM1003 A_110_115# N_A_M1003_g N_A_27_115#_M1003_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75001 A=0.078 P=1.34 MULT=1
-MM1002 N_GND_M1002_d N_B_M1002_g A_110_115# N_GND_M1003_b NSHORT L=0.15 W=0.52
-+ AD=0.091 AS=0.0546 PD=0.87 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75000.5
-+ SB=75000.7 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_GND_M1002_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.091 PD=1.57 PS=0.87 NRD=0 NRS=16.152 M=1 R=3.46667
-+ SA=75001 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_A_27_115#_M1001_d N_A_M1001_g N_VDD_M1001_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1004 N_VDD_M1004_d N_B_M1004_g N_A_27_115#_M1001_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1005 N_Y_M1005_d N_A_27_115#_M1005_g N_VDD_M1004_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX6_noxref N_GND_M1003_b N_VDD_M1001_b NWDIODE A=3.9449 P=7.95
-pX7_noxref noxref_8 A A PROBETYPE=1
-pX8_noxref noxref_9 B B PROBETYPE=1
-pX9_noxref noxref_10 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__and2_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__and2_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__and2_1 Y A B
+M1000 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0.182p ps=1.74u
+M1001 a_27_115# A vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.6867p ps=6.13u
+M1002 gnd B a_110_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1003 a_110_115# A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1004 vdd B a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_2.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_2.spice
index 8bf42ae..643bf31 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_2.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_2.spice
@@ -1,47 +1,20 @@
-* File: sky130_osu_sc_12T_ms__and2_2.spice
-* Created: Mon Nov 16 22:20:24 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__and2_2.pex.spice"
-.subckt sky130_osu_sc_12T_ms__and2_2  GND VDD A B Y
-* 
-* Y	Y
-* B	B
-* A	A
-* VDD	VDD
-* GND	GND
-MM1003 A_110_115# N_A_M1003_g N_A_27_115#_M1003_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1002 N_GND_M1002_d N_B_M1002_g A_110_115# N_GND_M1003_b NSHORT L=0.15 W=0.52
-+ AD=0.091 AS=0.0546 PD=0.87 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75000.5
-+ SB=75001.1 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_GND_M1002_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.091 PD=0.8 PS=0.87 NRD=0 NRS=16.152 M=1 R=3.46667
-+ SA=75001 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1007 N_Y_M1000_d N_A_27_115#_M1007_g N_GND_M1007_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_A_27_115#_M1001_d N_A_M1001_g N_VDD_M1001_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1005 N_VDD_M1005_d N_B_M1005_g N_A_27_115#_M1001_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1004 N_VDD_M1005_d N_A_27_115#_M1004_g N_Y_M1004_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1006 N_VDD_M1006_d N_A_27_115#_M1006_g N_Y_M1004_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX8_noxref N_GND_M1003_b N_VDD_M1001_b NWDIODE A=4.8513 P=8.83
-pX9_noxref noxref_8 A A PROBETYPE=1
-pX10_noxref noxref_9 B B PROBETYPE=1
-pX11_noxref noxref_10 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__and2_2.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__and2_2.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__and2_2 B A Y
+M1000 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1456p pd=1.6u as=0.3198p ps=3.31u
+M1001 a_27_115# A vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=1.0206p ps=9.18u
+M1002 gnd B a_110_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1003 a_110_115# A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1004 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.3528p ps=3.08u
+M1005 vdd B a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_4.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_4.spice
index b025248..858454b 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_4.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_4.spice
@@ -1,59 +1,28 @@
-* File: sky130_osu_sc_12T_ms__and2_4.spice
-* Created: Mon Nov 16 22:20:30 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__and2_4.pex.spice"
-.subckt sky130_osu_sc_12T_ms__and2_4  GND VDD A B Y
-* 
-* Y	Y
-* B	B
-* A	A
-* VDD	VDD
-* GND	GND
-MM1003 A_110_115# N_A_M1003_g N_A_27_115#_M1003_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75002.3 A=0.078 P=1.34 MULT=1
-MM1002 N_GND_M1002_d N_B_M1002_g A_110_115# N_GND_M1003_b NSHORT L=0.15 W=0.52
-+ AD=0.091 AS=0.0546 PD=0.87 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75000.5
-+ SB=75002 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_GND_M1002_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.091 PD=0.8 PS=0.87 NRD=0 NRS=16.152 M=1 R=3.46667
-+ SA=75001 SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1009 N_Y_M1000_d N_A_27_115#_M1009_g N_GND_M1009_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1010 N_Y_M1010_d N_A_27_115#_M1010_g N_GND_M1009_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.9
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1011 N_Y_M1010_d N_A_27_115#_M1011_g N_GND_M1011_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.3
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_A_27_115#_M1001_d N_A_M1001_g N_VDD_M1001_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75002.3 A=0.189 P=2.82 MULT=1
-MM1007 N_VDD_M1007_d N_B_M1007_g N_A_27_115#_M1001_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1004 N_VDD_M1007_d N_A_27_115#_M1004_g N_Y_M1004_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1005 N_VDD_M1005_d N_A_27_115#_M1005_g N_Y_M1004_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1006 N_VDD_M1005_d N_A_27_115#_M1006_g N_Y_M1006_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.9
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1008 N_VDD_M1008_d N_A_27_115#_M1008_g N_Y_M1006_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.3
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX12_noxref N_GND_M1003_b N_VDD_M1001_b NWDIODE A=6.6641 P=10.59
-pX13_noxref noxref_8 A A PROBETYPE=1
-pX14_noxref noxref_9 B B PROBETYPE=1
-pX15_noxref noxref_10 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__and2_4.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__and2_4.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__and2_4 Y A B
+M1000 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.2912p pd=3.2u as=0.4654p ps=4.91u
+M1001 a_27_115# A vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=1.3734p ps=12.26u
+M1002 gnd B a_110_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1003 a_110_115# A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1004 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.7056p ps=6.16u
+M1005 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 vdd B a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1008 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_6.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_6.spice
index d8e8daa..9c548c8 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_6.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_6.spice
@@ -1,69 +1,36 @@
-* File: sky130_osu_sc_12T_ms__and2_6.spice
-* Created: Mon Nov 16 22:20:35 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__and2_6.pex.spice"
-.subckt sky130_osu_sc_12T_ms__and2_6  A B Y GND VDD
-* 
-* Y	Y
-* B	B
-* A	A
-MM1005 A_110_115# N_A_M1005_g N_A_27_115#_M1005_s N_noxref_1_M1005_b NSHORT
-+ L=0.15 W=0.52 AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1
-+ R=3.46667 SA=75000.2 SB=75003.2 A=0.078 P=1.34 MULT=1
-MM1002 N_noxref_1_M1002_d N_B_M1002_g A_110_115# N_noxref_1_M1005_b NSHORT
-+ L=0.15 W=0.52 AD=0.091 AS=0.0546 PD=0.87 PS=0.73 NRD=0 NRS=11.532 M=1
-+ R=3.46667 SA=75000.5 SB=75002.8 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_noxref_1_M1002_d N_noxref_1_M1005_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.091 PD=0.8 PS=0.87 NRD=0 NRS=16.152 M=1
-+ R=3.46667 SA=75001 SB=75002.3 A=0.078 P=1.34 MULT=1
-MM1009 N_Y_M1000_d N_A_27_115#_M1009_g N_noxref_1_M1009_s N_noxref_1_M1005_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75001.5 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1011 N_Y_M1011_d N_A_27_115#_M1011_g N_noxref_1_M1009_s N_noxref_1_M1005_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75001.9 SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1013 N_Y_M1011_d N_A_27_115#_M1013_g N_noxref_1_M1013_s N_noxref_1_M1005_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75002.3 SB=75001 A=0.078 P=1.34 MULT=1
-MM1014 N_Y_M1014_d N_A_27_115#_M1014_g N_noxref_1_M1013_s N_noxref_1_M1005_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75002.8 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1015 N_Y_M1014_d N_A_27_115#_M1015_g N_noxref_1_M1015_s N_noxref_1_M1005_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75003.2 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_A_27_115#_M1001_d N_A_M1001_g N_noxref_2_M1001_s N_noxref_2_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75003.2 A=0.189 P=2.82 MULT=1
-MM1010 N_noxref_2_M1010_d N_B_M1010_g N_A_27_115#_M1001_d N_noxref_2_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.6 SB=75002.8 A=0.189 P=2.82 MULT=1
-MM1003 N_Y_M1003_d N_A_27_115#_M1003_g N_noxref_2_M1010_d N_noxref_2_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75001.1 SB=75002.3 A=0.189 P=2.82 MULT=1
-MM1004 N_Y_M1003_d N_A_27_115#_M1004_g N_noxref_2_M1004_s N_noxref_2_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75001.5 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1006 N_Y_M1006_d N_A_27_115#_M1006_g N_noxref_2_M1004_s N_noxref_2_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75001.9 SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1007 N_Y_M1006_d N_A_27_115#_M1007_g N_noxref_2_M1007_s N_noxref_2_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75002.3 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1008 N_Y_M1008_d N_A_27_115#_M1008_g N_noxref_2_M1007_s N_noxref_2_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75002.8 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1012 N_Y_M1008_d N_A_27_115#_M1012_g N_noxref_2_M1012_s N_noxref_2_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75003.2 SB=75000.2 A=0.189 P=2.82 MULT=1
-DX16_noxref N_noxref_1_M1005_b N_noxref_2_M1001_b NWDIODE A=8.4769 P=12.35
-pX17_noxref noxref_10 A A PROBETYPE=1
-pX18_noxref noxref_11 B B PROBETYPE=1
-pX19_noxref noxref_12 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__and2_6.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__and2_6.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__and2_6 B A Y
+M1000 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.4368p pd=4.8u as=0.611p ps=6.51u
+M1001 a_27_115# A vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=1.7262p ps=15.34u
+M1002 gnd B a_110_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1003 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=1.0584p pd=9.24u as=0p ps=0u
+M1004 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 a_110_115# A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1006 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1008 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 vdd B a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1014 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1015 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_8.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_8.spice
index 12fec6c..ff01b3b 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_8.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_8.spice
@@ -1,83 +1,44 @@
-* File: sky130_osu_sc_12T_ms__and2_8.spice
-* Created: Mon Nov 16 22:20:41 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__and2_8.pex.spice"
-.subckt sky130_osu_sc_12T_ms__and2_8  GND VDD A B Y
-* 
-* Y	Y
-* B	B
-* A	A
-* VDD	VDD
-* GND	GND
-MM1007 A_110_115# N_A_M1007_g N_A_27_115#_M1007_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75004.1 A=0.078 P=1.34 MULT=1
-MM1003 N_GND_M1003_d N_B_M1003_g A_110_115# N_GND_M1007_b NSHORT L=0.15 W=0.52
-+ AD=0.091 AS=0.0546 PD=0.87 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75000.5
-+ SB=75003.7 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_GND_M1003_d N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.091 PD=0.8 PS=0.87 NRD=0 NRS=16.152 M=1 R=3.46667
-+ SA=75001 SB=75003.2 A=0.078 P=1.34 MULT=1
-MM1008 N_Y_M1000_d N_A_27_115#_M1008_g N_GND_M1008_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75002.8 A=0.078 P=1.34 MULT=1
-MM1010 N_Y_M1010_d N_A_27_115#_M1010_g N_GND_M1008_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.9
-+ SB=75002.3 A=0.078 P=1.34 MULT=1
-MM1013 N_Y_M1010_d N_A_27_115#_M1013_g N_GND_M1013_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.3
-+ SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1015 N_Y_M1015_d N_A_27_115#_M1015_g N_GND_M1013_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.8
-+ SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1017 N_Y_M1015_d N_A_27_115#_M1017_g N_GND_M1017_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75003.2
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1018 N_Y_M1018_d N_A_27_115#_M1018_g N_GND_M1017_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75003.6
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1019 N_Y_M1018_d N_A_27_115#_M1019_g N_GND_M1019_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75004.1
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_A_27_115#_M1001_d N_A_M1001_g N_VDD_M1001_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75004.1 A=0.189 P=2.82 MULT=1
-MM1014 N_VDD_M1014_d N_B_M1014_g N_A_27_115#_M1001_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75003.6 A=0.189 P=2.82 MULT=1
-MM1002 N_Y_M1002_d N_A_27_115#_M1002_g N_VDD_M1014_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75003.2 A=0.189 P=2.82 MULT=1
-MM1004 N_Y_M1002_d N_A_27_115#_M1004_g N_VDD_M1004_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75002.8 A=0.189 P=2.82 MULT=1
-MM1005 N_Y_M1005_d N_A_27_115#_M1005_g N_VDD_M1004_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.9
-+ SB=75002.3 A=0.189 P=2.82 MULT=1
-MM1006 N_Y_M1005_d N_A_27_115#_M1006_g N_VDD_M1006_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.3
-+ SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1009 N_Y_M1009_d N_A_27_115#_M1009_g N_VDD_M1006_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.8
-+ SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1011 N_Y_M1009_d N_A_27_115#_M1011_g N_VDD_M1011_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75003.2
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1012 N_Y_M1012_d N_A_27_115#_M1012_g N_VDD_M1011_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75003.6
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1016 N_Y_M1012_d N_A_27_115#_M1016_g N_VDD_M1016_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75004.1
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX20_noxref N_GND_M1007_b N_VDD_M1001_b NWDIODE A=10.2897 P=14.11
-pX21_noxref noxref_8 A A PROBETYPE=1
-pX22_noxref noxref_9 B B PROBETYPE=1
-pX23_noxref noxref_10 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__and2_8.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__and2_8.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__and2_8 B A Y
+M1000 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.5824p pd=6.4u as=0.7566p ps=8.11u
+M1001 a_27_115# A vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=2.079p ps=18.42u
+M1002 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=1.4112p pd=12.32u as=0p ps=0u
+M1003 gnd B a_110_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1004 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 a_110_115# A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1008 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1014 vdd B a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1015 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1016 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1017 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1018 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1019 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_l.spice
index 9a39b0f..ed27b90 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__and2_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__and2_l.spice
@@ -1,41 +1,16 @@
-* File: sky130_osu_sc_12T_ms__and2_l.spice
-* Created: Mon Nov 16 22:20:47 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__and2_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__and2_l  GND VDD A B Y
-* 
-* Y	Y
-* B	B
-* A	A
-* VDD	VDD
-* GND	GND
-MM1003 A_110_115# N_A_M1003_g N_A_27_115#_M1003_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.36 AD=0.0378 AS=0.0954 PD=0.57 PS=1.25 NRD=16.656 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75001.1 A=0.054 P=1.02 MULT=1
-MM1001 N_GND_M1001_d N_B_M1001_g A_110_115# N_GND_M1003_b NSHORT L=0.15 W=0.36
-+ AD=0.063 AS=0.0378 PD=0.71 PS=0.57 NRD=0 NRS=16.656 M=1 R=2.4 SA=75000.6
-+ SB=75000.7 A=0.054 P=1.02 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_GND_M1001_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.36 AD=0.0954 AS=0.063 PD=1.25 PS=0.71 NRD=0 NRS=23.328 M=1 R=2.4
-+ SA=75001.1 SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1002 N_A_27_115#_M1002_d N_A_M1002_g N_VDD_M1002_s N_VDD_M1002_b PSHORT L=0.15
-+ W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6 SA=75000.2
-+ SB=75001.1 A=0.126 P=1.98 MULT=1
-MM1004 N_VDD_M1004_d N_B_M1004_g N_A_27_115#_M1002_d N_VDD_M1002_b PSHORT L=0.15
-+ W=0.84 AD=0.1176 AS=0.1176 PD=1.12 PS=1.12 NRD=0 NRS=0 M=1 R=5.6 SA=75000.6
-+ SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1005 N_Y_M1005_d N_A_27_115#_M1005_g N_VDD_M1004_d N_VDD_M1002_b PSHORT L=0.15
-+ W=0.84 AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6 SA=75001.1
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX6_noxref N_GND_M1003_b N_VDD_M1002_b NWDIODE A=3.23635 P=7.21
-pX7_noxref noxref_8 A A PROBETYPE=1
-pX8_noxref noxref_9 B B PROBETYPE=1
-pX9_noxref noxref_10 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__and2_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__and2_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__and2_l A Y B
+M1000 Y a_27_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0.126p ps=1.42u
+M1001 gnd B a_110_115# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0756p ps=1.14u
+M1002 a_27_115# A vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2352p pd=2.24u as=0.4578p ps=4.45u
+M1003 a_110_115# A a_27_115# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1004 vdd B a_27_115# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y a_27_115# vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__ant.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__ant.spice
index ef4e831..66e0a36 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__ant.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__ant.spice
@@ -1,25 +1,8 @@
-* File: sky130_osu_sc_12T_ms__ant.spice
-* Created: Mon Nov 16 22:20:55 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__ant.pex.spice"
-.subckt sky130_osu_sc_12T_ms__ant  GND VDD A
-* 
-* A	A
-* VDD	VDD
-* GND	GND
-MM1001 N_A_M1001_s N_A_M1001_g N_A_M1001_s N_GND_M1001_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1000 N_VDD_M1000_d N_A_M1000_g N_A_M1000_s N_VDD_M1000_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX2_noxref N_GND_M1001_b N_VDD_M1000_b NWDIODE A=2.1424 P=6.2
-pX3_noxref noxref_4 A A PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__ant.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__ant.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__ant A
+M1000 vdd A A vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0.3339p ps=3.05u
+M1001 A A A gnd nshort w=0.52u l=0.15u
++  ad=0.2756p pd=3.14u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__antfill.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__antfill.spice
new file mode 100644
index 0000000..7c13ac5
--- /dev/null
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__antfill.spice
@@ -0,0 +1,4 @@
+* SPICE3 file created from sky130_osu_sc_12T_ms__antfill.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__antfill A
+.ends
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__aoi21_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__aoi21_l.spice
index 7562592..75b4992 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__aoi21_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__aoi21_l.spice
@@ -1,43 +1,16 @@
-* File: sky130_osu_sc_12T_ms__aoi21_l.spice
-* Created: Mon Nov 16 22:21:01 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__aoi21_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__aoi21_l  GND VDD A0 A1 B0 Y
-* 
-* Y	Y
-* B0	B0
-* A1	A1
-* A0	A0
-* VDD	VDD
-* GND	GND
-MM1002 A_110_114# N_A0_M1002_g N_GND_M1002_s N_GND_M1002_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75000.9 A=0.078 P=1.34 MULT=1
-MM1001 N_Y_M1001_d N_A1_M1001_g A_110_114# N_GND_M1002_b NSHORT L=0.15 W=0.52
-+ AD=0.0964364 AS=0.0546 PD=1.01636 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75000.5 SB=75000.5 A=0.078 P=1.34 MULT=1
-MM1003 N_GND_M1003_d N_B0_M1003_g N_Y_M1001_d N_GND_M1002_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0667636 PD=1.25 PS=0.703636 NRD=0 NRS=19.992 M=1 R=2.4 SA=75001
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1000 N_VDD_M1000_d N_A0_M1000_g N_A_27_521#_M1000_s N_VDD_M1000_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1004 N_A_27_521#_M1004_d N_A1_M1004_g N_VDD_M1000_d N_VDD_M1000_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.6 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1005 N_Y_M1005_d N_B0_M1005_g N_A_27_521#_M1004_d N_VDD_M1000_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX6_noxref N_GND_M1002_b N_VDD_M1000_b NWDIODE A=3.9552 P=7.96
-pX7_noxref noxref_9 A0 A0 PROBETYPE=1
-pX8_noxref noxref_10 A1 A1 PROBETYPE=1
-pX9_noxref noxref_11 B0 B0 PROBETYPE=1
-pX10_noxref noxref_12 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__aoi21_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__aoi21_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__aoi21_l A1 Y A0 B0
+M1000 vdd A0 a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.6867p ps=6.13u
+M1001 Y A1 a_110_114# gnd nshort w=0.52u l=0.15u
++  ad=0.1632p pd=1.72u as=0.1092p ps=1.46u
+M1002 a_110_114# A0 gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.2332p ps=2.82u
+M1003 gnd B0 Y gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 a_27_521# A1 vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y B0 a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__aoi22_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__aoi22_l.spice
index fbe3b62..65924aa 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__aoi22_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__aoi22_l.spice
@@ -1,52 +1,20 @@
-* File: sky130_osu_sc_12T_ms__aoi22_l.spice
-* Created: Mon Nov 16 22:21:07 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__aoi22_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__aoi22_l  GND VDD A0 A1 B0 B1 Y
-* 
-* Y	Y
-* B1	B1
-* B0	B0
-* A1	A1
-* A0	A0
-* VDD	VDD
-* GND	GND
-MM1003 A_110_115# N_A0_M1003_g N_GND_M1003_s N_GND_M1003_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75001.4 A=0.078 P=1.34 MULT=1
-MM1002 N_Y_M1002_d N_A1_M1002_g A_110_115# N_GND_M1003_b NSHORT L=0.15 W=0.52
-+ AD=0.091 AS=0.0546 PD=0.87 PS=0.73 NRD=8.076 NRS=11.532 M=1 R=3.46667
-+ SA=75000.5 SB=75001 A=0.078 P=1.34 MULT=1
-MM1000 A_282_115# N_B0_M1000_g N_Y_M1002_d N_GND_M1003_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.091 PD=0.73 PS=0.87 NRD=11.532 NRS=8.076 M=1 R=3.46667 SA=75001
-+ SB=75000.5 A=0.078 P=1.34 MULT=1
-MM1007 N_GND_M1007_d N_B1_M1007_g A_282_115# N_GND_M1003_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0546 PD=1.57 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75001.4
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_VDD_M1001_d N_A0_M1001_g N_A_27_521#_M1001_s N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1005 N_A_27_521#_M1005_d N_A1_M1005_g N_VDD_M1001_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.6 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1006 N_Y_M1006_d N_B0_M1006_g N_A_27_521#_M1005_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1004 N_A_27_521#_M1004_d N_B1_M1004_g N_Y_M1006_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX8_noxref N_GND_M1003_b N_VDD_M1001_b NWDIODE A=4.8513 P=8.83
-pX9_noxref noxref_11 A0 A0 PROBETYPE=1
-pX10_noxref noxref_12 A1 A1 PROBETYPE=1
-pX11_noxref noxref_13 B0 B0 PROBETYPE=1
-pX12_noxref noxref_14 Y Y PROBETYPE=1
-pX13_noxref noxref_15 B1 B1 PROBETYPE=1
-c_287 A_282_115# 0 5.84789e-20 $X=1.41 $Y=0.575
-*
-.include "sky130_osu_sc_12T_ms__aoi22_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__aoi22_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__aoi22_l B0 A1 Y A0 B1
+M1000 a_282_115# B0 Y gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.182p ps=1.74u
+M1001 vdd A0 a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=1.0206p ps=9.18u
+M1002 Y A1 a_110_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1003 a_110_115# A0 gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.2756p ps=3.14u
+M1004 a_27_521# B1 Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.3528p ps=3.08u
+M1005 a_27_521# A1 vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 Y B0 a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 gnd B1 a_282_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_1.spice
index 698d557..2211041 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_1.spice
@@ -1,33 +1,12 @@
-* File: sky130_osu_sc_12T_ms__buf_1.spice
-* Created: Mon Nov 16 22:21:12 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__buf_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__buf_1  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1002 N_GND_M1002_d N_A_M1002_g N_A_27_115#_M1002_s N_GND_M1002_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_GND_M1002_d N_GND_M1002_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_VDD_M1001_d N_A_M1001_g N_A_27_115#_M1001_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1003 N_Y_M1003_d N_A_27_115#_M1003_g N_VDD_M1001_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX4_noxref N_GND_M1002_b N_VDD_M1001_b NWDIODE A=3.0591 P=7.09
-pX5_noxref noxref_6 A A PROBETYPE=1
-pX6_noxref noxref_7 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__buf_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__buf_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__buf_1 A Y
+M1000 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0.1456p ps=1.6u
+M1001 vdd A a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.3339p ps=3.05u
+M1002 gnd A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1003 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_2.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_2.spice
index 5933a1a..1e37c60 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_2.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_2.spice
@@ -1,39 +1,16 @@
-* File: sky130_osu_sc_12T_ms__buf_2.spice
-* Created: Mon Nov 16 22:21:18 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__buf_2.pex.spice"
-.subckt sky130_osu_sc_12T_ms__buf_2  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1003 N_GND_M1003_d N_A_M1003_g N_A_27_115#_M1003_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_GND_M1003_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1001 N_Y_M1000_d N_A_27_115#_M1001_g N_GND_M1001_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 N_VDD_M1002_d N_A_M1002_g N_A_27_115#_M1002_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1004 N_Y_M1004_d N_A_27_115#_M1004_g N_VDD_M1002_d N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1005 N_Y_M1004_d N_A_27_115#_M1005_g N_VDD_M1005_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX6_noxref N_GND_M1003_b N_VDD_M1002_b NWDIODE A=3.9655 P=7.97
-pX7_noxref noxref_6 A A PROBETYPE=1
-pX8_noxref noxref_7 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__buf_2.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__buf_2.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__buf_2 A Y
+M1000 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1456p pd=1.6u as=0.2834p ps=3.17u
+M1001 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1002 vdd A a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=0.6867p pd=6.13u as=0.3339p ps=3.05u
+M1003 gnd A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1004 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0p ps=0u
+M1005 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_4.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_4.spice
index 183b1b3..657e0e0 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_4.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_4.spice
@@ -1,51 +1,24 @@
-* File: sky130_osu_sc_12T_ms__buf_4.spice
-* Created: Mon Nov 16 22:21:24 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__buf_4.pex.spice"
-.subckt sky130_osu_sc_12T_ms__buf_4  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1003 N_GND_M1003_d N_A_M1003_g N_A_27_115#_M1003_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_GND_M1003_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1001 N_Y_M1000_d N_A_27_115#_M1001_g N_GND_M1001_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1008 N_Y_M1008_d N_A_27_115#_M1008_g N_GND_M1001_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1009 N_Y_M1008_d N_A_27_115#_M1009_g N_GND_M1009_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.9
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 N_VDD_M1002_d N_A_M1002_g N_A_27_115#_M1002_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1004 N_Y_M1004_d N_A_27_115#_M1004_g N_VDD_M1002_d N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1005 N_Y_M1004_d N_A_27_115#_M1005_g N_VDD_M1005_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1006 N_Y_M1006_d N_A_27_115#_M1006_g N_VDD_M1005_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1007 N_Y_M1006_d N_A_27_115#_M1007_g N_VDD_M1007_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75001.9
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX10_noxref N_GND_M1003_b N_VDD_M1002_b NWDIODE A=5.7783 P=9.73
-pX11_noxref noxref_6 A A PROBETYPE=1
-pX12_noxref noxref_7 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__buf_4.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__buf_4.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__buf_4 A Y
+M1000 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.2912p pd=3.2u as=0.429p ps=4.77u
+M1001 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1002 vdd A a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=1.0395p pd=9.21u as=0.3339p ps=3.05u
+M1003 gnd A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1004 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.7056p pd=6.16u as=0p ps=0u
+M1005 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1008 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_6.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_6.spice
index 053eebc..96bad7f 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_6.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_6.spice
@@ -1,61 +1,32 @@
-* File: sky130_osu_sc_12T_ms__buf_6.spice
-* Created: Mon Nov 16 22:21:29 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__buf_6.pex.spice"
-.subckt sky130_osu_sc_12T_ms__buf_6  A Y GND VDD
-* 
-* Y	Y
-* A	A
-MM1004 N_noxref_1_M1004_d N_A_M1004_g N_A_27_115#_M1004_s N_noxref_1_M1004_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75000.2 SB=75002.8 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_noxref_1_M1004_d N_noxref_1_M1004_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75000.6 SB=75002.3 A=0.078 P=1.34 MULT=1
-MM1001 N_Y_M1000_d N_A_27_115#_M1001_g N_noxref_1_M1001_s N_noxref_1_M1004_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75001 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1008 N_Y_M1008_d N_A_27_115#_M1008_g N_noxref_1_M1001_s N_noxref_1_M1004_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75001.5 SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1011 N_Y_M1008_d N_A_27_115#_M1011_g N_noxref_1_M1011_s N_noxref_1_M1004_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75001.9 SB=75001 A=0.078 P=1.34 MULT=1
-MM1012 N_Y_M1012_d N_A_27_115#_M1012_g N_noxref_1_M1011_s N_noxref_1_M1004_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75002.3 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1013 N_Y_M1012_d N_A_27_115#_M1013_g N_noxref_1_M1013_s N_noxref_1_M1004_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75002.8 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 N_noxref_2_M1002_d N_A_M1002_g N_A_27_115#_M1002_s N_noxref_2_M1002_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75002.8 A=0.189 P=2.82 MULT=1
-MM1003 N_noxref_2_M1002_d N_A_27_115#_M1003_g N_Y_M1003_s N_noxref_2_M1002_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.6 SB=75002.3 A=0.189 P=2.82 MULT=1
-MM1005 N_noxref_2_M1005_d N_A_27_115#_M1005_g N_Y_M1003_s N_noxref_2_M1002_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75001.1 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1006 N_noxref_2_M1005_d N_A_27_115#_M1006_g N_Y_M1006_s N_noxref_2_M1002_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75001.5 SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1007 N_noxref_2_M1007_d N_A_27_115#_M1007_g N_Y_M1006_s N_noxref_2_M1002_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75001.9 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1009 N_noxref_2_M1007_d N_A_27_115#_M1009_g N_Y_M1009_s N_noxref_2_M1002_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75002.3 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1010 N_noxref_2_M1010_d N_A_27_115#_M1010_g N_Y_M1009_s N_noxref_2_M1002_b
-+ PSHORT L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75002.8 SB=75000.2 A=0.189 P=2.82 MULT=1
-DX14_noxref N_noxref_1_M1004_b N_noxref_2_M1002_b NWDIODE A=7.5911 P=11.49
-pX15_noxref noxref_8 A A PROBETYPE=1
-pX16_noxref noxref_9 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__buf_6.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__buf_6.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__buf_6 A Y
+M1000 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.4368p pd=4.8u as=0.5746p ps=6.37u
+M1001 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1002 vdd A a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=1.3923p pd=12.29u as=0.3339p ps=3.05u
+M1003 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=1.0584p ps=9.24u
+M1004 gnd A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1005 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1008 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_8.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_8.spice
index 1548547..1d212e3 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_8.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_8.spice
@@ -1,75 +1,40 @@
-* File: sky130_osu_sc_12T_ms__buf_8.spice
-* Created: Mon Nov 16 22:21:35 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__buf_8.pex.spice"
-.subckt sky130_osu_sc_12T_ms__buf_8  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1006 N_GND_M1006_d N_A_M1006_g N_A_27_115#_M1006_s N_GND_M1006_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75003.6 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_GND_M1006_d N_GND_M1006_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75003.2 A=0.078 P=1.34 MULT=1
-MM1001 N_Y_M1000_d N_A_27_115#_M1001_g N_GND_M1001_s N_GND_M1006_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75002.8 A=0.078 P=1.34 MULT=1
-MM1007 N_Y_M1007_d N_A_27_115#_M1007_g N_GND_M1001_s N_GND_M1006_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75002.3 A=0.078 P=1.34 MULT=1
-MM1011 N_Y_M1007_d N_A_27_115#_M1011_g N_GND_M1011_s N_GND_M1006_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.9
-+ SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1013 N_Y_M1013_d N_A_27_115#_M1013_g N_GND_M1011_s N_GND_M1006_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.3
-+ SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1015 N_Y_M1013_d N_A_27_115#_M1015_g N_GND_M1015_s N_GND_M1006_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.8
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1016 N_Y_M1016_d N_A_27_115#_M1016_g N_GND_M1015_s N_GND_M1006_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75003.2
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1017 N_Y_M1016_d N_A_27_115#_M1017_g N_GND_M1017_s N_GND_M1006_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75003.6
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 N_VDD_M1002_d N_A_M1002_g N_A_27_115#_M1002_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75003.6 A=0.189 P=2.82 MULT=1
-MM1003 N_VDD_M1002_d N_A_27_115#_M1003_g N_Y_M1003_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75003.2 A=0.189 P=2.82 MULT=1
-MM1004 N_VDD_M1004_d N_A_27_115#_M1004_g N_Y_M1003_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75002.8 A=0.189 P=2.82 MULT=1
-MM1005 N_VDD_M1004_d N_A_27_115#_M1005_g N_Y_M1005_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75002.3 A=0.189 P=2.82 MULT=1
-MM1008 N_VDD_M1008_d N_A_27_115#_M1008_g N_Y_M1005_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.9
-+ SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1009 N_VDD_M1008_d N_A_27_115#_M1009_g N_Y_M1009_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.3
-+ SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1010 N_VDD_M1010_d N_A_27_115#_M1010_g N_Y_M1009_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.8
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1012 N_VDD_M1010_d N_A_27_115#_M1012_g N_Y_M1012_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75003.2
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1014 N_VDD_M1014_d N_A_27_115#_M1014_g N_Y_M1012_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75003.6
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX18_noxref N_GND_M1006_b N_VDD_M1002_b NWDIODE A=9.4039 P=13.25
-pX19_noxref noxref_6 A A PROBETYPE=1
-pX20_noxref noxref_7 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__buf_8.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__buf_8.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__buf_8 A Y
+M1000 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.5824p pd=6.4u as=0.7202p ps=7.97u
+M1001 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1002 vdd A a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=1.7451p pd=15.37u as=0.3339p ps=3.05u
+M1003 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=1.4112p ps=12.32u
+M1004 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 gnd A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1007 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1008 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 Y a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1014 vdd a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1015 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1016 gnd a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1017 Y a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_l.spice
index c96f6f2..0976673 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__buf_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__buf_l.spice
@@ -1,33 +1,12 @@
-* File: sky130_osu_sc_12T_ms__buf_l.spice
-* Created: Mon Nov 16 22:21:41 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__buf_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__buf_l  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1002 N_GND_M1002_d N_A_M1002_g N_A_27_115#_M1002_s N_GND_M1002_b NSHORT L=0.15
-+ W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4 SA=75000.2
-+ SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1000 N_Y_M1000_d N_A_27_115#_M1000_g N_GND_M1002_d N_GND_M1002_b NSHORT L=0.15
-+ W=0.36 AD=0.0954 AS=0.0504 PD=1.25 PS=0.64 NRD=0 NRS=0 M=1 R=2.4 SA=75000.6
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1001 N_VDD_M1001_d N_A_M1001_g N_A_27_115#_M1001_s N_VDD_M1001_b PSHORT L=0.15
-+ W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6 SA=75000.2
-+ SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1003 N_Y_M1003_d N_A_27_115#_M1003_g N_VDD_M1001_d N_VDD_M1001_b PSHORT L=0.15
-+ W=0.84 AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6 SA=75000.6
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX4_noxref N_GND_M1002_b N_VDD_M1001_b NWDIODE A=2.50965 P=6.35
-pX5_noxref noxref_6 A A PROBETYPE=1
-pX6_noxref noxref_7 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__buf_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__buf_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__buf_l Y A
+M1000 Y a_27_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0.1008p ps=1.28u
+M1001 vdd A a_27_115# vdd pshort w=0.84u l=0.15u
++  ad=0.2352p pd=2.24u as=0.2226p ps=2.21u
+M1002 gnd A a_27_115# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1003 Y a_27_115# vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__decap_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__decap_1.spice
new file mode 100644
index 0000000..8101cdf
--- /dev/null
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__decap_1.spice
@@ -0,0 +1,6 @@
+* SPICE3 file created from sky130_osu_sc_12T_ms__decap_1.ext - technology: sky130A
+
+M1000 gnd a_80_80# gnd gnd nshort w=0.74u l=0.15u
++  ad=0.3922p pd=4.02u as=0p ps=0u
+M1001 vdd a_80_80# vdd vdd pshort w=0.86u l=0.15u
++  ad=0.4558p pd=4.5u as=0p ps=0u
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__decap_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__decap_l.spice
new file mode 100644
index 0000000..d696f9f
--- /dev/null
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__decap_l.spice
@@ -0,0 +1,6 @@
+* SPICE3 file created from sky130_osu_sc_12T_ms__decap_l.ext - technology: sky130A
+
+M1000 gnd a_80_80# gnd gnd nshort w=0.51u l=0.15u
++  ad=0.2703p pd=3.1u as=0p ps=0u
+M1001 vdd a_80_80# vdd vdd pshort w=0.5u l=0.15u
++  ad=0.265p pd=3.06u as=0p ps=0u
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__dff_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__dff_1.spice
index 73373a3..e5e27e3 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__dff_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__dff_1.spice
@@ -1,104 +1,56 @@
-* File: sky130_osu_sc_12T_ms__dff_1.spice
-* Created: Mon Nov 16 22:21:48 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__dff_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__dff_1  GND VDD D CK ON Q
-* 
-* Q	Q
-* ON	ON
-* CK	CK
-* D	D
-* VDD	VDD
-* GND	GND
-MM1004 N_GND_M1004_d N_A_75_248#_M1004_g N_A_32_115#_M1004_s N_GND_M1004_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75000.2 SB=75004.1 A=0.078 P=1.34 MULT=1
-MM1003 A_201_115# N_D_M1003_g N_GND_M1004_d N_GND_M1004_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75003.7 A=0.078 P=1.34 MULT=1
-MM1021 N_A_75_248#_M1021_d N_A_243_89#_M1021_g A_201_115# N_GND_M1004_b NSHORT
-+ L=0.15 W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1
-+ R=3.46667 SA=75001 SB=75003.3 A=0.078 P=1.34 MULT=1
-MM1016 A_393_115# N_CK_M1016_g N_A_75_248#_M1021_d N_GND_M1004_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1 R=3.46667
-+ SA=75001.6 SB=75002.7 A=0.078 P=1.34 MULT=1
-MM1010 N_GND_M1010_d N_A_32_115#_M1010_g A_393_115# N_GND_M1004_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75001.9 SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1011 A_551_115# N_A_32_115#_M1011_g N_GND_M1010_d N_GND_M1004_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75002.4 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1009 N_A_623_115#_M1009_d N_CK_M1009_g A_551_115# N_GND_M1004_b NSHORT L=0.15
-+ W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1 R=3.46667
-+ SA=75002.7 SB=75001.6 A=0.078 P=1.34 MULT=1
-MM1001 A_743_115# N_A_243_89#_M1001_g N_A_623_115#_M1009_d N_GND_M1004_b NSHORT
-+ L=0.15 W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1
-+ R=3.46667 SA=75003.3 SB=75001 A=0.078 P=1.34 MULT=1
-MM1023 N_GND_M1023_d N_A_785_89#_M1023_g A_743_115# N_GND_M1004_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75003.7 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1024 N_A_243_89#_M1024_d N_CK_M1024_g N_GND_M1023_d N_GND_M1004_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75004.1 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1006 N_A_785_89#_M1006_d N_A_623_115#_M1006_g N_GND_M1006_s N_GND_M1004_b
-+ NSHORT L=0.15 W=0.52 AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75000.2 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1007 N_GND_M1007_d N_A_785_89#_M1007_g N_ON_M1007_s N_GND_M1004_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1025 N_Q_M1025_d N_ON_M1025_g N_GND_M1007_d N_GND_M1004_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1019 N_VDD_M1019_d N_A_75_248#_M1019_g N_A_32_115#_M1019_s N_VDD_M1019_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75004.1 A=0.189 P=2.82 MULT=1
-MM1018 A_201_521# N_D_M1018_g N_VDD_M1019_d N_VDD_M1019_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75003.7 A=0.189 P=2.82 MULT=1
-MM1012 N_A_75_248#_M1012_d N_CK_M1012_g A_201_521# N_VDD_M1019_b PSHORT L=0.15
-+ W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1 R=8.4
-+ SA=75001 SB=75003.3 A=0.189 P=2.82 MULT=1
-MM1008 A_393_521# N_A_243_89#_M1008_g N_A_75_248#_M1012_d N_VDD_M1019_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1
-+ R=8.4 SA=75001.6 SB=75002.7 A=0.189 P=2.82 MULT=1
-MM1002 N_VDD_M1002_d N_A_32_115#_M1002_g A_393_521# N_VDD_M1019_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75001.9 SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1005 A_551_521# N_A_32_115#_M1005_g N_VDD_M1002_d N_VDD_M1019_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4
-+ SA=75002.4 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1000 N_A_623_115#_M1000_d N_A_243_89#_M1000_g A_551_521# N_VDD_M1019_b PSHORT
-+ L=0.15 W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1
-+ R=8.4 SA=75002.7 SB=75001.6 A=0.189 P=2.82 MULT=1
-MM1017 A_743_521# N_CK_M1017_g N_A_623_115#_M1000_d N_VDD_M1019_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1 R=8.4
-+ SA=75003.3 SB=75001 A=0.189 P=2.82 MULT=1
-MM1013 N_VDD_M1013_d N_A_785_89#_M1013_g A_743_521# N_VDD_M1019_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75003.7 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1014 N_A_243_89#_M1014_d N_CK_M1014_g N_VDD_M1013_d N_VDD_M1019_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75004.1 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1020 N_A_785_89#_M1020_d N_A_623_115#_M1020_g N_VDD_M1020_s N_VDD_M1019_b
-+ PSHORT L=0.15 W=1.26 AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1022 N_VDD_M1022_d N_A_785_89#_M1022_g N_ON_M1022_s N_VDD_M1019_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1015 N_Q_M1015_d N_ON_M1015_g N_VDD_M1022_d N_VDD_M1019_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX26_noxref N_GND_M1004_b N_VDD_M1019_b NWDIODE A=15.0895 P=18.77
-pX27_noxref noxref_20 D D PROBETYPE=1
-pX28_noxref noxref_21 CK CK PROBETYPE=1
-pX29_noxref noxref_22 ON ON PROBETYPE=1
-pX30_noxref noxref_23 Q Q PROBETYPE=1
-c_1311 A_551_521# 0 1.57671e-19 $X=2.755 $Y=2.605
-*
-.include "sky130_osu_sc_12T_ms__dff_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__dff_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__dff_1 D CK ON Q
+M1000 a_623_115# a_243_89# a_551_521# vdd pshort w=1.26u l=0.15u
++  ad=0.567p pd=3.42u as=0.2646p ps=2.94u
+M1001 a_743_115# a_243_89# a_623_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1002 vdd a_32_115# a_393_521# vdd pshort w=1.26u l=0.15u
++  ad=1.7451p pd=15.37u as=0.2646p ps=2.94u
+M1003 a_201_115# D gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.7202p ps=7.97u
+M1004 gnd a_75_248# a_32_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1005 a_551_521# a_32_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 a_785_89# a_623_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1007 gnd a_785_89# ON gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1008 a_393_521# a_243_89# a_75_248# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.567p ps=3.42u
+M1009 a_623_115# CK a_551_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1010 gnd a_32_115# a_393_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1011 a_551_115# a_32_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 a_75_248# CK a_201_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1013 vdd a_785_89# a_743_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1014 a_243_89# CK vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1015 Q ON vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1016 a_393_115# CK a_75_248# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.234p ps=1.94u
+M1017 a_743_521# CK a_623_115# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1018 a_201_521# D vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1019 vdd a_75_248# a_32_115# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.3339p ps=3.05u
+M1020 a_785_89# a_623_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1021 a_75_248# a_243_89# a_201_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1022 vdd a_785_89# ON vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.3339p ps=3.05u
+M1023 gnd a_785_89# a_743_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1024 a_243_89# CK gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1025 Q ON gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__dff_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__dff_l.spice
index 385884c..7d29d42 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__dff_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__dff_l.spice
@@ -1,104 +1,56 @@
-* File: sky130_osu_sc_12T_ms__dff_l.spice
-* Created: Mon Nov 16 22:21:54 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__dff_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__dff_l  GND VDD D CK ON Q
-* 
-* Q	Q
-* ON	ON
-* CK	CK
-* D	D
-* VDD	VDD
-* GND	GND
-MM1004 N_GND_M1004_d N_A_75_248#_M1004_g N_A_32_115#_M1004_s N_GND_M1004_b
-+ NSHORT L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75000.2 SB=75004.1 A=0.078 P=1.34 MULT=1
-MM1003 A_201_115# N_D_M1003_g N_GND_M1004_d N_GND_M1004_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75003.7 A=0.078 P=1.34 MULT=1
-MM1022 N_A_75_248#_M1022_d N_A_243_89#_M1022_g A_201_115# N_GND_M1004_b NSHORT
-+ L=0.15 W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1
-+ R=3.46667 SA=75001 SB=75003.3 A=0.078 P=1.34 MULT=1
-MM1016 A_393_115# N_CK_M1016_g N_A_75_248#_M1022_d N_GND_M1004_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1 R=3.46667
-+ SA=75001.6 SB=75002.7 A=0.078 P=1.34 MULT=1
-MM1011 N_GND_M1011_d N_A_32_115#_M1011_g A_393_115# N_GND_M1004_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75001.9 SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1012 A_551_115# N_A_32_115#_M1012_g N_GND_M1011_d N_GND_M1004_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75002.4 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1010 N_A_623_115#_M1010_d N_CK_M1010_g A_551_115# N_GND_M1004_b NSHORT L=0.15
-+ W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1 R=3.46667
-+ SA=75002.7 SB=75001.6 A=0.078 P=1.34 MULT=1
-MM1001 A_743_115# N_A_243_89#_M1001_g N_A_623_115#_M1010_d N_GND_M1004_b NSHORT
-+ L=0.15 W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1
-+ R=3.46667 SA=75003.3 SB=75001 A=0.078 P=1.34 MULT=1
-MM1023 N_GND_M1023_d N_A_785_89#_M1023_g A_743_115# N_GND_M1004_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75003.7 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1024 N_A_243_89#_M1024_d N_CK_M1024_g N_GND_M1023_d N_GND_M1004_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75004.1 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1007 N_A_785_89#_M1007_d N_A_623_115#_M1007_g N_GND_M1007_s N_GND_M1004_b
-+ NSHORT L=0.15 W=0.52 AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1
-+ R=3.46667 SA=75000.2 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1008 N_GND_M1008_d N_A_785_89#_M1008_g N_ON_M1008_s N_GND_M1004_b NSHORT
-+ L=0.15 W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1025 N_Q_M1025_d N_ON_M1025_g N_GND_M1008_d N_GND_M1004_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0504 PD=1.25 PS=0.64 NRD=0 NRS=0 M=1 R=2.4 SA=75000.6
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1019 N_VDD_M1019_d N_A_75_248#_M1019_g N_A_32_115#_M1019_s N_VDD_M1019_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75004.1 A=0.189 P=2.82 MULT=1
-MM1018 A_201_521# N_D_M1018_g N_VDD_M1019_d N_VDD_M1019_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75003.7 A=0.189 P=2.82 MULT=1
-MM1013 N_A_75_248#_M1013_d N_CK_M1013_g A_201_521# N_VDD_M1019_b PSHORT L=0.15
-+ W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1 R=8.4
-+ SA=75001 SB=75003.3 A=0.189 P=2.82 MULT=1
-MM1009 A_393_521# N_A_243_89#_M1009_g N_A_75_248#_M1013_d N_VDD_M1019_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1
-+ R=8.4 SA=75001.6 SB=75002.7 A=0.189 P=2.82 MULT=1
-MM1002 N_VDD_M1002_d N_A_32_115#_M1002_g A_393_521# N_VDD_M1019_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75001.9 SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1005 A_551_521# N_A_32_115#_M1005_g N_VDD_M1002_d N_VDD_M1019_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4
-+ SA=75002.4 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1000 N_A_623_115#_M1000_d N_A_243_89#_M1000_g A_551_521# N_VDD_M1019_b PSHORT
-+ L=0.15 W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1
-+ R=8.4 SA=75002.7 SB=75001.6 A=0.189 P=2.82 MULT=1
-MM1017 A_743_521# N_CK_M1017_g N_A_623_115#_M1000_d N_VDD_M1019_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1 R=8.4
-+ SA=75003.3 SB=75001 A=0.189 P=2.82 MULT=1
-MM1014 N_VDD_M1014_d N_A_785_89#_M1014_g A_743_521# N_VDD_M1019_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75003.7 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1015 N_A_243_89#_M1015_d N_CK_M1015_g N_VDD_M1014_d N_VDD_M1019_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75004.1 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1021 N_A_785_89#_M1021_d N_A_623_115#_M1021_g N_VDD_M1021_s N_VDD_M1019_b
-+ PSHORT L=0.15 W=1.26 AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1006 N_VDD_M1006_d N_A_785_89#_M1006_g N_ON_M1006_s N_VDD_M1019_b PSHORT
-+ L=0.15 W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1020 N_Q_M1020_d N_ON_M1020_g N_VDD_M1006_d N_VDD_M1019_b PSHORT L=0.15 W=0.84
-+ AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6 SA=75000.6
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX26_noxref N_GND_M1004_b N_VDD_M1019_b NWDIODE A=15.0895 P=18.77
-pX27_noxref noxref_20 D D PROBETYPE=1
-pX28_noxref noxref_21 CK CK PROBETYPE=1
-pX29_noxref noxref_22 ON ON PROBETYPE=1
-pX30_noxref noxref_23 Q Q PROBETYPE=1
-c_1316 A_551_521# 0 1.57671e-19 $X=2.755 $Y=2.605
-*
-.include "sky130_osu_sc_12T_ms__dff_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__dff_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__dff_l D CK ON Q
+M1000 a_623_115# a_243_89# a_551_521# vdd pshort w=1.26u l=0.15u
++  ad=0.567p pd=3.42u as=0.2646p ps=2.94u
+M1001 a_743_115# a_243_89# a_623_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1002 vdd a_32_115# a_393_521# vdd pshort w=1.26u l=0.15u
++  ad=1.6275p pd=14.53u as=0.2646p ps=2.94u
+M1003 a_201_115# D gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.6754p ps=7.65u
+M1004 gnd a_75_248# a_32_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1005 a_551_521# a_32_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 vdd a_785_89# ON vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.2226p ps=2.21u
+M1007 a_785_89# a_623_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1008 gnd a_785_89# ON gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1009 a_393_521# a_243_89# a_75_248# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.567p ps=3.42u
+M1010 a_623_115# CK a_551_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1011 gnd a_32_115# a_393_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1012 a_551_115# a_32_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 a_75_248# CK a_201_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1014 vdd a_785_89# a_743_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1015 a_243_89# CK vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1016 a_393_115# CK a_75_248# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.234p ps=1.94u
+M1017 a_743_521# CK a_623_115# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1018 a_201_521# D vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1019 vdd a_75_248# a_32_115# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.3339p ps=3.05u
+M1020 Q ON vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0p ps=0u
+M1021 a_785_89# a_623_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1022 a_75_248# a_243_89# a_201_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1023 gnd a_785_89# a_743_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1024 a_243_89# CK gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1025 Q ON gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__dffnr_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__dffnr_1.spice
new file mode 100644
index 0000000..faac0cc
--- /dev/null
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__dffnr_1.spice
@@ -0,0 +1,68 @@
+* SPICE3 file created from sky130_osu_sc_12T_ms__dffnr_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__dffnr_1 RN Q QN D CK
+M1000 a_1118_521# a_618_424# a_998_115# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1001 vdd a_342_442# a_300_605# vdd pshort w=0.84u l=0.15u
++  ad=2.1714p pd=19.76u as=0.1764p ps=2.1u
+M1002 a_998_115# CK a_926_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1003 a_110_115# RN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1004 gnd a_342_442# a_217_605# gnd nshort w=0.36u l=0.15u
++  ad=1.094p pd=12.94u as=0.1008p ps=1.28u
+M1005 a_1466_605# a_998_115# a_1160_89# vdd pshort w=0.84u l=0.15u
++  ad=0.1764p pd=2.1u as=0.2226p ps=2.21u
+M1006 a_576_115# D gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1007 Q QN gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1008 vdd a_1160_89# a_1118_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 a_618_424# CK gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1010 a_1160_89# a_998_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0.1008p pd=1.28u as=0p ps=0u
+M1011 gnd a_110_115# a_1160_89# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 a_926_521# a_217_605# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 a_300_605# a_110_115# a_217_605# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.2226p ps=2.21u
+M1014 gnd a_1160_89# QN gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1015 a_768_521# CK a_342_442# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1016 a_217_605# a_110_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1017 a_998_115# a_618_424# a_926_115# gnd nshort w=0.52u l=0.15u
++  ad=0.234p pd=1.94u as=0.1092p ps=1.46u
+M1018 a_1118_115# CK a_998_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1019 a_110_115# RN gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1020 vdd a_217_605# a_768_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1021 gnd a_1160_89# a_1118_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1022 a_342_442# a_618_424# a_576_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1023 a_926_115# a_217_605# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1024 a_768_115# a_618_424# a_342_442# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1025 Q QN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1026 gnd a_217_605# a_768_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1027 a_576_521# D vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1028 a_618_424# CK vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1029 vdd a_110_115# a_1466_605# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1030 a_342_442# CK a_576_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1031 vdd a_1160_89# QN vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.3339p ps=3.05u
+.ends
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__dffnr_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__dffnr_l.spice
new file mode 100644
index 0000000..4f530db
--- /dev/null
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__dffnr_l.spice
@@ -0,0 +1,68 @@
+* SPICE3 file created from sky130_osu_sc_12T_ms__dffnr_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__dffnr_l RN Q QN D CK
+M1000 a_1118_521# a_618_424# a_998_115# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1001 Q QN vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=2.0538p ps=18.92u
+M1002 vdd a_342_442# a_300_605# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.1764p ps=2.1u
+M1003 a_998_115# CK a_926_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1004 a_110_115# RN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1005 gnd a_342_442# a_217_605# gnd nshort w=0.36u l=0.15u
++  ad=1.0492p pd=12.62u as=0.1008p ps=1.28u
+M1006 a_1466_605# a_998_115# a_1160_89# vdd pshort w=0.84u l=0.15u
++  ad=0.1764p pd=2.1u as=0.2226p ps=2.21u
+M1007 a_576_115# D gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1008 Q QN gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0p ps=0u
+M1009 vdd a_1160_89# a_1118_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 a_618_424# CK gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1011 vdd a_1160_89# QN vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.2226p ps=2.21u
+M1012 a_1160_89# a_998_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0.1008p pd=1.28u as=0p ps=0u
+M1013 gnd a_110_115# a_1160_89# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1014 a_926_521# a_217_605# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1015 a_300_605# a_110_115# a_217_605# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.2226p ps=2.21u
+M1016 gnd a_1160_89# QN gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1017 a_768_521# CK a_342_442# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1018 a_217_605# a_110_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1019 a_998_115# a_618_424# a_926_115# gnd nshort w=0.52u l=0.15u
++  ad=0.234p pd=1.94u as=0.1092p ps=1.46u
+M1020 a_1118_115# CK a_998_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1021 a_110_115# RN gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1022 vdd a_217_605# a_768_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1023 gnd a_1160_89# a_1118_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1024 a_342_442# a_618_424# a_576_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1025 a_926_115# a_217_605# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1026 a_768_115# a_618_424# a_342_442# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1027 gnd a_217_605# a_768_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1028 a_576_521# D vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1029 a_618_424# CK vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1030 vdd a_110_115# a_1466_605# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1031 a_342_442# CK a_576_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+.ends
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__dffr_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__dffr_1.spice
index 984b8b3..b649833 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__dffr_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__dffr_1.spice
@@ -1,124 +1,68 @@
-* File: sky130_osu_sc_12T_ms__dffr_1.spice
-* Created: Mon Nov 16 22:22:00 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__dffr_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__dffr_1  GND VDD RN D CK QN Q
-* 
-* Q	Q
-* QN	QN
-* CK	CK
-* D	D
-* RN	RN
-* VDD	VDD
-* GND	GND
-MM1019 N_A_110_115#_M1019_d N_RN_M1019_g N_GND_M1019_s N_GND_M1019_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1016 N_A_217_605#_M1016_d N_A_110_115#_M1016_g N_GND_M1016_s N_GND_M1019_b
-+ NSHORT L=0.15 W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1004 N_GND_M1004_d N_A_342_442#_M1004_g N_A_217_605#_M1016_d N_GND_M1019_b
-+ NSHORT L=0.15 W=0.36 AD=0.0954 AS=0.0504 PD=1.25 PS=0.64 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.6 SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1006 A_576_115# N_D_M1006_g N_GND_M1006_s N_GND_M1019_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75003.7 A=0.078 P=1.34 MULT=1
-MM1030 N_A_342_442#_M1030_d N_A_618_89#_M1030_g A_576_115# N_GND_M1019_b NSHORT
-+ L=0.15 W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1
-+ R=3.46667 SA=75000.5 SB=75003.3 A=0.078 P=1.34 MULT=1
-MM1024 A_768_115# N_CK_M1024_g N_A_342_442#_M1030_d N_GND_M1019_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1 R=3.46667
-+ SA=75001.1 SB=75002.7 A=0.078 P=1.34 MULT=1
-MM1026 N_GND_M1026_d N_A_217_605#_M1026_g A_768_115# N_GND_M1019_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75001.5 SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1023 A_926_115# N_A_217_605#_M1023_g N_GND_M1026_d N_GND_M1019_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75001.9 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1017 N_A_998_115#_M1017_d N_CK_M1017_g A_926_115# N_GND_M1019_b NSHORT L=0.15
-+ W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1 R=3.46667
-+ SA=75002.3 SB=75001.6 A=0.078 P=1.34 MULT=1
-MM1018 A_1118_115# N_A_618_89#_M1018_g N_A_998_115#_M1017_d N_GND_M1019_b NSHORT
-+ L=0.15 W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1
-+ R=3.46667 SA=75002.9 SB=75001 A=0.078 P=1.34 MULT=1
-MM1021 N_GND_M1021_d N_A_1160_89#_M1021_g A_1118_115# N_GND_M1019_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1
-+ R=3.46667 SA=75003.3 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1009 N_A_618_89#_M1009_d N_CK_M1009_g N_GND_M1021_d N_GND_M1019_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75003.7 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1010 N_A_1160_89#_M1010_d N_A_998_115#_M1010_g N_GND_M1010_s N_GND_M1019_b
-+ NSHORT L=0.15 W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1011 N_GND_M1011_d N_A_110_115#_M1011_g N_A_1160_89#_M1010_d N_GND_M1019_b
-+ NSHORT L=0.15 W=0.36 AD=0.0954 AS=0.0504 PD=1.25 PS=0.64 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.6 SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1014 N_GND_M1014_d N_A_1160_89#_M1014_g N_QN_M1014_s N_GND_M1019_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1007 N_Q_M1007_d N_QN_M1007_g N_GND_M1014_d N_GND_M1019_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1003 N_A_110_115#_M1003_d N_RN_M1003_g N_VDD_M1003_s N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1013 A_300_605# N_A_110_115#_M1013_g N_A_217_605#_M1013_s N_VDD_M1003_b PSHORT
-+ L=0.15 W=0.84 AD=0.0882 AS=0.2226 PD=1.05 PS=2.21 NRD=11.7215 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1001 N_VDD_M1001_d N_A_342_442#_M1001_g A_300_605# N_VDD_M1003_b PSHORT L=0.15
-+ W=0.84 AD=0.2226 AS=0.0882 PD=2.21 PS=1.05 NRD=0 NRS=11.7215 M=1 R=5.6
-+ SA=75000.6 SB=75000.2 A=0.126 P=1.98 MULT=1
-MM1027 A_576_521# N_D_M1027_g N_VDD_M1027_s N_VDD_M1003_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.3339 PD=1.47 PS=3.05 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75003.7 A=0.189 P=2.82 MULT=1
-MM1022 N_A_342_442#_M1022_d N_CK_M1022_g A_576_521# N_VDD_M1003_b PSHORT L=0.15
-+ W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1 R=8.4
-+ SA=75000.6 SB=75003.3 A=0.189 P=2.82 MULT=1
-MM1015 A_768_521# N_A_618_89#_M1015_g N_A_342_442#_M1022_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1
-+ R=8.4 SA=75001.1 SB=75002.7 A=0.189 P=2.82 MULT=1
-MM1020 N_VDD_M1020_d N_A_217_605#_M1020_g A_768_521# N_VDD_M1003_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75001.5 SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1012 A_926_521# N_A_217_605#_M1012_g N_VDD_M1020_d N_VDD_M1003_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4
-+ SA=75001.9 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1002 N_A_998_115#_M1002_d N_A_618_89#_M1002_g A_926_521# N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1
-+ R=8.4 SA=75002.3 SB=75001.6 A=0.189 P=2.82 MULT=1
-MM1000 A_1118_521# N_CK_M1000_g N_A_998_115#_M1002_d N_VDD_M1003_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1 R=8.4
-+ SA=75002.9 SB=75001 A=0.189 P=2.82 MULT=1
-MM1008 N_VDD_M1008_d N_A_1160_89#_M1008_g A_1118_521# N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75003.3 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1028 N_A_618_89#_M1028_d N_CK_M1028_g N_VDD_M1008_d N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75003.7 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1005 A_1466_605# N_A_998_115#_M1005_g N_A_1160_89#_M1005_s N_VDD_M1003_b
-+ PSHORT L=0.15 W=0.84 AD=0.0882 AS=0.2226 PD=1.05 PS=2.21 NRD=11.7215 NRS=0 M=1
-+ R=5.6 SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1029 N_VDD_M1029_d N_A_110_115#_M1029_g A_1466_605# N_VDD_M1003_b PSHORT
-+ L=0.15 W=0.84 AD=0.2226 AS=0.0882 PD=2.21 PS=1.05 NRD=0 NRS=11.7215 M=1 R=5.6
-+ SA=75000.6 SB=75000.2 A=0.126 P=1.98 MULT=1
-MM1031 N_VDD_M1031_d N_A_1160_89#_M1031_g N_QN_M1031_s N_VDD_M1003_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1025 N_Q_M1025_d N_QN_M1025_g N_VDD_M1031_d N_VDD_M1003_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX32_noxref N_GND_M1019_b N_VDD_M1003_b NWDIODE A=19.8481 P=23.39
-pX33_noxref noxref_24 RN RN PROBETYPE=1
-pX34_noxref noxref_25 D D PROBETYPE=1
-pX35_noxref noxref_26 CK CK PROBETYPE=1
-pX36_noxref noxref_27 QN QN PROBETYPE=1
-pX37_noxref noxref_28 Q Q PROBETYPE=1
-c_1758 A_926_521# 0 1.57671e-19 $X=4.63 $Y=2.605
-*
-.include "sky130_osu_sc_12T_ms__dffr_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__dffr_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__dffr_1 RN Q QN D CK
+M1000 a_1118_521# CK a_998_115# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1001 vdd a_342_442# a_300_605# vdd pshort w=0.84u l=0.15u
++  ad=2.1714p pd=19.76u as=0.1764p ps=2.1u
+M1002 a_998_115# a_618_89# a_926_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1003 a_110_115# RN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1004 gnd a_342_442# a_217_605# gnd nshort w=0.36u l=0.15u
++  ad=1.094p pd=12.94u as=0.1008p ps=1.28u
+M1005 a_1466_605# a_998_115# a_1160_89# vdd pshort w=0.84u l=0.15u
++  ad=0.1764p pd=2.1u as=0.2226p ps=2.21u
+M1006 a_576_115# D gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1007 Q QN gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1008 vdd a_1160_89# a_1118_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 a_618_89# CK gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1010 a_1160_89# a_998_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0.1008p pd=1.28u as=0p ps=0u
+M1011 gnd a_110_115# a_1160_89# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 a_926_521# a_217_605# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 a_300_605# a_110_115# a_217_605# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.2226p ps=2.21u
+M1014 gnd a_1160_89# QN gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1015 a_768_521# a_618_89# a_342_442# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1016 a_217_605# a_110_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1017 a_998_115# CK a_926_115# gnd nshort w=0.52u l=0.15u
++  ad=0.234p pd=1.94u as=0.1092p ps=1.46u
+M1018 a_1118_115# a_618_89# a_998_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1019 a_110_115# RN gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1020 vdd a_217_605# a_768_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1021 gnd a_1160_89# a_1118_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1022 a_342_442# CK a_576_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1023 a_926_115# a_217_605# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1024 a_768_115# CK a_342_442# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1025 Q QN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1026 gnd a_217_605# a_768_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1027 a_576_521# D vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1028 a_618_89# CK vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1029 vdd a_110_115# a_1466_605# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1030 a_342_442# a_618_89# a_576_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1031 vdd a_1160_89# QN vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.3339p ps=3.05u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__dffr_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__dffr_l.spice
index c9c5ebd..8402b2d 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__dffr_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__dffr_l.spice
@@ -1,124 +1,68 @@
-* File: sky130_osu_sc_12T_ms__dffr_l.spice
-* Created: Mon Nov 16 22:22:06 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__dffr_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__dffr_l  GND VDD RN D CK QN Q
-* 
-* Q	Q
-* QN	QN
-* CK	CK
-* D	D
-* RN	RN
-* VDD	VDD
-* GND	GND
-MM1021 N_A_110_115#_M1021_d N_RN_M1021_g N_GND_M1021_s N_GND_M1021_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1018 N_A_217_605#_M1018_d N_A_110_115#_M1018_g N_GND_M1018_s N_GND_M1021_b
-+ NSHORT L=0.15 W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1005 N_GND_M1005_d N_A_342_442#_M1005_g N_A_217_605#_M1018_d N_GND_M1021_b
-+ NSHORT L=0.15 W=0.36 AD=0.0954 AS=0.0504 PD=1.25 PS=0.64 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.6 SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1007 A_576_115# N_D_M1007_g N_GND_M1007_s N_GND_M1021_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75003.7 A=0.078 P=1.34 MULT=1
-MM1031 N_A_342_442#_M1031_d N_A_618_89#_M1031_g A_576_115# N_GND_M1021_b NSHORT
-+ L=0.15 W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1
-+ R=3.46667 SA=75000.5 SB=75003.3 A=0.078 P=1.34 MULT=1
-MM1026 A_768_115# N_CK_M1026_g N_A_342_442#_M1031_d N_GND_M1021_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1 R=3.46667
-+ SA=75001.1 SB=75002.7 A=0.078 P=1.34 MULT=1
-MM1027 N_GND_M1027_d N_A_217_605#_M1027_g A_768_115# N_GND_M1021_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75001.5 SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1025 A_926_115# N_A_217_605#_M1025_g N_GND_M1027_d N_GND_M1021_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75001.9 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1019 N_A_998_115#_M1019_d N_CK_M1019_g A_926_115# N_GND_M1021_b NSHORT L=0.15
-+ W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1 R=3.46667
-+ SA=75002.3 SB=75001.6 A=0.078 P=1.34 MULT=1
-MM1020 A_1118_115# N_A_618_89#_M1020_g N_A_998_115#_M1019_d N_GND_M1021_b NSHORT
-+ L=0.15 W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1
-+ R=3.46667 SA=75002.9 SB=75001 A=0.078 P=1.34 MULT=1
-MM1023 N_GND_M1023_d N_A_1160_89#_M1023_g A_1118_115# N_GND_M1021_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1
-+ R=3.46667 SA=75003.3 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1010 N_A_618_89#_M1010_d N_CK_M1010_g N_GND_M1023_d N_GND_M1021_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75003.7 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1012 N_A_1160_89#_M1012_d N_A_998_115#_M1012_g N_GND_M1012_s N_GND_M1021_b
-+ NSHORT L=0.15 W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1013 N_GND_M1013_d N_A_110_115#_M1013_g N_A_1160_89#_M1012_d N_GND_M1021_b
-+ NSHORT L=0.15 W=0.36 AD=0.0954 AS=0.0504 PD=1.25 PS=0.64 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.6 SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1016 N_GND_M1016_d N_A_1160_89#_M1016_g N_QN_M1016_s N_GND_M1021_b NSHORT
-+ L=0.15 W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1008 N_Q_M1008_d N_QN_M1008_g N_GND_M1016_d N_GND_M1021_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0504 PD=1.25 PS=0.64 NRD=0 NRS=0 M=1 R=2.4 SA=75000.6
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1004 N_A_110_115#_M1004_d N_RN_M1004_g N_VDD_M1004_s N_VDD_M1004_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1015 A_300_605# N_A_110_115#_M1015_g N_A_217_605#_M1015_s N_VDD_M1004_b PSHORT
-+ L=0.15 W=0.84 AD=0.0882 AS=0.2226 PD=1.05 PS=2.21 NRD=11.7215 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1002 N_VDD_M1002_d N_A_342_442#_M1002_g A_300_605# N_VDD_M1004_b PSHORT L=0.15
-+ W=0.84 AD=0.2226 AS=0.0882 PD=2.21 PS=1.05 NRD=0 NRS=11.7215 M=1 R=5.6
-+ SA=75000.6 SB=75000.2 A=0.126 P=1.98 MULT=1
-MM1028 A_576_521# N_D_M1028_g N_VDD_M1028_s N_VDD_M1004_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.3339 PD=1.47 PS=3.05 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75003.7 A=0.189 P=2.82 MULT=1
-MM1024 N_A_342_442#_M1024_d N_CK_M1024_g A_576_521# N_VDD_M1004_b PSHORT L=0.15
-+ W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1 R=8.4
-+ SA=75000.6 SB=75003.3 A=0.189 P=2.82 MULT=1
-MM1017 A_768_521# N_A_618_89#_M1017_g N_A_342_442#_M1024_d N_VDD_M1004_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1
-+ R=8.4 SA=75001.1 SB=75002.7 A=0.189 P=2.82 MULT=1
-MM1022 N_VDD_M1022_d N_A_217_605#_M1022_g A_768_521# N_VDD_M1004_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75001.5 SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1014 A_926_521# N_A_217_605#_M1014_g N_VDD_M1022_d N_VDD_M1004_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4
-+ SA=75001.9 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1003 N_A_998_115#_M1003_d N_A_618_89#_M1003_g A_926_521# N_VDD_M1004_b PSHORT
-+ L=0.15 W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1
-+ R=8.4 SA=75002.3 SB=75001.6 A=0.189 P=2.82 MULT=1
-MM1000 A_1118_521# N_CK_M1000_g N_A_998_115#_M1003_d N_VDD_M1004_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1 R=8.4
-+ SA=75002.9 SB=75001 A=0.189 P=2.82 MULT=1
-MM1009 N_VDD_M1009_d N_A_1160_89#_M1009_g A_1118_521# N_VDD_M1004_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75003.3 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1029 N_A_618_89#_M1029_d N_CK_M1029_g N_VDD_M1009_d N_VDD_M1004_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75003.7 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1006 A_1466_605# N_A_998_115#_M1006_g N_A_1160_89#_M1006_s N_VDD_M1004_b
-+ PSHORT L=0.15 W=0.84 AD=0.0882 AS=0.2226 PD=1.05 PS=2.21 NRD=11.7215 NRS=0 M=1
-+ R=5.6 SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1030 N_VDD_M1030_d N_A_110_115#_M1030_g A_1466_605# N_VDD_M1004_b PSHORT
-+ L=0.15 W=0.84 AD=0.2226 AS=0.0882 PD=2.21 PS=1.05 NRD=0 NRS=11.7215 M=1 R=5.6
-+ SA=75000.6 SB=75000.2 A=0.126 P=1.98 MULT=1
-MM1011 N_VDD_M1011_d N_A_1160_89#_M1011_g N_QN_M1011_s N_VDD_M1004_b PSHORT
-+ L=0.15 W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1001 N_Q_M1001_d N_QN_M1001_g N_VDD_M1011_d N_VDD_M1004_b PSHORT L=0.15 W=0.84
-+ AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6 SA=75000.6
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX32_noxref N_GND_M1021_b N_VDD_M1004_b NWDIODE A=19.8481 P=23.39
-pX33_noxref noxref_24 RN RN PROBETYPE=1
-pX34_noxref noxref_25 D D PROBETYPE=1
-pX35_noxref noxref_26 CK CK PROBETYPE=1
-pX36_noxref noxref_27 QN QN PROBETYPE=1
-pX37_noxref noxref_28 Q Q PROBETYPE=1
-c_1769 A_926_521# 0 1.57671e-19 $X=4.63 $Y=2.605
-*
-.include "sky130_osu_sc_12T_ms__dffr_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__dffr_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__dffr_l RN Q QN D CK
+M1000 a_1118_521# CK a_998_115# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1001 Q QN vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=2.0538p ps=18.92u
+M1002 vdd a_342_442# a_300_605# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.1764p ps=2.1u
+M1003 a_998_115# a_618_89# a_926_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1004 a_110_115# RN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1005 gnd a_342_442# a_217_605# gnd nshort w=0.36u l=0.15u
++  ad=1.0492p pd=12.62u as=0.1008p ps=1.28u
+M1006 a_1466_605# a_998_115# a_1160_89# vdd pshort w=0.84u l=0.15u
++  ad=0.1764p pd=2.1u as=0.2226p ps=2.21u
+M1007 a_576_115# D gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1008 Q QN gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0p ps=0u
+M1009 vdd a_1160_89# a_1118_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 a_618_89# CK gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1011 vdd a_1160_89# QN vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.2226p ps=2.21u
+M1012 a_1160_89# a_998_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0.1008p pd=1.28u as=0p ps=0u
+M1013 gnd a_110_115# a_1160_89# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1014 a_926_521# a_217_605# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1015 a_300_605# a_110_115# a_217_605# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.2226p ps=2.21u
+M1016 gnd a_1160_89# QN gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1017 a_768_521# a_618_89# a_342_442# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1018 a_217_605# a_110_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1019 a_998_115# CK a_926_115# gnd nshort w=0.52u l=0.15u
++  ad=0.234p pd=1.94u as=0.1092p ps=1.46u
+M1020 a_1118_115# a_618_89# a_998_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1021 a_110_115# RN gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1022 vdd a_217_605# a_768_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1023 gnd a_1160_89# a_1118_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1024 a_342_442# CK a_576_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1025 a_926_115# a_217_605# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1026 a_768_115# CK a_342_442# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1027 gnd a_217_605# a_768_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1028 a_576_521# D vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1029 a_618_89# CK vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1030 vdd a_110_115# a_1466_605# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1031 a_342_442# a_618_89# a_576_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__dffs_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__dffs_1.spice
index f00589c..2f41ac7 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__dffs_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__dffs_1.spice
@@ -1,118 +1,64 @@
-* File: sky130_osu_sc_12T_ms__dffs_1.spice
-* Created: Mon Nov 16 22:22:11 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__dffs_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__dffs_1  GND VDD SN D CK QN Q
-* 
-* Q	Q
-* QN	QN
-* CK	CK
-* D	D
-* SN	SN
-* VDD	VDD
-* GND	GND
-MM1013 A_110_115# N_SN_M1013_g N_A_27_115#_M1013_s N_GND_M1013_b NSHORT L=0.15
-+ W=0.36 AD=0.0378 AS=0.0954 PD=0.57 PS=1.25 NRD=16.656 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1001 N_GND_M1001_d N_A_152_89#_M1001_g A_110_115# N_GND_M1013_b NSHORT L=0.15
-+ W=0.36 AD=0.0954 AS=0.0378 PD=1.25 PS=0.57 NRD=0 NRS=16.656 M=1 R=2.4
-+ SA=75000.6 SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1002 A_386_115# N_D_M1002_g N_GND_M1002_s N_GND_M1013_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75003.7 A=0.078 P=1.34 MULT=1
-MM1023 N_A_152_89#_M1023_d N_A_428_89#_M1023_g A_386_115# N_GND_M1013_b NSHORT
-+ L=0.15 W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1
-+ R=3.46667 SA=75000.5 SB=75003.3 A=0.078 P=1.34 MULT=1
-MM1018 A_578_115# N_CK_M1018_g N_A_152_89#_M1023_d N_GND_M1013_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1 R=3.46667
-+ SA=75001.1 SB=75002.7 A=0.078 P=1.34 MULT=1
-MM1020 N_GND_M1020_d N_A_27_115#_M1020_g A_578_115# N_GND_M1013_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75001.5 SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1015 A_736_115# N_A_27_115#_M1015_g N_GND_M1020_d N_GND_M1013_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75001.9 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1011 N_A_808_115#_M1011_d N_CK_M1011_g A_736_115# N_GND_M1013_b NSHORT L=0.15
-+ W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1 R=3.46667
-+ SA=75002.3 SB=75001.6 A=0.078 P=1.34 MULT=1
-MM1005 A_928_115# N_A_428_89#_M1005_g N_A_808_115#_M1011_d N_GND_M1013_b NSHORT
-+ L=0.15 W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1
-+ R=3.46667 SA=75002.9 SB=75001 A=0.078 P=1.34 MULT=1
-MM1008 N_GND_M1008_d N_A_970_89#_M1008_g A_928_115# N_GND_M1013_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75003.3 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1006 N_A_428_89#_M1006_d N_CK_M1006_g N_GND_M1008_d N_GND_M1013_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75003.7 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1007 A_1276_115# N_A_808_115#_M1007_g N_A_970_89#_M1007_s N_GND_M1013_b NSHORT
-+ L=0.15 W=0.36 AD=0.0378 AS=0.0954 PD=0.57 PS=1.25 NRD=16.656 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1026 N_GND_M1026_d N_SN_M1026_g A_1276_115# N_GND_M1013_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0378 PD=1.25 PS=0.57 NRD=0 NRS=16.656 M=1 R=2.4 SA=75000.6
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1009 N_GND_M1009_d N_A_970_89#_M1009_g N_QN_M1009_s N_GND_M1013_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1028 N_Q_M1028_d N_QN_M1028_g N_GND_M1009_d N_GND_M1013_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1012 N_A_27_115#_M1012_d N_SN_M1012_g N_VDD_M1012_s N_VDD_M1012_b PSHORT
-+ L=0.15 W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1027 N_VDD_M1027_d N_A_152_89#_M1027_g N_A_27_115#_M1012_d N_VDD_M1012_b
-+ PSHORT L=0.15 W=0.84 AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.6 SB=75000.2 A=0.126 P=1.98 MULT=1
-MM1019 A_386_521# N_D_M1019_g N_VDD_M1019_s N_VDD_M1012_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.3339 PD=1.47 PS=3.05 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75003.7 A=0.189 P=2.82 MULT=1
-MM1016 N_A_152_89#_M1016_d N_CK_M1016_g A_386_521# N_VDD_M1012_b PSHORT L=0.15
-+ W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1 R=8.4
-+ SA=75000.6 SB=75003.3 A=0.189 P=2.82 MULT=1
-MM1010 A_578_521# N_A_428_89#_M1010_g N_A_152_89#_M1016_d N_VDD_M1012_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1
-+ R=8.4 SA=75001.1 SB=75002.7 A=0.189 P=2.82 MULT=1
-MM1014 N_VDD_M1014_d N_A_27_115#_M1014_g A_578_521# N_VDD_M1012_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75001.5 SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1003 A_736_521# N_A_27_115#_M1003_g N_VDD_M1014_d N_VDD_M1012_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4
-+ SA=75001.9 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1029 N_A_808_115#_M1029_d N_A_428_89#_M1029_g A_736_521# N_VDD_M1012_b PSHORT
-+ L=0.15 W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1
-+ R=8.4 SA=75002.3 SB=75001.6 A=0.189 P=2.82 MULT=1
-MM1021 A_928_521# N_CK_M1021_g N_A_808_115#_M1029_d N_VDD_M1012_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1 R=8.4
-+ SA=75002.9 SB=75001 A=0.189 P=2.82 MULT=1
-MM1024 N_VDD_M1024_d N_A_970_89#_M1024_g A_928_521# N_VDD_M1012_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75003.3 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1022 N_A_428_89#_M1022_d N_CK_M1022_g N_VDD_M1024_d N_VDD_M1012_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75003.7 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1000 N_A_970_89#_M1000_d N_A_808_115#_M1000_g N_VDD_M1000_s N_VDD_M1012_b
-+ PSHORT L=0.15 W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1004 N_VDD_M1004_d N_SN_M1004_g N_A_970_89#_M1000_d N_VDD_M1012_b PSHORT
-+ L=0.15 W=0.84 AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.6 SB=75000.2 A=0.126 P=1.98 MULT=1
-MM1025 N_VDD_M1025_d N_A_970_89#_M1025_g N_QN_M1025_s N_VDD_M1012_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1017 N_Q_M1017_d N_QN_M1017_g N_VDD_M1025_d N_VDD_M1012_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX30_noxref N_GND_M1013_b N_VDD_M1012_b NWDIODE A=18.0409 P=21.64
-pX31_noxref noxref_23 SN SN PROBETYPE=1
-pX32_noxref noxref_24 D D PROBETYPE=1
-pX33_noxref noxref_25 CK CK PROBETYPE=1
-pX34_noxref noxref_26 QN QN PROBETYPE=1
-pX35_noxref noxref_27 Q Q PROBETYPE=1
-c_1609 A_736_521# 0 1.57671e-19 $X=3.68 $Y=2.605
-*
-.include "sky130_osu_sc_12T_ms__dffs_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__dffs_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__dffs_1 D CK Q QN SN
+M1000 a_970_89# a_808_115# vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2352p pd=2.24u as=2.2827p ps=21.13u
+M1001 gnd a_152_89# a_110_115# gnd nshort w=0.36u l=0.15u
++  ad=0.7654p pd=8.87u as=0.0756p ps=1.14u
+M1002 a_386_115# D gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1003 a_736_521# a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0p ps=0u
+M1004 vdd SN a_970_89# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 a_928_115# a_428_89# a_808_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1006 a_428_89# CK gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1007 a_1276_115# a_808_115# a_970_89# gnd nshort w=0.36u l=0.15u
++  ad=0.0756p pd=1.14u as=0.0954p ps=1.25u
+M1008 gnd a_970_89# a_928_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 gnd a_970_89# QN gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1010 a_578_521# a_428_89# a_152_89# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1011 a_808_115# CK a_736_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1012 a_27_115# SN vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2352p pd=2.24u as=0p ps=0u
+M1013 a_110_115# SN a_27_115# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1014 vdd a_27_115# a_578_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1015 a_736_115# a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1016 a_152_89# CK a_386_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1017 Q QN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1018 a_578_115# CK a_152_89# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1019 a_386_521# D vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1020 gnd a_27_115# a_578_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1021 a_928_521# CK a_808_115# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1022 a_428_89# CK vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1023 a_152_89# a_428_89# a_386_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1024 vdd a_970_89# a_928_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1025 vdd a_970_89# QN vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.3339p ps=3.05u
+M1026 gnd SN a_1276_115# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1027 vdd a_152_89# a_27_115# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1028 Q QN gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1029 a_808_115# a_428_89# a_736_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__dffs_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__dffs_l.spice
index 8cf5c50..1ac1ccc 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__dffs_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__dffs_l.spice
@@ -1,118 +1,64 @@
-* File: sky130_osu_sc_12T_ms__dffs_l.spice
-* Created: Mon Nov 16 22:22:17 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__dffs_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__dffs_l  GND VDD SN D CK QN Q
-* 
-* Q	Q
-* QN	QN
-* CK	CK
-* D	D
-* SN	SN
-* VDD	VDD
-* GND	GND
-MM1014 A_110_115# N_SN_M1014_g N_A_27_115#_M1014_s N_GND_M1014_b NSHORT L=0.15
-+ W=0.36 AD=0.0378 AS=0.0954 PD=0.57 PS=1.25 NRD=16.656 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1001 N_GND_M1001_d N_A_152_89#_M1001_g A_110_115# N_GND_M1014_b NSHORT L=0.15
-+ W=0.36 AD=0.0954 AS=0.0378 PD=1.25 PS=0.57 NRD=0 NRS=16.656 M=1 R=2.4
-+ SA=75000.6 SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1002 A_386_115# N_D_M1002_g N_GND_M1002_s N_GND_M1014_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75003.7 A=0.078 P=1.34 MULT=1
-MM1023 N_A_152_89#_M1023_d N_A_428_89#_M1023_g A_386_115# N_GND_M1014_b NSHORT
-+ L=0.15 W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1
-+ R=3.46667 SA=75000.5 SB=75003.3 A=0.078 P=1.34 MULT=1
-MM1018 A_578_115# N_CK_M1018_g N_A_152_89#_M1023_d N_GND_M1014_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1 R=3.46667
-+ SA=75001.1 SB=75002.7 A=0.078 P=1.34 MULT=1
-MM1020 N_GND_M1020_d N_A_27_115#_M1020_g A_578_115# N_GND_M1014_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75001.5 SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1016 A_736_115# N_A_27_115#_M1016_g N_GND_M1020_d N_GND_M1014_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75001.9 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1012 N_A_808_115#_M1012_d N_CK_M1012_g A_736_115# N_GND_M1014_b NSHORT L=0.15
-+ W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1 R=3.46667
-+ SA=75002.3 SB=75001.6 A=0.078 P=1.34 MULT=1
-MM1005 A_928_115# N_A_428_89#_M1005_g N_A_808_115#_M1012_d N_GND_M1014_b NSHORT
-+ L=0.15 W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1
-+ R=3.46667 SA=75002.9 SB=75001 A=0.078 P=1.34 MULT=1
-MM1009 N_GND_M1009_d N_A_970_89#_M1009_g A_928_115# N_GND_M1014_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75003.3 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1006 N_A_428_89#_M1006_d N_CK_M1006_g N_GND_M1009_d N_GND_M1014_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75003.7 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1007 A_1276_115# N_A_808_115#_M1007_g N_A_970_89#_M1007_s N_GND_M1014_b NSHORT
-+ L=0.15 W=0.36 AD=0.0378 AS=0.0954 PD=0.57 PS=1.25 NRD=16.656 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1026 N_GND_M1026_d N_SN_M1026_g A_1276_115# N_GND_M1014_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0378 PD=1.25 PS=0.57 NRD=0 NRS=16.656 M=1 R=2.4 SA=75000.6
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1010 N_GND_M1010_d N_A_970_89#_M1010_g N_QN_M1010_s N_GND_M1014_b NSHORT
-+ L=0.15 W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1028 N_Q_M1028_d N_QN_M1028_g N_GND_M1010_d N_GND_M1014_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0504 PD=1.25 PS=0.64 NRD=0 NRS=0 M=1 R=2.4 SA=75000.6
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1013 N_A_27_115#_M1013_d N_SN_M1013_g N_VDD_M1013_s N_VDD_M1013_b PSHORT
-+ L=0.15 W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1027 N_VDD_M1027_d N_A_152_89#_M1027_g N_A_27_115#_M1013_d N_VDD_M1013_b
-+ PSHORT L=0.15 W=0.84 AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.6 SB=75000.2 A=0.126 P=1.98 MULT=1
-MM1019 A_386_521# N_D_M1019_g N_VDD_M1019_s N_VDD_M1013_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.3339 PD=1.47 PS=3.05 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75003.7 A=0.189 P=2.82 MULT=1
-MM1017 N_A_152_89#_M1017_d N_CK_M1017_g A_386_521# N_VDD_M1013_b PSHORT L=0.15
-+ W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1 R=8.4
-+ SA=75000.6 SB=75003.3 A=0.189 P=2.82 MULT=1
-MM1011 A_578_521# N_A_428_89#_M1011_g N_A_152_89#_M1017_d N_VDD_M1013_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1
-+ R=8.4 SA=75001.1 SB=75002.7 A=0.189 P=2.82 MULT=1
-MM1015 N_VDD_M1015_d N_A_27_115#_M1015_g A_578_521# N_VDD_M1013_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75001.5 SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1003 A_736_521# N_A_27_115#_M1003_g N_VDD_M1015_d N_VDD_M1013_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4
-+ SA=75001.9 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1029 N_A_808_115#_M1029_d N_A_428_89#_M1029_g A_736_521# N_VDD_M1013_b PSHORT
-+ L=0.15 W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1
-+ R=8.4 SA=75002.3 SB=75001.6 A=0.189 P=2.82 MULT=1
-MM1021 A_928_521# N_CK_M1021_g N_A_808_115#_M1029_d N_VDD_M1013_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1 R=8.4
-+ SA=75002.9 SB=75001 A=0.189 P=2.82 MULT=1
-MM1025 N_VDD_M1025_d N_A_970_89#_M1025_g A_928_521# N_VDD_M1013_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75003.3 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1022 N_A_428_89#_M1022_d N_CK_M1022_g N_VDD_M1025_d N_VDD_M1013_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75003.7 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1000 N_A_970_89#_M1000_d N_A_808_115#_M1000_g N_VDD_M1000_s N_VDD_M1013_b
-+ PSHORT L=0.15 W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1004 N_VDD_M1004_d N_SN_M1004_g N_A_970_89#_M1000_d N_VDD_M1013_b PSHORT
-+ L=0.15 W=0.84 AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.6 SB=75000.2 A=0.126 P=1.98 MULT=1
-MM1008 N_VDD_M1008_d N_A_970_89#_M1008_g N_QN_M1008_s N_VDD_M1013_b PSHORT
-+ L=0.15 W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1024 N_Q_M1024_d N_QN_M1024_g N_VDD_M1008_d N_VDD_M1013_b PSHORT L=0.15 W=0.84
-+ AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6 SA=75000.6
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX30_noxref N_GND_M1014_b N_VDD_M1013_b NWDIODE A=18.0409 P=21.64
-pX31_noxref noxref_23 SN SN PROBETYPE=1
-pX32_noxref noxref_24 D D PROBETYPE=1
-pX33_noxref noxref_25 CK CK PROBETYPE=1
-pX34_noxref noxref_26 QN QN PROBETYPE=1
-pX35_noxref noxref_27 Q Q PROBETYPE=1
-c_1620 A_736_521# 0 1.57671e-19 $X=3.68 $Y=2.605
-*
-.include "sky130_osu_sc_12T_ms__dffs_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__dffs_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__dffs_l D CK Q QN SN
+M1000 a_970_89# a_808_115# vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2352p pd=2.24u as=2.1651p ps=20.29u
+M1001 gnd a_152_89# a_110_115# gnd nshort w=0.36u l=0.15u
++  ad=0.7206p pd=8.55u as=0.0756p ps=1.14u
+M1002 a_386_115# D gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1003 a_736_521# a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0p ps=0u
+M1004 vdd SN a_970_89# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 a_928_115# a_428_89# a_808_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1006 a_428_89# CK gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1007 a_1276_115# a_808_115# a_970_89# gnd nshort w=0.36u l=0.15u
++  ad=0.0756p pd=1.14u as=0.0954p ps=1.25u
+M1008 vdd a_970_89# QN vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.2226p ps=2.21u
+M1009 gnd a_970_89# a_928_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 gnd a_970_89# QN gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1011 a_578_521# a_428_89# a_152_89# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1012 a_808_115# CK a_736_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1013 a_27_115# SN vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2352p pd=2.24u as=0p ps=0u
+M1014 a_110_115# SN a_27_115# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1015 vdd a_27_115# a_578_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1016 a_736_115# a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1017 a_152_89# CK a_386_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1018 a_578_115# CK a_152_89# gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1019 a_386_521# D vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1020 gnd a_27_115# a_578_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1021 a_928_521# CK a_808_115# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1022 a_428_89# CK vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1023 a_152_89# a_428_89# a_386_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1024 Q QN vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0p ps=0u
+M1025 vdd a_970_89# a_928_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1026 gnd SN a_1276_115# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1027 vdd a_152_89# a_27_115# vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1028 Q QN gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0p ps=0u
+M1029 a_808_115# a_428_89# a_736_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__dffsr_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__dffsr_1.spice
index 1e5a38b..dba7589 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__dffsr_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__dffsr_1.spice
@@ -1,138 +1,76 @@
-* File: sky130_osu_sc_12T_ms__dffsr_1.spice
-* Created: Mon Nov 16 22:22:23 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__dffsr_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__dffsr_1  GND VDD RN SN D CK QN Q
-* 
-* Q	Q
-* QN	QN
-* CK	CK
-* D	D
-* SN	SN
-* RN	RN
-* VDD	VDD
-* GND	GND
-MM1016 N_A_110_115#_M1016_d N_RN_M1016_g N_GND_M1016_s N_GND_M1016_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1033 N_A_217_521#_M1033_d N_A_110_115#_M1033_g N_GND_M1033_s N_GND_M1016_b
-+ NSHORT L=0.15 W=0.36 AD=0.0674182 AS=0.0954 PD=0.703636 PS=1.25 NRD=19.992
-+ NRS=0 M=1 R=2.4 SA=75000.2 SB=75001 A=0.054 P=1.02 MULT=1
-MM1014 A_400_115# N_SN_M1014_g N_A_217_521#_M1033_d N_GND_M1016_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.0973818 PD=0.73 PS=1.01636 NRD=11.532 NRS=0 M=1
-+ R=3.46667 SA=75000.5 SB=75000.5 A=0.078 P=1.34 MULT=1
-MM1003 N_GND_M1003_d N_A_432_424#_M1003_g A_400_115# N_GND_M1016_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0546 PD=1.57 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75000.9 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1005 A_662_115# N_D_M1005_g N_GND_M1005_s N_GND_M1016_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75003.7 A=0.078 P=1.34 MULT=1
-MM1035 N_A_432_424#_M1035_d N_A_704_89#_M1035_g A_662_115# N_GND_M1016_b NSHORT
-+ L=0.15 W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1
-+ R=3.46667 SA=75000.5 SB=75003.3 A=0.078 P=1.34 MULT=1
-MM1024 A_854_115# N_CK_M1024_g N_A_432_424#_M1035_d N_GND_M1016_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1 R=3.46667
-+ SA=75001.1 SB=75002.7 A=0.078 P=1.34 MULT=1
-MM1018 N_GND_M1018_d N_A_217_521#_M1018_g A_854_115# N_GND_M1016_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75001.5 SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1023 A_1012_115# N_A_217_521#_M1023_g N_GND_M1018_d N_GND_M1016_b NSHORT
-+ L=0.15 W=0.52 AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1
-+ R=3.46667 SA=75001.9 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1019 N_A_1084_115#_M1019_d N_CK_M1019_g A_1012_115# N_GND_M1016_b NSHORT
-+ L=0.15 W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1
-+ R=3.46667 SA=75002.3 SB=75001.6 A=0.078 P=1.34 MULT=1
-MM1017 A_1204_115# N_A_704_89#_M1017_g N_A_1084_115#_M1019_d N_GND_M1016_b
-+ NSHORT L=0.15 W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608
-+ M=1 R=3.46667 SA=75002.9 SB=75001 A=0.078 P=1.34 MULT=1
-MM1006 N_GND_M1006_d N_A_1246_89#_M1006_g A_1204_115# N_GND_M1016_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1
-+ R=3.46667 SA=75003.3 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1009 N_A_704_89#_M1009_d N_CK_M1009_g N_GND_M1006_d N_GND_M1016_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75003.7 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1010 A_1552_115# N_A_1084_115#_M1010_g N_GND_M1010_s N_GND_M1016_b NSHORT
-+ L=0.15 W=0.52 AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1
-+ R=3.46667 SA=75000.2 SB=75000.9 A=0.078 P=1.34 MULT=1
-MM1004 N_A_1246_89#_M1004_d N_SN_M1004_g A_1552_115# N_GND_M1016_b NSHORT L=0.15
-+ W=0.52 AD=0.0973818 AS=0.0546 PD=1.01636 PS=0.73 NRD=0 NRS=11.532 M=1
-+ R=3.46667 SA=75000.5 SB=75000.5 A=0.078 P=1.34 MULT=1
-MM1020 N_GND_M1020_d N_A_110_115#_M1020_g N_A_1246_89#_M1004_d N_GND_M1016_b
-+ NSHORT L=0.15 W=0.36 AD=0.0954 AS=0.0674182 PD=1.25 PS=0.703636 NRD=0
-+ NRS=19.992 M=1 R=2.4 SA=75001 SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1007 N_GND_M1007_d N_A_1246_89#_M1007_g N_QN_M1007_s N_GND_M1016_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1008 N_Q_M1008_d N_QN_M1008_g N_GND_M1007_d N_GND_M1016_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_A_110_115#_M1001_d N_RN_M1001_g N_VDD_M1001_s N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1000 N_A_300_521#_M1000_d N_A_110_115#_M1000_g N_A_217_521#_M1000_s
-+ N_VDD_M1001_b PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0
-+ NRS=0 M=1 R=8.4 SA=75000.2 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1025 N_VDD_M1025_d N_SN_M1025_g N_A_300_521#_M1000_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.6 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1027 N_A_300_521#_M1027_d N_A_432_424#_M1027_g N_VDD_M1025_d N_VDD_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75001.1 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1028 A_662_521# N_D_M1028_g N_VDD_M1028_s N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.3339 PD=1.47 PS=3.05 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75003.7 A=0.189 P=2.82 MULT=1
-MM1021 N_A_432_424#_M1021_d N_CK_M1021_g A_662_521# N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1 R=8.4
-+ SA=75000.6 SB=75003.3 A=0.189 P=2.82 MULT=1
-MM1015 A_854_521# N_A_704_89#_M1015_g N_A_432_424#_M1021_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1
-+ R=8.4 SA=75001.1 SB=75002.7 A=0.189 P=2.82 MULT=1
-MM1011 N_VDD_M1011_d N_A_217_521#_M1011_g A_854_521# N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75001.5 SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1013 A_1012_521# N_A_217_521#_M1013_g N_VDD_M1011_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4
-+ SA=75001.9 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1012 N_A_1084_115#_M1012_d N_A_704_89#_M1012_g A_1012_521# N_VDD_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778
-+ NRS=7.8012 M=1 R=8.4 SA=75002.3 SB=75001.6 A=0.189 P=2.82 MULT=1
-MM1002 A_1204_521# N_CK_M1002_g N_A_1084_115#_M1012_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1
-+ R=8.4 SA=75002.9 SB=75001 A=0.189 P=2.82 MULT=1
-MM1029 N_VDD_M1029_d N_A_1246_89#_M1029_g A_1204_521# N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75003.3 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1031 N_A_704_89#_M1031_d N_CK_M1031_g N_VDD_M1029_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75003.7 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1034 N_VDD_M1034_d N_A_1084_115#_M1034_g N_A_1469_521#_M1034_s N_VDD_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1022 N_A_1469_521#_M1022_d N_SN_M1022_g N_VDD_M1034_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.6 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1026 N_A_1246_89#_M1026_d N_A_110_115#_M1026_g N_A_1469_521#_M1022_d
-+ N_VDD_M1001_b PSHORT L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0
-+ NRS=0 M=1 R=8.4 SA=75001.1 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1030 N_VDD_M1030_d N_A_1246_89#_M1030_g N_QN_M1030_s N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1032 N_Q_M1032_d N_QN_M1032_g N_VDD_M1030_d N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX36_noxref N_GND_M1016_b N_VDD_M1001_b NWDIODE A=21.63 P=25.12
-pX37_noxref noxref_27 RN RN PROBETYPE=1
-pX38_noxref noxref_28 D D PROBETYPE=1
-pX39_noxref noxref_29 CK CK PROBETYPE=1
-pX40_noxref noxref_30 SN SN PROBETYPE=1
-pX41_noxref noxref_31 QN QN PROBETYPE=1
-pX42_noxref noxref_32 Q Q PROBETYPE=1
-c_2158 A_1012_521# 0 1.57671e-19 $X=5.06 $Y=2.605
-*
-.include "sky130_osu_sc_12T_ms__dffsr_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__dffsr_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__dffsr_1 D CK QN RN Q SN
+M1000 a_300_521# a_110_115# a_217_521# vdd pshort w=1.26u l=0.15u
++  ad=0.6867p pd=6.13u as=0.3339p ps=3.05u
+M1001 a_110_115# RN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=2.4318p ps=21.5u
+M1002 a_1204_521# CK a_1084_115# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1003 gnd a_432_424# a_400_115# gnd nshort w=0.52u l=0.15u
++  ad=1.1788p pd=13.58u as=0.1092p ps=1.46u
+M1004 a_1246_89# SN a_1552_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1648p pd=1.72u as=0.1092p ps=1.46u
+M1005 a_662_115# D gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1006 gnd a_1246_89# a_1204_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1007 gnd a_1246_89# QN gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1008 Q QN gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1009 a_704_89# CK gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1010 a_1552_115# a_1084_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 vdd a_217_521# a_854_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1012 a_1084_115# a_704_89# a_1012_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1013 a_1012_521# a_217_521# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1014 a_400_115# SN a_217_521# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1648p ps=1.72u
+M1015 a_854_521# a_704_89# a_432_424# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.567p ps=3.42u
+M1016 a_110_115# RN gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1017 a_1204_115# a_704_89# a_1084_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.234p ps=1.94u
+M1018 gnd a_217_521# a_854_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1019 a_1084_115# CK a_1012_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1020 gnd a_110_115# a_1246_89# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1021 a_432_424# CK a_662_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1022 a_1469_521# SN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.6867p pd=6.13u as=0p ps=0u
+M1023 a_1012_115# a_217_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1024 a_854_115# CK a_432_424# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.234p ps=1.94u
+M1025 vdd SN a_300_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1026 a_1246_89# a_110_115# a_1469_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1027 a_300_521# a_432_424# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1028 a_662_521# D vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1029 vdd a_1246_89# a_1204_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1030 vdd a_1246_89# QN vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.3339p ps=3.05u
+M1031 a_704_89# CK vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1032 Q QN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1033 a_217_521# a_110_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1034 vdd a_1084_115# a_1469_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1035 a_432_424# a_704_89# a_662_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__dffsr_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__dffsr_l.spice
index ea7a5cb..aeb8927 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__dffsr_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__dffsr_l.spice
@@ -1,138 +1,76 @@
-* File: sky130_osu_sc_12T_ms__dffsr_l.spice
-* Created: Mon Nov 16 22:22:29 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__dffsr_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__dffsr_l  GND VDD RN SN D CK QN Q
-* 
-* Q	Q
-* QN	QN
-* CK	CK
-* D	D
-* SN	SN
-* RN	RN
-* VDD	VDD
-* GND	GND
-MM1018 N_A_110_115#_M1018_d N_RN_M1018_g N_GND_M1018_s N_GND_M1018_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1033 N_A_217_521#_M1033_d N_A_110_115#_M1033_g N_GND_M1033_s N_GND_M1018_b
-+ NSHORT L=0.15 W=0.36 AD=0.0674182 AS=0.0954 PD=0.703636 PS=1.25 NRD=19.992
-+ NRS=0 M=1 R=2.4 SA=75000.2 SB=75001 A=0.054 P=1.02 MULT=1
-MM1016 A_400_115# N_SN_M1016_g N_A_217_521#_M1033_d N_GND_M1018_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.0973818 PD=0.73 PS=1.01636 NRD=11.532 NRS=0 M=1
-+ R=3.46667 SA=75000.5 SB=75000.5 A=0.078 P=1.34 MULT=1
-MM1005 N_GND_M1005_d N_A_432_424#_M1005_g A_400_115# N_GND_M1018_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0546 PD=1.57 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75000.9 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1007 A_662_115# N_D_M1007_g N_GND_M1007_s N_GND_M1018_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75003.7 A=0.078 P=1.34 MULT=1
-MM1035 N_A_432_424#_M1035_d N_A_704_89#_M1035_g A_662_115# N_GND_M1018_b NSHORT
-+ L=0.15 W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1
-+ R=3.46667 SA=75000.5 SB=75003.3 A=0.078 P=1.34 MULT=1
-MM1026 A_854_115# N_CK_M1026_g N_A_432_424#_M1035_d N_GND_M1018_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1 R=3.46667
-+ SA=75001.1 SB=75002.7 A=0.078 P=1.34 MULT=1
-MM1020 N_GND_M1020_d N_A_217_521#_M1020_g A_854_115# N_GND_M1018_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667
-+ SA=75001.5 SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1025 A_1012_115# N_A_217_521#_M1025_g N_GND_M1020_d N_GND_M1018_b NSHORT
-+ L=0.15 W=0.52 AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1
-+ R=3.46667 SA=75001.9 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1021 N_A_1084_115#_M1021_d N_CK_M1021_g A_1012_115# N_GND_M1018_b NSHORT
-+ L=0.15 W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1
-+ R=3.46667 SA=75002.3 SB=75001.6 A=0.078 P=1.34 MULT=1
-MM1019 A_1204_115# N_A_704_89#_M1019_g N_A_1084_115#_M1021_d N_GND_M1018_b
-+ NSHORT L=0.15 W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608
-+ M=1 R=3.46667 SA=75002.9 SB=75001 A=0.078 P=1.34 MULT=1
-MM1008 N_GND_M1008_d N_A_1246_89#_M1008_g A_1204_115# N_GND_M1018_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1
-+ R=3.46667 SA=75003.3 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1011 N_A_704_89#_M1011_d N_CK_M1011_g N_GND_M1008_d N_GND_M1018_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75003.7 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1012 A_1552_115# N_A_1084_115#_M1012_g N_GND_M1012_s N_GND_M1018_b NSHORT
-+ L=0.15 W=0.52 AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1
-+ R=3.46667 SA=75000.2 SB=75000.9 A=0.078 P=1.34 MULT=1
-MM1006 N_A_1246_89#_M1006_d N_SN_M1006_g A_1552_115# N_GND_M1018_b NSHORT L=0.15
-+ W=0.52 AD=0.0973818 AS=0.0546 PD=1.01636 PS=0.73 NRD=0 NRS=11.532 M=1
-+ R=3.46667 SA=75000.5 SB=75000.5 A=0.078 P=1.34 MULT=1
-MM1022 N_GND_M1022_d N_A_110_115#_M1022_g N_A_1246_89#_M1006_d N_GND_M1018_b
-+ NSHORT L=0.15 W=0.36 AD=0.0954 AS=0.0674182 PD=1.25 PS=0.703636 NRD=0
-+ NRS=19.992 M=1 R=2.4 SA=75001 SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1009 N_GND_M1009_d N_A_1246_89#_M1009_g N_QN_M1009_s N_GND_M1018_b NSHORT
-+ L=0.15 W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1010 N_Q_M1010_d N_QN_M1010_g N_GND_M1009_d N_GND_M1018_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0504 PD=1.25 PS=0.64 NRD=0 NRS=0 M=1 R=2.4 SA=75000.6
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1001 N_A_110_115#_M1001_d N_RN_M1001_g N_VDD_M1001_s N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1000 N_A_300_521#_M1000_d N_A_110_115#_M1000_g N_A_217_521#_M1000_s
-+ N_VDD_M1001_b PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0
-+ NRS=0 M=1 R=8.4 SA=75000.2 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1027 N_VDD_M1027_d N_SN_M1027_g N_A_300_521#_M1000_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.6 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1029 N_A_300_521#_M1029_d N_A_432_424#_M1029_g N_VDD_M1027_d N_VDD_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75001.1 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1030 A_662_521# N_D_M1030_g N_VDD_M1030_s N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.3339 PD=1.47 PS=3.05 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75003.7 A=0.189 P=2.82 MULT=1
-MM1023 N_A_432_424#_M1023_d N_CK_M1023_g A_662_521# N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1 R=8.4
-+ SA=75000.6 SB=75003.3 A=0.189 P=2.82 MULT=1
-MM1017 A_854_521# N_A_704_89#_M1017_g N_A_432_424#_M1023_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1
-+ R=8.4 SA=75001.1 SB=75002.7 A=0.189 P=2.82 MULT=1
-MM1013 N_VDD_M1013_d N_A_217_521#_M1013_g A_854_521# N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75001.5 SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1015 A_1012_521# N_A_217_521#_M1015_g N_VDD_M1013_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4
-+ SA=75001.9 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1014 N_A_1084_115#_M1014_d N_A_704_89#_M1014_g A_1012_521# N_VDD_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778
-+ NRS=7.8012 M=1 R=8.4 SA=75002.3 SB=75001.6 A=0.189 P=2.82 MULT=1
-MM1002 A_1204_521# N_CK_M1002_g N_A_1084_115#_M1014_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1
-+ R=8.4 SA=75002.9 SB=75001 A=0.189 P=2.82 MULT=1
-MM1031 N_VDD_M1031_d N_A_1246_89#_M1031_g A_1204_521# N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4
-+ SA=75003.3 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1032 N_A_704_89#_M1032_d N_CK_M1032_g N_VDD_M1031_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75003.7 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1034 N_VDD_M1034_d N_A_1084_115#_M1034_g N_A_1469_521#_M1034_s N_VDD_M1001_b
-+ PSHORT L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1024 N_A_1469_521#_M1024_d N_SN_M1024_g N_VDD_M1034_d N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.6 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1028 N_A_1246_89#_M1028_d N_A_110_115#_M1028_g N_A_1469_521#_M1024_d
-+ N_VDD_M1001_b PSHORT L=0.15 W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0
-+ NRS=0 M=1 R=8.4 SA=75001.1 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1003 N_VDD_M1003_d N_A_1246_89#_M1003_g N_QN_M1003_s N_VDD_M1001_b PSHORT
-+ L=0.15 W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1004 N_Q_M1004_d N_QN_M1004_g N_VDD_M1003_d N_VDD_M1001_b PSHORT L=0.15 W=0.84
-+ AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6 SA=75000.6
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX36_noxref N_GND_M1018_b N_VDD_M1001_b NWDIODE A=21.63 P=25.12
-pX37_noxref noxref_27 RN RN PROBETYPE=1
-pX38_noxref noxref_28 D D PROBETYPE=1
-pX39_noxref noxref_29 CK CK PROBETYPE=1
-pX40_noxref noxref_30 SN SN PROBETYPE=1
-pX41_noxref noxref_31 QN QN PROBETYPE=1
-pX42_noxref noxref_32 Q Q PROBETYPE=1
-c_2168 A_1012_521# 0 1.57671e-19 $X=5.06 $Y=2.605
-*
-.include "sky130_osu_sc_12T_ms__dffsr_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__dffsr_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__dffsr_l D CK QN RN Q SN
+M1000 a_300_521# a_110_115# a_217_521# vdd pshort w=1.26u l=0.15u
++  ad=0.6867p pd=6.13u as=0.3339p ps=3.05u
+M1001 a_110_115# RN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=2.3142p ps=20.66u
+M1002 a_1204_521# CK a_1084_115# vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1003 vdd a_1246_89# QN vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.2226p ps=2.21u
+M1004 Q QN vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0p ps=0u
+M1005 gnd a_432_424# a_400_115# gnd nshort w=0.52u l=0.15u
++  ad=1.134p pd=13.26u as=0.1092p ps=1.46u
+M1006 a_1246_89# SN a_1552_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1648p pd=1.72u as=0.1092p ps=1.46u
+M1007 a_662_115# D gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0p ps=0u
+M1008 gnd a_1246_89# a_1204_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1009 gnd a_1246_89# QN gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1010 Q QN gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0p ps=0u
+M1011 a_704_89# CK gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1012 a_1552_115# a_1084_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 vdd a_217_521# a_854_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1014 a_1084_115# a_704_89# a_1012_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1015 a_1012_521# a_217_521# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1016 a_400_115# SN a_217_521# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1648p ps=1.72u
+M1017 a_854_521# a_704_89# a_432_424# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.567p ps=3.42u
+M1018 a_110_115# RN gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1019 a_1204_115# a_704_89# a_1084_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.234p ps=1.94u
+M1020 gnd a_217_521# a_854_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1021 a_1084_115# CK a_1012_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1092p ps=1.46u
+M1022 gnd a_110_115# a_1246_89# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1023 a_432_424# CK a_662_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1024 a_1469_521# SN vdd vdd pshort w=1.26u l=0.15u
++  ad=0.6867p pd=6.13u as=0p ps=0u
+M1025 a_1012_115# a_217_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1026 a_854_115# CK a_432_424# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.234p ps=1.94u
+M1027 vdd SN a_300_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1028 a_1246_89# a_110_115# a_1469_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1029 a_300_521# a_432_424# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1030 a_662_521# D vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1031 vdd a_1246_89# a_1204_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1032 a_704_89# CK vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1033 a_217_521# a_110_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1034 vdd a_1084_115# a_1469_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1035 a_432_424# a_704_89# a_662_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__fill_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_1.spice
new file mode 100644
index 0000000..d2daf44
--- /dev/null
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_1.spice
@@ -0,0 +1,2 @@
+* SPICE3 file created from sky130_osu_sc_12T_ms__fill_1.ext - technology: sky130A
+
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__fill_16.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_16.spice
new file mode 100644
index 0000000..bd6254d
--- /dev/null
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_16.spice
@@ -0,0 +1,2 @@
+* SPICE3 file created from sky130_osu_sc_12T_ms__fill_16.ext - technology: sky130A
+
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__fill_2.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_2.spice
new file mode 100644
index 0000000..e1ed512
--- /dev/null
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_2.spice
@@ -0,0 +1,2 @@
+* SPICE3 file created from sky130_osu_sc_12T_ms__fill_2.ext - technology: sky130A
+
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__fill_32.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_32.spice
new file mode 100644
index 0000000..e09ed1f
--- /dev/null
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_32.spice
@@ -0,0 +1,2 @@
+* SPICE3 file created from sky130_osu_sc_12T_ms__fill_32.ext - technology: sky130A
+
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__fill_4.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_4.spice
new file mode 100644
index 0000000..62af576
--- /dev/null
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_4.spice
@@ -0,0 +1,2 @@
+* SPICE3 file created from sky130_osu_sc_12T_ms__fill_4.ext - technology: sky130A
+
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__fill_8.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_8.spice
new file mode 100644
index 0000000..2269800
--- /dev/null
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__fill_8.spice
@@ -0,0 +1,2 @@
+* SPICE3 file created from sky130_osu_sc_12T_ms__fill_8.ext - technology: sky130A
+
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_1.spice
index d39e750..e5556cb 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_1.spice
@@ -1,27 +1,8 @@
-* File: sky130_osu_sc_12T_ms__inv_1.spice
-* Created: Mon Nov 16 22:22:46 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__inv_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__inv_1  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1001 N_Y_M1001_d N_A_M1001_g N_GND_M1001_s N_GND_M1001_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_M1000_g N_VDD_M1000_s N_VDD_M1000_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX2_noxref N_GND_M1001_b N_VDD_M1000_b NWDIODE A=2.1424 P=6.2
-pX3_noxref noxref_5 A A PROBETYPE=1
-pX4_noxref noxref_6 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__inv_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__inv_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__inv_1 Y A
+M1000 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0.3339p ps=3.05u
+M1001 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0.1378p ps=1.57u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_10.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_10.spice
index b13255e..c7b1c71 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_10.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_10.spice
@@ -1,81 +1,44 @@
-* File: sky130_osu_sc_12T_ms__inv_10.spice
-* Created: Mon Nov 16 22:22:40 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__inv_10.pex.spice"
-.subckt sky130_osu_sc_12T_ms__inv_10  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1000 N_GND_M1000_d N_A_M1000_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75004.1 A=0.078 P=1.34 MULT=1
-MM1001 N_GND_M1001_d N_A_M1001_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75003.6 A=0.078 P=1.34 MULT=1
-MM1007 N_GND_M1001_d N_A_M1007_g N_Y_M1007_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75003.2 A=0.078 P=1.34 MULT=1
-MM1008 N_GND_M1008_d N_A_M1008_g N_Y_M1007_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75002.8 A=0.078 P=1.34 MULT=1
-MM1010 N_GND_M1008_d N_A_M1010_g N_Y_M1010_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.9
-+ SB=75002.3 A=0.078 P=1.34 MULT=1
-MM1013 N_GND_M1013_d N_A_M1013_g N_Y_M1010_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.3
-+ SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1015 N_GND_M1013_d N_A_M1015_g N_Y_M1015_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.8
-+ SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1017 N_GND_M1017_d N_A_M1017_g N_Y_M1015_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75003.2
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1018 N_GND_M1017_d N_A_M1018_g N_Y_M1018_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75003.6
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1019 N_GND_M1019_d N_A_M1019_g N_Y_M1018_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75004.1
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 N_Y_M1002_d N_A_M1002_g N_VDD_M1002_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75004.1 A=0.189 P=2.82 MULT=1
-MM1003 N_Y_M1002_d N_A_M1003_g N_VDD_M1003_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75003.6 A=0.189 P=2.82 MULT=1
-MM1004 N_Y_M1004_d N_A_M1004_g N_VDD_M1003_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75003.2 A=0.189 P=2.82 MULT=1
-MM1005 N_Y_M1004_d N_A_M1005_g N_VDD_M1005_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75002.8 A=0.189 P=2.82 MULT=1
-MM1006 N_Y_M1006_d N_A_M1006_g N_VDD_M1005_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.9
-+ SB=75002.3 A=0.189 P=2.82 MULT=1
-MM1009 N_Y_M1006_d N_A_M1009_g N_VDD_M1009_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.3
-+ SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1011 N_Y_M1011_d N_A_M1011_g N_VDD_M1009_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.8
-+ SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1012 N_Y_M1011_d N_A_M1012_g N_VDD_M1012_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75003.2
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1014 N_Y_M1014_d N_A_M1014_g N_VDD_M1012_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75003.6
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1016 N_Y_M1014_d N_A_M1016_g N_VDD_M1016_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75004.1
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX20_noxref N_GND_M1000_b N_VDD_M1002_b NWDIODE A=10.2897 P=14.11
-pX21_noxref noxref_5 A A PROBETYPE=1
-pX22_noxref noxref_6 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__inv_10.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__inv_10.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__inv_10 Y A
+M1000 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0.858p pd=9.54u as=0.728p ps=8u
+M1001 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1002 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=1.764p pd=15.4u as=2.079p ps=18.42u
+M1003 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1008 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1014 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1015 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1016 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1017 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1018 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1019 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_2.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_2.spice
index aafd30d..694bc3e 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_2.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_2.spice
@@ -1,33 +1,12 @@
-* File: sky130_osu_sc_12T_ms__inv_2.spice
-* Created: Mon Nov 16 22:22:52 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__inv_2.pex.spice"
-.subckt sky130_osu_sc_12T_ms__inv_2  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1000 N_GND_M1000_d N_A_M1000_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1002 N_GND_M1002_d N_A_M1002_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_Y_M1001_d N_A_M1001_g N_VDD_M1001_s N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1003 N_Y_M1001_d N_A_M1003_g N_VDD_M1003_s N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX4_noxref N_GND_M1000_b N_VDD_M1001_b NWDIODE A=3.0488 P=7.08
-pX5_noxref noxref_5 A A PROBETYPE=1
-pX6_noxref noxref_6 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__inv_2.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__inv_2.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__inv_2 Y A
+M1000 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0.2756p pd=3.14u as=0.1456p ps=1.6u
+M1001 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.6678p ps=6.1u
+M1002 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1003 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_3.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_3.spice
index 4440a66..ac6b402 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_3.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_3.spice
@@ -1,39 +1,16 @@
-* File: sky130_osu_sc_12T_ms__inv_3.spice
-* Created: Mon Nov 16 22:22:57 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__inv_3.pex.spice"
-.subckt sky130_osu_sc_12T_ms__inv_3  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1000 N_GND_M1000_d N_A_M1000_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1001 N_GND_M1001_d N_A_M1001_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1003 N_GND_M1001_d N_A_M1003_g N_Y_M1003_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 N_Y_M1002_d N_A_M1002_g N_VDD_M1002_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1004 N_Y_M1002_d N_A_M1004_g N_VDD_M1004_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1005 N_Y_M1005_d N_A_M1005_g N_VDD_M1004_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX6_noxref N_GND_M1000_b N_VDD_M1002_b NWDIODE A=3.9552 P=7.96
-pX7_noxref noxref_5 A A PROBETYPE=1
-pX8_noxref noxref_6 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__inv_3.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__inv_3.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__inv_3 Y A
+M1000 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0.2834p pd=3.17u as=0.2834p ps=3.17u
+M1001 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1002 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0.6867p pd=6.13u as=0.6867p ps=6.13u
+M1003 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_4.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_4.spice
index c207a27..3e18fe6 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_4.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_4.spice
@@ -1,45 +1,20 @@
-* File: sky130_osu_sc_12T_ms__inv_4.spice
-* Created: Mon Nov 16 22:23:03 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__inv_4.pex.spice"
-.subckt sky130_osu_sc_12T_ms__inv_4  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1000 N_GND_M1000_d N_A_M1000_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1001 N_GND_M1001_d N_A_M1001_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1003 N_GND_M1001_d N_A_M1003_g N_Y_M1003_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1007 N_GND_M1007_d N_A_M1007_g N_Y_M1003_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 N_Y_M1002_d N_A_M1002_g N_VDD_M1002_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1004 N_Y_M1002_d N_A_M1004_g N_VDD_M1004_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1005 N_Y_M1005_d N_A_M1005_g N_VDD_M1004_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1006 N_Y_M1005_d N_A_M1006_g N_VDD_M1006_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX8_noxref N_GND_M1000_b N_VDD_M1002_b NWDIODE A=4.8616 P=8.84
-pX9_noxref noxref_5 A A PROBETYPE=1
-pX10_noxref noxref_6 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__inv_4.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__inv_4.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__inv_4 A Y
+M1000 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0.4212p pd=4.74u as=0.2912p ps=3.2u
+M1001 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1002 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0.7056p pd=6.16u as=1.0206p ps=9.18u
+M1003 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_6.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_6.spice
index 0fc37f8..4b34b7f 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_6.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_6.spice
@@ -1,57 +1,28 @@
-* File: sky130_osu_sc_12T_ms__inv_6.spice
-* Created: Mon Nov 16 22:23:09 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__inv_6.pex.spice"
-.subckt sky130_osu_sc_12T_ms__inv_6  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1000 N_GND_M1000_d N_A_M1000_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75002.3 A=0.078 P=1.34 MULT=1
-MM1001 N_GND_M1001_d N_A_M1001_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1003 N_GND_M1001_d N_A_M1003_g N_Y_M1003_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1009 N_GND_M1009_d N_A_M1009_g N_Y_M1003_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1010 N_GND_M1009_d N_A_M1010_g N_Y_M1010_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.9
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1011 N_GND_M1011_d N_A_M1011_g N_Y_M1010_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.3
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 N_Y_M1002_d N_A_M1002_g N_VDD_M1002_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75002.3 A=0.189 P=2.82 MULT=1
-MM1004 N_Y_M1002_d N_A_M1004_g N_VDD_M1004_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1005 N_Y_M1005_d N_A_M1005_g N_VDD_M1004_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1006 N_Y_M1005_d N_A_M1006_g N_VDD_M1006_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1007 N_Y_M1007_d N_A_M1007_g N_VDD_M1006_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.9
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1008 N_Y_M1007_d N_A_M1008_g N_VDD_M1008_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75002.3
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX12_noxref N_GND_M1000_b N_VDD_M1002_b NWDIODE A=6.6744 P=10.6
-pX13_noxref noxref_5 A A PROBETYPE=1
-pX14_noxref noxref_6 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__inv_6.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__inv_6.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__inv_6 A Y
+M1000 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0.5668p pd=6.34u as=0.4368p ps=4.8u
+M1001 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1002 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=1.0584p pd=9.24u as=1.3734p ps=12.26u
+M1003 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1008 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_8.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_8.spice
index 50ed4cd..336fabd 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_8.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_8.spice
@@ -1,69 +1,36 @@
-* File: sky130_osu_sc_12T_ms__inv_8.spice
-* Created: Mon Nov 16 22:23:14 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__inv_8.pex.spice"
-.subckt sky130_osu_sc_12T_ms__inv_8  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1000 N_GND_M1000_d N_A_M1000_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75003.2 A=0.078 P=1.34 MULT=1
-MM1001 N_GND_M1001_d N_A_M1001_g N_Y_M1000_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75002.8 A=0.078 P=1.34 MULT=1
-MM1005 N_GND_M1001_d N_A_M1005_g N_Y_M1005_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75002.3 A=0.078 P=1.34 MULT=1
-MM1009 N_GND_M1009_d N_A_M1009_g N_Y_M1005_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1011 N_GND_M1009_d N_A_M1011_g N_Y_M1011_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.9
-+ SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1013 N_GND_M1013_d N_A_M1013_g N_Y_M1011_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.3
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1014 N_GND_M1013_d N_A_M1014_g N_Y_M1014_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.8
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1015 N_GND_M1015_d N_A_M1015_g N_Y_M1014_s N_GND_M1000_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75003.2
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 N_Y_M1002_d N_A_M1002_g N_VDD_M1002_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75003.2 A=0.189 P=2.82 MULT=1
-MM1003 N_Y_M1002_d N_A_M1003_g N_VDD_M1003_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75002.8 A=0.189 P=2.82 MULT=1
-MM1004 N_Y_M1004_d N_A_M1004_g N_VDD_M1003_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75002.3 A=0.189 P=2.82 MULT=1
-MM1006 N_Y_M1004_d N_A_M1006_g N_VDD_M1006_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1007 N_Y_M1007_d N_A_M1007_g N_VDD_M1006_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.9
-+ SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1008 N_Y_M1007_d N_A_M1008_g N_VDD_M1008_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.3
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1010 N_Y_M1010_d N_A_M1010_g N_VDD_M1008_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.8
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1012 N_Y_M1010_d N_A_M1012_g N_VDD_M1012_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75003.2
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX16_noxref N_GND_M1000_b N_VDD_M1002_b NWDIODE A=8.4769 P=12.35
-pX17_noxref noxref_5 A A PROBETYPE=1
-pX18_noxref noxref_6 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__inv_8.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__inv_8.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__inv_8 Y A
+M1000 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0.7124p pd=7.94u as=0.5824p ps=6.4u
+M1001 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1002 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=1.4112p pd=12.32u as=1.7262p ps=15.34u
+M1003 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1008 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 vdd A Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1014 Y A gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1015 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_l.spice
index d4d8953..34c516d 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__inv_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__inv_l.spice
@@ -1,27 +1,8 @@
-* File: sky130_osu_sc_12T_ms__inv_l.spice
-* Created: Mon Nov 16 22:23:20 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__inv_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__inv_l  GND VDD A Y
-* 
-* Y	Y
-* A	A
-* VDD	VDD
-* GND	GND
-MM1001 N_Y_M1001_d N_A_M1001_g N_GND_M1001_s N_GND_M1001_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0954 PD=1.25 PS=1.25 NRD=0 NRS=0 M=1 R=2.4 SA=75000.2
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1000 N_Y_M1000_d N_A_M1000_g N_VDD_M1000_s N_VDD_M1000_b PSHORT L=0.15 W=0.84
-+ AD=0.2226 AS=0.2226 PD=2.21 PS=2.21 NRD=0 NRS=0 M=1 R=5.6 SA=75000.2
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX2_noxref N_GND_M1001_b N_VDD_M1000_b NWDIODE A=2.132 P=6.18
-pX3_noxref noxref_5 A A PROBETYPE=1
-pX4_noxref noxref_6 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__inv_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__inv_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__inv_l A Y
+M1000 Y A vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0.2226p ps=2.21u
+M1001 Y A gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0.0954p ps=1.25u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__mux2_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__mux2_1.spice
index ffdfa57..252715b 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__mux2_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__mux2_1.spice
@@ -1,43 +1,16 @@
-* File: sky130_osu_sc_12T_ms__mux2_1.spice
-* Created: Mon Nov 16 22:23:26 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__mux2_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__mux2_1  GND VDD S0 A0 Y A1
-* 
-* A1	A1
-* Y	Y
-* A0	A0
-* S0	S0
-* VDD	VDD
-* GND	GND
-MM1004 N_A_110_115#_M1004_d N_S0_M1004_g N_GND_M1004_s N_GND_M1004_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1003 N_Y_M1003_d N_A_110_115#_M1003_g N_A0_M1003_s N_GND_M1004_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1002 N_A1_M1002_d N_S0_M1002_g N_Y_M1003_d N_GND_M1004_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_A_110_115#_M1001_d N_S0_M1001_g N_VDD_M1001_s N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.2 A=0.189 P=2.82 MULT=1
-MM1000 N_Y_M1000_d N_S0_M1000_g N_A0_M1000_s N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1005 N_A1_M1005_d N_A_110_115#_M1005_g N_Y_M1000_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX6_noxref N_GND_M1004_b N_VDD_M1001_b NWDIODE A=5.7886 P=9.74
-pX7_noxref noxref_8 S0 S0 PROBETYPE=1
-pX8_noxref noxref_9 A0 A0 PROBETYPE=1
-pX9_noxref noxref_10 Y Y PROBETYPE=1
-pX10_noxref noxref_11 A1 A1 PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__mux2_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__mux2_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__mux2_1 S0 Y A0 A1
+M1000 Y S0 A0 vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.3339p ps=3.05u
+M1001 a_110_115# S0 vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0.3339p ps=3.05u
+M1002 A1 S0 Y gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0.1456p ps=1.6u
+M1003 Y a_110_115# A0 gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1004 a_110_115# S0 gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0.1378p ps=1.57u
+M1005 A1 a_110_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__nand2_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__nand2_1.spice
index 1137112..02d3cfe 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__nand2_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__nand2_1.spice
@@ -1,36 +1,12 @@
-* File: sky130_osu_sc_12T_ms__nand2_1.spice
-* Created: Mon Nov 16 22:23:31 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__nand2_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__nand2_1  GND VDD A B Y
-* 
-* Y	Y
-* B	B
-* A	A
-* VDD	VDD
-* GND	GND
-MM1002 A_110_115# N_A_M1002_g N_Y_M1002_s N_GND_M1002_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.1378 PD=0.73 PS=1.57 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75000.5 A=0.078 P=1.34 MULT=1
-MM1001 N_GND_M1001_d N_B_M1001_g A_110_115# N_GND_M1002_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0546 PD=1.57 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75000.5
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_M1000_g N_VDD_M1000_s N_VDD_M1000_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1003 N_VDD_M1003_d N_B_M1003_g N_Y_M1000_d N_VDD_M1000_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX4_noxref N_GND_M1002_b N_VDD_M1000_b NWDIODE A=3.0385 P=7.07
-pX5_noxref noxref_7 A A PROBETYPE=1
-pX6_noxref noxref_8 Y Y PROBETYPE=1
-pX7_noxref noxref_9 B B PROBETYPE=1
-c_161 A_110_115# 0 4.69618e-20 $X=0.55 $Y=0.575
-*
-.include "sky130_osu_sc_12T_ms__nand2_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__nand2_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__nand2_1 Y A B
+M1000 Y A vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.6678p ps=6.1u
+M1001 gnd B a_110_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0.1092p ps=1.46u
+M1002 a_110_115# A Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1003 vdd B Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__nand2_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__nand2_l.spice
index dbc4b31..1006ce5 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__nand2_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__nand2_l.spice
@@ -1,35 +1,12 @@
-* File: sky130_osu_sc_12T_ms__nand2_l.spice
-* Created: Mon Nov 16 22:23:37 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__nand2_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__nand2_l  GND VDD A B Y
-* 
-* Y	Y
-* B	B
-* A	A
-* VDD	VDD
-* GND	GND
-MM1002 A_110_115# N_A_M1002_g N_Y_M1002_s N_GND_M1002_b NSHORT L=0.15 W=0.36
-+ AD=0.0378 AS=0.0954 PD=0.57 PS=1.25 NRD=16.656 NRS=0 M=1 R=2.4 SA=75000.2
-+ SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1000 N_GND_M1000_d N_B_M1000_g A_110_115# N_GND_M1002_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0378 PD=1.25 PS=0.57 NRD=0 NRS=16.656 M=1 R=2.4 SA=75000.6
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1001 N_Y_M1001_d N_A_M1001_g N_VDD_M1001_s N_VDD_M1001_b PSHORT L=0.15 W=0.84
-+ AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6 SA=75000.2
-+ SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1003 N_VDD_M1003_d N_B_M1003_g N_Y_M1001_d N_VDD_M1001_b PSHORT L=0.15 W=0.84
-+ AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6 SA=75000.6
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX4_noxref N_GND_M1002_b N_VDD_M1001_b NWDIODE A=2.49275 P=6.33
-pX5_noxref noxref_7 A A PROBETYPE=1
-pX6_noxref noxref_8 Y Y PROBETYPE=1
-pX7_noxref noxref_9 B B PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__nand2_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__nand2_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__nand2_l Y B A
+M1000 gnd B a_110_115# gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0.0756p ps=1.14u
+M1001 Y A vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2352p pd=2.24u as=0.4452p ps=4.42u
+M1002 a_110_115# A Y gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1003 vdd B Y vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__nor2_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__nor2_1.spice
index 24f0054..f93bc4f 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__nor2_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__nor2_1.spice
@@ -1,35 +1,12 @@
-* File: sky130_osu_sc_12T_ms__nor2_1.spice
-* Created: Mon Nov 16 22:23:42 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__nor2_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__nor2_1  GND VDD B A Y
-* 
-* Y	Y
-* A	A
-* B	B
-* VDD	VDD
-* GND	GND
-MM1002 N_Y_M1002_d N_B_M1002_g N_GND_M1002_s N_GND_M1002_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1000 N_GND_M1000_d N_A_M1000_g N_Y_M1002_d N_GND_M1002_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 A_110_521# N_B_M1001_g N_Y_M1001_s N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.3339 PD=1.47 PS=3.05 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1003 N_VDD_M1003_d N_A_M1003_g A_110_521# N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.1323 PD=3.05 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4 SA=75000.6
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX4_noxref N_GND_M1002_b N_VDD_M1001_b NWDIODE A=3.0385 P=7.07
-pX5_noxref noxref_7 B B PROBETYPE=1
-pX6_noxref noxref_8 Y Y PROBETYPE=1
-pX7_noxref noxref_9 A A PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__nor2_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__nor2_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__nor2_1 Y B A
+M1000 gnd A Y gnd nshort w=0.52u l=0.15u
++  ad=0.2756p pd=3.14u as=0.1456p ps=1.6u
+M1001 a_110_521# B Y vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.3339p ps=3.05u
+M1002 Y B gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1003 vdd A a_110_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__nor2_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__nor2_l.spice
index c46d9c6..f530051 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__nor2_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__nor2_l.spice
@@ -1,35 +1,12 @@
-* File: sky130_osu_sc_12T_ms__nor2_l.spice
-* Created: Mon Nov 16 22:23:48 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__nor2_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__nor2_l  GND VDD B A Y
-* 
-* Y	Y
-* A	A
-* B	B
-* VDD	VDD
-* GND	GND
-MM1003 N_Y_M1003_d N_B_M1003_g N_GND_M1003_s N_GND_M1003_b NSHORT L=0.15 W=0.36
-+ AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4 SA=75000.2
-+ SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1001 N_GND_M1001_d N_A_M1001_g N_Y_M1003_d N_GND_M1003_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0504 PD=1.25 PS=0.64 NRD=0 NRS=0 M=1 R=2.4 SA=75000.6
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1002 A_110_605# N_B_M1002_g N_Y_M1002_s N_VDD_M1002_b PSHORT L=0.15 W=0.84
-+ AD=0.0882 AS=0.2226 PD=1.05 PS=2.21 NRD=11.7215 NRS=0 M=1 R=5.6 SA=75000.2
-+ SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1000 N_VDD_M1000_d N_A_M1000_g A_110_605# N_VDD_M1002_b PSHORT L=0.15 W=0.84
-+ AD=0.2226 AS=0.0882 PD=2.21 PS=1.05 NRD=0 NRS=11.7215 M=1 R=5.6 SA=75000.6
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX4_noxref N_GND_M1003_b N_VDD_M1002_b NWDIODE A=2.49275 P=6.33
-pX5_noxref noxref_7 Y Y PROBETYPE=1
-pX6_noxref noxref_8 B B PROBETYPE=1
-pX7_noxref noxref_9 A A PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__nor2_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__nor2_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__nor2_l B Y A
+M1000 vdd A a_110_605# vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0.1764p ps=2.1u
+M1001 gnd A Y gnd nshort w=0.36u l=0.15u
++  ad=0.1908p pd=2.5u as=0.1008p ps=1.28u
+M1002 a_110_605# B Y vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.2226p ps=2.21u
+M1003 Y B gnd gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__oai21_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__oai21_l.spice
index 5198fba..2d5e302 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__oai21_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__oai21_l.spice
@@ -1,43 +1,16 @@
-* File: sky130_osu_sc_12T_ms__oai21_l.spice
-* Created: Mon Nov 16 22:23:54 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__oai21_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__oai21_l  GND VDD A0 A1 B0 Y
-* 
-* Y	Y
-* B0	B0
-* A1	A1
-* A0	A0
-* VDD	VDD
-* GND	GND
-MM1003 N_GND_M1003_d N_A0_M1003_g N_A_27_114#_M1003_s N_GND_M1003_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75001 A=0.078 P=1.34 MULT=1
-MM1000 N_A_27_114#_M1000_d N_A1_M1000_g N_GND_M1003_d N_GND_M1003_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.6 SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1002 N_Y_M1002_d N_B0_M1002_g N_A_27_114#_M1000_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 A_110_521# N_A0_M1001_g N_Y_M1001_s N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.3339 PD=1.47 PS=3.05 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75000.9 A=0.189 P=2.82 MULT=1
-MM1005 N_VDD_M1005_d N_A1_M1005_g A_110_521# N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.23814 AS=0.1323 PD=1.92 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4 SA=75000.6
-+ SB=75000.5 A=0.189 P=2.82 MULT=1
-MM1004 N_Y_M1004_d N_B0_M1004_g N_VDD_M1005_d N_VDD_M1001_b PSHORT L=0.15 W=0.84
-+ AD=0.2226 AS=0.15876 PD=2.21 PS=1.28 NRD=0 NRS=14.0658 M=1 R=5.6 SA=75001
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX6_noxref N_GND_M1003_b N_VDD_M1001_b NWDIODE A=3.9449 P=7.95
-pX7_noxref noxref_9 A0 A0 PROBETYPE=1
-pX8_noxref noxref_10 A1 A1 PROBETYPE=1
-pX9_noxref noxref_11 B0 B0 PROBETYPE=1
-pX10_noxref noxref_12 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__oai21_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__oai21_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__oai21_l A0 A1 B0 Y
+M1000 a_27_114# A1 gnd gnd nshort w=0.52u l=0.15u
++  ad=0.2834p pd=3.17u as=0.1456p ps=1.6u
+M1001 a_110_521# A0 Y vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.5565p ps=5.26u
+M1002 Y B0 a_27_114# gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1003 gnd A0 a_27_114# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 Y B0 vdd vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0.3969p ps=3.2u
+M1005 vdd A1 a_110_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__oai22_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__oai22_l.spice
index 43f6529..5f060fc 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__oai22_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__oai22_l.spice
@@ -1,51 +1,20 @@
-* File: sky130_osu_sc_12T_ms__oai22_l.spice
-* Created: Mon Nov 16 22:23:59 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__oai22_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__oai22_l  GND VDD A0 A1 B0 B1 Y
-* 
-* Y	Y
-* B1	B1
-* B0	B0
-* A1	A1
-* A0	A0
-* VDD	VDD
-* GND	GND
-MM1003 N_GND_M1003_d N_A0_M1003_g N_A_27_115#_M1003_s N_GND_M1003_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1000 N_A_27_115#_M1000_d N_A1_M1000_g N_GND_M1003_d N_GND_M1003_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.6 SB=75001 A=0.078 P=1.34 MULT=1
-MM1001 N_Y_M1001_d N_B0_M1001_g N_A_27_115#_M1000_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1007 N_A_27_115#_M1007_d N_B1_M1007_g N_Y_M1001_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 A_110_521# N_A0_M1002_g N_VDD_M1002_s N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.3339 PD=1.47 PS=3.05 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75001.4 A=0.189 P=2.82 MULT=1
-MM1006 N_Y_M1006_d N_A1_M1006_g A_110_521# N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.2205 AS=0.1323 PD=1.61 PS=1.47 NRD=5.4569 NRS=7.8012 M=1 R=8.4 SA=75000.6
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1005 A_282_521# N_B0_M1005_g N_Y_M1006_d N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.2205 PD=1.47 PS=1.61 NRD=7.8012 NRS=5.4569 M=1 R=8.4 SA=75001.1
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1004 N_VDD_M1004_d N_B1_M1004_g A_282_521# N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.1323 PD=3.05 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4 SA=75001.4
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX8_noxref N_GND_M1003_b N_VDD_M1002_b NWDIODE A=4.87485 P=8.85
-pX9_noxref noxref_11 A0 A0 PROBETYPE=1
-pX10_noxref noxref_12 A1 A1 PROBETYPE=1
-pX11_noxref noxref_13 B0 B0 PROBETYPE=1
-pX12_noxref noxref_14 Y Y PROBETYPE=1
-pX13_noxref noxref_15 B1 B1 PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__oai22_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__oai22_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__oai22_l B0 Y B1 A1 A0
+M1000 a_27_115# A1 gnd gnd nshort w=0.52u l=0.15u
++  ad=0.4212p pd=4.74u as=0.1456p ps=1.6u
+M1001 Y B0 a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1456p pd=1.6u as=0p ps=0u
+M1002 a_110_521# A0 vdd vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.6678p ps=6.1u
+M1003 gnd A0 a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 vdd B1 a_282_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1005 a_282_521# B0 Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.441p ps=3.22u
+M1006 Y A1 a_110_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 a_27_115# B1 Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__or2_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__or2_1.spice
index 195c7d9..6268fdc 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__or2_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__or2_1.spice
@@ -1,41 +1,16 @@
-* File: sky130_osu_sc_12T_ms__or2_1.spice
-* Created: Mon Nov 16 22:24:05 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__or2_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__or2_1  GND VDD B A Y
-* 
-* Y	Y
-* A	A
-* B	B
-* VDD	VDD
-* GND	GND
-MM1003 N_A_27_521#_M1003_d N_B_M1003_g N_GND_M1003_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1000 N_GND_M1000_d N_A_M1000_g N_A_27_521#_M1003_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1001 N_Y_M1001_d N_A_27_521#_M1001_g N_GND_M1000_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 A_110_521# N_B_M1002_g N_A_27_521#_M1002_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=13.2778 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1004 N_VDD_M1004_d N_A_M1004_g A_110_521# N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=13.2778 M=1 R=8.4 SA=75000.6
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1005 N_Y_M1005_d N_A_27_521#_M1005_g N_VDD_M1004_d N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX6_noxref N_GND_M1003_b N_VDD_M1002_b NWDIODE A=3.9449 P=7.95
-pX7_noxref noxref_8 B B PROBETYPE=1
-pX8_noxref noxref_9 A A PROBETYPE=1
-pX9_noxref noxref_10 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__or2_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__or2_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__or2_1 Y A B
+M1000 gnd A a_27_521# gnd nshort w=0.52u l=0.15u
++  ad=0.2834p pd=3.17u as=0.1456p ps=1.6u
+M1001 Y a_27_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1002 a_110_521# B a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.3339p ps=3.05u
+M1003 a_27_521# B gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 vdd A a_110_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0p ps=0u
+M1005 Y a_27_521# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__or2_2.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__or2_2.spice
index acb3784..efd3859 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__or2_2.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__or2_2.spice
@@ -1,47 +1,20 @@
-* File: sky130_osu_sc_12T_ms__or2_2.spice
-* Created: Mon Nov 16 22:24:11 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__or2_2.pex.spice"
-.subckt sky130_osu_sc_12T_ms__or2_2  GND VDD B A Y
-* 
-* Y	Y
-* A	A
-* B	B
-* VDD	VDD
-* GND	GND
-MM1003 N_A_27_521#_M1003_d N_B_M1003_g N_GND_M1003_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1000 N_GND_M1000_d N_A_M1000_g N_A_27_521#_M1003_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1001 N_Y_M1001_d N_A_27_521#_M1001_g N_GND_M1000_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1007 N_Y_M1001_d N_A_27_521#_M1007_g N_GND_M1007_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 A_110_521# N_B_M1002_g N_A_27_521#_M1002_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=13.2778 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1005 N_VDD_M1005_d N_A_M1005_g A_110_521# N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=13.2778 M=1 R=8.4 SA=75000.6
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1004 N_VDD_M1005_d N_A_27_521#_M1004_g N_Y_M1004_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1006 N_VDD_M1006_d N_A_27_521#_M1006_g N_Y_M1004_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX8_noxref N_GND_M1003_b N_VDD_M1002_b NWDIODE A=4.8513 P=8.83
-pX9_noxref noxref_8 B B PROBETYPE=1
-pX10_noxref noxref_9 A A PROBETYPE=1
-pX11_noxref noxref_10 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__or2_2.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__or2_2.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__or2_2 Y A B
+M1000 gnd A a_27_521# gnd nshort w=0.52u l=0.15u
++  ad=0.4212p pd=4.74u as=0.1456p ps=1.6u
+M1001 Y a_27_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1456p pd=1.6u as=0p ps=0u
+M1002 a_110_521# B a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.3339p ps=3.05u
+M1003 a_27_521# B gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 vdd a_27_521# Y vdd pshort w=1.26u l=0.15u
++  ad=0.6867p pd=6.13u as=0.3528p ps=3.08u
+M1005 vdd A a_110_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 Y a_27_521# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 gnd a_27_521# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__or2_4.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__or2_4.spice
index b6d28ae..2f96663 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__or2_4.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__or2_4.spice
@@ -1,59 +1,28 @@
-* File: sky130_osu_sc_12T_ms__or2_4.spice
-* Created: Mon Nov 16 22:24:16 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__or2_4.pex.spice"
-.subckt sky130_osu_sc_12T_ms__or2_4  GND VDD B A Y
-* 
-* Y	Y
-* A	A
-* B	B
-* VDD	VDD
-* GND	GND
-MM1003 N_A_27_521#_M1003_d N_B_M1003_g N_GND_M1003_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75002.3 A=0.078 P=1.34 MULT=1
-MM1000 N_GND_M1000_d N_A_M1000_g N_A_27_521#_M1003_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1001 N_Y_M1001_d N_A_27_521#_M1001_g N_GND_M1000_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1009 N_Y_M1001_d N_A_27_521#_M1009_g N_GND_M1009_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1010 N_Y_M1010_d N_A_27_521#_M1010_g N_GND_M1009_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.9
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1011 N_Y_M1010_d N_A_27_521#_M1011_g N_GND_M1011_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.3
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 A_110_521# N_B_M1002_g N_A_27_521#_M1002_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=13.2778 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75002.3 A=0.189 P=2.82 MULT=1
-MM1007 N_VDD_M1007_d N_A_M1007_g A_110_521# N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=13.2778 M=1 R=8.4 SA=75000.6
-+ SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1004 N_VDD_M1007_d N_A_27_521#_M1004_g N_Y_M1004_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1005 N_VDD_M1005_d N_A_27_521#_M1005_g N_Y_M1004_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1006 N_VDD_M1005_d N_A_27_521#_M1006_g N_Y_M1006_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.9
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1008 N_VDD_M1008_d N_A_27_521#_M1008_g N_Y_M1006_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.3
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX12_noxref N_GND_M1003_b N_VDD_M1002_b NWDIODE A=6.6641 P=10.59
-pX13_noxref noxref_8 B B PROBETYPE=1
-pX14_noxref noxref_9 A A PROBETYPE=1
-pX15_noxref noxref_10 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__or2_4.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__or2_4.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__or2_4 Y A B
+M1000 gnd A a_27_521# gnd nshort w=0.52u l=0.15u
++  ad=0.5668p pd=6.34u as=0.1456p ps=1.6u
+M1001 Y a_27_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.2912p pd=3.2u as=0p ps=0u
+M1002 a_110_521# B a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.3339p ps=3.05u
+M1003 a_27_521# B gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 vdd a_27_521# Y vdd pshort w=1.26u l=0.15u
++  ad=1.0395p pd=9.21u as=0.7056p ps=6.16u
+M1005 Y a_27_521# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 vdd a_27_521# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 vdd A a_110_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1008 Y a_27_521# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 gnd a_27_521# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 Y a_27_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 gnd a_27_521# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__or2_8.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__or2_8.spice
index 743ed7d..9ca81b0 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__or2_8.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__or2_8.spice
@@ -1,83 +1,44 @@
-* File: sky130_osu_sc_12T_ms__or2_8.spice
-* Created: Mon Nov 16 22:24:22 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__or2_8.pex.spice"
-.subckt sky130_osu_sc_12T_ms__or2_8  GND VDD B A Y
-* 
-* Y	Y
-* A	A
-* B	B
-* VDD	VDD
-* GND	GND
-MM1007 N_A_27_521#_M1007_d N_B_M1007_g N_GND_M1007_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75004.1 A=0.078 P=1.34 MULT=1
-MM1000 N_GND_M1000_d N_A_M1000_g N_A_27_521#_M1007_d N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75003.6 A=0.078 P=1.34 MULT=1
-MM1001 N_Y_M1001_d N_A_27_521#_M1001_g N_GND_M1000_d N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001
-+ SB=75003.2 A=0.078 P=1.34 MULT=1
-MM1008 N_Y_M1001_d N_A_27_521#_M1008_g N_GND_M1008_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.5
-+ SB=75002.8 A=0.078 P=1.34 MULT=1
-MM1010 N_Y_M1010_d N_A_27_521#_M1010_g N_GND_M1008_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75001.9
-+ SB=75002.3 A=0.078 P=1.34 MULT=1
-MM1013 N_Y_M1010_d N_A_27_521#_M1013_g N_GND_M1013_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.3
-+ SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1015 N_Y_M1015_d N_A_27_521#_M1015_g N_GND_M1013_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.8
-+ SB=75001.5 A=0.078 P=1.34 MULT=1
-MM1017 N_Y_M1015_d N_A_27_521#_M1017_g N_GND_M1017_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75003.2
-+ SB=75001 A=0.078 P=1.34 MULT=1
-MM1018 N_Y_M1018_d N_A_27_521#_M1018_g N_GND_M1017_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.0728 PD=0.8 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75003.6
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1019 N_Y_M1018_d N_A_27_521#_M1019_g N_GND_M1019_s N_GND_M1007_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75004.1
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1002 A_110_521# N_B_M1002_g N_A_27_521#_M1002_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=13.2778 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75004.1 A=0.189 P=2.82 MULT=1
-MM1014 N_VDD_M1014_d N_A_M1014_g A_110_521# N_VDD_M1002_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=13.2778 M=1 R=8.4 SA=75000.6
-+ SB=75003.6 A=0.189 P=2.82 MULT=1
-MM1003 N_Y_M1003_d N_A_27_521#_M1003_g N_VDD_M1014_d N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.1
-+ SB=75003.2 A=0.189 P=2.82 MULT=1
-MM1004 N_Y_M1003_d N_A_27_521#_M1004_g N_VDD_M1004_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.5
-+ SB=75002.8 A=0.189 P=2.82 MULT=1
-MM1005 N_Y_M1005_d N_A_27_521#_M1005_g N_VDD_M1004_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75001.9
-+ SB=75002.3 A=0.189 P=2.82 MULT=1
-MM1006 N_Y_M1005_d N_A_27_521#_M1006_g N_VDD_M1006_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.3
-+ SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1009 N_Y_M1009_d N_A_27_521#_M1009_g N_VDD_M1006_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.8
-+ SB=75001.5 A=0.189 P=2.82 MULT=1
-MM1011 N_Y_M1009_d N_A_27_521#_M1011_g N_VDD_M1011_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75003.2
-+ SB=75001.1 A=0.189 P=2.82 MULT=1
-MM1012 N_Y_M1012_d N_A_27_521#_M1012_g N_VDD_M1011_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.1764 PD=1.54 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75003.6
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1016 N_Y_M1012_d N_A_27_521#_M1016_g N_VDD_M1016_s N_VDD_M1002_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75004.1
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX20_noxref N_GND_M1007_b N_VDD_M1002_b NWDIODE A=10.2897 P=14.11
-pX21_noxref noxref_8 B B PROBETYPE=1
-pX22_noxref noxref_9 A A PROBETYPE=1
-pX23_noxref noxref_10 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__or2_8.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__or2_8.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__or2_8 Y A B
+M1000 gnd A a_27_521# gnd nshort w=0.52u l=0.15u
++  ad=0.858p pd=9.54u as=0.1456p ps=1.6u
+M1001 Y a_27_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.5824p pd=6.4u as=0p ps=0u
+M1002 a_110_521# B a_27_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.3339p ps=3.05u
+M1003 Y a_27_521# vdd vdd pshort w=1.26u l=0.15u
++  ad=1.4112p pd=12.32u as=1.7451p ps=15.37u
+M1004 vdd a_27_521# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y a_27_521# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1006 vdd a_27_521# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1007 a_27_521# B gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1008 Y a_27_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1009 vdd a_27_521# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 gnd a_27_521# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 Y a_27_521# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1012 vdd a_27_521# Y vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1013 Y a_27_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1014 vdd A a_110_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1015 gnd a_27_521# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1016 Y a_27_521# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1017 gnd a_27_521# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1018 Y a_27_521# gnd gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1019 gnd a_27_521# Y gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__or2_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__or2_l.spice
index 90d5ead..5414685 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__or2_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__or2_l.spice
@@ -1,41 +1,16 @@
-* File: sky130_osu_sc_12T_ms__or2_l.spice
-* Created: Mon Nov 16 22:24:28 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__or2_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__or2_l  GND VDD B A Y
-* 
-* Y	Y
-* A	A
-* B	B
-* VDD	VDD
-* GND	GND
-MM1003 N_A_27_605#_M1003_d N_B_M1003_g N_GND_M1003_s N_GND_M1003_b NSHORT L=0.15
-+ W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4 SA=75000.2
-+ SB=75001.1 A=0.054 P=1.02 MULT=1
-MM1000 N_GND_M1000_d N_A_M1000_g N_A_27_605#_M1003_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.36 AD=0.0504 AS=0.0504 PD=0.64 PS=0.64 NRD=0 NRS=0 M=1 R=2.4 SA=75000.6
-+ SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1001 N_Y_M1001_d N_A_27_605#_M1001_g N_GND_M1000_d N_GND_M1003_b NSHORT L=0.15
-+ W=0.36 AD=0.0954 AS=0.0504 PD=1.25 PS=0.64 NRD=0 NRS=0 M=1 R=2.4 SA=75001.1
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1002 A_110_605# N_B_M1002_g N_A_27_605#_M1002_s N_VDD_M1002_b PSHORT L=0.15
-+ W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=19.9167 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75001.1 A=0.126 P=1.98 MULT=1
-MM1004 N_VDD_M1004_d N_A_M1004_g A_110_605# N_VDD_M1002_b PSHORT L=0.15 W=0.84
-+ AD=0.1176 AS=0.1176 PD=1.12 PS=1.12 NRD=0 NRS=19.9167 M=1 R=5.6 SA=75000.6
-+ SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1005 N_Y_M1005_d N_A_27_605#_M1005_g N_VDD_M1004_d N_VDD_M1002_b PSHORT L=0.15
-+ W=0.84 AD=0.2226 AS=0.1176 PD=2.21 PS=1.12 NRD=0 NRS=0 M=1 R=5.6 SA=75001.1
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX6_noxref N_GND_M1003_b N_VDD_M1002_b NWDIODE A=3.23635 P=7.21
-pX7_noxref noxref_8 B B PROBETYPE=1
-pX8_noxref noxref_9 A A PROBETYPE=1
-pX9_noxref noxref_10 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__or2_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__or2_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__or2_l Y A B
+M1000 gnd A a_27_605# gnd nshort w=0.36u l=0.15u
++  ad=0.1962p pd=2.53u as=0.1008p ps=1.28u
+M1001 Y a_27_605# gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0p ps=0u
+M1002 a_110_605# B a_27_605# vdd pshort w=0.84u l=0.15u
++  ad=0.2352p pd=2.24u as=0.2226p ps=2.21u
+M1003 a_27_605# B gnd gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1004 vdd A a_110_605# vdd pshort w=0.84u l=0.15u
++  ad=0.2352p pd=2.24u as=0p ps=0u
+M1005 Y a_27_605# vdd vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__tbufi_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__tbufi_1.spice
index fa1dd1f..bf7ab6f 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__tbufi_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__tbufi_1.spice
@@ -1,41 +1,16 @@
-* File: sky130_osu_sc_12T_ms__tbufi_1.spice
-* Created: Mon Nov 16 22:24:34 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__tbufi_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__tbufi_1  GND VDD OE A Y
-* 
-* Y	Y
-* A	A
-* OE	OE
-* VDD	VDD
-* GND	GND
-MM1002 N_GND_M1002_d N_OE_M1002_g N_A_27_115#_M1002_s N_GND_M1002_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75001 A=0.078 P=1.34 MULT=1
-MM1000 A_196_115# N_OE_M1000_g N_GND_M1002_d N_GND_M1002_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75000.5 A=0.078 P=1.34 MULT=1
-MM1005 N_Y_M1005_d N_A_M1005_g A_196_115# N_GND_M1002_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0546 PD=1.57 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75001
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_VDD_M1001_d N_OE_M1001_g N_A_27_115#_M1001_s N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75001 A=0.189 P=2.82 MULT=1
-MM1004 A_196_521# N_A_27_115#_M1004_g N_VDD_M1001_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4
-+ SA=75000.6 SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1003 N_Y_M1003_d N_A_M1003_g A_196_521# N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.1323 PD=3.05 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4 SA=75001
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX6_noxref N_GND_M1002_b N_VDD_M1001_b NWDIODE A=3.9552 P=7.96
-pX7_noxref noxref_9 OE OE PROBETYPE=1
-pX8_noxref noxref_10 A A PROBETYPE=1
-pX9_noxref noxref_11 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__tbufi_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__tbufi_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__tbufi_1 Y A OE
+M1000 a_196_115# OE gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.1456p ps=1.6u
+M1001 vdd OE a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.3339p ps=3.05u
+M1002 gnd OE a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1003 Y A a_196_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0.2646p ps=2.94u
+M1004 a_196_521# a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y A a_196_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__tbufi_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__tbufi_l.spice
index 8a82132..159d2d6 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__tbufi_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__tbufi_l.spice
@@ -1,41 +1,16 @@
-* File: sky130_osu_sc_12T_ms__tbufi_l.spice
-* Created: Mon Nov 16 22:24:39 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__tbufi_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__tbufi_l  GND VDD OE A Y
-* 
-* Y	Y
-* A	A
-* OE	OE
-* VDD	VDD
-* GND	GND
-MM1002 N_GND_M1002_d N_OE_M1002_g N_A_27_115#_M1002_s N_GND_M1002_b NSHORT
-+ L=0.15 W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75001 A=0.054 P=1.02 MULT=1
-MM1000 A_196_115# N_OE_M1000_g N_GND_M1002_d N_GND_M1002_b NSHORT L=0.15 W=0.36
-+ AD=0.0378 AS=0.0504 PD=0.57 PS=0.64 NRD=16.656 NRS=0 M=1 R=2.4 SA=75000.6
-+ SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1004 N_Y_M1004_d N_A_M1004_g A_196_115# N_GND_M1002_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0378 PD=1.25 PS=0.57 NRD=0 NRS=16.656 M=1 R=2.4 SA=75001
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1001 N_VDD_M1001_d N_OE_M1001_g N_A_27_115#_M1001_s N_VDD_M1001_b PSHORT
-+ L=0.15 W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75001 A=0.126 P=1.98 MULT=1
-MM1005 A_196_605# N_A_27_115#_M1005_g N_VDD_M1001_d N_VDD_M1001_b PSHORT L=0.15
-+ W=0.84 AD=0.0882 AS=0.1176 PD=1.05 PS=1.12 NRD=11.7215 NRS=0 M=1 R=5.6
-+ SA=75000.6 SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1003 N_Y_M1003_d N_A_M1003_g A_196_605# N_VDD_M1001_b PSHORT L=0.15 W=0.84
-+ AD=0.2226 AS=0.0882 PD=2.21 PS=1.05 NRD=0 NRS=11.7215 M=1 R=5.6 SA=75001
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX6_noxref N_GND_M1002_b N_VDD_M1001_b NWDIODE A=3.2448 P=7.22
-pX7_noxref noxref_9 OE OE PROBETYPE=1
-pX8_noxref noxref_10 A A PROBETYPE=1
-pX9_noxref noxref_11 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__tbufi_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__tbufi_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__tbufi_l Y OE A
+M1000 a_196_115# OE gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0756p pd=1.14u as=0.1008p ps=1.28u
+M1001 vdd OE a_27_115# vdd pshort w=0.84u l=0.15u
++  ad=0.2352p pd=2.24u as=0.2226p ps=2.21u
+M1002 gnd OE a_27_115# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1003 Y A a_196_605# vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0.1764p ps=2.1u
+M1004 Y A a_196_115# gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0p ps=0u
+M1005 a_196_605# a_27_115# vdd vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__tiehi.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__tiehi.spice
index 29b167a..191395e 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__tiehi.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__tiehi.spice
@@ -1,25 +1,8 @@
-* File: sky130_osu_sc_12T_ms__tiehi.spice
-* Created: Mon Nov 16 22:24:45 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__tiehi.pex.spice"
-.subckt sky130_osu_sc_12T_ms__tiehi  GND VDD Y
-* 
-* Y	Y
-* VDD	VDD
-* GND	GND
-MM1001 N_A_80_89#_M1001_d N_A_80_89#_M1001_g N_GND_M1001_s N_GND_M1001_b NSHORT
-+ L=0.15 W=0.52 AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1000 N_Y_M1000_d N_A_80_89#_M1000_g N_VDD_M1000_s N_VDD_M1000_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX2_noxref N_GND_M1001_b N_VDD_M1000_b NWDIODE A=2.1424 P=6.2
-pX3_noxref noxref_5 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__tiehi.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__tiehi.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__tiehi Y
+M1000 Y a_80_89# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0.3339p ps=3.05u
+M1001 a_80_89# a_80_89# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0.1378p ps=1.57u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__tielo.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__tielo.spice
index c8b36e9..4121b79 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__tielo.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__tielo.spice
@@ -1,25 +1,8 @@
-* File: sky130_osu_sc_12T_ms__tielo.spice
-* Created: Mon Nov 16 22:24:51 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__tielo.pex.spice"
-.subckt sky130_osu_sc_12T_ms__tielo  GND VDD Y
-* 
-* Y	Y
-* VDD	VDD
-* GND	GND
-MM1001 N_Y_M1001_d N_A_80_89#_M1001_g N_GND_M1001_s N_GND_M1001_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.1378 PD=1.57 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1000 N_A_80_89#_M1000_d N_A_80_89#_M1000_g N_VDD_M1000_s N_VDD_M1000_b PSHORT
-+ L=0.15 W=1.26 AD=0.3339 AS=0.3339 PD=3.05 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75000.2 A=0.189 P=2.82 MULT=1
-DX2_noxref N_GND_M1001_b N_VDD_M1000_b NWDIODE A=2.1424 P=6.2
-pX3_noxref noxref_5 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__tielo.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__tielo.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__tielo Y
+M1000 a_80_89# a_80_89# vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0.3339p ps=3.05u
+M1001 Y a_80_89# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0.1378p ps=1.57u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__tnbufi_1.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__tnbufi_1.spice
index 44a05b5..9d3f03f 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__tnbufi_1.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__tnbufi_1.spice
@@ -1,41 +1,16 @@
-* File: sky130_osu_sc_12T_ms__tnbufi_1.spice
-* Created: Mon Nov 16 22:24:56 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__tnbufi_1.pex.spice"
-.subckt sky130_osu_sc_12T_ms__tnbufi_1  GND VDD OE A Y
-* 
-* Y	Y
-* A	A
-* OE	OE
-* VDD	VDD
-* GND	GND
-MM1002 N_GND_M1002_d N_OE_M1002_g N_A_27_115#_M1002_s N_GND_M1002_b NSHORT
-+ L=0.15 W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667
-+ SA=75000.2 SB=75001 A=0.078 P=1.34 MULT=1
-MM1000 A_196_115# N_A_27_115#_M1000_g N_GND_M1002_d N_GND_M1002_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75000.6 SB=75000.5 A=0.078 P=1.34 MULT=1
-MM1005 N_Y_M1005_d N_A_M1005_g A_196_115# N_GND_M1002_b NSHORT L=0.15 W=0.52
-+ AD=0.1378 AS=0.0546 PD=1.57 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75001
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_VDD_M1001_d N_OE_M1001_g N_A_27_115#_M1001_s N_VDD_M1001_b PSHORT
-+ L=0.15 W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4
-+ SA=75000.2 SB=75001 A=0.189 P=2.82 MULT=1
-MM1004 A_196_521# N_OE_M1004_g N_VDD_M1001_d N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1003 N_Y_M1003_d N_A_M1003_g A_196_521# N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.3339 AS=0.1323 PD=3.05 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4 SA=75001
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX6_noxref N_GND_M1002_b N_VDD_M1001_b NWDIODE A=3.9552 P=7.96
-pX7_noxref noxref_9 OE OE PROBETYPE=1
-pX8_noxref noxref_10 A A PROBETYPE=1
-pX9_noxref noxref_11 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__tnbufi_1.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__tnbufi_1.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__tnbufi_1 Y A OE
+M1000 a_196_115# a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.1456p ps=1.6u
+M1001 vdd OE a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=0.3528p pd=3.08u as=0.3339p ps=3.05u
+M1002 gnd OE a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1003 Y A a_196_521# vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0.2646p ps=2.94u
+M1004 a_196_521# OE vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 Y A a_196_115# gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__tnbufi_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__tnbufi_l.spice
index 6204c60..f9d0e91 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__tnbufi_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__tnbufi_l.spice
@@ -1,41 +1,16 @@
-* File: sky130_osu_sc_12T_ms__tnbufi_l.spice
-* Created: Mon Nov 16 22:25:02 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__tnbufi_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__tnbufi_l  GND VDD OE A Y
-* 
-* Y	Y
-* A	A
-* OE	OE
-* VDD	VDD
-* GND	GND
-MM1002 N_GND_M1002_d N_OE_M1002_g N_A_27_115#_M1002_s N_GND_M1002_b NSHORT
-+ L=0.15 W=0.36 AD=0.0504 AS=0.0954 PD=0.64 PS=1.25 NRD=0 NRS=0 M=1 R=2.4
-+ SA=75000.2 SB=75001 A=0.054 P=1.02 MULT=1
-MM1000 A_196_115# N_A_27_115#_M1000_g N_GND_M1002_d N_GND_M1002_b NSHORT L=0.15
-+ W=0.36 AD=0.0378 AS=0.0504 PD=0.57 PS=0.64 NRD=16.656 NRS=0 M=1 R=2.4
-+ SA=75000.6 SB=75000.6 A=0.054 P=1.02 MULT=1
-MM1004 N_Y_M1004_d N_A_M1004_g A_196_115# N_GND_M1002_b NSHORT L=0.15 W=0.36
-+ AD=0.0954 AS=0.0378 PD=1.25 PS=0.57 NRD=0 NRS=16.656 M=1 R=2.4 SA=75001
-+ SB=75000.2 A=0.054 P=1.02 MULT=1
-MM1001 N_VDD_M1001_d N_OE_M1001_g N_A_27_115#_M1001_s N_VDD_M1001_b PSHORT
-+ L=0.15 W=0.84 AD=0.1176 AS=0.2226 PD=1.12 PS=2.21 NRD=0 NRS=0 M=1 R=5.6
-+ SA=75000.2 SB=75001 A=0.126 P=1.98 MULT=1
-MM1005 A_196_605# N_OE_M1005_g N_VDD_M1001_d N_VDD_M1001_b PSHORT L=0.15 W=0.84
-+ AD=0.0882 AS=0.1176 PD=1.05 PS=1.12 NRD=11.7215 NRS=0 M=1 R=5.6 SA=75000.6
-+ SB=75000.6 A=0.126 P=1.98 MULT=1
-MM1003 N_Y_M1003_d N_A_M1003_g A_196_605# N_VDD_M1001_b PSHORT L=0.15 W=0.84
-+ AD=0.2226 AS=0.0882 PD=2.21 PS=1.05 NRD=0 NRS=11.7215 M=1 R=5.6 SA=75001
-+ SB=75000.2 A=0.126 P=1.98 MULT=1
-DX6_noxref N_GND_M1002_b N_VDD_M1001_b NWDIODE A=3.2448 P=7.22
-pX7_noxref noxref_9 OE OE PROBETYPE=1
-pX8_noxref noxref_10 A A PROBETYPE=1
-pX9_noxref noxref_11 Y Y PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__tnbufi_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__tnbufi_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__tnbufi_l Y A OE
+M1000 a_196_115# a_27_115# gnd gnd nshort w=0.36u l=0.15u
++  ad=0.0756p pd=1.14u as=0.1008p ps=1.28u
+M1001 vdd OE a_27_115# vdd pshort w=0.84u l=0.15u
++  ad=0.2352p pd=2.24u as=0.2226p ps=2.21u
+M1002 gnd OE a_27_115# gnd nshort w=0.36u l=0.15u
++  ad=0p pd=0u as=0.0954p ps=1.25u
+M1003 Y A a_196_605# vdd pshort w=0.84u l=0.15u
++  ad=0.2226p pd=2.21u as=0.1764p ps=2.1u
+M1004 Y A a_196_115# gnd nshort w=0.36u l=0.15u
++  ad=0.0954p pd=1.25u as=0p ps=0u
+M1005 a_196_605# OE vdd vdd pshort w=0.84u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__xnor2_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__xnor2_l.spice
index 16f3e3d..91f054b 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__xnor2_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__xnor2_l.spice
@@ -1,60 +1,28 @@
-* File: sky130_osu_sc_12T_ms__xnor2_l.spice
-* Created: Mon Nov 16 22:25:08 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__xnor2_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__xnor2_l  GND VDD A B Y
-* 
-* Y	Y
-* B	B
-* A	A
-* VDD	VDD
-* GND	GND
-MM1005 N_GND_M1005_d N_A_M1005_g N_A_27_115#_M1005_s N_GND_M1005_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1000 A_196_115# N_A_M1000_g N_GND_M1005_d N_GND_M1005_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667 SA=75000.6
-+ SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1011 N_Y_M1011_d N_A_238_89#_M1011_g A_196_115# N_GND_M1005_b NSHORT L=0.15
-+ W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1 R=3.46667
-+ SA=75001 SB=75001.6 A=0.078 P=1.34 MULT=1
-MM1008 A_388_115# N_A_27_115#_M1008_g N_Y_M1011_d N_GND_M1005_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1 R=3.46667
-+ SA=75001.6 SB=75001 A=0.078 P=1.34 MULT=1
-MM1009 N_GND_M1009_d N_B_M1009_g A_388_115# N_GND_M1005_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75001.9
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1006 N_A_238_89#_M1006_d N_B_M1006_g N_GND_M1009_d N_GND_M1005_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.4
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_VDD_M1001_d N_A_M1001_g N_A_27_115#_M1001_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1010 A_196_521# N_A_27_115#_M1010_g N_VDD_M1001_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4
-+ SA=75000.6 SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1007 N_Y_M1007_d N_A_238_89#_M1007_g A_196_521# N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1 R=8.4
-+ SA=75001 SB=75001.6 A=0.189 P=2.82 MULT=1
-MM1003 A_388_521# N_A_M1003_g N_Y_M1007_d N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1 R=8.4
-+ SA=75001.6 SB=75001 A=0.189 P=2.82 MULT=1
-MM1004 N_VDD_M1004_d N_B_M1004_g A_388_521# N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4 SA=75001.9
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1002 N_A_238_89#_M1002_d N_B_M1002_g N_VDD_M1004_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.4
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX12_noxref N_GND_M1005_b N_VDD_M1001_b NWDIODE A=6.6641 P=10.59
-pX13_noxref noxref_12 A A PROBETYPE=1
-pX14_noxref noxref_13 Y Y PROBETYPE=1
-pX15_noxref noxref_14 B B PROBETYPE=1
-c_503 A_388_115# 0 1.09094e-19 $X=1.94 $Y=0.575
-*
-.include "sky130_osu_sc_12T_ms__xnor2_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__xnor2_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__xnor2_l B Y A
+M1000 a_196_115# A gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.2912p ps=3.2u
+M1001 vdd A a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=0.7056p pd=6.16u as=0.3339p ps=3.05u
+M1002 a_238_89# B vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1003 a_388_521# A Y vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1004 vdd B a_388_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 gnd A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1006 a_238_89# B gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1007 Y a_238_89# a_196_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1008 a_388_115# a_27_115# Y gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1009 gnd B a_388_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 a_196_521# a_27_115# vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 Y a_238_89# a_196_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*
diff --git a/12T_ms/spice/sky130_osu_sc_12T_ms__xor2_l.spice b/12T_ms/spice/sky130_osu_sc_12T_ms__xor2_l.spice
index 3e2ab8e..9b4793a 100644
--- a/12T_ms/spice/sky130_osu_sc_12T_ms__xor2_l.spice
+++ b/12T_ms/spice/sky130_osu_sc_12T_ms__xor2_l.spice
@@ -1,59 +1,28 @@
-* File: sky130_osu_sc_12T_ms__xor2_l.spice
-* Created: Mon Nov 16 22:25:13 2020
-* Program "Calibre xRC"
-* Version "v2020.2_35.23"
-* 
-.include "sky130_osu_sc_12T_ms__xor2_l.pex.spice"
-.subckt sky130_osu_sc_12T_ms__xor2_l  GND VDD A B Y
-* 
-* Y	Y
-* B	B
-* A	A
-* VDD	VDD
-* GND	GND
-MM1005 N_GND_M1005_d N_A_M1005_g N_A_27_115#_M1005_s N_GND_M1005_b NSHORT L=0.15
-+ W=0.52 AD=0.0728 AS=0.1378 PD=0.8 PS=1.57 NRD=0 NRS=0 M=1 R=3.46667 SA=75000.2
-+ SB=75002.4 A=0.078 P=1.34 MULT=1
-MM1000 A_196_115# N_A_27_115#_M1000_g N_GND_M1005_d N_GND_M1005_b NSHORT L=0.15
-+ W=0.52 AD=0.0546 AS=0.0728 PD=0.73 PS=0.8 NRD=11.532 NRS=0 M=1 R=3.46667
-+ SA=75000.6 SB=75001.9 A=0.078 P=1.34 MULT=1
-MM1011 N_Y_M1011_d N_A_238_89#_M1011_g A_196_115# N_GND_M1005_b NSHORT L=0.15
-+ W=0.52 AD=0.117 AS=0.0546 PD=0.97 PS=0.73 NRD=19.608 NRS=11.532 M=1 R=3.46667
-+ SA=75001 SB=75001.6 A=0.078 P=1.34 MULT=1
-MM1008 A_388_115# N_A_M1008_g N_Y_M1011_d N_GND_M1005_b NSHORT L=0.15 W=0.52
-+ AD=0.0546 AS=0.117 PD=0.73 PS=0.97 NRD=11.532 NRS=19.608 M=1 R=3.46667
-+ SA=75001.6 SB=75001 A=0.078 P=1.34 MULT=1
-MM1009 N_GND_M1009_d N_B_M1009_g A_388_115# N_GND_M1005_b NSHORT L=0.15 W=0.52
-+ AD=0.0728 AS=0.0546 PD=0.8 PS=0.73 NRD=0 NRS=11.532 M=1 R=3.46667 SA=75001.9
-+ SB=75000.6 A=0.078 P=1.34 MULT=1
-MM1006 N_A_238_89#_M1006_d N_B_M1006_g N_GND_M1009_d N_GND_M1005_b NSHORT L=0.15
-+ W=0.52 AD=0.1378 AS=0.0728 PD=1.57 PS=0.8 NRD=0 NRS=0 M=1 R=3.46667 SA=75002.4
-+ SB=75000.2 A=0.078 P=1.34 MULT=1
-MM1001 N_VDD_M1001_d N_A_M1001_g N_A_27_115#_M1001_s N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1764 AS=0.3339 PD=1.54 PS=3.05 NRD=0 NRS=0 M=1 R=8.4 SA=75000.2
-+ SB=75002.4 A=0.189 P=2.82 MULT=1
-MM1010 A_196_521# N_A_M1010_g N_VDD_M1001_d N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1323 AS=0.1764 PD=1.47 PS=1.54 NRD=7.8012 NRS=0 M=1 R=8.4 SA=75000.6
-+ SB=75001.9 A=0.189 P=2.82 MULT=1
-MM1007 N_Y_M1007_d N_A_238_89#_M1007_g A_196_521# N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.2835 AS=0.1323 PD=1.71 PS=1.47 NRD=13.2778 NRS=7.8012 M=1 R=8.4
-+ SA=75001 SB=75001.6 A=0.189 P=2.82 MULT=1
-MM1003 A_388_521# N_A_27_115#_M1003_g N_Y_M1007_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.1323 AS=0.2835 PD=1.47 PS=1.71 NRD=7.8012 NRS=13.2778 M=1 R=8.4
-+ SA=75001.6 SB=75001 A=0.189 P=2.82 MULT=1
-MM1004 N_VDD_M1004_d N_B_M1004_g A_388_521# N_VDD_M1001_b PSHORT L=0.15 W=1.26
-+ AD=0.1764 AS=0.1323 PD=1.54 PS=1.47 NRD=0 NRS=7.8012 M=1 R=8.4 SA=75001.9
-+ SB=75000.6 A=0.189 P=2.82 MULT=1
-MM1002 N_A_238_89#_M1002_d N_B_M1002_g N_VDD_M1004_d N_VDD_M1001_b PSHORT L=0.15
-+ W=1.26 AD=0.3339 AS=0.1764 PD=3.05 PS=1.54 NRD=0 NRS=0 M=1 R=8.4 SA=75002.4
-+ SB=75000.2 A=0.189 P=2.82 MULT=1
-DX12_noxref N_GND_M1005_b N_VDD_M1001_b NWDIODE A=6.6641 P=10.59
-pX13_noxref noxref_12 A A PROBETYPE=1
-pX14_noxref noxref_13 Y Y PROBETYPE=1
-pX15_noxref noxref_14 B B PROBETYPE=1
-*
-.include "sky130_osu_sc_12T_ms__xor2_l.pxi.spice"
-*
+* SPICE3 file created from sky130_osu_sc_12T_ms__xor2_l.ext - technology: sky130A
+
+.subckt sky130_osu_sc_12T_ms__xor2_l A Y B
+M1000 a_196_115# a_27_115# gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.2912p ps=3.2u
+M1001 vdd A a_27_115# vdd pshort w=1.26u l=0.15u
++  ad=0.7056p pd=6.16u as=0.3339p ps=3.05u
+M1002 a_238_89# B vdd vdd pshort w=1.26u l=0.15u
++  ad=0.3339p pd=3.05u as=0p ps=0u
+M1003 a_388_521# a_27_115# Y vdd pshort w=1.26u l=0.15u
++  ad=0.2646p pd=2.94u as=0.567p ps=3.42u
+M1004 vdd B a_388_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1005 gnd A a_27_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0.1378p ps=1.57u
+M1006 a_238_89# B gnd gnd nshort w=0.52u l=0.15u
++  ad=0.1378p pd=1.57u as=0p ps=0u
+M1007 Y a_238_89# a_196_521# vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0.2646p ps=2.94u
+M1008 a_388_115# A Y gnd nshort w=0.52u l=0.15u
++  ad=0.1092p pd=1.46u as=0.234p ps=1.94u
+M1009 gnd B a_388_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1010 a_196_521# A vdd vdd pshort w=1.26u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
+M1011 Y a_238_89# a_196_115# gnd nshort w=0.52u l=0.15u
++  ad=0p pd=0u as=0p ps=0u
 .ends
-*
-*