blob: 922cadf3c9131da76892d5d23e8ed94573c811ce [file] [log] [blame]
<HTML><BODY TEXT="#000000" LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
<TR align=center><th align=left><H1><font size=+3>SKY130_FD_SC_HS__DELAYx</font></H1></th>
<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_fd_sc_hs_tt_1.80v_150C.ccs Cell Library: Process , Voltage 1.80, Temp 150.00 </A></em></h5></th></TR>
</TABLE>
<HR>
<BR>
<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
<TH colspan=1><font size=3>OUTPUT</font></TH>
<TR><Th>A</Th><Th>X</Th></TR>
<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
</TABLE>
</TR></TABLE>
<BR>
<FONT SIZE=+2><B>Footprint</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlymetal6s2s_1</FONT></TH>
<TD align=center>15.98400</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlymetal6s4s_1</FONT></TH>
<TD align=center>15.98400</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlymetal6s6s_1</FONT></TH>
<TD align=center>15.98400</TD></TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH>
<TH colspan=1>Pin Cap(pf) </TH>
<TH colspan=1>Max Cap(pf) </TH>
</TR>
<TR>
<TH>A</TH>
<TH>X</TH>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlymetal6s2s_1</font></Th>
<TD align=center>0.00165</TD>
<TD align=center>1.31823</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlymetal6s4s_1</font></Th>
<TD align=center>0.00165</TD>
<TD align=center>1.31875</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlymetal6s6s_1</font></Th>
<TD align=center>0.00165</TD>
<TD align=center>1.31425</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Leakage Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
<TR><Th><font>sky130_fd_sc_hs__dlymetal6s2s_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>88.65120</TD>
<TD align=center>150.60800</TD>
<TR><Th><font>sky130_fd_sc_hs__dlymetal6s4s_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>88.65120</TD>
<TD align=center>150.60800</TD>
<TR><Th><font>sky130_fd_sc_hs__dlymetal6s6s_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>88.65120</TD>
<TD align=center>150.60800</TD>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Delay Information</B></FONT>
<BR>
<BR>
<FONT><B>Delay(ns) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlymetal6s2s_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.06374</TD>
<TD align=center>0.50714</TD>
<TD align=center>6.01023</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlymetal6s4s_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.12693</TD>
<TD align=center>0.56665</TD>
<TD align=center>5.91567</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlymetal6s6s_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.18313</TD>
<TD align=center>0.62086</TD>
<TD align=center>5.94911</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Delay(ns) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlymetal6s2s_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.08892</TD>
<TD align=center>0.52955</TD>
<TD align=center>5.36468</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlymetal6s4s_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.17596</TD>
<TD align=center>0.60575</TD>
<TD align=center>5.34028</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlymetal6s6s_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.25659</TD>
<TD align=center>0.68793</TD>
<TD align=center>5.40926</TD>
</TR>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Power Information</B></FONT>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlymetal6s2s_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.02023</TD>
<TD align=center>0.02466</TD>
<TD align=center>0.11038</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01757</TD>
<TD align=center>0.02201</TD>
<TD align=center>0.10768</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlymetal6s4s_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.02024</TD>
<TD align=center>0.02604</TD>
<TD align=center>0.13127</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01758</TD>
<TD align=center>0.02339</TD>
<TD align=center>0.12864</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlymetal6s6s_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.02023</TD>
<TD align=center>0.02349</TD>
<TD align=center>0.07831</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01758</TD>
<TD align=center>0.02085</TD>
<TD align=center>0.07621</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlymetal6s2s_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01957</TD>
<TD align=center>0.02310</TD>
<TD align=center>0.08420</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.02221</TD>
<TD align=center>0.02575</TD>
<TD align=center>0.08655</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlymetal6s4s_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01959</TD>
<TD align=center>0.02432</TD>
<TD align=center>0.10244</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.02224</TD>
<TD align=center>0.02696</TD>
<TD align=center>0.10420</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlymetal6s6s_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01957</TD>
<TD align=center>0.02295</TD>
<TD align=center>0.07438</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.02221</TD>
<TD align=center>0.02560</TD>
<TD align=center>0.07676</TD>
</TR>
</TABLE>
<BR>
<DIV class=NOPRINT>
<HR>
<TABLE BORDER=0 WIDTH=100%>
<TR>
<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__CONB.html">Prev (SKY130_FD_SC_HS__CONB)</A></em></TD></TABLE>
<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__DFBBNx.html">Next (SKY130_FD_SC_HS__DFBBNx)</A></em></TD></TABLE>
</TR></TABLE>
</DIV>
</BODY>
</HTML>