blob: 53c36385eea9b16d56b784b81ab3393563ca75a1 [file] [log] [blame]
<HTML><BODY TEXT="#000000" LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
<TR align=center><th align=left><H1><font size=+3>SKY130_FD_SC_HS__DLYGATE4SDx</font></H1></th>
<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_fd_sc_hs_ss_1.60v_150C.ccs Cell Library: Process , Voltage 1.60, Temp 150.00 </A></em></h5></th></TR>
</TABLE>
<HR>
<BR>
<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
<TH colspan=1><font size=3>OUTPUT</font></TH>
<TR><Th>A</Th><Th>X</Th></TR>
<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
</TABLE>
</TR></TABLE>
<BR>
<FONT SIZE=+2><B>Footprint</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd1_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd2_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd3_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH>
<TH colspan=1>Pin Cap(pf) </TH>
<TH colspan=1>Max Cap(pf) </TH>
</TR>
<TR>
<TH>A</TH>
<TH>X</TH>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd1_1</font></Th>
<TD align=center>0.00179</TD>
<TD align=center>0.80542</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd2_1</font></Th>
<TD align=center>0.00180</TD>
<TD align=center>0.80686</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd3_1</font></Th>
<TD align=center>0.00182</TD>
<TD align=center>0.80446</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Leakage Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd1_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>1.09516</TD>
<TD align=center>1.40492</TD>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd2_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>0.99948</TD>
<TD align=center>1.31170</TD>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd3_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>0.97941</TD>
<TD align=center>1.30929</TD>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Delay Information</B></FONT>
<BR>
<BR>
<FONT><B>Delay(ns) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.23942</TD>
<TD align=center>0.89051</TD>
<TD align=center>7.06075</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.30862</TD>
<TD align=center>0.96905</TD>
<TD align=center>7.21875</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.48813</TD>
<TD align=center>1.17322</TD>
<TD align=center>7.54637</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Delay(ns) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.31637</TD>
<TD align=center>0.87993</TD>
<TD align=center>5.02562</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.38917</TD>
<TD align=center>0.97213</TD>
<TD align=center>5.14381</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.55149</TD>
<TD align=center>1.18802</TD>
<TD align=center>5.49870</TD>
</TR>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Power Information</B></FONT>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01137</TD>
<TD align=center>0.01137</TD>
<TD align=center>0.01732</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.00909</TD>
<TD align=center>0.00910</TD>
<TD align=center>0.01510</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01174</TD>
<TD align=center>0.01175</TD>
<TD align=center>0.01737</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.00945</TD>
<TD align=center>0.00946</TD>
<TD align=center>0.01517</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01325</TD>
<TD align=center>0.01323</TD>
<TD align=center>0.01847</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01093</TD>
<TD align=center>0.01091</TD>
<TD align=center>0.01629</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.00861</TD>
<TD align=center>0.00891</TD>
<TD align=center>0.01515</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01089</TD>
<TD align=center>0.01118</TD>
<TD align=center>0.01704</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.00903</TD>
<TD align=center>0.00925</TD>
<TD align=center>0.01511</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01133</TD>
<TD align=center>0.01154</TD>
<TD align=center>0.01696</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01090</TD>
<TD align=center>0.01090</TD>
<TD align=center>0.01625</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01323</TD>
<TD align=center>0.01322</TD>
<TD align=center>0.01819</TD>
</TR>
</TABLE>
<BR>
<DIV class=NOPRINT>
<HR>
<TABLE BORDER=0 WIDTH=100%>
<TR>
<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__DLXTP.html">Prev (SKY130_FD_SC_HS__DLXTP)</A></em></TD></TABLE>
<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__EBUFNx.html">Next (SKY130_FD_SC_HS__EBUFNx)</A></em></TD></TABLE>
</TR></TABLE>
</DIV>
</BODY>
</HTML>