blob: 79435fc2d49a74611870e2d8fdb439656e0b2c1e [file] [log] [blame]
<HTML><BODY TEXT="#000000" LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
<TR align=center><th align=left><H1><font size=+3>SKY130_FD_SC_HS__DLYGATE4SDx</font></H1></th>
<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_fd_sc_hs_ss_1.60v_100C.ccs Cell Library: Process , Voltage 1.60, Temp 100.00 </A></em></h5></th></TR>
</TABLE>
<HR>
<BR>
<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
<TH colspan=1><font size=3>OUTPUT</font></TH>
<TR><Th>A</Th><Th>X</Th></TR>
<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
</TABLE>
</TR></TABLE>
<BR>
<FONT SIZE=+2><B>Footprint</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd1_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd2_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd3_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH>
<TH colspan=1>Pin Cap(pf) </TH>
<TH colspan=1>Max Cap(pf) </TH>
</TR>
<TR>
<TH>A</TH>
<TH>X</TH>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd1_1</font></Th>
<TD align=center>0.00176</TD>
<TD align=center>0.75260</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd2_1</font></Th>
<TD align=center>0.00178</TD>
<TD align=center>0.75350</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd3_1</font></Th>
<TD align=center>0.00180</TD>
<TD align=center>0.75270</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Leakage Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd1_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>0.06203</TD>
<TD align=center>0.06365</TD>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd2_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>0.05561</TD>
<TD align=center>0.05729</TD>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd3_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>0.05525</TD>
<TD align=center>0.05733</TD>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Delay Information</B></FONT>
<BR>
<BR>
<FONT><B>Delay(ns) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.24271</TD>
<TD align=center>0.91674</TD>
<TD align=center>7.17107</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.31302</TD>
<TD align=center>0.99578</TD>
<TD align=center>7.31778</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.50064</TD>
<TD align=center>1.20824</TD>
<TD align=center>7.66180</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Delay(ns) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.32774</TD>
<TD align=center>0.91660</TD>
<TD align=center>5.01530</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.40125</TD>
<TD align=center>1.00886</TD>
<TD align=center>5.14928</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.57021</TD>
<TD align=center>1.22656</TD>
<TD align=center>5.54788</TD>
</TR>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Power Information</B></FONT>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01113</TD>
<TD align=center>0.01098</TD>
<TD align=center>0.01371</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.00888</TD>
<TD align=center>0.00874</TD>
<TD align=center>0.01154</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01149</TD>
<TD align=center>0.01134</TD>
<TD align=center>0.01398</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.00922</TD>
<TD align=center>0.00907</TD>
<TD align=center>0.01179</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01289</TD>
<TD align=center>0.01275</TD>
<TD align=center>0.01520</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01060</TD>
<TD align=center>0.01046</TD>
<TD align=center>0.01305</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.00842</TD>
<TD align=center>0.00843</TD>
<TD align=center>0.01119</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01067</TD>
<TD align=center>0.01067</TD>
<TD align=center>0.01343</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.00882</TD>
<TD align=center>0.00884</TD>
<TD align=center>0.01135</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01109</TD>
<TD align=center>0.01110</TD>
<TD align=center>0.01361</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01056</TD>
<TD align=center>0.01044</TD>
<TD align=center>0.01316</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01286</TD>
<TD align=center>0.01274</TD>
<TD align=center>0.01487</TD>
</TR>
</TABLE>
<BR>
<DIV class=NOPRINT>
<HR>
<TABLE BORDER=0 WIDTH=100%>
<TR>
<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__DLXTP.html">Prev (SKY130_FD_SC_HS__DLXTP)</A></em></TD></TABLE>
<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__EBUFNx.html">Next (SKY130_FD_SC_HS__EBUFNx)</A></em></TD></TABLE>
</TR></TABLE>
</DIV>
</BODY>
</HTML>