Making sure `.magic.lef` files don't have `SOURCE USER` values.

Updating sky130_fd_sc_hd 0.0.2.

Signed-off-by: Tim 'mithro' Ansell <me@mith.ro>
diff --git a/README.rst b/README.rst
index 8d0e1b9..a7c2546 100644
--- a/README.rst
+++ b/README.rst
@@ -1,5 +1,5 @@
 :lib:`sky130_fd_sc_hd` - SKY130 High Density Digital Standard Cells (SkyWater Provided)
 =======================================================================================
 
-Initial release of version (0, 0, 1).
+Initial release of version (0, 0, 2).
 
diff --git a/cells/diode/sky130_fd_sc_hd__diode_1.lef b/cells/diode/sky130_fd_sc_hd__diode_1.lef
deleted file mode 100644
index d9a9f88..0000000
--- a/cells/diode/sky130_fd_sc_hd__diode_1.lef
+++ /dev/null
@@ -1,64 +0,0 @@
-# Copyright 2020 The SkyWater PDK Authors
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#     https://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-VERSION 5.5 ;
-NAMESCASESENSITIVE ON ;
-BUSBITCHARS "[]" ;
-DIVIDERCHAR "/" ;
-MACRO sky130_fd_sc_hd__diode_1
-  CLASS CORE ;
-  SOURCE USER ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unit ;
-  PIN DIODE
-    ANTENNADIFFAREA  0.745200 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 1.295000 2.465000 ;
-    END
-  END DIODE
-  PIN VGND
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000000 -0.085000 1.380000 0.085000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VPWR
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000000 2.635000 1.380000 2.805000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-  END
-END sky130_fd_sc_hd__diode_1
-END LIBRARY
diff --git a/cells/diode/sky130_fd_sc_hd__diode_2.lef b/cells/diode/sky130_fd_sc_hd__diode_2.lef
index 0eca7f2..1ba2aee 100644
--- a/cells/diode/sky130_fd_sc_hd__diode_2.lef
+++ b/cells/diode/sky130_fd_sc_hd__diode_2.lef
@@ -26,7 +26,7 @@
   SYMMETRY X Y R90 ;
   SITE unithd ;
   PIN DIODE
-    ANTENNADIFFAREA  0.434700 ;
+    ANTENNAGATEAREA  0.000000 ;
     DIRECTION INPUT ;
     USE SIGNAL ;
     PORT
diff --git a/cells/macro_sparecell/sky130_fd_sc_hd__macro_sparecell.spice b/cells/macro_sparecell/sky130_fd_sc_hd__macro_sparecell.spice
index 1a2d0fd..bf0bfad 100644
--- a/cells/macro_sparecell/sky130_fd_sc_hd__macro_sparecell.spice
+++ b/cells/macro_sparecell/sky130_fd_sc_hd__macro_sparecell.spice
@@ -1,6 +1,6 @@
 * NGSPICE file created from sky130_fd_sc_hd__macro_sparecell.ext - technology: sky130A
 
-.subckt sky130_fd_sc_hd__nand2_2 Y A B VPB VNB VGND VPWR
+.subckt sky130_fd_sc_hd__nand2_2 A B VGND VNB VPB VPWR Y
 M1000 Y A VPWR VPB phighvt w=1e+06u l=150000u
 +  ad=5.4e+11p pd=5.08e+06u as=7.9e+11p ps=7.58e+06u
 M1001 VPWR B Y VPB phighvt w=1e+06u l=150000u
@@ -55,8 +55,8 @@
 .ends
 
 .subckt sky130_fd_sc_hd__macro_sparecell VGND VNB VPB VPWR LO
-Xsky130_fd_sc_hd__nand2_2_1 sky130_fd_sc_hd__nor2_2_1/B LO LO VPB VNB VGND VPWR sky130_fd_sc_hd__nand2_2
-Xsky130_fd_sc_hd__nand2_2_0 sky130_fd_sc_hd__nor2_2_0/A LO LO VPB VNB VGND VPWR sky130_fd_sc_hd__nand2_2
+Xsky130_fd_sc_hd__nand2_2_1 LO LO VGND VNB VPB VPWR sky130_fd_sc_hd__nor2_2_1/B sky130_fd_sc_hd__nand2_2
+Xsky130_fd_sc_hd__nand2_2_0 LO LO VGND VNB VPB VPWR sky130_fd_sc_hd__nor2_2_0/A sky130_fd_sc_hd__nand2_2
 Xsky130_fd_sc_hd__inv_2_0 sky130_fd_sc_hd__inv_2_0/A sky130_fd_sc_hd__inv_2_0/Y VPB
 + VNB VPWR VGND sky130_fd_sc_hd__inv_2
 Xsky130_fd_sc_hd__inv_2_1 sky130_fd_sc_hd__inv_2_1/A sky130_fd_sc_hd__inv_2_1/Y VPB