Merge pull request #67 from mithro/docs-add

docs: Adding more documentation pages.
diff --git a/docs/analog.rst b/docs/analog.rst
new file mode 100644
index 0000000..676e16b
--- /dev/null
+++ b/docs/analog.rst
@@ -0,0 +1,13 @@
+Analog Design
+=============
+
+.. toctree::
+    :caption: Analog Design
+    :name: analog
+
+    With Cadence Virtuoso <analog/virtuoso>
+    With MAGIC <analog/magic>
+    With Klayout <analog/klayout>
+    With Berkeley Analog Generator (BAG) <analog/bag>
+    With FASoC <analog/fasoc>
+    With your design flow? <analog/new>
diff --git a/docs/analog/bag.rst b/docs/analog/bag.rst
new file mode 100644
index 0000000..a8b8297
--- /dev/null
+++ b/docs/analog/bag.rst
@@ -0,0 +1,2 @@
+TODO: analog/bag
+================
diff --git a/docs/analog/fasoc.rst b/docs/analog/fasoc.rst
new file mode 100644
index 0000000..c69f7f4
--- /dev/null
+++ b/docs/analog/fasoc.rst
@@ -0,0 +1,2 @@
+TODO: analog/fasoc
+==================
diff --git a/docs/analog/klayout.rst b/docs/analog/klayout.rst
new file mode 100644
index 0000000..6ca919d
--- /dev/null
+++ b/docs/analog/klayout.rst
@@ -0,0 +1,2 @@
+TODO: analog/klayout
+====================
diff --git a/docs/analog/magic.rst b/docs/analog/magic.rst
new file mode 100644
index 0000000..8e8d6b5
--- /dev/null
+++ b/docs/analog/magic.rst
@@ -0,0 +1,2 @@
+TODO: analog/magic
+==================
diff --git a/docs/analog/new.rst b/docs/analog/new.rst
new file mode 100644
index 0000000..f9403bc
--- /dev/null
+++ b/docs/analog/new.rst
@@ -0,0 +1,2 @@
+TODO: analog/new
+================
diff --git a/docs/analog/virtuoso.rst b/docs/analog/virtuoso.rst
new file mode 100644
index 0000000..0b862ee
--- /dev/null
+++ b/docs/analog/virtuoso.rst
@@ -0,0 +1,2 @@
+TODO: analog/virtuoso
+=====================
diff --git a/docs/contents/libraries/sky130_fd_sc_hd b/docs/contents/libraries/sky130_fd_sc_hd
new file mode 120000
index 0000000..a7a488f
--- /dev/null
+++ b/docs/contents/libraries/sky130_fd_sc_hd
@@ -0,0 +1 @@
+../../../libraries/sky130_fd_sc_hd/latest
\ No newline at end of file
diff --git a/docs/contents/libraries/sky130_fd_sc_hdll b/docs/contents/libraries/sky130_fd_sc_hdll
new file mode 120000
index 0000000..7635ecd
--- /dev/null
+++ b/docs/contents/libraries/sky130_fd_sc_hdll
@@ -0,0 +1 @@
+../../../libraries/sky130_fd_sc_hdll/latest
\ No newline at end of file
diff --git a/docs/contents/libraries/sky130_fd_sc_hs b/docs/contents/libraries/sky130_fd_sc_hs
new file mode 120000
index 0000000..1963458
--- /dev/null
+++ b/docs/contents/libraries/sky130_fd_sc_hs
@@ -0,0 +1 @@
+../../../libraries/sky130_fd_sc_hs/latest
\ No newline at end of file
diff --git a/docs/contents/libraries/sky130_fd_sc_ls b/docs/contents/libraries/sky130_fd_sc_ls
new file mode 120000
index 0000000..961e95a
--- /dev/null
+++ b/docs/contents/libraries/sky130_fd_sc_ls
@@ -0,0 +1 @@
+../../../libraries/sky130_fd_sc_ls/latest
\ No newline at end of file
diff --git a/docs/digital.rst b/docs/digital.rst
new file mode 100644
index 0000000..0b66880
--- /dev/null
+++ b/docs/digital.rst
@@ -0,0 +1,10 @@
+Digital Design
+==============
+
+.. toctree::
+    :caption: Digital Design
+    :name: digital
+
+    With Cadence Innovus <digital/innovus>
+    With OpenROAD <digital/openroad>
+    With your design flow? <digital/new>
diff --git a/docs/digital/innovus.rst b/docs/digital/innovus.rst
new file mode 100644
index 0000000..3977f32
--- /dev/null
+++ b/docs/digital/innovus.rst
@@ -0,0 +1,2 @@
+TODO: digital/innovus
+=====================
diff --git a/docs/digital/new.rst b/docs/digital/new.rst
new file mode 100644
index 0000000..9a03b37
--- /dev/null
+++ b/docs/digital/new.rst
@@ -0,0 +1,2 @@
+TODO: digital/new
+=================
diff --git a/docs/digital/openroad.rst b/docs/digital/openroad.rst
new file mode 100644
index 0000000..40b64b4
--- /dev/null
+++ b/docs/digital/openroad.rst
@@ -0,0 +1,2 @@
+TODO: digital/openroad
+======================
diff --git a/docs/glossary.rst b/docs/glossary.rst
new file mode 100644
index 0000000..553fde5
--- /dev/null
+++ b/docs/glossary.rst
@@ -0,0 +1,165 @@
+Glossary
+========
+
+.. Companies
+
+.. glossary::
+
+    SkyWater
+    SkyWater Technology
+      `SkyWater Technology <https://www.SkyWatertechnology.com/>`_
+
+    Cypress
+    Cypress Technologies
+      `Cypress Technologies <http://cypress.com/>`_
+
+    Linear ASICs
+      `Linear ASICs <https://linearasics.com/>`_
+
+    Mentor
+    Mentor Graphics
+      `Mentor, a Siemens Business is a US-based electronic design automation (EDA) multinational corporation for electrical engineering and electronics. <https://en.wikipedia.org/wiki/Mentor_Graphics>`
+
+    OSU
+       Oklahoma State University
+
+.. Acronyms
+
+.. glossary::
+
+    sc
+    Standard Cell
+        The basic building blocks of digital circuit design.
+
+    ce
+        Memory Core
+
+    DRC
+    Design Rule Check
+    Design Rule Checking
+       Design rule checking or check(s) is the process of determing whether the
+       physical layout of a particular chip layout satisfies a series of
+       required parameters called design rules.
+
+    LVS
+    Layout Verse Schematic
+       Layout Versus Schematic (LVS) verification is the process of determining
+       whether a particular integrated circuit layout corresponds to the
+       original :ref:`schematic` or :ref:`circuit diagram` of the design.
+
+    PEX
+    Parasitic Extraction
+       Parasitic extraction is calculation of the parasitic effects in both the
+       designed devices and the required wiring interconnects of an electronic
+       circuit. This includes all parasitic components (often called parasitic
+       devices) including parasitic;
+
+        * capacitances,
+        * resistances, and
+        * inductances.
+
+    NLDM
+      Non-Linear Delay Model
+
+    CCS
+    ECSM
+      Current Source Models
+
+
+    CIF
+    Caltech Intermediate Form
+        From the 1990's, the CIF format has largely been replaced by the GDS
+        format.
+    MiM
+    MIM
+    MiM caps
+        Stands for "metal-insulator-metal" and is a type of IC capacitor
+        structure.
+
+        These are capacitors that are made between two metal route layers,
+        usually close to the top of the metal stack.
+
+        Generally they are around 1fF/um^2, a lot better than MoM caps.
+
+        The capacitance of MiM caps is on the top and bottom of the metal
+        (while the capacitance of MoM caps is sidewall cap).
+
+    MoM
+    MoM caps
+    VPP
+    VPP capacitor
+        Stands for "metal-oxide-metal" and is a type of IC capacitor structure.
+
+        These are capacitors which are made by interleaving fingers of metal.
+
+        Sometimes MoM caps are referred to as "VPP" capacitors (stands for
+        "vertical parallel plate").
+
+        The capacitance of MoM caps is capacitance of the metal sidewalls which
+        is significantly lower than that provided MiM caps.
+
+
+
+.. File formats
+
+.. glossary::
+
+    .lef
+    LEF
+    Library Exchange Format
+      Abstract description of the layout for place and route.
+
+    .lib
+    Liberty Models
+    Liberty Timing Models
+    Liberty Wire Load Models
+      Liberty Files are a IEEE Standard for defining: PVT Characterization,
+      Relating Input and Output Characteristics, Timing, Power, Noise.
+
+      Wire Load Models estimate the parasitics based on the fanout of a net.
+
+    CALMA
+    Calma
+    Calma Format
+      Calma was the company behind the development of GDS. 
+      https://en.wikipedia.org/wiki/Calma
+
+
+.. Tools
+
+.. glossary::
+
+    Mentor Calibre
+      The Calibre® product suite developed by :term:`Mentor Graphics`. Heavily
+      used for IC Verification and Signoff.
+
+    MAGIC
+      `MAGIC <http://opencircuitdesign.com/magic/>`_
+
+    ngspice
+      `ngspice <http://ngspice.sourceforge.net/>`_
+
+    OpenRoad
+      The digital design flow developed by
+      `The OpenRoad Project <https://theopenroadproject.org/>`_
+
+
+.. Terms specific to this documentation
+
+.. glossary::
+
+    s8phirs_10r
+    SkyWater S8
+    SkyWater SKY130 technology
+    SkyWater SKY130 process
+      The SkyWater SKY130 130nm process with 5 metal layers.
+
+    s8_osu130
+      The Oklahoma State University Digital Standard Cells.
+
+    s8_schd
+      The SkyWater High Density Digital Standard Cells.
+
+    license
+    Apache 2.0 license
+      The Apache 2.0 license.
diff --git a/docs/index.rst b/docs/index.rst
index 7e4cc70..1d30453 100644
--- a/docs/index.rst
+++ b/docs/index.rst
@@ -3,18 +3,28 @@
 .. toctree::
     :hidden:
 
-    Design Rules <rules>
-
-    contents
-
     versioning
     Current Status <status>
     known_issues
 
+    Design Rules <rules>
+
+    contents
+
+    analog
+    digital
+    sim
+    verification
+
+    Python API <python-api/index>
+
+    previous
+    glossary
+
     contributing
     partners
 
-    Python API <python-api/index>
+
 
 
 Welcome to SkyWater SKY130 PDK's documentation!
@@ -40,6 +50,7 @@
 Indices and tables
 ==================
 
+* :ref:`glossary`
 * :ref:`genindex`
 * :ref:`modindex`
 * :ref:`search`
diff --git a/docs/previous.rst b/docs/previous.rst
new file mode 100644
index 0000000..2c87fcb
--- /dev/null
+++ b/docs/previous.rst
@@ -0,0 +1,59 @@
+Previous Nomenclature
+=====================
+
+During the process of preparing the SkyWater SKY130 PDK for public release, consistency around naming, documentation and data cross checking was performed. This attempted to make sure that all references have been updated but despite the SkyWater PDK Author's best efforts, some references may have been missed.
+
+This section of the document include information about previous nomenclature around both the SkyWater PDK, related process and technologies developed both by Cypress Technology, SkyWater Technology and their partners.
+
+.. note::
+    If you find any references to these terms inside the current documentation,
+    please create an issue so we can update the documentation!
+
+This section should also help people who have previously had access (under NDA) to Cypress and SkyWater PDK files or older documentation and want to migrate to this new open source PDK.
+
+.. warning::
+    Despite this repository being released under an open source license, you
+    should **not** publish publically any Cypress or SkyWater IP you have been
+    given access to under NDA.
+
+    If the IP you are looking at includes references to terms found in this
+    Previous Nomenclature section, it is a good indication that the IP you have
+    can only be shared under appropriate NDAs and clearances you should **not**
+    be publically publishing it.
+
+
+.. glossary::
+
+    :lib_process:`s8`
+        The old Cypress and SkyWater name for the :lib_process:`SKY130`
+        process. It stood for the "8th generation" of the SONOS technology
+        developed originally by Cypress.
+
+    :lib_process:`s8phrc`
+    :lib_process:`s180`
+        The name for using 180nm technology on the 130nm process.
+
+
+    :lib_process:`s8phirs`
+
+    :lib_process:`s8pfhd`
+        The base process.  5 metal layer backend stack, 16V devices, deep
+        nwell.
+
+    :lib_process:`s8phirs`
+        The base process plus rdl layer and rdl metal inductors.
+
+    :lib_process:`s8phrc`
+        The base process plus dual MiM cap layers on metal 3 and metal 4
+
+    :lib_process:`s8pfn-20`
+        The base process plus UHV (ultra-high voltage) implants for 20V device
+        support.
+
+
+    :lib_name:`s8iom0s8`
+        An earlier name for the :lib:`sky130_fd_io` library.
+
+    :lib_name:`scs8hd`
+        An earlier name for the :lib:`sky130_fd_sc_hd` library.
+
diff --git a/docs/sim.rst b/docs/sim.rst
new file mode 100644
index 0000000..10891b2
--- /dev/null
+++ b/docs/sim.rst
@@ -0,0 +1,17 @@
+Simulation
+==========
+
+.. toctree::
+    :caption: Simulation
+    :name: sim
+
+    With Cadence Spectre <sim/spectre>
+    With ngspice <sim/ngspice>
+    With your design flow? <analog/new>
+
+.. todo::
+
+    The SkyWater SKY130 PDK provides simulation two types of simulation models.
+    :term:`Spectre models` for usage with :term:`Cadence Spectre` and
+    :term:`Spice models` which are compatible with popular open source spice
+    simulators like :term:`ngspice`.
diff --git a/docs/sim/ngspice.rst b/docs/sim/ngspice.rst
new file mode 100644
index 0000000..0136168
--- /dev/null
+++ b/docs/sim/ngspice.rst
@@ -0,0 +1,2 @@
+TODO: sim/ngspice
+=================
diff --git a/docs/sim/spectre.rst b/docs/sim/spectre.rst
new file mode 100644
index 0000000..5090786
--- /dev/null
+++ b/docs/sim/spectre.rst
@@ -0,0 +1,2 @@
+TODO: sim/spectre
+=================
diff --git a/docs/sim/spice.rst b/docs/sim/spice.rst
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/docs/sim/spice.rst
diff --git a/docs/verification.rst b/docs/verification.rst
new file mode 100644
index 0000000..8308157
--- /dev/null
+++ b/docs/verification.rst
@@ -0,0 +1,46 @@
+Physical & Design Verification
+==============================
+
+.. toctree::
+    :caption: Physical & Design Verification
+    :name: verification
+
+    Automated Design Rule (DRC) Checking <verification/drc>
+    - With Mentor Calibre
+    - With MAGIC
+    - With Klayout
+    Layout Versus Schematic (LVS) Checking <verification/lvs>
+    - With Mentor Calibre
+    - With netgen
+    Parasitic Extraction (PEX) <verification/pex>
+    - With Calibre xRC
+    - With MAGIC
+
+
+.. todo::
+    The SkyWater SKY130 PDK provides automated physical and design rule checking decks.
+
+    These verification rules provide;
+
+     * :term:`Design Rule Checking` (:term:`DRC`) against rules described in the
+       :ref:`SkyWater SKY130 Process Design Rules` documentation.
+
+       .. warning::
+
+           There are some design rules which can not be verified with these decks.
+           They are clearly marked in the :ref:`SkyWater SKY130 Process Design Rules`
+           documentation and should be manually verified by the designer.
+
+     * :term:`Layout Verse Schematic` (:term`LVS`) Verification
+     * :term:`Parasitic Extraction` (:term:`PEX`)
+
+TODO: Calibre Decks
+-------------------
+
+Put stuff here.
+
+TODO: MAGIC Decks
+-----------------
+
+Put stuff here.
+
diff --git a/docs/verification/drc.rst b/docs/verification/drc.rst
new file mode 100644
index 0000000..10f9b4a
--- /dev/null
+++ b/docs/verification/drc.rst
@@ -0,0 +1,8 @@
+Design Rule Verification
+========================
+
+.. toctree::
+
+    With Mentor Calibre <drc/calibre>
+    With Magic <drc/magic>
+    With KLayout <drc/klayout>
diff --git a/docs/verification/drc/calibre.rst b/docs/verification/drc/calibre.rst
new file mode 100644
index 0000000..6d9d555
--- /dev/null
+++ b/docs/verification/drc/calibre.rst
@@ -0,0 +1,2 @@
+TODO: verification/drc/calibre
+==============================
diff --git a/docs/verification/drc/klayout.rst b/docs/verification/drc/klayout.rst
new file mode 100644
index 0000000..0c5ac3d
--- /dev/null
+++ b/docs/verification/drc/klayout.rst
@@ -0,0 +1,2 @@
+TODO: verification/drc/klayout
+==============================
diff --git a/docs/verification/drc/magic.rst b/docs/verification/drc/magic.rst
new file mode 100644
index 0000000..838293e
--- /dev/null
+++ b/docs/verification/drc/magic.rst
@@ -0,0 +1,2 @@
+TODO: verification/drc/magic
+============================
diff --git a/docs/verification/lvs.rst b/docs/verification/lvs.rst
new file mode 100644
index 0000000..829f6c9
--- /dev/null
+++ b/docs/verification/lvs.rst
@@ -0,0 +1,8 @@
+Layout verse Schematic (LVS) Verification
+=========================================
+
+.. toctree::
+
+    With Mentor Calibre <lvs/calibre>
+    With Magic <lvs/magic>
+    With KLayout <lvs/klayout>
diff --git a/docs/verification/lvs/calibre.rst b/docs/verification/lvs/calibre.rst
new file mode 100644
index 0000000..0e71ef5
--- /dev/null
+++ b/docs/verification/lvs/calibre.rst
@@ -0,0 +1,2 @@
+TODO: verification/lvs/calibre
+==============================
diff --git a/docs/verification/lvs/klayout.rst b/docs/verification/lvs/klayout.rst
new file mode 100644
index 0000000..14a46a2
--- /dev/null
+++ b/docs/verification/lvs/klayout.rst
@@ -0,0 +1,2 @@
+TODO: verification/lvs/klayout
+==============================
diff --git a/docs/verification/lvs/magic.rst b/docs/verification/lvs/magic.rst
new file mode 100644
index 0000000..6de3819
--- /dev/null
+++ b/docs/verification/lvs/magic.rst
@@ -0,0 +1,2 @@
+TODO: verification/lvs/magic
+============================
diff --git a/docs/verification/pex.rst b/docs/verification/pex.rst
new file mode 100644
index 0000000..3260394
--- /dev/null
+++ b/docs/verification/pex.rst
@@ -0,0 +1,8 @@
+Parasitics Extraction (PEX)
+===========================
+
+.. toctree::
+
+    With Mentor Calibre <pex/calibre>
+    With Magic <pex/magic>
+    With KLayout <pex/klayout>
diff --git a/docs/verification/pex/calibre.rst b/docs/verification/pex/calibre.rst
new file mode 100644
index 0000000..17de3f6
--- /dev/null
+++ b/docs/verification/pex/calibre.rst
@@ -0,0 +1,2 @@
+TODO: verification/pex/calibre
+==============================
diff --git a/docs/verification/pex/klayout.rst b/docs/verification/pex/klayout.rst
new file mode 100644
index 0000000..f633ac7
--- /dev/null
+++ b/docs/verification/pex/klayout.rst
@@ -0,0 +1,2 @@
+TODO: verification/pex/klayout
+==============================
diff --git a/docs/verification/pex/magic.rst b/docs/verification/pex/magic.rst
new file mode 100644
index 0000000..d055a0d
--- /dev/null
+++ b/docs/verification/pex/magic.rst
@@ -0,0 +1,2 @@
+TODO: verification/pex/magic
+============================