Merge pull request #148 from mithro/sphinxcontrib-verilog-domain

docs: Fixing requirements.txt
diff --git a/docs/conf.py b/docs/conf.py
index 31fb98f..08ba291 100644
--- a/docs/conf.py
+++ b/docs/conf.py
@@ -64,7 +64,7 @@
     'sphinx.ext.mathjax',
     'sphinx.ext.napoleon',
     'sphinx.ext.todo',
-    'sphinxcontrib_verilog_diagrams',
+    'sphinxcontrib_hdl_diagrams',
 ]
 
 # Add any paths that contain templates here, relative to this directory.
@@ -83,10 +83,10 @@
 on_rtd = os.environ.get('READTHEDOCS', None) == 'True'
 if not on_rtd:
     html_context = {
-        "display_github": True,  # Integrate GitHub
-        "github_user": "mithro",  # Username
-        "github_repo": "python-sphinx-verilog",  # Repo name
-        "github_version": "master",  # Version
+        "display_github": True,         # Integrate GitHub
+        "github_user": "google",        # Username
+        "github_repo": "skywater-pdk",  # Repo name
+        "github_version": "master",     # Version
         "conf_py_path": "/doc/",
     }
 else:
diff --git a/docs/requirements.txt b/docs/requirements.txt
index d63f78d..37e7980 100644
--- a/docs/requirements.txt
+++ b/docs/requirements.txt
@@ -4,11 +4,13 @@
 sphinx
 sphinx-autobuild
 
+# Verilog domain
+sphinx-verilog-domain
 # Verilog diagrams using Yosys + netlistsvg
-git+https://github.com/SymbiFlow/sphinxcontrib-verilog-diagrams.git#egg=sphinxcontrib-verilog-diagrams
+sphinxcontrib-hdl-diagrams
 
 # Module diagrams
-symbolator
+git+https://github.com/SymbiFlow/symbolator.git#egg=symbolator
 
 # pycairo
 # vext.gi