blob: 6e00bc020a5a8be23cd01ea0310f3c08edbdb20c [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__sdffq_4) {
area : 124.185600 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "((D&(!SE))|(SE&SI))" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SE&!SI" ;
value : "0.000274344" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SE&SI" ;
value : "0.000274344" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SE&!SI" ;
value : "0.000279732" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SE&SI" ;
value : "0.000257433" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SE&!SI" ;
value : "0.000189303" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SE&SI" ;
value : "0.000189303" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SE&!SI" ;
value : "0.000279732" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SE&SI" ;
value : "0.000257433" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SE&!SI" ;
value : "0.000183648" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SE&SI" ;
value : "0.000183648" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SE&!SI" ;
value : "0.000181428" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SE&SI" ;
value : "0.000207837" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SE&!SI" ;
value : "0.000203262" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SE&SI" ;
value : "0.000203262" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SE&!SI" ;
value : "0.000181428" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SE&SI" ;
value : "0.000207837" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.000279732" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.005064 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
min_period : 2.452 ;
min_pulse_width_high : 0.791 ;
min_pulse_width_low : 1.225 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1435, 0.1425, 0.1415, 0.1431, 0.1478, 0.1557, 0.1671, 0.182, \
0.201, 0.2243");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09187, 0.09132, 0.09034, 0.0912, 0.09477, 0.1016, 0.1117, 0.1255, \
0.1429, 0.1643");
}
}
internal_power() {
when : "!D&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1435, 0.1425, 0.1415, 0.1431, 0.1478, 0.1557, 0.1671, 0.182, \
0.2009, 0.2243");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09187, 0.09131, 0.09035, 0.09114, 0.09479, 0.1016, 0.1118, 0.1255, \
0.143, 0.1643");
}
}
internal_power() {
when : "!D&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1436, 0.1426, 0.1416, 0.1432, 0.1479, 0.1558, 0.167, 0.1821, \
0.201, 0.2243");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09179, 0.09124, 0.09027, 0.09112, 0.09473, 0.1016, 0.1117, 0.1254, \
0.1428, 0.1642");
}
}
internal_power() {
when : "!D&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1406, 0.1396, 0.1386, 0.1402, 0.1449, 0.1528, 0.1641, 0.1791, \
0.1981, 0.2214");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09276, 0.09223, 0.09126, 0.09215, 0.09571, 0.1025, 0.1127, 0.1265, \
0.1439, 0.1652");
}
}
internal_power() {
when : "D&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1316, 0.1306, 0.1296, 0.1312, 0.136, 0.1439, 0.1552, 0.1702, \
0.1893, 0.2125");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09272, 0.09217, 0.09124, 0.09207, 0.09573, 0.1025, 0.1127, 0.1264, \
0.1438, 0.1652");
}
}
internal_power() {
when : "D&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1317, 0.1306, 0.1296, 0.1312, 0.136, 0.144, 0.1551, 0.1702, \
0.1893, 0.2126");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09272, 0.09217, 0.09124, 0.09207, 0.09573, 0.1025, 0.1127, 0.1264, \
0.1438, 0.1652");
}
}
internal_power() {
when : "D&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1436, 0.1426, 0.1416, 0.1432, 0.1479, 0.1558, 0.1671, 0.182, \
0.201, 0.2243");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09179, 0.09124, 0.09027, 0.09112, 0.09484, 0.1015, 0.1118, 0.1254, \
0.1428, 0.1642");
}
}
internal_power() {
when : "D&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1406, 0.1396, 0.1386, 0.1402, 0.1449, 0.1529, 0.1641, 0.1791, \
0.1981, 0.2214");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.0928, 0.09223, 0.09126, 0.0921, 0.09573, 0.1025, 0.1127, 0.1265, \
0.1439, 0.1653");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!SE&!SI" ;
fall_constraint(scalar) {
values("1.225");
}
rise_constraint(scalar) {
values("0.695");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!SE&SI" ;
fall_constraint(scalar) {
values("1.225");
}
rise_constraint(scalar) {
values("0.695");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SE&!SI" ;
fall_constraint(scalar) {
values("1.225");
}
rise_constraint(scalar) {
values("0.689");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SE&SI" ;
fall_constraint(scalar) {
values("0.977");
}
rise_constraint(scalar) {
values("0.791");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!SE&!SI" ;
fall_constraint(scalar) {
values("0.986");
}
rise_constraint(scalar) {
values("0.791");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!SE&SI" ;
fall_constraint(scalar) {
values("0.986");
}
rise_constraint(scalar) {
values("0.791");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SE&!SI" ;
fall_constraint(scalar) {
values("1.225");
}
rise_constraint(scalar) {
values("0.695");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SE&SI" ;
fall_constraint(scalar) {
values("0.986");
}
rise_constraint(scalar) {
values("0.791");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&!SE&!SI" ;
rise_constraint(scalar) {
values("2.452");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&!SE&SI" ;
rise_constraint(scalar) {
values("2.452");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SE&!SI" ;
rise_constraint(scalar) {
values("2.452");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SE&SI" ;
rise_constraint(scalar) {
values("1.96");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&!SE&!SI" ;
rise_constraint(scalar) {
values("1.96");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&!SE&SI" ;
rise_constraint(scalar) {
values("1.96");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&SE&!SI" ;
rise_constraint(scalar) {
values("2.452");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&SE&SI" ;
rise_constraint(scalar) {
values("1.96");
}
}
}
pin(D) {
capacitance : 0.003619 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1225, 0.122, 0.1215, 0.121, 0.1213, 0.123, 0.1272, 0.1346, 0.1456, \
0.1601");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.07738, 0.07681, 0.07611, 0.07568, 0.07594, 0.07778, 0.0818, \
0.08858, 0.09808, 0.1105");
}
}
internal_power() {
when : "!CLK&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1225, 0.122, 0.1215, 0.121, 0.1213, 0.1229, 0.1272, 0.1345, \
0.1456, 0.1601");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.07737, 0.07681, 0.07613, 0.07569, 0.07593, 0.07776, 0.08181, \
0.08857, 0.09804, 0.1105");
}
}
internal_power() {
when : "!CLK&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.01051, 0.01046, 0.01045, 0.01046, 0.01046, 0.01048, 0.01048, \
0.01049, 0.01049, 0.01048");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.009731, -0.00971, -0.009962, -0.01009, -0.01014, -0.0102, -0.01023, \
-0.01025, -0.01025, -0.01027");
}
}
internal_power() {
when : "!CLK&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.01047, 0.01045, 0.01046, 0.01047, 0.01046, 0.01048, 0.01048, \
0.01048, 0.01048, 0.01048");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.009231, -0.009651, -0.009957, -0.0101, -0.01013, -0.0102, -0.01023, \
-0.01024, -0.01026, -0.01026");
}
}
internal_power() {
when : "CLK&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.02737, 0.02728, 0.02711, 0.027, 0.02702, 0.027, 0.02701, 0.02701, \
0.02698, 0.02699");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.003676, -0.003968, -0.004394, -0.004742, -0.004942, -0.005104, \
-0.005188, -0.005239, -0.005277, -0.005319");
}
}
internal_power() {
when : "CLK&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.02737, 0.02726, 0.02712, 0.02702, 0.02702, 0.02699, 0.02701, \
0.02697, 0.02699, 0.02699");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.003684, -0.003968, -0.004394, -0.004742, -0.004942, -0.005102, \
-0.005185, -0.005233, -0.005277, -0.005316");
}
}
internal_power() {
when : "CLK&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.01048, 0.01046, 0.01046, 0.01046, 0.01046, 0.01048, 0.01048, \
0.01048, 0.01048, 0.01048");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.009724, -0.009718, -0.009962, -0.01009, -0.01014, -0.01021, \
-0.01023, -0.01024, -0.01026, -0.01026");
}
}
internal_power() {
when : "CLK&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.01046, 0.01046, 0.01043, 0.01046, 0.01048, 0.01048, 0.01048, \
0.01048, 0.01048, 0.01048");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.009301, -0.009654, -0.009955, -0.01007, -0.01017, -0.0102, \
-0.01022, -0.01024, -0.01025, -0.01026");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!SE&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.137, -0.113, -0.053, 0.044, 0.125, 0.187, 0.231, 0.246, 0.232, 0.186",\
"-0.16, -0.138, -0.069, 0.02, 0.107, 0.165, 0.209, 0.229, 0.215, 0.17",\
"-0.229, -0.205, -0.132, -0.044, 0.038, 0.102, 0.145, 0.162, 0.144, 0.105",\
"-0.329, -0.3, -0.234, -0.143, -0.06, 0.002, 0.047, 0.064, 0.049, 0.006",\
"-0.431, -0.405, -0.344, -0.247, -0.163, -0.106, -0.06, -0.04, -0.056, -0.101",\
"-0.551, -0.523, -0.459, -0.365, -0.286, -0.222, -0.171, -0.16, -0.163, -0.208",\
"-0.684, -0.653, -0.591, -0.502, -0.426, -0.358, -0.308, -0.287, -0.293, -0.335",\
"-0.829, -0.804, -0.745, -0.666, -0.59, -0.524, -0.464, -0.444, -0.441, -0.479",\
"-1.018, -0.986, -0.94, -0.861, -0.788, -0.724, -0.663, -0.634, -0.62, -0.656",\
"-1.229, -1.206, -1.159, -1.091, -1.025, -0.964, -0.894, -0.87, -0.857, -0.876");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.412, -0.392, -0.341, -0.318, -0.32, -0.345, -0.388, -0.459, -0.553, -0.675",\
"-0.425, -0.405, -0.356, -0.331, -0.336, -0.357, -0.404, -0.469, -0.567, -0.69",\
"-0.468, -0.445, -0.395, -0.371, -0.376, -0.392, -0.44, -0.509, -0.608, -0.727",\
"-0.532, -0.511, -0.462, -0.429, -0.433, -0.445, -0.49, -0.563, -0.656, -0.776",\
"-0.589, -0.569, -0.524, -0.493, -0.488, -0.504, -0.544, -0.603, -0.698, -0.818",\
"-0.646, -0.628, -0.572, -0.542, -0.533, -0.547, -0.579, -0.644, -0.725, -0.845",\
"-0.684, -0.664, -0.615, -0.578, -0.565, -0.575, -0.604, -0.659, -0.744, -0.853",\
"-0.693, -0.674, -0.625, -0.597, -0.581, -0.59, -0.615, -0.666, -0.747, -0.862",\
"-0.674, -0.655, -0.609, -0.579, -0.568, -0.575, -0.602, -0.652, -0.736, -0.843",\
"-0.624, -0.603, -0.563, -0.533, -0.524, -0.537, -0.561, -0.617, -0.699, -0.803");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!SE&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.137, -0.113, -0.053, 0.044, 0.125, 0.187, 0.231, 0.246, 0.232, 0.186",\
"-0.16, -0.138, -0.069, 0.02, 0.107, 0.165, 0.209, 0.229, 0.215, 0.17",\
"-0.229, -0.205, -0.132, -0.044, 0.038, 0.102, 0.139, 0.162, 0.144, 0.105",\
"-0.329, -0.3, -0.234, -0.143, -0.06, 0.002, 0.041, 0.064, 0.049, 0.006",\
"-0.431, -0.405, -0.338, -0.247, -0.163, -0.106, -0.067, -0.04, -0.056, -0.101",\
"-0.551, -0.523, -0.459, -0.365, -0.286, -0.222, -0.18, -0.16, -0.163, -0.208",\
"-0.684, -0.653, -0.591, -0.508, -0.419, -0.358, -0.313, -0.287, -0.293, -0.335",\
"-0.837, -0.804, -0.745, -0.666, -0.59, -0.524, -0.47, -0.444, -0.441, -0.479",\
"-1.018, -0.986, -0.94, -0.861, -0.788, -0.724, -0.671, -0.634, -0.62, -0.656",\
"-1.236, -1.199, -1.159, -1.098, -1.025, -0.964, -0.913, -0.875, -0.851, -0.876");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.412, -0.392, -0.341, -0.318, -0.32, -0.345, -0.388, -0.459, -0.553, -0.675",\
"-0.425, -0.405, -0.356, -0.331, -0.336, -0.357, -0.404, -0.469, -0.567, -0.69",\
"-0.468, -0.445, -0.395, -0.371, -0.376, -0.392, -0.44, -0.509, -0.608, -0.727",\
"-0.532, -0.511, -0.462, -0.429, -0.433, -0.445, -0.49, -0.563, -0.656, -0.776",\
"-0.589, -0.569, -0.524, -0.493, -0.488, -0.504, -0.544, -0.603, -0.698, -0.818",\
"-0.646, -0.628, -0.572, -0.542, -0.533, -0.547, -0.579, -0.644, -0.725, -0.845",\
"-0.684, -0.664, -0.615, -0.578, -0.565, -0.575, -0.604, -0.659, -0.744, -0.853",\
"-0.693, -0.674, -0.625, -0.597, -0.581, -0.59, -0.615, -0.666, -0.747, -0.862",\
"-0.674, -0.655, -0.609, -0.579, -0.568, -0.575, -0.602, -0.652, -0.736, -0.843",\
"-0.624, -0.603, -0.563, -0.533, -0.524, -0.528, -0.561, -0.617, -0.699, -0.803");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!SE&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("1.019, 0.995, 0.898, 0.758, 0.634, 0.541, 0.469, 0.422, 0.414, 0.44",\
"1.042, 1.017, 0.925, 0.776, 0.663, 0.566, 0.491, 0.446, 0.432, 0.46",\
"1.105, 1.082, 0.986, 0.841, 0.724, 0.627, 0.556, 0.509, 0.501, 0.526",\
"1.213, 1.19, 1.095, 0.954, 0.826, 0.734, 0.663, 0.615, 0.611, 0.632",\
"1.357, 1.339, 1.242, 1.099, 0.974, 0.878, 0.808, 0.765, 0.758, 0.786",\
"1.541, 1.516, 1.422, 1.287, 1.159, 1.066, 0.994, 0.942, 0.935, 0.954",\
"1.755, 1.737, 1.639, 1.5, 1.38, 1.276, 1.207, 1.157, 1.149, 1.167",\
"2.027, 2.002, 1.912, 1.766, 1.649, 1.546, 1.472, 1.421, 1.403, 1.426",\
"2.352, 2.332, 2.236, 2.09, 1.966, 1.862, 1.779, 1.727, 1.704, 1.719",\
"2.737, 2.711, 2.615, 2.466, 2.335, 2.228, 2.142, 2.081, 2.055, 2.065");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.819, 0.794, 0.708, 0.604, 0.533, 0.52, 0.544, 0.596, 0.681, 0.796",\
"0.834, 0.81, 0.726, 0.612, 0.557, 0.537, 0.557, 0.615, 0.696, 0.813",\
"0.872, 0.849, 0.76, 0.656, 0.588, 0.578, 0.596, 0.653, 0.736, 0.851",\
"0.938, 0.909, 0.825, 0.716, 0.653, 0.641, 0.657, 0.71, 0.791, 0.899",\
"1.024, 0.993, 0.91, 0.799, 0.735, 0.705, 0.72, 0.765, 0.844, 0.956",\
"1.09, 1.061, 0.977, 0.877, 0.801, 0.769, 0.777, 0.817, 0.888, 0.995",\
"1.146, 1.124, 1.037, 0.93, 0.858, 0.817, 0.822, 0.854, 0.92, 1.019",\
"1.193, 1.165, 1.081, 0.975, 0.895, 0.85, 0.848, 0.881, 0.948, 1.033",\
"1.207, 1.183, 1.099, 0.991, 0.909, 0.86, 0.853, 0.888, 0.943, 1.03",\
"1.196, 1.173, 1.09, 0.982, 0.902, 0.846, 0.838, 0.86, 0.921, 1.01");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!SE&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("1.019, 0.995, 0.898, 0.758, 0.634, 0.541, 0.469, 0.422, 0.414, 0.44",\
"1.042, 1.017, 0.925, 0.776, 0.663, 0.559, 0.491, 0.446, 0.437, 0.46",\
"1.105, 1.082, 0.986, 0.841, 0.724, 0.627, 0.556, 0.509, 0.506, 0.526",\
"1.213, 1.19, 1.095, 0.954, 0.826, 0.734, 0.663, 0.615, 0.611, 0.632",\
"1.357, 1.339, 1.242, 1.099, 0.974, 0.878, 0.808, 0.765, 0.758, 0.786",\
"1.541, 1.516, 1.422, 1.277, 1.159, 1.066, 0.994, 0.942, 0.935, 0.962",\
"1.755, 1.737, 1.639, 1.5, 1.38, 1.276, 1.207, 1.157, 1.149, 1.167",\
"2.027, 2.002, 1.912, 1.766, 1.649, 1.546, 1.472, 1.421, 1.403, 1.426",\
"2.352, 2.332, 2.236, 2.09, 1.966, 1.862, 1.779, 1.727, 1.704, 1.719",\
"2.737, 2.711, 2.615, 2.466, 2.335, 2.228, 2.142, 2.081, 2.055, 2.065");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.813, 0.794, 0.708, 0.598, 0.533, 0.52, 0.544, 0.596, 0.681, 0.796",\
"0.834, 0.81, 0.72, 0.612, 0.548, 0.537, 0.557, 0.615, 0.696, 0.813",\
"0.866, 0.849, 0.76, 0.656, 0.588, 0.578, 0.596, 0.653, 0.736, 0.851",\
"0.938, 0.909, 0.825, 0.716, 0.653, 0.641, 0.657, 0.71, 0.791, 0.899",\
"1.014, 0.993, 0.904, 0.799, 0.735, 0.705, 0.72, 0.765, 0.844, 0.948",\
"1.09, 1.061, 0.977, 0.877, 0.801, 0.769, 0.777, 0.817, 0.888, 0.995",\
"1.152, 1.124, 1.037, 0.93, 0.858, 0.817, 0.817, 0.854, 0.92, 1.019",\
"1.193, 1.165, 1.081, 0.975, 0.895, 0.856, 0.848, 0.881, 0.948, 1.033",\
"1.207, 1.183, 1.099, 0.991, 0.909, 0.86, 0.861, 0.888, 0.943, 1.03",\
"1.196, 1.173, 1.09, 0.975, 0.902, 0.846, 0.838, 0.86, 0.921, 1.01");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 2.259 ;
max_transition : 11.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
when : "!D&SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.2949, 0.2892, 0.2875, 0.2894, 0.2911, 0.2923, 0.293, 0.2935, 0.2939, 0.2941",\
"0.2947, 0.2891, 0.2874, 0.2891, 0.291, 0.2923, 0.2928, 0.2933, 0.2936, 0.2938",\
"0.2946, 0.2891, 0.2875, 0.2891, 0.291, 0.2921, 0.2929, 0.2933, 0.2936, 0.2939",\
"0.2953, 0.2898, 0.2882, 0.2899, 0.2917, 0.2928, 0.2936, 0.2941, 0.2942, 0.2945",\
"0.296, 0.2906, 0.289, 0.2906, 0.2924, 0.2935, 0.2942, 0.2947, 0.295, 0.2952",\
"0.2968, 0.291, 0.2896, 0.2915, 0.2931, 0.2942, 0.2949, 0.2954, 0.2957, 0.2959",\
"0.2974, 0.2919, 0.2904, 0.2921, 0.2938, 0.2948, 0.2957, 0.2961, 0.2964, 0.2965",\
"0.2982, 0.2927, 0.291, 0.2929, 0.2946, 0.2957, 0.2964, 0.2968, 0.2971, 0.2973",\
"0.2992, 0.2935, 0.292, 0.2938, 0.2953, 0.2966, 0.2973, 0.2978, 0.2981, 0.2983",\
"0.3001, 0.2943, 0.2928, 0.2946, 0.2964, 0.2974, 0.2981, 0.2985, 0.2989, 0.2991");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.262, 0.2596, 0.2591, 0.2628, 0.2678, 0.272, 0.2772, 0.2805, 0.2891, 0.3812",\
"0.2621, 0.2596, 0.259, 0.2629, 0.2674, 0.2716, 0.2762, 0.2799, 0.2918, 0.3858",\
"0.2616, 0.2593, 0.2589, 0.2619, 0.2681, 0.272, 0.276, 0.2808, 0.2911, 0.388",\
"0.2616, 0.2594, 0.2588, 0.2625, 0.2678, 0.2729, 0.2757, 0.2796, 0.2942, 0.4068",\
"0.2616, 0.2595, 0.2587, 0.2622, 0.2672, 0.2717, 0.2755, 0.2794, 0.294, 0.4275",\
"0.2617, 0.2593, 0.2584, 0.2631, 0.2673, 0.2722, 0.2756, 0.2802, 0.2988, 0.4669",\
"0.2621, 0.2597, 0.259, 0.2617, 0.2685, 0.2739, 0.2752, 0.2803, 0.3061, 0.5268",\
"0.2624, 0.2601, 0.2592, 0.2626, 0.2662, 0.2713, 0.2798, 0.2807, 0.3188, 0.6318",\
"0.2627, 0.2605, 0.2596, 0.2632, 0.2661, 0.2679, 0.2765, 0.2874, 0.3513, 0.8113",\
"0.2634, 0.2611, 0.2602, 0.2633, 0.2673, 0.2691, 0.2725, 0.2896, 0.4176, 1.149");
}
}
internal_power() {
related_pin : "CLK" ;
when : "!SE&!SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.2948, 0.2892, 0.2875, 0.2894, 0.2911, 0.2923, 0.293, 0.2935, 0.2939, 0.2941",\
"0.2946, 0.289, 0.2874, 0.2892, 0.2911, 0.2921, 0.2928, 0.2933, 0.2936, 0.2939",\
"0.2947, 0.2891, 0.2874, 0.2892, 0.291, 0.2921, 0.2929, 0.2933, 0.2936, 0.2939",\
"0.2953, 0.2896, 0.2879, 0.2898, 0.2916, 0.2926, 0.2934, 0.2939, 0.2941, 0.2944",\
"0.2961, 0.2904, 0.2887, 0.2907, 0.2925, 0.2935, 0.2942, 0.2947, 0.295, 0.2952",\
"0.2966, 0.2909, 0.2897, 0.2913, 0.2929, 0.294, 0.2947, 0.2952, 0.2955, 0.2957",\
"0.2976, 0.2919, 0.2905, 0.2921, 0.2937, 0.2949, 0.2956, 0.296, 0.2964, 0.2966",\
"0.2984, 0.2926, 0.2911, 0.2929, 0.2947, 0.2959, 0.2965, 0.297, 0.2972, 0.2974",\
"0.2992, 0.2935, 0.2922, 0.2937, 0.2954, 0.2967, 0.2974, 0.2979, 0.2982, 0.2983",\
"0.3001, 0.2944, 0.293, 0.2946, 0.2964, 0.2976, 0.2982, 0.2986, 0.2989, 0.2992");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.2619, 0.2597, 0.2596, 0.2626, 0.2675, 0.272, 0.2772, 0.2806, 0.2891, 0.3816",\
"0.2621, 0.2599, 0.2591, 0.2628, 0.268, 0.2726, 0.2769, 0.2823, 0.2894, 0.3835",\
"0.2616, 0.2593, 0.259, 0.2619, 0.2684, 0.272, 0.276, 0.2808, 0.2927, 0.388",\
"0.2615, 0.2595, 0.2587, 0.2626, 0.2678, 0.2718, 0.2767, 0.28, 0.2916, 0.4063",\
"0.2619, 0.2596, 0.2587, 0.2623, 0.2672, 0.272, 0.2754, 0.2796, 0.2943, 0.4275",\
"0.2617, 0.2593, 0.2583, 0.263, 0.2673, 0.2724, 0.2756, 0.2802, 0.2988, 0.4687",\
"0.2618, 0.2597, 0.259, 0.2616, 0.2682, 0.2746, 0.2771, 0.2804, 0.3059, 0.5297",\
"0.2623, 0.2602, 0.2597, 0.2625, 0.2656, 0.2731, 0.2799, 0.282, 0.3194, 0.6354",\
"0.2632, 0.2608, 0.2598, 0.2634, 0.2668, 0.2683, 0.2763, 0.2887, 0.3493, 0.8141",\
"0.2636, 0.2617, 0.2604, 0.263, 0.2676, 0.2706, 0.2734, 0.2902, 0.4167, 1.15");
}
}
internal_power() {
related_pin : "CLK" ;
when : "D&!SE&SI | !D&SE&!SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.2947, 0.289, 0.2874, 0.2893, 0.291, 0.2921, 0.2929, 0.2934, 0.2937, 0.2939",\
"0.2945, 0.2889, 0.2873, 0.2891, 0.2909, 0.292, 0.2927, 0.2933, 0.2935, 0.2937",\
"0.2945, 0.2889, 0.2874, 0.289, 0.291, 0.2921, 0.2927, 0.2932, 0.2935, 0.2937",\
"0.295, 0.2895, 0.2878, 0.2897, 0.2913, 0.2925, 0.2932, 0.2937, 0.2941, 0.2944",\
"0.296, 0.2903, 0.2888, 0.2906, 0.2924, 0.2935, 0.2942, 0.2946, 0.2949, 0.2951",\
"0.2965, 0.2908, 0.2893, 0.2912, 0.2929, 0.2941, 0.2947, 0.2951, 0.2954, 0.2956",\
"0.2974, 0.2916, 0.2901, 0.2919, 0.2937, 0.2947, 0.2953, 0.2958, 0.2962, 0.2964",\
"0.2982, 0.2927, 0.2909, 0.2927, 0.2943, 0.2955, 0.2963, 0.2967, 0.297, 0.2972",\
"0.2991, 0.2935, 0.292, 0.2937, 0.2954, 0.2966, 0.2972, 0.2977, 0.2981, 0.2983",\
"0.3001, 0.2943, 0.2928, 0.2945, 0.2961, 0.2974, 0.2981, 0.2985, 0.2988, 0.299");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.2619, 0.2595, 0.2591, 0.2625, 0.2675, 0.272, 0.2773, 0.2806, 0.2891, 0.3816",\
"0.2621, 0.2598, 0.2589, 0.2629, 0.2678, 0.2726, 0.2757, 0.2802, 0.291, 0.3821",\
"0.2616, 0.2593, 0.259, 0.2619, 0.2684, 0.272, 0.276, 0.2808, 0.2927, 0.388",\
"0.2617, 0.2593, 0.2588, 0.2627, 0.2682, 0.2715, 0.2761, 0.2797, 0.2925, 0.4151",\
"0.262, 0.2596, 0.2587, 0.2621, 0.2672, 0.2717, 0.2754, 0.2794, 0.294, 0.4275",\
"0.2617, 0.2595, 0.2587, 0.2632, 0.2673, 0.2722, 0.2762, 0.2811, 0.2988, 0.4672",\
"0.262, 0.2598, 0.259, 0.2621, 0.2682, 0.2743, 0.2753, 0.2801, 0.3059, 0.5297",\
"0.2623, 0.2599, 0.2596, 0.2627, 0.2653, 0.2725, 0.2796, 0.2814, 0.3194, 0.6325",\
"0.2629, 0.2608, 0.2594, 0.2634, 0.2665, 0.2707, 0.276, 0.2866, 0.3487, 0.8135",\
"0.2636, 0.2617, 0.2602, 0.263, 0.2673, 0.2697, 0.2734, 0.2902, 0.4167, 1.15");
}
}
internal_power() {
related_pin : "CLK" ;
when : "D&SE" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.2947, 0.289, 0.2873, 0.2893, 0.291, 0.2923, 0.2929, 0.2933, 0.2938, 0.294",\
"0.2947, 0.289, 0.2873, 0.289, 0.291, 0.2921, 0.2928, 0.2933, 0.2936, 0.2938",\
"0.2945, 0.2889, 0.2874, 0.2891, 0.2909, 0.292, 0.2927, 0.2932, 0.2935, 0.2937",\
"0.2951, 0.2895, 0.2878, 0.2897, 0.2915, 0.2926, 0.2933, 0.2938, 0.2941, 0.2943",\
"0.2957, 0.2901, 0.2886, 0.2904, 0.2923, 0.2933, 0.2939, 0.2944, 0.2947, 0.295",\
"0.2965, 0.2909, 0.2895, 0.2912, 0.2928, 0.294, 0.2947, 0.2952, 0.2955, 0.2957",\
"0.2973, 0.2918, 0.2901, 0.2919, 0.2935, 0.2947, 0.2955, 0.2959, 0.2962, 0.2964",\
"0.2982, 0.2926, 0.291, 0.2928, 0.2946, 0.2958, 0.2963, 0.2968, 0.2972, 0.2973",\
"0.2992, 0.2934, 0.2918, 0.2937, 0.2955, 0.2965, 0.2972, 0.2976, 0.298, 0.2982",\
"0.2999, 0.2942, 0.2928, 0.2945, 0.2962, 0.2974, 0.2982, 0.2986, 0.2989, 0.2991");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.2618, 0.2595, 0.2591, 0.2628, 0.2677, 0.2726, 0.2772, 0.2805, 0.2891, 0.3812",\
"0.2621, 0.2596, 0.259, 0.2629, 0.268, 0.2719, 0.2766, 0.2808, 0.2896, 0.3801",\
"0.2616, 0.2593, 0.2589, 0.2619, 0.2681, 0.272, 0.276, 0.2808, 0.2911, 0.388",\
"0.2617, 0.2598, 0.259, 0.2626, 0.2681, 0.2732, 0.2761, 0.2797, 0.2925, 0.4054",\
"0.2616, 0.2595, 0.2587, 0.2621, 0.2672, 0.2717, 0.2754, 0.2794, 0.294, 0.4275",\
"0.2618, 0.2593, 0.2584, 0.2631, 0.2673, 0.2724, 0.2768, 0.2802, 0.2979, 0.4669",\
"0.2622, 0.2597, 0.259, 0.2619, 0.2685, 0.2736, 0.2765, 0.2804, 0.3062, 0.5268",\
"0.2624, 0.2599, 0.2592, 0.262, 0.2656, 0.2734, 0.2789, 0.2798, 0.3179, 0.6321",\
"0.2631, 0.2608, 0.26, 0.2633, 0.267, 0.2712, 0.2762, 0.2877, 0.3499, 0.8113",\
"0.2638, 0.2616, 0.2614, 0.2638, 0.2673, 0.2688, 0.2757, 0.2911, 0.4176, 1.149");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b0 && SI===1'b1" ;
timing_type : rising_edge ;
when : "!D&SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("1.217, 1.284, 1.433, 1.631, 1.897, 2.252, 2.717, 3.3, 4.013, 4.862",\
"1.239, 1.306, 1.455, 1.654, 1.919, 2.275, 2.739, 3.323, 4.036, 4.886",\
"1.319, 1.386, 1.534, 1.733, 1.998, 2.354, 2.818, 3.402, 4.115, 4.965",\
"1.438, 1.505, 1.653, 1.852, 2.117, 2.473, 2.937, 3.521, 4.234, 5.084",\
"1.54, 1.607, 1.756, 1.954, 2.22, 2.575, 3.039, 3.623, 4.336, 5.186",\
"1.621, 1.687, 1.836, 2.035, 2.3, 2.656, 3.12, 3.704, 4.417, 5.267",\
"1.679, 1.746, 1.895, 2.093, 2.358, 2.714, 3.178, 3.762, 4.475, 5.324",\
"1.715, 1.782, 1.93, 2.129, 2.395, 2.75, 3.214, 3.798, 4.511, 5.36",\
"1.724, 1.791, 1.939, 2.138, 2.403, 2.759, 3.223, 3.807, 4.519, 5.369",\
"1.701, 1.768, 1.917, 2.115, 2.381, 2.737, 3.201, 3.785, 4.497, 5.346");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("1.137, 1.206, 1.38, 1.685, 2.157, 2.818, 3.687, 4.782, 6.118, 7.708",\
"1.16, 1.228, 1.403, 1.707, 2.179, 2.841, 3.709, 4.805, 6.14, 7.729",\
"1.238, 1.307, 1.481, 1.786, 2.258, 2.919, 3.788, 4.882, 6.217, 7.81",\
"1.355, 1.424, 1.598, 1.903, 2.375, 3.036, 3.905, 5.001, 6.334, 7.927",\
"1.457, 1.526, 1.7, 2.005, 2.477, 3.138, 4.007, 5.102, 6.436, 8.029",\
"1.538, 1.606, 1.781, 2.085, 2.558, 3.219, 4.088, 5.183, 6.518, 8.11",\
"1.598, 1.666, 1.841, 2.145, 2.618, 3.279, 4.147, 5.242, 6.577, 8.169",\
"1.636, 1.704, 1.879, 2.184, 2.656, 3.317, 4.185, 5.279, 6.615, 8.208",\
"1.649, 1.718, 1.892, 2.197, 2.669, 3.33, 4.199, 5.292, 6.627, 8.217",\
"1.634, 1.702, 1.877, 2.182, 2.654, 3.315, 4.184, 5.278, 6.612, 8.203");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.1354, 0.1922, 0.3272, 0.5586, 0.9378, 1.499, 2.257, 3.219, 4.393, 5.793",\
"0.1354, 0.1922, 0.3272, 0.5584, 0.9374, 1.5, 2.257, 3.219, 4.395, 5.798",\
"0.1352, 0.1922, 0.3272, 0.5582, 0.9378, 1.5, 2.256, 3.219, 4.394, 5.798",\
"0.1352, 0.1922, 0.3272, 0.5582, 0.9372, 1.499, 2.257, 3.219, 4.395, 5.798",\
"0.1354, 0.1928, 0.3272, 0.5584, 0.9374, 1.5, 2.256, 3.219, 4.393, 5.797",\
"0.1354, 0.1922, 0.3274, 0.5584, 0.9376, 1.499, 2.256, 3.219, 4.392, 5.797",\
"0.1354, 0.1924, 0.3274, 0.5584, 0.9374, 1.499, 2.255, 3.215, 4.389, 5.789",\
"0.1354, 0.1922, 0.3276, 0.5586, 0.9374, 1.5, 2.256, 3.217, 4.385, 5.78",\
"0.1354, 0.1922, 0.327, 0.5588, 0.9374, 1.5, 2.256, 3.217, 4.385, 5.781",\
"0.1354, 0.1922, 0.3272, 0.5584, 0.9374, 1.5, 2.255, 3.214, 4.388, 5.784");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.1224, 0.1926, 0.4286, 0.9332, 1.734, 2.863, 4.348, 6.221, 8.503, 11.22",\
"0.1224, 0.1922, 0.4286, 0.9328, 1.735, 2.86, 4.345, 6.22, 8.495, 11.23",\
"0.1224, 0.1926, 0.429, 0.9338, 1.734, 2.863, 4.347, 6.217, 8.507, 11.22",\
"0.1224, 0.1926, 0.4284, 0.9338, 1.735, 2.859, 4.343, 6.214, 8.504, 11.21",\
"0.122, 0.192, 0.4294, 0.9338, 1.733, 2.863, 4.344, 6.211, 8.502, 11.23",\
"0.1224, 0.1932, 0.4294, 0.9318, 1.733, 2.863, 4.343, 6.213, 8.498, 11.22",\
"0.1224, 0.1932, 0.4296, 0.933, 1.734, 2.857, 4.34, 6.216, 8.501, 11.23",\
"0.122, 0.1926, 0.4288, 0.9332, 1.735, 2.861, 4.34, 6.213, 8.493, 11.22",\
"0.1226, 0.1928, 0.429, 0.9326, 1.736, 2.863, 4.345, 6.203, 8.489, 11.22",\
"0.1228, 0.193, 0.4288, 0.9324, 1.736, 2.863, 4.343, 6.204, 8.484, 11.2");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "SE===1'b0 && SI===1'b0" ;
timing_type : rising_edge ;
when : "!SE&!SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("1.217, 1.284, 1.433, 1.631, 1.897, 2.252, 2.717, 3.3, 4.013, 4.862",\
"1.24, 1.306, 1.455, 1.654, 1.919, 2.275, 2.739, 3.323, 4.036, 4.886",\
"1.319, 1.386, 1.534, 1.733, 1.998, 2.354, 2.818, 3.402, 4.115, 4.965",\
"1.438, 1.505, 1.653, 1.852, 2.117, 2.473, 2.937, 3.521, 4.234, 5.084",\
"1.54, 1.607, 1.756, 1.954, 2.22, 2.575, 3.039, 3.623, 4.336, 5.186",\
"1.62, 1.687, 1.836, 2.034, 2.3, 2.656, 3.12, 3.703, 4.416, 5.266",\
"1.679, 1.746, 1.895, 2.093, 2.358, 2.714, 3.178, 3.762, 4.475, 5.324",\
"1.715, 1.782, 1.931, 2.129, 2.395, 2.751, 3.215, 3.799, 4.511, 5.36",\
"1.724, 1.79, 1.939, 2.138, 2.403, 2.759, 3.223, 3.807, 4.519, 5.368",\
"1.701, 1.768, 1.917, 2.115, 2.381, 2.737, 3.201, 3.785, 4.497, 5.347");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("1.138, 1.206, 1.381, 1.685, 2.157, 2.818, 3.687, 4.781, 6.117, 7.71",\
"1.16, 1.228, 1.403, 1.707, 2.18, 2.841, 3.71, 4.803, 6.14, 7.732",\
"1.238, 1.307, 1.481, 1.786, 2.258, 2.919, 3.788, 4.882, 6.217, 7.81",\
"1.355, 1.424, 1.599, 1.903, 2.375, 3.036, 3.905, 4.999, 6.335, 7.926",\
"1.457, 1.526, 1.7, 2.005, 2.477, 3.138, 4.007, 5.102, 6.436, 8.029",\
"1.538, 1.607, 1.781, 2.085, 2.558, 3.219, 4.088, 5.183, 6.518, 8.11",\
"1.597, 1.666, 1.841, 2.146, 2.617, 3.279, 4.147, 5.241, 6.579, 8.169",\
"1.636, 1.705, 1.879, 2.184, 2.656, 3.317, 4.186, 5.279, 6.614, 8.205",\
"1.649, 1.718, 1.893, 2.197, 2.669, 3.331, 4.199, 5.293, 6.627, 8.218",\
"1.634, 1.703, 1.878, 2.182, 2.654, 3.315, 4.185, 5.278, 6.613, 8.203");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.1354, 0.1922, 0.3272, 0.5586, 0.9378, 1.499, 2.257, 3.219, 4.393, 5.793",\
"0.1352, 0.1924, 0.3274, 0.5584, 0.9376, 1.499, 2.257, 3.217, 4.395, 5.797",\
"0.1352, 0.1922, 0.3268, 0.5586, 0.9378, 1.5, 2.256, 3.219, 4.394, 5.798",\
"0.1352, 0.192, 0.3276, 0.5582, 0.9372, 1.5, 2.256, 3.219, 4.395, 5.798",\
"0.1352, 0.1924, 0.3274, 0.5584, 0.9374, 1.5, 2.256, 3.219, 4.393, 5.797",\
"0.1352, 0.1926, 0.3272, 0.5584, 0.9372, 1.499, 2.255, 3.219, 4.392, 5.797",\
"0.1352, 0.1924, 0.3272, 0.5584, 0.9374, 1.499, 2.254, 3.215, 4.389, 5.791",\
"0.1352, 0.1922, 0.3274, 0.5582, 0.9372, 1.5, 2.255, 3.214, 4.384, 5.782",\
"0.1354, 0.1922, 0.3272, 0.5584, 0.9378, 1.5, 2.256, 3.215, 4.387, 5.781",\
"0.1352, 0.192, 0.3274, 0.5584, 0.937, 1.499, 2.257, 3.214, 4.388, 5.782");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.1222, 0.1924, 0.4296, 0.933, 1.734, 2.863, 4.348, 6.219, 8.507, 11.22",\
"0.1222, 0.1926, 0.4286, 0.9334, 1.735, 2.863, 4.349, 6.22, 8.507, 11.22",\
"0.1224, 0.1926, 0.4288, 0.9338, 1.734, 2.863, 4.347, 6.216, 8.507, 11.23",\
"0.1224, 0.1928, 0.4294, 0.9326, 1.736, 2.863, 4.349, 6.22, 8.507, 11.22",\
"0.1224, 0.1926, 0.4292, 0.9336, 1.733, 2.862, 4.344, 6.211, 8.502, 11.23",\
"0.123, 0.1932, 0.4286, 0.9318, 1.734, 2.863, 4.343, 6.214, 8.498, 11.21",\
"0.1224, 0.193, 0.4286, 0.9318, 1.735, 2.858, 4.341, 6.21, 8.504, 11.21",\
"0.1226, 0.1924, 0.4296, 0.9326, 1.733, 2.858, 4.34, 6.216, 8.499, 11.22",\
"0.1224, 0.1924, 0.4292, 0.9322, 1.734, 2.863, 4.342, 6.205, 8.489, 11.21",\
"0.1228, 0.1934, 0.4288, 0.9326, 1.736, 2.857, 4.343, 6.214, 8.482, 11.2");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b1 && SE===1'b0 && SI===1'b1 || D===1'b0 && SE===1'b1 && SI===1'b0" ;
timing_type : rising_edge ;
when : "D&!SE&SI | !D&SE&!SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("1.217, 1.284, 1.433, 1.631, 1.897, 2.252, 2.717, 3.3, 4.013, 4.863",\
"1.24, 1.306, 1.455, 1.654, 1.919, 2.275, 2.739, 3.323, 4.035, 4.886",\
"1.319, 1.386, 1.534, 1.733, 1.998, 2.354, 2.818, 3.402, 4.115, 4.965",\
"1.438, 1.505, 1.653, 1.852, 2.117, 2.473, 2.937, 3.521, 4.234, 5.083",\
"1.54, 1.607, 1.755, 1.954, 2.22, 2.575, 3.039, 3.623, 4.337, 5.186",\
"1.62, 1.688, 1.836, 2.035, 2.3, 2.656, 3.12, 3.704, 4.417, 5.266",\
"1.679, 1.746, 1.894, 2.093, 2.358, 2.714, 3.178, 3.762, 4.475, 5.324",\
"1.715, 1.782, 1.93, 2.129, 2.394, 2.75, 3.214, 3.798, 4.51, 5.359",\
"1.724, 1.791, 1.939, 2.138, 2.403, 2.759, 3.223, 3.807, 4.52, 5.369",\
"1.701, 1.768, 1.916, 2.115, 2.38, 2.736, 3.2, 3.785, 4.497, 5.346");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("1.138, 1.206, 1.381, 1.685, 2.157, 2.818, 3.687, 4.781, 6.117, 7.71",\
"1.16, 1.228, 1.403, 1.707, 2.18, 2.84, 3.709, 4.805, 6.139, 7.73",\
"1.238, 1.307, 1.481, 1.786, 2.258, 2.919, 3.788, 4.882, 6.217, 7.81",\
"1.355, 1.424, 1.599, 1.903, 2.375, 3.036, 3.905, 5, 6.335, 7.926",\
"1.457, 1.526, 1.7, 2.005, 2.477, 3.138, 4.007, 5.102, 6.436, 8.029",\
"1.538, 1.607, 1.781, 2.086, 2.558, 3.218, 4.088, 5.182, 6.518, 8.11",\
"1.597, 1.666, 1.841, 2.146, 2.617, 3.279, 4.147, 5.242, 6.579, 8.168",\
"1.636, 1.704, 1.879, 2.184, 2.656, 3.317, 4.185, 5.279, 6.614, 8.208",\
"1.649, 1.718, 1.893, 2.197, 2.669, 3.33, 4.198, 5.293, 6.627, 8.218",\
"1.634, 1.703, 1.878, 2.182, 2.654, 3.315, 4.185, 5.278, 6.613, 8.203");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.1352, 0.192, 0.3272, 0.5584, 0.9378, 1.499, 2.257, 3.219, 4.394, 5.795",\
"0.135, 0.192, 0.3274, 0.5584, 0.937, 1.499, 2.257, 3.219, 4.395, 5.799",\
"0.135, 0.1922, 0.3272, 0.5582, 0.9376, 1.499, 2.257, 3.218, 4.395, 5.798",\
"0.135, 0.192, 0.3272, 0.5582, 0.9372, 1.5, 2.257, 3.217, 4.39, 5.79",\
"0.135, 0.1924, 0.3274, 0.5586, 0.9372, 1.499, 2.257, 3.216, 4.392, 5.798",\
"0.135, 0.1924, 0.327, 0.5584, 0.9374, 1.499, 2.256, 3.219, 4.39, 5.791",\
"0.1348, 0.1922, 0.3272, 0.5588, 0.9376, 1.5, 2.254, 3.216, 4.386, 5.795",\
"0.135, 0.1922, 0.3274, 0.5588, 0.9374, 1.499, 2.256, 3.216, 4.384, 5.781",\
"0.135, 0.1922, 0.327, 0.5588, 0.9374, 1.5, 2.257, 3.214, 4.385, 5.781",\
"0.1348, 0.1926, 0.3274, 0.5588, 0.9372, 1.499, 2.256, 3.217, 4.386, 5.782");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.1222, 0.1924, 0.4296, 0.9326, 1.734, 2.863, 4.348, 6.219, 8.507, 11.22",\
"0.1222, 0.1924, 0.4284, 0.9328, 1.735, 2.862, 4.341, 6.215, 8.499, 11.23",\
"0.1224, 0.1926, 0.4288, 0.9338, 1.734, 2.863, 4.347, 6.216, 8.507, 11.23",\
"0.1224, 0.1928, 0.429, 0.9338, 1.736, 2.863, 4.347, 6.215, 8.496, 11.23",\
"0.1224, 0.1926, 0.429, 0.9336, 1.733, 2.862, 4.344, 6.211, 8.503, 11.23",\
"0.1232, 0.1932, 0.4296, 0.9314, 1.734, 2.863, 4.346, 6.216, 8.498, 11.23",\
"0.1222, 0.193, 0.4286, 0.932, 1.733, 2.858, 4.343, 6.216, 8.504, 11.22",\
"0.1224, 0.1926, 0.429, 0.9324, 1.734, 2.858, 4.339, 6.212, 8.499, 11.23",\
"0.123, 0.1932, 0.4294, 0.9324, 1.736, 2.858, 4.339, 6.205, 8.489, 11.21",\
"0.1228, 0.1934, 0.4288, 0.9326, 1.735, 2.861, 4.343, 6.214, 8.482, 11.2");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b1 && SE===1'b1" ;
timing_type : rising_edge ;
when : "D&SE" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("1.217, 1.284, 1.432, 1.631, 1.897, 2.252, 2.717, 3.301, 4.013, 4.862",\
"1.24, 1.306, 1.455, 1.653, 1.919, 2.275, 2.739, 3.323, 4.035, 4.885",\
"1.319, 1.386, 1.534, 1.733, 1.998, 2.354, 2.818, 3.402, 4.115, 4.965",\
"1.438, 1.505, 1.653, 1.852, 2.117, 2.473, 2.937, 3.521, 4.234, 5.084",\
"1.54, 1.607, 1.755, 1.954, 2.219, 2.575, 3.039, 3.623, 4.336, 5.186",\
"1.62, 1.688, 1.836, 2.034, 2.3, 2.656, 3.12, 3.704, 4.417, 5.266",\
"1.679, 1.746, 1.894, 2.093, 2.358, 2.714, 3.178, 3.762, 4.475, 5.324",\
"1.715, 1.782, 1.93, 2.129, 2.394, 2.75, 3.214, 3.798, 4.511, 5.36",\
"1.724, 1.79, 1.939, 2.138, 2.403, 2.759, 3.223, 3.807, 4.519, 5.369",\
"1.701, 1.768, 1.916, 2.115, 2.38, 2.737, 3.201, 3.785, 4.497, 5.347");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("1.137, 1.206, 1.38, 1.685, 2.157, 2.818, 3.687, 4.782, 6.118, 7.708",\
"1.16, 1.228, 1.403, 1.707, 2.18, 2.84, 3.71, 4.804, 6.139, 7.732",\
"1.238, 1.307, 1.481, 1.786, 2.258, 2.919, 3.788, 4.882, 6.217, 7.81",\
"1.355, 1.424, 1.599, 1.903, 2.375, 3.036, 3.905, 5.001, 6.336, 7.925",\
"1.457, 1.526, 1.7, 2.005, 2.477, 3.138, 4.007, 5.102, 6.436, 8.029",\
"1.538, 1.606, 1.781, 2.086, 2.558, 3.219, 4.088, 5.183, 6.518, 8.11",\
"1.598, 1.666, 1.841, 2.145, 2.618, 3.278, 4.147, 5.242, 6.576, 8.169",\
"1.636, 1.704, 1.879, 2.183, 2.656, 3.317, 4.185, 5.279, 6.615, 8.208",\
"1.649, 1.718, 1.893, 2.197, 2.669, 3.33, 4.199, 5.292, 6.627, 8.218",\
"1.634, 1.703, 1.877, 2.182, 2.654, 3.315, 4.184, 5.277, 6.612, 8.204");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.135, 0.1922, 0.327, 0.5586, 0.938, 1.499, 2.257, 3.219, 4.393, 5.793",\
"0.135, 0.1918, 0.3274, 0.5586, 0.9372, 1.5, 2.257, 3.217, 4.395, 5.798",\
"0.135, 0.192, 0.3272, 0.5588, 0.9372, 1.5, 2.257, 3.218, 4.395, 5.798",\
"0.135, 0.192, 0.327, 0.5584, 0.937, 1.499, 2.256, 3.218, 4.395, 5.796",\
"0.1352, 0.1924, 0.3274, 0.5584, 0.9368, 1.499, 2.256, 3.219, 4.394, 5.798",\
"0.1348, 0.1922, 0.3274, 0.5582, 0.9374, 1.499, 2.257, 3.219, 4.388, 5.795",\
"0.1348, 0.1924, 0.3268, 0.5588, 0.9378, 1.499, 2.255, 3.216, 4.391, 5.786",\
"0.135, 0.1922, 0.3274, 0.5584, 0.9372, 1.5, 2.256, 3.215, 4.384, 5.786",\
"0.135, 0.1922, 0.3272, 0.5584, 0.9374, 1.5, 2.257, 3.213, 4.387, 5.78",\
"0.1352, 0.1922, 0.327, 0.5588, 0.9378, 1.5, 2.257, 3.216, 4.388, 5.782");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.1224, 0.1926, 0.4286, 0.9332, 1.734, 2.86, 4.348, 6.221, 8.503, 11.22",\
"0.1224, 0.1922, 0.4286, 0.933, 1.734, 2.863, 4.347, 6.217, 8.507, 11.22",\
"0.1224, 0.1926, 0.429, 0.9338, 1.734, 2.863, 4.347, 6.217, 8.507, 11.22",\
"0.123, 0.1932, 0.4286, 0.9336, 1.734, 2.862, 4.348, 6.215, 8.494, 11.23",\
"0.122, 0.192, 0.4292, 0.9336, 1.733, 2.863, 4.344, 6.211, 8.502, 11.23",\
"0.122, 0.1932, 0.4292, 0.9326, 1.736, 2.862, 4.348, 6.213, 8.505, 11.22",\
"0.1224, 0.1932, 0.4296, 0.9324, 1.734, 2.857, 4.341, 6.215, 8.501, 11.23",\
"0.122, 0.1926, 0.4298, 0.9326, 1.735, 2.858, 4.339, 6.208, 8.485, 11.23",\
"0.1222, 0.1932, 0.429, 0.9324, 1.735, 2.858, 4.344, 6.204, 8.486, 11.22",\
"0.1226, 0.1926, 0.4288, 0.9326, 1.733, 2.86, 4.343, 6.214, 8.484, 11.2");
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("1.217, 1.284, 1.432, 1.631, 1.897, 2.252, 2.717, 3.301, 4.013, 4.862",\
"1.24, 1.306, 1.455, 1.653, 1.919, 2.275, 2.739, 3.323, 4.035, 4.885",\
"1.319, 1.386, 1.534, 1.733, 1.998, 2.354, 2.818, 3.402, 4.115, 4.965",\
"1.438, 1.505, 1.653, 1.852, 2.117, 2.473, 2.937, 3.521, 4.234, 5.084",\
"1.54, 1.607, 1.755, 1.954, 2.219, 2.575, 3.039, 3.623, 4.336, 5.186",\
"1.62, 1.688, 1.836, 2.034, 2.3, 2.656, 3.12, 3.704, 4.417, 5.266",\
"1.679, 1.746, 1.894, 2.093, 2.358, 2.714, 3.178, 3.762, 4.475, 5.324",\
"1.715, 1.782, 1.93, 2.129, 2.394, 2.75, 3.214, 3.798, 4.511, 5.36",\
"1.724, 1.79, 1.939, 2.138, 2.403, 2.759, 3.223, 3.807, 4.519, 5.369",\
"1.701, 1.768, 1.916, 2.115, 2.38, 2.737, 3.201, 3.785, 4.497, 5.347");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("1.137, 1.206, 1.38, 1.685, 2.157, 2.818, 3.687, 4.782, 6.118, 7.708",\
"1.16, 1.228, 1.403, 1.707, 2.18, 2.84, 3.71, 4.804, 6.139, 7.732",\
"1.238, 1.307, 1.481, 1.786, 2.258, 2.919, 3.788, 4.882, 6.217, 7.81",\
"1.355, 1.424, 1.599, 1.903, 2.375, 3.036, 3.905, 5.001, 6.336, 7.925",\
"1.457, 1.526, 1.7, 2.005, 2.477, 3.138, 4.007, 5.102, 6.436, 8.029",\
"1.538, 1.606, 1.781, 2.086, 2.558, 3.219, 4.088, 5.183, 6.518, 8.11",\
"1.598, 1.666, 1.841, 2.145, 2.618, 3.278, 4.147, 5.242, 6.576, 8.169",\
"1.636, 1.704, 1.879, 2.183, 2.656, 3.317, 4.185, 5.279, 6.615, 8.208",\
"1.649, 1.718, 1.893, 2.197, 2.669, 3.33, 4.199, 5.292, 6.627, 8.218",\
"1.634, 1.703, 1.877, 2.182, 2.654, 3.315, 4.184, 5.277, 6.612, 8.204");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.1352, 0.192, 0.3272, 0.5584, 0.9378, 1.499, 2.257, 3.219, 4.394, 5.795",\
"0.135, 0.192, 0.3274, 0.5584, 0.937, 1.499, 2.257, 3.219, 4.395, 5.799",\
"0.135, 0.1922, 0.3272, 0.5582, 0.9376, 1.499, 2.257, 3.218, 4.395, 5.798",\
"0.135, 0.192, 0.3272, 0.5582, 0.9372, 1.5, 2.257, 3.217, 4.39, 5.79",\
"0.135, 0.1924, 0.3274, 0.5586, 0.9372, 1.499, 2.257, 3.216, 4.392, 5.798",\
"0.135, 0.1924, 0.327, 0.5584, 0.9374, 1.499, 2.256, 3.219, 4.39, 5.791",\
"0.1348, 0.1922, 0.3272, 0.5588, 0.9376, 1.5, 2.254, 3.216, 4.386, 5.795",\
"0.135, 0.1922, 0.3274, 0.5588, 0.9374, 1.499, 2.256, 3.216, 4.384, 5.781",\
"0.135, 0.1922, 0.327, 0.5588, 0.9374, 1.5, 2.257, 3.214, 4.385, 5.781",\
"0.1348, 0.1926, 0.3274, 0.5588, 0.9372, 1.499, 2.256, 3.217, 4.386, 5.782");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.01658, 0.07128, 0.1757, 0.3385, 0.5668, 0.8672, 1.246, 1.708, 2.259");
values("0.1222, 0.1924, 0.4296, 0.9326, 1.734, 2.863, 4.348, 6.219, 8.507, 11.22",\
"0.1222, 0.1924, 0.4284, 0.9328, 1.735, 2.862, 4.341, 6.215, 8.499, 11.23",\
"0.1224, 0.1926, 0.4288, 0.9338, 1.734, 2.863, 4.347, 6.216, 8.507, 11.23",\
"0.1224, 0.1928, 0.429, 0.9338, 1.736, 2.863, 4.347, 6.215, 8.496, 11.23",\
"0.1224, 0.1926, 0.429, 0.9336, 1.733, 2.862, 4.344, 6.211, 8.503, 11.23",\
"0.1232, 0.1932, 0.4296, 0.9314, 1.734, 2.863, 4.346, 6.216, 8.498, 11.23",\
"0.1222, 0.193, 0.4286, 0.932, 1.733, 2.858, 4.343, 6.216, 8.504, 11.22",\
"0.1224, 0.1926, 0.429, 0.9324, 1.734, 2.858, 4.339, 6.212, 8.499, 11.23",\
"0.123, 0.1932, 0.4294, 0.9324, 1.736, 2.858, 4.339, 6.205, 8.489, 11.21",\
"0.1228, 0.1934, 0.4288, 0.9326, 1.735, 2.861, 4.343, 6.214, 8.482, 11.2");
}
}
}
pin(SE) {
capacitance : 0.008058 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.06077, 0.05975, 0.05933, 0.06091, 0.06467, 0.07072, 0.07921, \
0.09037, 0.1045, 0.1217");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.001405, 0.0008482, 0.0002943, 0.001211, 0.004268, 0.009497, \
0.01714, 0.02738, 0.04021, 0.05583");
}
}
internal_power() {
when : "!CLK&!D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1638, 0.1624, 0.1611, 0.1621, 0.1665, 0.1749, 0.188, 0.2062, \
0.2301, 0.2598");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.07375, 0.07323, 0.07213, 0.07252, 0.07599, 0.08341, 0.09517, \
0.1115, 0.1327, 0.1588");
}
}
internal_power() {
when : "!CLK&D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1422, 0.1412, 0.1409, 0.1423, 0.146, 0.1518, 0.1602, 0.1712, \
0.1853, 0.2023");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1146, 0.1141, 0.113, 0.1131, 0.1154, 0.12, 0.1272, 0.137, 0.1495, \
0.165");
}
}
internal_power() {
when : "!CLK&D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.06049, 0.05948, 0.05912, 0.06075, 0.06462, 0.07075, 0.07937, \
0.09066, 0.1048, 0.1221");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.001983, 0.001444, 0.0008377, 0.001759, 0.004674, 0.009929, 0.01747, \
0.02764, 0.04045, 0.05605");
}
}
internal_power() {
when : "CLK&!D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.06018, 0.05914, 0.0587, 0.06031, 0.06414, 0.07019, 0.07868, \
0.08983, 0.104, 0.1213");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.0006712, 6.5e-05, -0.0004777, 0.0004417, 0.003494, 0.008778, \
0.01646, 0.02671, 0.0396, 0.05529");
}
}
internal_power() {
when : "CLK&!D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.0736, 0.07239, 0.07187, 0.07352, 0.07771, 0.0845, 0.094, 0.1063, \
0.1216, 0.1401");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.00172, -0.002389, -0.003553, -0.003042, 1.093e-05, 0.005708, \
0.01428, 0.02581, 0.04026, 0.05734");
}
}
internal_power() {
when : "CLK&D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.06451, 0.06355, 0.06305, 0.06446, 0.06801, 0.07383, 0.08214, \
0.09316, 0.1071, 0.1242");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.0167, 0.01616, 0.01555, 0.01642, 0.01934, 0.02454, 0.03215, \
0.04238, 0.05548, 0.0717");
}
}
internal_power() {
when : "CLK&D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.05975, 0.05872, 0.0584, 0.0601, 0.06401, 0.07011, 0.07874, 0.09002, \
0.1043, 0.1216");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.001459, 0.0008926, 0.0003023, 0.001217, 0.004139, 0.009345, \
0.01697, 0.02714, 0.03998, 0.0556");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!D&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.057, -0.034, 0.033, 0.137, 0.218, 0.281, 0.323, 0.337, 0.32, 0.274",\
"-0.074, -0.051, 0.02, 0.113, 0.203, 0.262, 0.302, 0.314, 0.303, 0.258",\
"-0.15, -0.13, -0.057, 0.042, 0.12, 0.183, 0.226, 0.242, 0.23, 0.182",\
"-0.269, -0.248, -0.177, -0.076, -0, 0.067, 0.105, 0.124, 0.111, 0.071",\
"-0.403, -0.377, -0.311, -0.213, -0.137, -0.073, -0.023, -0.002, -0.013, -0.054",\
"-0.558, -0.538, -0.475, -0.374, -0.298, -0.229, -0.18, -0.165, -0.163, -0.2",\
"-0.748, -0.724, -0.661, -0.572, -0.492, -0.427, -0.37, -0.35, -0.347, -0.382",\
"-0.958, -0.927, -0.873, -0.795, -0.714, -0.655, -0.596, -0.57, -0.564, -0.593",\
"-1.187, -1.157, -1.11, -1.042, -0.971, -0.907, -0.846, -0.818, -0.807, -0.837",\
"-1.451, -1.423, -1.381, -1.322, -1.264, -1.206, -1.144, -1.118, -1.097, -1.122");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.332, -0.313, -0.267, -0.242, -0.236, -0.258, -0.302, -0.368, -0.46, -0.581",\
"-0.353, -0.327, -0.288, -0.261, -0.259, -0.283, -0.327, -0.392, -0.484, -0.602",\
"-0.407, -0.39, -0.338, -0.314, -0.316, -0.335, -0.377, -0.437, -0.533, -0.65",\
"-0.499, -0.476, -0.426, -0.391, -0.386, -0.398, -0.439, -0.503, -0.588, -0.704",\
"-0.589, -0.569, -0.518, -0.48, -0.462, -0.476, -0.508, -0.565, -0.649, -0.764",\
"-0.667, -0.643, -0.588, -0.551, -0.533, -0.533, -0.561, -0.611, -0.698, -0.811",\
"-0.716, -0.697, -0.644, -0.604, -0.58, -0.575, -0.604, -0.653, -0.729, -0.835",\
"-0.738, -0.72, -0.665, -0.631, -0.609, -0.606, -0.627, -0.674, -0.747, -0.851",\
"-0.73, -0.712, -0.662, -0.63, -0.604, -0.609, -0.625, -0.669, -0.746, -0.848",\
"-0.684, -0.664, -0.618, -0.591, -0.57, -0.57, -0.589, -0.643, -0.717, -0.817");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SI === 1'b1" ;
timing_type : hold_rising ;
when : "D&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.578, -0.557, -0.512, -0.483, -0.487, -0.505, -0.55, -0.619, -0.712, -0.83",\
"-0.604, -0.586, -0.534, -0.512, -0.509, -0.529, -0.574, -0.645, -0.737, -0.853",\
"-0.701, -0.678, -0.629, -0.606, -0.61, -0.627, -0.672, -0.741, -0.832, -0.955",\
"-0.861, -0.844, -0.796, -0.763, -0.766, -0.789, -0.83, -0.899, -0.988, -1.109",\
"-1.052, -1.031, -0.981, -0.953, -0.949, -0.974, -1.014, -1.08, -1.168, -1.288",\
"-1.265, -1.247, -1.195, -1.166, -1.165, -1.184, -1.22, -1.29, -1.382, -1.498",\
"-1.508, -1.485, -1.437, -1.41, -1.403, -1.424, -1.463, -1.529, -1.616, -1.731",\
"-1.785, -1.763, -1.712, -1.68, -1.678, -1.692, -1.731, -1.799, -1.884, -2.004",\
"-2.09, -2.073, -2.023, -1.989, -1.985, -1.998, -2.039, -2.094, -2.188, -2.303",\
"-2.434, -2.412, -2.366, -2.335, -2.328, -2.336, -2.373, -2.437, -2.528, -2.643");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.218, -0.191, -0.126, -0.028, 0.06, 0.121, 0.161, 0.177, 0.161, 0.119",\
"-0.239, -0.215, -0.151, -0.05, 0.03, 0.098, 0.138, 0.153, 0.143, 0.096",\
"-0.303, -0.28, -0.216, -0.115, -0.033, 0.029, 0.069, 0.09, 0.075, 0.029",\
"-0.384, -0.359, -0.291, -0.2, -0.113, -0.054, -0.011, 0.013, -0.002, -0.045",\
"-0.45, -0.425, -0.36, -0.26, -0.18, -0.117, -0.074, -0.059, -0.068, -0.116",\
"-0.485, -0.464, -0.394, -0.3, -0.219, -0.159, -0.11, -0.1, -0.109, -0.15",\
"-0.501, -0.478, -0.406, -0.316, -0.228, -0.167, -0.125, -0.11, -0.117, -0.16",\
"-0.481, -0.459, -0.386, -0.296, -0.208, -0.15, -0.104, -0.089, -0.1, -0.148",\
"-0.433, -0.406, -0.342, -0.246, -0.164, -0.101, -0.059, -0.04, -0.051, -0.095",\
"-0.348, -0.325, -0.258, -0.164, -0.085, -0.018, 0.03, 0.04, 0.031, -0.013");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!D&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.893, 0.873, 0.775, 0.631, 0.514, 0.418, 0.345, 0.3, 0.286, 0.312",\
"0.913, 0.888, 0.795, 0.653, 0.528, 0.432, 0.36, 0.316, 0.308, 0.332",\
"0.976, 0.959, 0.864, 0.72, 0.599, 0.497, 0.434, 0.381, 0.373, 0.401",\
"1.103, 1.079, 0.988, 0.84, 0.719, 0.631, 0.554, 0.512, 0.503, 0.538",\
"1.292, 1.262, 1.172, 1.026, 0.906, 0.811, 0.742, 0.707, 0.697, 0.725",\
"1.527, 1.501, 1.406, 1.268, 1.143, 1.053, 0.986, 0.937, 0.935, 0.962",\
"1.803, 1.781, 1.691, 1.551, 1.431, 1.337, 1.265, 1.22, 1.21, 1.241",\
"2.141, 2.117, 2.032, 1.886, 1.763, 1.665, 1.592, 1.547, 1.543, 1.56",\
"2.521, 2.498, 2.407, 2.265, 2.144, 2.038, 1.97, 1.92, 1.896, 1.918",\
"2.959, 2.934, 2.837, 2.698, 2.567, 2.462, 2.382, 2.324, 2.306, 2.318");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.733, 0.708, 0.628, 0.516, 0.458, 0.44, 0.458, 0.513, 0.589, 0.702",\
"0.755, 0.733, 0.644, 0.536, 0.48, 0.462, 0.481, 0.53, 0.613, 0.725",\
"0.811, 0.787, 0.704, 0.592, 0.528, 0.513, 0.532, 0.581, 0.661, 0.768",\
"0.9, 0.874, 0.789, 0.687, 0.619, 0.594, 0.612, 0.658, 0.729, 0.834",\
"1.024, 0.993, 0.91, 0.799, 0.726, 0.694, 0.698, 0.736, 0.801, 0.902",\
"1.119, 1.091, 1.009, 0.905, 0.823, 0.782, 0.777, 0.807, 0.874, 0.97",\
"1.2, 1.173, 1.089, 0.981, 0.902, 0.86, 0.848, 0.873, 0.927, 1.019",\
"1.262, 1.234, 1.153, 1.044, 0.962, 0.91, 0.898, 0.918, 0.974, 1.053",\
"1.295, 1.266, 1.18, 1.075, 0.989, 0.934, 0.922, 0.94, 0.988, 1.071",\
"1.297, 1.268, 1.187, 1.077, 0.986, 0.93, 0.912, 0.927, 0.98, 1.056");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SI === 1'b1" ;
timing_type : setup_rising ;
when : "D&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.979, 0.959, 0.879, 0.763, 0.709, 0.687, 0.711, 0.763, 0.845, 0.951",\
"1.013, 0.983, 0.898, 0.788, 0.73, 0.716, 0.732, 0.784, 0.867, 0.975",\
"1.105, 1.082, 0.995, 0.891, 0.827, 0.813, 0.828, 0.885, 0.965, 1.073",\
"1.262, 1.242, 1.159, 1.05, 0.986, 0.966, 0.989, 1.045, 1.124, 1.232",\
"1.45, 1.426, 1.34, 1.232, 1.171, 1.153, 1.175, 1.223, 1.302, 1.419",\
"1.665, 1.636, 1.552, 1.445, 1.383, 1.364, 1.384, 1.437, 1.518, 1.623",\
"1.9, 1.879, 1.796, 1.685, 1.622, 1.606, 1.624, 1.674, 1.753, 1.86",\
"2.179, 2.155, 2.071, 1.964, 1.897, 1.877, 1.894, 1.947, 2.024, 2.129",\
"2.49, 2.462, 2.38, 2.271, 2.211, 2.187, 2.207, 2.252, 2.324, 2.432",\
"2.838, 2.812, 2.733, 2.618, 2.559, 2.529, 2.548, 2.592, 2.668, 2.769");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("1.088, 1.067, 0.971, 0.829, 0.709, 0.614, 0.539, 0.497, 0.486, 0.514",\
"1.114, 1.095, 1, 0.852, 0.73, 0.634, 0.563, 0.523, 0.51, 0.535",\
"1.178, 1.157, 1.061, 0.919, 0.8, 0.7, 0.631, 0.581, 0.576, 0.602",\
"1.251, 1.225, 1.131, 0.983, 0.866, 0.771, 0.701, 0.649, 0.644, 0.668",\
"1.302, 1.281, 1.182, 1.039, 0.923, 0.828, 0.764, 0.717, 0.71, 0.733",\
"1.337, 1.315, 1.228, 1.091, 0.969, 0.879, 0.812, 0.758, 0.752, 0.778",\
"1.346, 1.321, 1.228, 1.096, 0.983, 0.895, 0.822, 0.772, 0.767, 0.797",\
"1.322, 1.304, 1.209, 1.078, 0.962, 0.872, 0.804, 0.763, 0.756, 0.784",\
"1.274, 1.25, 1.158, 1.025, 0.915, 0.826, 0.762, 0.713, 0.706, 0.738",\
"1.196, 1.173, 1.083, 0.946, 0.832, 0.746, 0.681, 0.633, 0.629, 0.658");
}
}
}
pin(SI) {
capacitance : 0.003942 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.01054, 0.01046, 0.01045, 0.01045, 0.01046, 0.01048, 0.01048, \
0.01047, 0.01048, 0.01048");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.01009, -0.01007, -0.01019, -0.01025, -0.01027, -0.01032, -0.01034, \
-0.01034, -0.01035, -0.01036");
}
}
internal_power() {
when : "!CLK&!D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1279, 0.1274, 0.1269, 0.1264, 0.1267, 0.1284, 0.1326, 0.1401, \
0.1511, 0.1657");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.0715, 0.07089, 0.07023, 0.06979, 0.07011, 0.07194, 0.07606, \
0.08281, 0.09226, 0.1047");
}
}
internal_power() {
when : "!CLK&D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.01047, 0.01047, 0.01045, 0.01044, 0.01048, 0.01046, 0.01048, \
0.01048, 0.01048, 0.01048");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.009595, -0.009991, -0.01017, -0.01025, -0.01031, -0.01029, \
-0.01034, -0.01035, -0.01035, -0.01035");
}
}
internal_power() {
when : "!CLK&D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1279, 0.1274, 0.1268, 0.1264, 0.1267, 0.1284, 0.1326, 0.1401, \
0.1511, 0.1656");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.07151, 0.07089, 0.07023, 0.06979, 0.0701, 0.07193, 0.07606, \
0.08277, 0.09227, 0.1047");
}
}
internal_power() {
when : "CLK&!D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.01053, 0.01045, 0.01046, 0.01045, 0.01047, 0.01048, 0.01047, \
0.01048, 0.01047, 0.01047");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.0101, -0.01007, -0.01019, -0.01025, -0.01028, -0.01032, -0.01034, \
-0.01035, -0.01035, -0.01036");
}
}
internal_power() {
when : "CLK&!D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.02763, 0.02764, 0.02762, 0.02757, 0.02759, 0.02759, 0.0276, \
0.02758, 0.02761, 0.02762");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.008291, -0.008604, -0.009047, -0.009392, -0.009614, -0.00977, \
-0.009856, -0.009914, -0.009958, -0.009994");
}
}
internal_power() {
when : "CLK&D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.01049, 0.01047, 0.01045, 0.01044, 0.01047, 0.01048, 0.01048, \
0.01048, 0.01048, 0.01048");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.009674, -0.009994, -0.01019, -0.01024, -0.01031, -0.01032, \
-0.01033, -0.01035, -0.01035, -0.01035");
}
}
internal_power() {
when : "CLK&D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.02763, 0.02764, 0.02761, 0.02757, 0.02759, 0.02759, 0.0276, \
0.0276, 0.02761, 0.02761");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.008292, -0.008604, -0.009047, -0.009392, -0.009608, -0.009773, \
-0.009858, -0.009914, -0.009958, -0.009992");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE === 1'b1" ;
timing_type : hold_rising ;
when : "!D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.143, -0.113, -0.053, 0.044, 0.125, 0.187, 0.231, 0.246, 0.232, 0.186",\
"-0.16, -0.138, -0.069, 0.02, 0.107, 0.165, 0.209, 0.222, 0.215, 0.17",\
"-0.229, -0.198, -0.132, -0.044, 0.038, 0.102, 0.145, 0.162, 0.144, 0.105",\
"-0.323, -0.3, -0.234, -0.143, -0.06, 0.002, 0.047, 0.064, 0.049, 0.006",\
"-0.431, -0.405, -0.338, -0.247, -0.163, -0.101, -0.06, -0.04, -0.05, -0.093",\
"-0.544, -0.516, -0.45, -0.365, -0.281, -0.215, -0.171, -0.155, -0.163, -0.2",\
"-0.678, -0.653, -0.591, -0.502, -0.419, -0.349, -0.302, -0.287, -0.286, -0.326",\
"-0.829, -0.804, -0.745, -0.666, -0.581, -0.514, -0.457, -0.444, -0.441, -0.474",\
"-1.018, -0.986, -0.934, -0.861, -0.788, -0.724, -0.655, -0.634, -0.62, -0.65",\
"-1.229, -1.193, -1.152, -1.091, -1.017, -0.955, -0.894, -0.87, -0.851, -0.87");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.406, -0.385, -0.334, -0.313, -0.311, -0.338, -0.383, -0.452, -0.548, -0.668",\
"-0.418, -0.396, -0.35, -0.325, -0.326, -0.35, -0.399, -0.469, -0.561, -0.683",\
"-0.462, -0.445, -0.395, -0.364, -0.37, -0.392, -0.44, -0.509, -0.603, -0.727",\
"-0.527, -0.505, -0.455, -0.429, -0.426, -0.445, -0.49, -0.555, -0.65, -0.776",\
"-0.589, -0.569, -0.524, -0.493, -0.488, -0.504, -0.537, -0.603, -0.698, -0.818",\
"-0.646, -0.62, -0.572, -0.542, -0.533, -0.54, -0.579, -0.638, -0.725, -0.845",\
"-0.684, -0.664, -0.609, -0.578, -0.565, -0.575, -0.604, -0.659, -0.744, -0.853",\
"-0.693, -0.674, -0.625, -0.588, -0.581, -0.584, -0.615, -0.666, -0.747, -0.856",\
"-0.674, -0.655, -0.609, -0.579, -0.568, -0.568, -0.602, -0.652, -0.731, -0.837",\
"-0.617, -0.596, -0.556, -0.533, -0.524, -0.528, -0.561, -0.612, -0.693, -0.803");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE === 1'b1" ;
timing_type : hold_rising ;
when : "D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.143, -0.113, -0.053, 0.044, 0.125, 0.187, 0.231, 0.246, 0.232, 0.186",\
"-0.16, -0.138, -0.069, 0.026, 0.107, 0.165, 0.209, 0.222, 0.215, 0.17",\
"-0.229, -0.198, -0.132, -0.037, 0.038, 0.102, 0.145, 0.162, 0.15, 0.105",\
"-0.323, -0.3, -0.234, -0.133, -0.06, 0.002, 0.047, 0.064, 0.049, 0.006",\
"-0.431, -0.405, -0.338, -0.24, -0.163, -0.101, -0.06, -0.04, -0.05, -0.093",\
"-0.544, -0.516, -0.45, -0.356, -0.281, -0.215, -0.171, -0.155, -0.163, -0.2",\
"-0.678, -0.653, -0.591, -0.489, -0.419, -0.349, -0.308, -0.287, -0.286, -0.326",\
"-0.829, -0.804, -0.745, -0.648, -0.581, -0.519, -0.457, -0.444, -0.441, -0.474",\
"-1.012, -0.981, -0.934, -0.844, -0.788, -0.724, -0.663, -0.626, -0.625, -0.65",\
"-1.229, -1.199, -1.159, -1.069, -1.017, -0.964, -0.894, -0.865, -0.845, -0.87");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.406, -0.385, -0.334, -0.313, -0.311, -0.338, -0.383, -0.452, -0.548, -0.668",\
"-0.418, -0.396, -0.35, -0.325, -0.326, -0.35, -0.399, -0.469, -0.561, -0.683",\
"-0.462, -0.445, -0.395, -0.364, -0.37, -0.392, -0.44, -0.509, -0.603, -0.727",\
"-0.527, -0.505, -0.455, -0.429, -0.426, -0.445, -0.49, -0.555, -0.65, -0.776",\
"-0.589, -0.569, -0.524, -0.493, -0.488, -0.504, -0.537, -0.603, -0.698, -0.818",\
"-0.646, -0.62, -0.572, -0.542, -0.533, -0.54, -0.579, -0.638, -0.725, -0.845",\
"-0.684, -0.664, -0.609, -0.578, -0.565, -0.575, -0.604, -0.659, -0.744, -0.853",\
"-0.693, -0.674, -0.625, -0.588, -0.581, -0.584, -0.615, -0.666, -0.747, -0.856",\
"-0.674, -0.655, -0.609, -0.579, -0.568, -0.568, -0.602, -0.652, -0.731, -0.837",\
"-0.617, -0.596, -0.556, -0.533, -0.524, -0.528, -0.561, -0.612, -0.693, -0.803");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE === 1'b1" ;
timing_type : setup_rising ;
when : "!D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("1.019, 0.995, 0.904, 0.758, 0.644, 0.541, 0.469, 0.422, 0.414, 0.44",\
"1.042, 1.017, 0.925, 0.776, 0.663, 0.559, 0.491, 0.446, 0.437, 0.46",\
"1.105, 1.082, 0.986, 0.841, 0.724, 0.627, 0.556, 0.509, 0.501, 0.526",\
"1.207, 1.184, 1.095, 0.945, 0.826, 0.734, 0.663, 0.615, 0.605, 0.632",\
"1.357, 1.33, 1.237, 1.092, 0.974, 0.878, 0.808, 0.765, 0.758, 0.779",\
"1.541, 1.516, 1.422, 1.277, 1.154, 1.059, 0.986, 0.942, 0.935, 0.954",\
"1.755, 1.731, 1.639, 1.493, 1.372, 1.276, 1.202, 1.157, 1.141, 1.167",\
"2.027, 2.002, 1.912, 1.766, 1.639, 1.54, 1.466, 1.414, 1.403, 1.42",\
"2.352, 2.327, 2.236, 2.085, 1.96, 1.855, 1.779, 1.719, 1.704, 1.719",\
"2.73, 2.711, 2.615, 2.466, 2.328, 2.219, 2.142, 2.075, 2.049, 2.059");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.813, 0.787, 0.702, 0.593, 0.533, 0.512, 0.539, 0.596, 0.676, 0.79",\
"0.82, 0.802, 0.713, 0.606, 0.548, 0.529, 0.552, 0.607, 0.691, 0.806",\
"0.866, 0.842, 0.76, 0.649, 0.588, 0.57, 0.596, 0.645, 0.73, 0.845",\
"0.933, 0.909, 0.825, 0.716, 0.653, 0.631, 0.657, 0.71, 0.785, 0.899",\
"1.014, 0.993, 0.904, 0.799, 0.726, 0.699, 0.72, 0.765, 0.838, 0.948",\
"1.082, 1.061, 0.977, 0.868, 0.795, 0.762, 0.769, 0.812, 0.888, 0.987",\
"1.141, 1.118, 1.037, 0.924, 0.851, 0.817, 0.817, 0.848, 0.92, 1.019",\
"1.186, 1.158, 1.081, 0.967, 0.895, 0.85, 0.848, 0.874, 0.939, 1.033",\
"1.207, 1.178, 1.094, 0.985, 0.909, 0.86, 0.853, 0.879, 0.938, 1.03",\
"1.196, 1.166, 1.083, 0.975, 0.894, 0.846, 0.838, 0.855, 0.916, 1.003");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE === 1'b1" ;
timing_type : setup_rising ;
when : "D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("1.019, 0.995, 0.904, 0.758, 0.644, 0.541, 0.469, 0.422, 0.414, 0.44",\
"1.042, 1.017, 0.925, 0.776, 0.663, 0.559, 0.491, 0.446, 0.437, 0.46",\
"1.105, 1.082, 0.986, 0.841, 0.724, 0.627, 0.556, 0.509, 0.501, 0.526",\
"1.207, 1.184, 1.095, 0.955, 0.826, 0.734, 0.663, 0.615, 0.605, 0.632",\
"1.357, 1.33, 1.237, 1.092, 0.974, 0.878, 0.808, 0.765, 0.752, 0.779",\
"1.541, 1.516, 1.422, 1.278, 1.154, 1.059, 0.986, 0.942, 0.935, 0.954",\
"1.755, 1.731, 1.639, 1.493, 1.372, 1.276, 1.202, 1.157, 1.141, 1.167",\
"2.027, 2.002, 1.912, 1.766, 1.639, 1.54, 1.466, 1.414, 1.403, 1.415",\
"2.352, 2.327, 2.236, 2.09, 1.96, 1.855, 1.779, 1.719, 1.704, 1.713",\
"2.73, 2.711, 2.615, 2.466, 2.328, 2.219, 2.142, 2.075, 2.055, 2.065");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.813, 0.787, 0.702, 0.593, 0.533, 0.512, 0.539, 0.596, 0.676, 0.79",\
"0.82, 0.802, 0.713, 0.606, 0.548, 0.529, 0.552, 0.607, 0.691, 0.806",\
"0.866, 0.842, 0.76, 0.649, 0.588, 0.57, 0.596, 0.645, 0.73, 0.845",\
"0.933, 0.909, 0.825, 0.716, 0.653, 0.631, 0.657, 0.701, 0.785, 0.899",\
"1.014, 0.993, 0.904, 0.792, 0.726, 0.699, 0.72, 0.765, 0.838, 0.948",\
"1.082, 1.061, 0.977, 0.868, 0.795, 0.762, 0.777, 0.812, 0.888, 0.987",\
"1.141, 1.118, 1.037, 0.924, 0.851, 0.817, 0.817, 0.848, 0.92, 1.019",\
"1.186, 1.158, 1.081, 0.967, 0.895, 0.85, 0.848, 0.874, 0.939, 1.033",\
"1.207, 1.178, 1.094, 0.985, 0.909, 0.86, 0.853, 0.879, 0.938, 1.03",\
"1.196, 1.166, 1.083, 0.975, 0.894, 0.846, 0.838, 0.86, 0.916, 1.003");
}
}
}
test_cell() {
pin(SI) {
direction : input ;
signal_type : test_scan_in ;
}
pin(SE) {
direction : input ;
signal_type : test_scan_enable ;
}
ff(IQ1,IQN1) {
clocked_on : CLK ;
next_state : D ;
}
pin(CLK) {
clock : true ;
direction : input ;
}
pin(D) {
direction : input ;
}
pin(Q) {
direction : output ;
function : IQ1 ;
signal_type : test_scan_out ;
}
}
}