blob: 45163a7f599b373222bb3e48e3bcca7f53e36f13 [file] [log] [blame]
/*
* Copyright 2022 GlobalFoundries PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
cell(gf180mcu_fd_sc_mcu9t5v0__sdffq_4) {
area : 124.185600 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "((D&(!SE))|(SE&SI))" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SE&!SI" ;
value : "0.0082314" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SE&SI" ;
value : "0.00923364" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SE&!SI" ;
value : "0.0067266" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SE&SI" ;
value : "0.01477116" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SE&!SI" ;
value : "0.0162108" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SE&SI" ;
value : "0.01619316" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SE&!SI" ;
value : "0.00779364" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SE&SI" ;
value : "0.01475316" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SE&!SI" ;
value : "0.00825156" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SE&SI" ;
value : "0.00843048" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SE&!SI" ;
value : "0.00647856" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SE&SI" ;
value : "0.01304604" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SE&!SI" ;
value : "0.01448208" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SE&SI" ;
value : "0.01447452" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SE&!SI" ;
value : "0.0065844" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SE&SI" ;
value : "0.01303776" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.0162108" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.005205 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
min_period : 1.533 ;
min_pulse_width_high : 0.497 ;
min_pulse_width_low : 0.768 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2163, 0.2158, 0.219, 0.2349, 0.2668, 0.3159, 0.3835, 0.4705, \
0.5774, 0.7052");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1348, 0.1345, 0.137, 0.1506, 0.1775, 0.2202, 0.2799, 0.3571, \
0.4523, 0.5669");
}
}
internal_power() {
when : "!D&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2163, 0.2158, 0.2191, 0.235, 0.2668, 0.3159, 0.3835, 0.4703, \
0.5774, 0.7052");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1348, 0.1345, 0.1371, 0.1506, 0.1776, 0.2204, 0.2798, 0.3572, \
0.4525, 0.5668");
}
}
internal_power() {
when : "!D&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1977, 0.1972, 0.2005, 0.2164, 0.2483, 0.2975, 0.3652, 0.452, \
0.5591, 0.687");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1347, 0.1342, 0.1369, 0.1504, 0.1774, 0.2202, 0.2797, 0.3569, \
0.4523, 0.5667");
}
}
internal_power() {
when : "!D&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1965, 0.1957, 0.199, 0.215, 0.2469, 0.2961, 0.3636, 0.4507, \
0.5577, 0.6856");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1358, 0.1355, 0.1381, 0.1516, 0.1786, 0.2215, 0.281, 0.3583, \
0.4536, 0.5679");
}
}
internal_power() {
when : "D&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1965, 0.1959, 0.1992, 0.2151, 0.247, 0.2962, 0.3637, 0.4508, \
0.5578, 0.6857");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1358, 0.1354, 0.138, 0.1516, 0.1785, 0.2213, 0.281, 0.3581, \
0.4535, 0.5678");
}
}
internal_power() {
when : "D&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1965, 0.1958, 0.1991, 0.215, 0.247, 0.2962, 0.3638, 0.4507, \
0.5577, 0.6857");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1358, 0.1354, 0.138, 0.1515, 0.1785, 0.2213, 0.281, 0.3582, \
0.4535, 0.5679");
}
}
internal_power() {
when : "D&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2165, 0.2159, 0.2192, 0.2352, 0.267, 0.3162, 0.3836, 0.4706, \
0.5775, 0.7053");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1346, 0.1341, 0.1369, 0.1503, 0.1773, 0.2201, 0.2798, 0.357, \
0.4523, 0.5667");
}
}
internal_power() {
when : "D&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1965, 0.1958, 0.199, 0.215, 0.2469, 0.2961, 0.3637, 0.4507, \
0.5577, 0.6855");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1358, 0.1355, 0.1381, 0.1517, 0.1786, 0.2215, 0.2811, 0.3583, \
0.4536, 0.568");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!SE&!SI" ;
fall_constraint(scalar) {
values("0.768");
}
rise_constraint(scalar) {
values("0.408");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!SE&SI" ;
fall_constraint(scalar) {
values("0.768");
}
rise_constraint(scalar) {
values("0.408");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SE&!SI" ;
fall_constraint(scalar) {
values("0.768");
}
rise_constraint(scalar) {
values("0.408");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SE&SI" ;
fall_constraint(scalar) {
values("0.657");
}
rise_constraint(scalar) {
values("0.497");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!SE&!SI" ;
fall_constraint(scalar) {
values("0.657");
}
rise_constraint(scalar) {
values("0.49");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!SE&SI" ;
fall_constraint(scalar) {
values("0.657");
}
rise_constraint(scalar) {
values("0.497");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SE&!SI" ;
fall_constraint(scalar) {
values("0.768");
}
rise_constraint(scalar) {
values("0.408");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SE&SI" ;
fall_constraint(scalar) {
values("0.657");
}
rise_constraint(scalar) {
values("0.49");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&!SE&!SI" ;
rise_constraint(scalar) {
values("1.533");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&!SE&SI" ;
rise_constraint(scalar) {
values("1.533");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SE&!SI" ;
rise_constraint(scalar) {
values("1.533");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SE&SI" ;
rise_constraint(scalar) {
values("1.312");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&!SE&!SI" ;
rise_constraint(scalar) {
values("1.312");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&!SE&SI" ;
rise_constraint(scalar) {
values("1.312");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&SE&!SI" ;
rise_constraint(scalar) {
values("1.533");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&SE&SI" ;
rise_constraint(scalar) {
values("1.312");
}
}
}
pin(D) {
capacitance : 0.003772 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2325, 0.2319, 0.231, 0.2311, 0.2381, 0.2601, 0.3014, 0.3618, \
0.4389, 0.5318");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1436, 0.1428, 0.1417, 0.1426, 0.1515, 0.1719, 0.2055, 0.2528, \
0.3144, 0.3906");
}
}
internal_power() {
when : "!CLK&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2326, 0.2319, 0.2311, 0.2311, 0.2382, 0.26, 0.3014, 0.3616, \
0.4389, 0.5317");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1436, 0.1427, 0.1416, 0.1426, 0.1515, 0.1721, 0.2056, 0.253, \
0.3146, 0.3908");
}
}
internal_power() {
when : "!CLK&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.01807, 0.01735, 0.01705, 0.01693, 0.01696, 0.01691, 0.01695, \
0.01692, 0.01694, 0.01691");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01656, -0.01657, -0.01654, -0.01654, -0.01657, -0.01661, -0.01662, \
-0.0167, -0.01672, -0.01673");
}
}
internal_power() {
when : "!CLK&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.01705, 0.01698, 0.01699, 0.01697, 0.01697, 0.01701, 0.017, 0.01702, \
0.01699, 0.01699");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.0148, -0.01529, -0.01605, -0.01637, -0.01653, -0.01664, -0.0167, \
-0.01669, -0.01676, -0.01679");
}
}
internal_power() {
when : "CLK&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.04546, 0.04545, 0.04527, 0.04511, 0.04502, 0.04499, 0.04499, \
0.04499, 0.04498, 0.04499");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.005297, -0.005513, -0.005967, -0.006512, -0.00689, -0.00711, \
-0.007301, -0.007386, -0.007478, -0.007531");
}
}
internal_power() {
when : "CLK&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.04549, 0.04548, 0.04531, 0.04515, 0.04504, 0.04502, 0.04503, \
0.04504, 0.04505, 0.04504");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.005301, -0.005543, -0.006004, -0.006549, -0.006916, -0.007144, \
-0.007341, -0.007401, -0.00751, -0.007575");
}
}
internal_power() {
when : "CLK&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.017, 0.01696, 0.01694, 0.01696, 0.01693, 0.01693, 0.01696, 0.01693, \
0.01696, 0.01695");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01658, -0.01656, -0.01657, -0.01656, -0.01659, -0.01661, -0.01668, \
-0.01671, -0.01668, -0.01674");
}
}
internal_power() {
when : "CLK&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.017, 0.01704, 0.01701, 0.017, 0.01698, 0.017, 0.01698, 0.01701, \
0.01701, 0.01701");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01515, -0.01552, -0.01612, -0.01639, -0.01653, -0.0166, -0.01662, \
-0.01672, -0.01674, -0.01677");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!SE&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.155, -0.146, -0.127, -0.096, -0.072, -0.058, -0.052, -0.061, -0.099, -0.147",\
"-0.161, -0.155, -0.129, -0.103, -0.076, -0.061, -0.06, -0.071, -0.102, -0.15",\
"-0.17, -0.163, -0.147, -0.108, -0.084, -0.071, -0.069, -0.08, -0.116, -0.159",\
"-0.195, -0.183, -0.161, -0.13, -0.102, -0.081, -0.08, -0.088, -0.128, -0.177",\
"-0.217, -0.211, -0.19, -0.156, -0.122, -0.11, -0.106, -0.113, -0.149, -0.191",\
"-0.235, -0.231, -0.211, -0.173, -0.142, -0.129, -0.125, -0.133, -0.167, -0.213",\
"-0.249, -0.24, -0.219, -0.179, -0.153, -0.144, -0.135, -0.141, -0.177, -0.218",\
"-0.257, -0.25, -0.231, -0.196, -0.163, -0.154, -0.148, -0.148, -0.189, -0.226",\
"-0.266, -0.256, -0.254, -0.213, -0.185, -0.176, -0.172, -0.167, -0.209, -0.244",\
"-0.291, -0.284, -0.278, -0.231, -0.214, -0.201, -0.193, -0.195, -0.241, -0.275");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.258, -0.254, -0.241, -0.242, -0.27, -0.306, -0.369, -0.439, -0.525, -0.635",\
"-0.263, -0.254, -0.242, -0.249, -0.27, -0.314, -0.371, -0.438, -0.531, -0.635",\
"-0.266, -0.257, -0.247, -0.247, -0.276, -0.311, -0.368, -0.438, -0.532, -0.635",\
"-0.268, -0.259, -0.242, -0.25, -0.269, -0.307, -0.364, -0.439, -0.524, -0.632",\
"-0.262, -0.259, -0.242, -0.246, -0.27, -0.305, -0.357, -0.427, -0.518, -0.623",\
"-0.251, -0.246, -0.236, -0.239, -0.259, -0.299, -0.353, -0.426, -0.51, -0.618",\
"-0.216, -0.212, -0.201, -0.204, -0.232, -0.27, -0.331, -0.406, -0.497, -0.603",\
"-0.161, -0.153, -0.138, -0.154, -0.182, -0.227, -0.293, -0.373, -0.462, -0.577",\
"-0.069, -0.057, -0.058, -0.072, -0.109, -0.156, -0.223, -0.308, -0.41, -0.531",\
"0.05, 0.053, 0.059, 0.038, -0.001, -0.058, -0.132, -0.224, -0.334, -0.457");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!SE&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.155, -0.146, -0.127, -0.096, -0.072, -0.05, -0.052, -0.061, -0.099, -0.147",\
"-0.161, -0.155, -0.129, -0.103, -0.076, -0.053, -0.06, -0.071, -0.102, -0.15",\
"-0.17, -0.163, -0.147, -0.108, -0.084, -0.063, -0.069, -0.08, -0.116, -0.159",\
"-0.189, -0.183, -0.161, -0.13, -0.102, -0.072, -0.08, -0.088, -0.128, -0.177",\
"-0.217, -0.211, -0.19, -0.156, -0.122, -0.099, -0.106, -0.113, -0.149, -0.191",\
"-0.235, -0.231, -0.211, -0.173, -0.142, -0.116, -0.125, -0.133, -0.167, -0.213",\
"-0.248, -0.239, -0.224, -0.185, -0.153, -0.118, -0.135, -0.141, -0.177, -0.218",\
"-0.257, -0.243, -0.231, -0.196, -0.163, -0.128, -0.148, -0.148, -0.18, -0.226",\
"-0.266, -0.256, -0.254, -0.213, -0.185, -0.143, -0.164, -0.167, -0.209, -0.244",\
"-0.291, -0.277, -0.272, -0.231, -0.206, -0.153, -0.193, -0.195, -0.235, -0.275");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.258, -0.254, -0.241, -0.242, -0.27, -0.306, -0.369, -0.439, -0.525, -0.635",\
"-0.263, -0.254, -0.242, -0.249, -0.27, -0.314, -0.371, -0.438, -0.531, -0.635",\
"-0.258, -0.257, -0.247, -0.247, -0.276, -0.311, -0.368, -0.438, -0.532, -0.636",\
"-0.268, -0.259, -0.242, -0.25, -0.269, -0.307, -0.364, -0.439, -0.529, -0.632",\
"-0.262, -0.259, -0.242, -0.246, -0.27, -0.305, -0.357, -0.427, -0.518, -0.623",\
"-0.251, -0.246, -0.236, -0.239, -0.259, -0.299, -0.353, -0.426, -0.51, -0.618",\
"-0.216, -0.212, -0.201, -0.204, -0.232, -0.27, -0.336, -0.406, -0.497, -0.603",\
"-0.161, -0.153, -0.138, -0.154, -0.182, -0.227, -0.293, -0.373, -0.462, -0.577",\
"-0.069, -0.057, -0.058, -0.072, -0.109, -0.156, -0.223, -0.308, -0.41, -0.531",\
"0.05, 0.053, 0.059, 0.038, -0.001, -0.058, -0.132, -0.224, -0.334, -0.457");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!SE&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.704, 0.696, 0.67, 0.619, 0.583, 0.561, 0.557, 0.573, 0.634, 0.886",\
"0.705, 0.7, 0.675, 0.624, 0.588, 0.568, 0.563, 0.582, 0.632, 0.881",\
"0.721, 0.719, 0.683, 0.636, 0.601, 0.583, 0.575, 0.594, 0.64, 0.913",\
"0.738, 0.732, 0.705, 0.659, 0.622, 0.597, 0.591, 0.606, 0.654, 0.887",\
"0.783, 0.776, 0.748, 0.703, 0.669, 0.644, 0.638, 0.648, 0.705, 0.887",\
"0.882, 0.877, 0.847, 0.802, 0.76, 0.741, 0.732, 0.739, 0.775, 0.904",\
"1.034, 1.026, 1.001, 0.956, 0.908, 0.885, 0.868, 0.872, 0.905, 0.989",\
"1.235, 1.225, 1.197, 1.147, 1.095, 1.064, 1.048, 1.051, 1.069, 1.135",\
"1.464, 1.455, 1.42, 1.367, 1.315, 1.282, 1.257, 1.255, 1.278, 1.335",\
"1.724, 1.714, 1.687, 1.623, 1.563, 1.528, 1.507, 1.505, 1.519, 1.562");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.59, 0.575, 0.556, 0.513, 0.487, 0.501, 0.531, 0.588, 0.674, 0.77",\
"0.59, 0.58, 0.553, 0.52, 0.489, 0.499, 0.535, 0.589, 0.671, 0.771",\
"0.59, 0.587, 0.553, 0.522, 0.496, 0.501, 0.535, 0.594, 0.676, 0.774",\
"0.592, 0.586, 0.559, 0.519, 0.49, 0.503, 0.534, 0.589, 0.67, 0.77",\
"0.596, 0.588, 0.562, 0.529, 0.504, 0.505, 0.537, 0.593, 0.664, 0.769",\
"0.611, 0.6, 0.574, 0.539, 0.509, 0.51, 0.546, 0.593, 0.672, 0.769",\
"0.615, 0.601, 0.581, 0.545, 0.52, 0.514, 0.542, 0.594, 0.665, 0.77",\
"0.606, 0.596, 0.574, 0.538, 0.514, 0.505, 0.529, 0.579, 0.654, 0.754",\
"0.584, 0.567, 0.547, 0.517, 0.489, 0.48, 0.507, 0.55, 0.62, 0.718",\
"0.541, 0.53, 0.503, 0.478, 0.448, 0.455, 0.465, 0.508, 0.577, 0.668");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!SE&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.704, 0.696, 0.67, 0.619, 0.583, 0.569, 0.557, 0.573, 0.624, 0.886",\
"0.705, 0.7, 0.675, 0.631, 0.588, 0.568, 0.563, 0.582, 0.632, 0.881",\
"0.721, 0.719, 0.683, 0.644, 0.601, 0.583, 0.575, 0.594, 0.64, 0.913",\
"0.738, 0.732, 0.705, 0.659, 0.622, 0.597, 0.591, 0.606, 0.654, 0.887",\
"0.783, 0.776, 0.748, 0.703, 0.669, 0.644, 0.638, 0.648, 0.694, 0.909",\
"0.882, 0.877, 0.847, 0.802, 0.76, 0.741, 0.732, 0.739, 0.775, 0.904",\
"1.034, 1.026, 1.001, 0.956, 0.908, 0.885, 0.868, 0.872, 0.905, 0.98",\
"1.228, 1.225, 1.197, 1.147, 1.095, 1.064, 1.048, 1.051, 1.069, 1.135",\
"1.464, 1.455, 1.42, 1.367, 1.315, 1.282, 1.257, 1.255, 1.278, 1.335",\
"1.724, 1.714, 1.687, 1.623, 1.563, 1.528, 1.507, 1.505, 1.519, 1.574");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.584, 0.575, 0.556, 0.513, 0.487, 0.494, 0.531, 0.588, 0.674, 0.77",\
"0.59, 0.58, 0.553, 0.52, 0.489, 0.499, 0.53, 0.597, 0.671, 0.771",\
"0.59, 0.587, 0.553, 0.514, 0.49, 0.501, 0.535, 0.594, 0.671, 0.774",\
"0.592, 0.586, 0.559, 0.519, 0.49, 0.503, 0.534, 0.589, 0.67, 0.77",\
"0.596, 0.588, 0.562, 0.529, 0.504, 0.505, 0.537, 0.593, 0.67, 0.769",\
"0.604, 0.6, 0.574, 0.539, 0.509, 0.517, 0.546, 0.593, 0.672, 0.769",\
"0.615, 0.601, 0.581, 0.545, 0.52, 0.514, 0.542, 0.594, 0.665, 0.77",\
"0.606, 0.596, 0.574, 0.53, 0.514, 0.51, 0.529, 0.579, 0.654, 0.754",\
"0.584, 0.567, 0.547, 0.517, 0.489, 0.487, 0.507, 0.55, 0.62, 0.718",\
"0.541, 0.53, 0.503, 0.478, 0.448, 0.439, 0.465, 0.498, 0.571, 0.668");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 1.516 ;
max_transition : 5.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
when : "!D&SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.6296, 0.6053, 0.5565, 0.5188, 0.4972, 0.4841, 0.4755, 0.4697, 0.4656, 0.4627",\
"0.6294, 0.6053, 0.5563, 0.5185, 0.497, 0.484, 0.4754, 0.4697, 0.4655, 0.4626",\
"0.6298, 0.6056, 0.5565, 0.5191, 0.4975, 0.4844, 0.4758, 0.47, 0.4659, 0.4629",\
"0.6313, 0.607, 0.558, 0.5206, 0.4989, 0.4856, 0.4771, 0.4711, 0.4673, 0.4643",\
"0.6335, 0.6089, 0.5601, 0.5226, 0.5008, 0.4877, 0.4793, 0.4733, 0.4695, 0.4664",\
"0.6362, 0.6119, 0.5628, 0.5258, 0.5042, 0.491, 0.4821, 0.4762, 0.4722, 0.4694",\
"0.6395, 0.6153, 0.5664, 0.5289, 0.5074, 0.4945, 0.4855, 0.4796, 0.4758, 0.4728",\
"0.6434, 0.6192, 0.57, 0.5324, 0.511, 0.498, 0.4894, 0.4837, 0.4794, 0.4766",\
"0.6478, 0.6234, 0.5744, 0.5365, 0.5157, 0.5026, 0.4938, 0.4876, 0.4839, 0.4809",\
"0.6528, 0.6285, 0.5793, 0.5418, 0.5202, 0.5071, 0.4985, 0.4928, 0.4886, 0.4858");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.5436, 0.5221, 0.4904, 0.4686, 0.4566, 0.4506, 0.4493, 0.4495, 0.4508, 0.4537",\
"0.5436, 0.5225, 0.49, 0.4683, 0.4557, 0.4502, 0.4492, 0.4505, 0.4504, 0.4548",\
"0.5436, 0.5225, 0.4904, 0.4684, 0.4562, 0.4508, 0.4494, 0.4497, 0.4513, 0.4575",\
"0.545, 0.5237, 0.4915, 0.4697, 0.457, 0.4517, 0.4503, 0.4506, 0.4523, 0.4548",\
"0.547, 0.5264, 0.494, 0.4726, 0.4592, 0.455, 0.4522, 0.4535, 0.4545, 0.4542",\
"0.551, 0.5295, 0.4977, 0.476, 0.4631, 0.4585, 0.4568, 0.4567, 0.4591, 0.4588",\
"0.5565, 0.535, 0.5026, 0.4808, 0.4698, 0.4652, 0.4621, 0.4616, 0.4611, 0.4673",\
"0.5635, 0.5427, 0.51, 0.4858, 0.4722, 0.4693, 0.4719, 0.4708, 0.471, 0.4718",\
"0.5717, 0.5504, 0.5173, 0.4948, 0.4798, 0.4745, 0.476, 0.482, 0.4826, 0.4841",\
"0.5814, 0.5602, 0.5275, 0.5026, 0.4878, 0.4818, 0.4794, 0.4854, 0.4978, 0.5001");
}
}
internal_power() {
related_pin : "CLK" ;
when : "!SE&!SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.6296, 0.6054, 0.5565, 0.5188, 0.4972, 0.4842, 0.4756, 0.4698, 0.4657, 0.4627",\
"0.6295, 0.6053, 0.5565, 0.5187, 0.4971, 0.484, 0.4754, 0.4698, 0.4654, 0.4628",\
"0.6299, 0.6056, 0.5567, 0.5191, 0.4975, 0.4845, 0.476, 0.4698, 0.466, 0.463",\
"0.6312, 0.6071, 0.5582, 0.5205, 0.4989, 0.4858, 0.4772, 0.4714, 0.4673, 0.4643",\
"0.6335, 0.609, 0.5601, 0.5227, 0.5009, 0.4878, 0.4793, 0.4733, 0.4695, 0.4665",\
"0.6363, 0.612, 0.5628, 0.5259, 0.5042, 0.4911, 0.4823, 0.4764, 0.4725, 0.4696",\
"0.6394, 0.6151, 0.5663, 0.5288, 0.5072, 0.4943, 0.4855, 0.4796, 0.4757, 0.4728",\
"0.6432, 0.6191, 0.5703, 0.5327, 0.5113, 0.4978, 0.4897, 0.484, 0.4797, 0.4768",\
"0.6478, 0.6235, 0.5746, 0.5373, 0.5156, 0.5029, 0.4938, 0.4881, 0.4838, 0.4811",\
"0.6526, 0.6284, 0.5793, 0.5419, 0.5202, 0.5069, 0.4981, 0.4924, 0.4885, 0.4857");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.5432, 0.5218, 0.4905, 0.4687, 0.4555, 0.4506, 0.4489, 0.4492, 0.4494, 0.4512",\
"0.5432, 0.5226, 0.4902, 0.4683, 0.456, 0.4503, 0.4493, 0.4492, 0.4505, 0.4545",\
"0.5433, 0.5223, 0.4902, 0.4686, 0.4556, 0.4498, 0.4491, 0.4491, 0.4507, 0.4536",\
"0.5446, 0.5238, 0.4916, 0.4697, 0.4569, 0.4517, 0.4504, 0.4506, 0.4523, 0.4545",\
"0.5469, 0.526, 0.4938, 0.472, 0.4591, 0.4539, 0.4526, 0.4525, 0.4541, 0.4567",\
"0.5508, 0.5294, 0.4968, 0.4768, 0.4633, 0.4579, 0.4566, 0.4565, 0.4592, 0.4614",\
"0.5563, 0.5354, 0.5029, 0.4802, 0.4695, 0.4641, 0.4621, 0.4609, 0.4625, 0.4659",\
"0.5631, 0.5425, 0.509, 0.4856, 0.4722, 0.4694, 0.4717, 0.4709, 0.4711, 0.4719",\
"0.5717, 0.5503, 0.5175, 0.4944, 0.4809, 0.4748, 0.4764, 0.4842, 0.4826, 0.4859",\
"0.5812, 0.5602, 0.5276, 0.503, 0.4894, 0.4815, 0.4805, 0.4851, 0.4983, 0.4976");
}
}
internal_power() {
related_pin : "CLK" ;
when : "D&!SE&SI | !D&SE&!SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.6296, 0.6053, 0.5565, 0.5188, 0.4972, 0.4841, 0.4755, 0.4698, 0.4656, 0.4627",\
"0.6296, 0.6053, 0.5564, 0.5189, 0.4973, 0.4841, 0.4754, 0.4698, 0.4656, 0.4627",\
"0.6299, 0.6057, 0.5566, 0.5191, 0.4975, 0.4844, 0.4759, 0.4701, 0.4659, 0.463",\
"0.6313, 0.607, 0.5581, 0.5206, 0.4987, 0.4856, 0.4771, 0.4713, 0.4674, 0.4644",\
"0.6334, 0.6088, 0.56, 0.5226, 0.5008, 0.4877, 0.4792, 0.4732, 0.4694, 0.4664",\
"0.6359, 0.6121, 0.5627, 0.5257, 0.5041, 0.4909, 0.4821, 0.4763, 0.4723, 0.4695",\
"0.6391, 0.6149, 0.5661, 0.5284, 0.5072, 0.4939, 0.4857, 0.4796, 0.4756, 0.4727",\
"0.6432, 0.6191, 0.5699, 0.5325, 0.5111, 0.4979, 0.4893, 0.4837, 0.4793, 0.4767",\
"0.6478, 0.6235, 0.5747, 0.5372, 0.5162, 0.503, 0.494, 0.488, 0.484, 0.4811",\
"0.6525, 0.6282, 0.5794, 0.5418, 0.5204, 0.5068, 0.4984, 0.4925, 0.4886, 0.4857");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.5434, 0.5217, 0.4903, 0.4683, 0.4562, 0.4506, 0.4492, 0.4492, 0.4508, 0.4534",\
"0.5432, 0.5226, 0.4902, 0.4683, 0.456, 0.4503, 0.4493, 0.4492, 0.4505, 0.4545",\
"0.5433, 0.5221, 0.4902, 0.4683, 0.4555, 0.4505, 0.4491, 0.4494, 0.4507, 0.4533",\
"0.5446, 0.5239, 0.4918, 0.4693, 0.4567, 0.4528, 0.45, 0.451, 0.4519, 0.4549",\
"0.5469, 0.526, 0.4938, 0.472, 0.4591, 0.4532, 0.453, 0.4525, 0.4552, 0.4575",\
"0.5508, 0.5294, 0.4968, 0.4768, 0.4633, 0.4579, 0.4566, 0.4565, 0.4592, 0.4614",\
"0.5565, 0.5354, 0.5026, 0.4794, 0.4691, 0.4645, 0.4613, 0.4609, 0.4625, 0.4655",\
"0.5632, 0.5419, 0.5084, 0.4853, 0.473, 0.469, 0.4724, 0.4709, 0.4718, 0.4719",\
"0.5713, 0.5498, 0.5173, 0.4942, 0.4809, 0.4748, 0.4764, 0.4828, 0.4826, 0.4856",\
"0.581, 0.5596, 0.5269, 0.5043, 0.489, 0.4815, 0.4805, 0.4851, 0.4982, 0.4976");
}
}
internal_power() {
related_pin : "CLK" ;
when : "D&SE" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.6296, 0.6054, 0.5565, 0.5189, 0.4969, 0.4842, 0.4756, 0.4698, 0.4657, 0.4628",\
"0.6296, 0.6054, 0.5563, 0.5187, 0.4975, 0.4842, 0.4756, 0.4698, 0.4657, 0.4628",\
"0.6299, 0.6056, 0.5566, 0.5189, 0.4974, 0.4844, 0.4759, 0.4698, 0.466, 0.463",\
"0.6314, 0.6071, 0.558, 0.5206, 0.4992, 0.4858, 0.4774, 0.4715, 0.4674, 0.4644",\
"0.6335, 0.6089, 0.5601, 0.5227, 0.5009, 0.4878, 0.4793, 0.4733, 0.4695, 0.4665",\
"0.6363, 0.612, 0.5629, 0.5259, 0.5045, 0.491, 0.4823, 0.4765, 0.4725, 0.4696",\
"0.6391, 0.6148, 0.5662, 0.5288, 0.5072, 0.4945, 0.4856, 0.4795, 0.4754, 0.4727",\
"0.6434, 0.6191, 0.57, 0.5325, 0.511, 0.4979, 0.4892, 0.4837, 0.4794, 0.4766",\
"0.6479, 0.6236, 0.5744, 0.5367, 0.5158, 0.5026, 0.4938, 0.4876, 0.4839, 0.4809",\
"0.6525, 0.6284, 0.5791, 0.5418, 0.5202, 0.507, 0.4985, 0.4927, 0.4885, 0.4857");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.5435, 0.5224, 0.4901, 0.469, 0.4555, 0.451, 0.4489, 0.4503, 0.4504, 0.4534",\
"0.5432, 0.5225, 0.4901, 0.4683, 0.4555, 0.4498, 0.4492, 0.4491, 0.4504, 0.4537",\
"0.5434, 0.5221, 0.4899, 0.4681, 0.4555, 0.4512, 0.4491, 0.449, 0.4496, 0.4518",\
"0.5444, 0.5239, 0.4918, 0.4692, 0.4566, 0.4523, 0.4499, 0.4502, 0.4515, 0.4526",\
"0.5468, 0.5257, 0.4938, 0.4719, 0.4591, 0.4539, 0.4525, 0.4524, 0.4552, 0.4574",\
"0.5506, 0.5296, 0.4971, 0.476, 0.4628, 0.4582, 0.4579, 0.4564, 0.458, 0.4588",\
"0.556, 0.5353, 0.5026, 0.4791, 0.4694, 0.4644, 0.4616, 0.4608, 0.461, 0.4658",\
"0.5632, 0.5421, 0.5092, 0.4868, 0.4725, 0.4689, 0.4701, 0.4711, 0.4702, 0.471",\
"0.5715, 0.5498, 0.5179, 0.4954, 0.4798, 0.4752, 0.476, 0.4835, 0.4822, 0.4845",\
"0.5811, 0.5596, 0.5272, 0.5034, 0.4895, 0.4813, 0.4807, 0.4856, 0.4974, 0.4975");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b0 && SI===1'b1" ;
timing_type : rising_edge ;
when : "!D&SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.735, 0.7631, 0.835, 0.9373, 1.07, 1.24, 1.454, 1.721, 2.048, 2.437",\
"0.7415, 0.7697, 0.8416, 0.9438, 1.077, 1.246, 1.46, 1.728, 2.054, 2.443",\
"0.7676, 0.7957, 0.8674, 0.9697, 1.103, 1.272, 1.487, 1.754, 2.08, 2.47",\
"0.8088, 0.837, 0.9087, 1.011, 1.144, 1.313, 1.528, 1.795, 2.121, 2.511",\
"0.8442, 0.8724, 0.9442, 1.046, 1.18, 1.349, 1.563, 1.831, 2.157, 2.546",\
"0.87, 0.898, 0.9697, 1.072, 1.205, 1.375, 1.589, 1.856, 2.183, 2.572",\
"0.882, 0.9101, 0.982, 1.084, 1.217, 1.387, 1.601, 1.869, 2.195, 2.584",\
"0.879, 0.907, 0.979, 1.081, 1.214, 1.383, 1.598, 1.866, 2.192, 2.58",\
"0.8584, 0.8865, 0.9583, 1.061, 1.194, 1.363, 1.577, 1.845, 2.171, 2.56",\
"0.8199, 0.8478, 0.9196, 1.022, 1.155, 1.324, 1.538, 1.806, 2.132, 2.521");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.694, 0.7215, 0.798, 0.9279, 1.128, 1.41, 1.783, 2.254, 2.829, 3.516",\
"0.7005, 0.7284, 0.8047, 0.9345, 1.134, 1.417, 1.79, 2.261, 2.837, 3.523",\
"0.7262, 0.7539, 0.8302, 0.9601, 1.16, 1.443, 1.816, 2.286, 2.862, 3.549",\
"0.7678, 0.7956, 0.8719, 1.002, 1.202, 1.484, 1.857, 2.328, 2.903, 3.59",\
"0.8041, 0.832, 0.9082, 1.038, 1.238, 1.52, 1.893, 2.365, 2.94, 3.627",\
"0.8318, 0.8595, 0.936, 1.066, 1.266, 1.548, 1.921, 2.392, 2.967, 3.655",\
"0.847, 0.8744, 0.9506, 1.081, 1.281, 1.563, 1.936, 2.407, 2.982, 3.667",\
"0.8477, 0.8755, 0.9518, 1.082, 1.281, 1.564, 1.937, 2.407, 2.982, 3.669",\
"0.8322, 0.8599, 0.9362, 1.066, 1.266, 1.548, 1.921, 2.392, 2.967, 3.652",\
"0.8, 0.828, 0.9043, 1.034, 1.234, 1.516, 1.889, 2.36, 2.935, 3.62");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.1078, 0.1362, 0.2089, 0.3248, 0.4996, 0.752, 1.101, 1.555, 2.114, 2.782",\
"0.1079, 0.1363, 0.2084, 0.3252, 0.4995, 0.7516, 1.102, 1.555, 2.114, 2.782",\
"0.1078, 0.1362, 0.2083, 0.3246, 0.4996, 0.752, 1.101, 1.554, 2.114, 2.782",\
"0.1078, 0.1362, 0.2084, 0.325, 0.4998, 0.7528, 1.101, 1.555, 2.113, 2.783",\
"0.1084, 0.136, 0.2084, 0.325, 0.499, 0.7526, 1.101, 1.555, 2.112, 2.783",\
"0.1078, 0.1358, 0.2082, 0.3248, 0.5, 0.7518, 1.102, 1.554, 2.114, 2.778",\
"0.1076, 0.1362, 0.2082, 0.324, 0.4998, 0.7516, 1.1, 1.554, 2.11, 2.782",\
"0.1078, 0.1358, 0.208, 0.3244, 0.4996, 0.7536, 1.101, 1.552, 2.11, 2.78",\
"0.1078, 0.1364, 0.2082, 0.3242, 0.4998, 0.752, 1.102, 1.552, 2.11, 2.777",\
"0.1078, 0.136, 0.2088, 0.324, 0.4994, 0.752, 1.101, 1.552, 2.112, 2.78");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.09504, 0.1272, 0.2367, 0.458, 0.8237, 1.342, 2.031, 2.895, 3.942, 5.201",\
"0.09468, 0.1271, 0.2365, 0.4581, 0.8238, 1.342, 2.029, 2.896, 3.948, 5.2",\
"0.0948, 0.1271, 0.2365, 0.4581, 0.8232, 1.342, 2.031, 2.895, 3.95, 5.211",\
"0.095, 0.127, 0.2364, 0.4578, 0.8236, 1.342, 2.031, 2.895, 3.942, 5.201",\
"0.0948, 0.1268, 0.2368, 0.4578, 0.8238, 1.344, 2.028, 2.896, 3.95, 5.2",\
"0.095, 0.127, 0.2368, 0.4578, 0.8224, 1.345, 2.026, 2.893, 3.944, 5.198",\
"0.095, 0.1268, 0.237, 0.4586, 0.8224, 1.342, 2.03, 2.891, 3.945, 5.199",\
"0.095, 0.1274, 0.2368, 0.458, 0.8226, 1.342, 2.028, 2.895, 3.946, 5.2",\
"0.0952, 0.1276, 0.2372, 0.4584, 0.8226, 1.344, 2.028, 2.89, 3.946, 5.203",\
"0.0956, 0.1278, 0.2372, 0.4584, 0.8224, 1.344, 2.027, 2.891, 3.945, 5.201");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "SE===1'b0 && SI===1'b0" ;
timing_type : rising_edge ;
when : "!SE&!SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.735, 0.7631, 0.835, 0.9373, 1.07, 1.24, 1.454, 1.721, 2.048, 2.437",\
"0.7415, 0.7697, 0.8416, 0.9438, 1.077, 1.246, 1.461, 1.728, 2.054, 2.444",\
"0.7675, 0.7957, 0.8675, 0.9698, 1.103, 1.272, 1.487, 1.754, 2.08, 2.469",\
"0.8088, 0.8369, 0.9088, 1.011, 1.144, 1.313, 1.528, 1.795, 2.121, 2.511",\
"0.8442, 0.8724, 0.9442, 1.046, 1.18, 1.349, 1.563, 1.831, 2.157, 2.546",\
"0.87, 0.898, 0.9698, 1.072, 1.205, 1.375, 1.589, 1.856, 2.183, 2.572",\
"0.882, 0.9102, 0.982, 1.084, 1.217, 1.387, 1.601, 1.868, 2.195, 2.584",\
"0.879, 0.907, 0.9789, 1.081, 1.214, 1.383, 1.598, 1.865, 2.192, 2.58",\
"0.8584, 0.8866, 0.9581, 1.061, 1.194, 1.363, 1.577, 1.845, 2.171, 2.56",\
"0.8199, 0.8478, 0.9195, 1.022, 1.155, 1.324, 1.539, 1.806, 2.132, 2.522");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.6939, 0.7215, 0.798, 0.9279, 1.128, 1.41, 1.783, 2.254, 2.829, 3.516",\
"0.7005, 0.7283, 0.8045, 0.9345, 1.134, 1.416, 1.79, 2.26, 2.836, 3.523",\
"0.7261, 0.7538, 0.8302, 0.9602, 1.16, 1.443, 1.816, 2.286, 2.862, 3.548",\
"0.7678, 0.7956, 0.8718, 1.002, 1.202, 1.484, 1.857, 2.328, 2.903, 3.59",\
"0.8042, 0.8319, 0.9083, 1.038, 1.238, 1.521, 1.894, 2.364, 2.94, 3.626",\
"0.8319, 0.8595, 0.9358, 1.066, 1.266, 1.548, 1.921, 2.392, 2.967, 3.652",\
"0.8469, 0.8747, 0.9509, 1.081, 1.281, 1.563, 1.936, 2.406, 2.982, 3.668",\
"0.8476, 0.8755, 0.9518, 1.082, 1.281, 1.563, 1.937, 2.407, 2.982, 3.669",\
"0.832, 0.86, 0.9362, 1.066, 1.266, 1.548, 1.921, 2.392, 2.967, 3.652",\
"0.7999, 0.8279, 0.9041, 1.034, 1.234, 1.516, 1.889, 2.36, 2.935, 3.62");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.1078, 0.1362, 0.2089, 0.3248, 0.4994, 0.752, 1.101, 1.555, 2.114, 2.782",\
"0.1078, 0.1363, 0.2089, 0.3252, 0.4993, 0.7524, 1.102, 1.554, 2.114, 2.783",\
"0.1078, 0.1361, 0.2084, 0.3252, 0.4994, 0.752, 1.102, 1.555, 2.114, 2.782",\
"0.1078, 0.1362, 0.2086, 0.3252, 0.4994, 0.7526, 1.101, 1.553, 2.114, 2.782",\
"0.1084, 0.136, 0.2084, 0.325, 0.499, 0.7526, 1.101, 1.555, 2.112, 2.783",\
"0.1078, 0.1358, 0.2082, 0.3248, 0.4996, 0.752, 1.103, 1.555, 2.112, 2.782",\
"0.1078, 0.1364, 0.2082, 0.324, 0.4992, 0.7514, 1.101, 1.554, 2.111, 2.781",\
"0.108, 0.136, 0.2084, 0.3244, 0.4998, 0.752, 1.103, 1.552, 2.11, 2.781",\
"0.1078, 0.1362, 0.2088, 0.3242, 0.4998, 0.7522, 1.101, 1.553, 2.11, 2.777",\
"0.108, 0.136, 0.2082, 0.3242, 0.4992, 0.7522, 1.103, 1.552, 2.112, 2.779");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.0948, 0.1267, 0.2365, 0.4579, 0.8224, 1.345, 2.03, 2.894, 3.945, 5.201",\
"0.09478, 0.1269, 0.2367, 0.4579, 0.8233, 1.343, 2.031, 2.894, 3.945, 5.2",\
"0.0947, 0.1269, 0.2366, 0.4579, 0.8236, 1.342, 2.031, 2.894, 3.942, 5.201",\
"0.0948, 0.1268, 0.237, 0.4578, 0.8236, 1.342, 2.031, 2.895, 3.942, 5.201",\
"0.0946, 0.127, 0.2366, 0.4578, 0.8236, 1.342, 2.031, 2.894, 3.942, 5.201",\
"0.0948, 0.1272, 0.2366, 0.4578, 0.8224, 1.344, 2.03, 2.892, 3.944, 5.199",\
"0.0946, 0.127, 0.2366, 0.4582, 0.822, 1.343, 2.031, 2.892, 3.942, 5.2",\
"0.095, 0.1274, 0.2372, 0.4582, 0.8224, 1.343, 2.027, 2.893, 3.945, 5.2",\
"0.095, 0.1274, 0.237, 0.4582, 0.8236, 1.344, 2.028, 2.89, 3.946, 5.212",\
"0.0958, 0.1276, 0.2372, 0.4582, 0.8236, 1.343, 2.028, 2.891, 3.944, 5.2");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b1 && SE===1'b0 && SI===1'b1 || D===1'b0 && SE===1'b1 && SI===1'b0" ;
timing_type : rising_edge ;
when : "D&!SE&SI | !D&SE&!SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.735, 0.7631, 0.835, 0.9373, 1.07, 1.24, 1.454, 1.721, 2.048, 2.437",\
"0.7416, 0.7697, 0.8415, 0.9439, 1.077, 1.246, 1.46, 1.728, 2.054, 2.443",\
"0.7676, 0.7957, 0.8674, 0.9699, 1.103, 1.272, 1.487, 1.754, 2.08, 2.469",\
"0.8088, 0.837, 0.9088, 1.011, 1.144, 1.313, 1.528, 1.795, 2.121, 2.511",\
"0.8442, 0.8724, 0.9442, 1.046, 1.18, 1.349, 1.563, 1.831, 2.157, 2.546",\
"0.8699, 0.8981, 0.9697, 1.072, 1.205, 1.375, 1.589, 1.856, 2.183, 2.572",\
"0.882, 0.91, 0.9819, 1.084, 1.217, 1.386, 1.601, 1.868, 2.195, 2.584",\
"0.879, 0.9071, 0.9787, 1.081, 1.214, 1.384, 1.598, 1.865, 2.192, 2.581",\
"0.8585, 0.8866, 0.9584, 1.061, 1.194, 1.363, 1.577, 1.845, 2.171, 2.56",\
"0.8197, 0.8478, 0.9196, 1.022, 1.155, 1.324, 1.539, 1.806, 2.132, 2.521");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.6939, 0.7214, 0.7979, 0.9279, 1.128, 1.41, 1.783, 2.254, 2.829, 3.516",\
"0.7005, 0.7283, 0.8045, 0.9345, 1.134, 1.416, 1.79, 2.26, 2.836, 3.523",\
"0.7261, 0.7537, 0.8302, 0.9602, 1.16, 1.443, 1.815, 2.286, 2.862, 3.548",\
"0.7678, 0.7956, 0.8717, 1.002, 1.201, 1.484, 1.857, 2.328, 2.904, 3.589",\
"0.8042, 0.8319, 0.9083, 1.038, 1.238, 1.521, 1.894, 2.364, 2.939, 3.625",\
"0.8319, 0.8595, 0.9358, 1.066, 1.266, 1.548, 1.921, 2.392, 2.967, 3.652",\
"0.8469, 0.8745, 0.9506, 1.081, 1.281, 1.563, 1.936, 2.406, 2.982, 3.668",\
"0.8475, 0.8754, 0.9517, 1.082, 1.282, 1.564, 1.937, 2.407, 2.982, 3.669",\
"0.832, 0.8597, 0.9362, 1.066, 1.266, 1.548, 1.921, 2.392, 2.967, 3.652",\
"0.8002, 0.828, 0.9044, 1.034, 1.234, 1.516, 1.889, 2.36, 2.935, 3.62");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.1078, 0.1362, 0.2089, 0.3248, 0.4996, 0.752, 1.101, 1.555, 2.114, 2.782",\
"0.1078, 0.1362, 0.2084, 0.3252, 0.4995, 0.7522, 1.101, 1.554, 2.113, 2.782",\
"0.1079, 0.1361, 0.2084, 0.3248, 0.4996, 0.752, 1.101, 1.554, 2.114, 2.782",\
"0.1078, 0.1362, 0.2084, 0.3244, 0.4988, 0.7518, 1.102, 1.555, 2.112, 2.782",\
"0.1084, 0.136, 0.2084, 0.325, 0.499, 0.7526, 1.101, 1.555, 2.112, 2.783",\
"0.1078, 0.136, 0.2082, 0.3248, 0.4996, 0.7524, 1.102, 1.555, 2.112, 2.782",\
"0.1078, 0.136, 0.2086, 0.3244, 0.4992, 0.7516, 1.1, 1.552, 2.112, 2.783",\
"0.108, 0.136, 0.2084, 0.3244, 0.4996, 0.752, 1.101, 1.552, 2.11, 2.781",\
"0.108, 0.1362, 0.2082, 0.3242, 0.5, 0.7518, 1.102, 1.552, 2.11, 2.776",\
"0.1082, 0.136, 0.2088, 0.3238, 0.5, 0.752, 1.102, 1.555, 2.112, 2.777");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.09498, 0.1268, 0.2372, 0.4578, 0.823, 1.342, 2.031, 2.895, 3.942, 5.201",\
"0.09478, 0.1269, 0.2367, 0.4579, 0.8233, 1.343, 2.031, 2.894, 3.945, 5.2",\
"0.09472, 0.127, 0.2366, 0.4577, 0.8238, 1.342, 2.03, 2.895, 3.944, 5.201",\
"0.0948, 0.127, 0.2366, 0.4578, 0.8236, 1.343, 2.03, 2.895, 3.95, 5.201",\
"0.0946, 0.127, 0.2366, 0.4578, 0.8222, 1.342, 2.031, 2.893, 3.944, 5.198",\
"0.0948, 0.1272, 0.2366, 0.4578, 0.8224, 1.344, 2.03, 2.892, 3.944, 5.199",\
"0.095, 0.1272, 0.2368, 0.4582, 0.8228, 1.342, 2.029, 2.894, 3.942, 5.2",\
"0.0952, 0.1268, 0.2368, 0.4582, 0.8224, 1.343, 2.028, 2.895, 3.948, 5.2",\
"0.0954, 0.1272, 0.2372, 0.4588, 0.8236, 1.344, 2.027, 2.89, 3.945, 5.211",\
"0.0954, 0.1274, 0.2374, 0.4592, 0.824, 1.343, 2.028, 2.891, 3.944, 5.2");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b1 && SE===1'b1" ;
timing_type : rising_edge ;
when : "D&SE" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.735, 0.7631, 0.835, 0.9373, 1.07, 1.24, 1.454, 1.721, 2.048, 2.437",\
"0.7416, 0.7698, 0.8415, 0.9437, 1.077, 1.246, 1.461, 1.728, 2.054, 2.443",\
"0.7676, 0.7957, 0.8675, 0.9699, 1.103, 1.272, 1.487, 1.754, 2.08, 2.469",\
"0.8087, 0.837, 0.9087, 1.011, 1.144, 1.313, 1.528, 1.795, 2.122, 2.511",\
"0.8442, 0.8724, 0.9442, 1.046, 1.18, 1.349, 1.563, 1.831, 2.157, 2.546",\
"0.87, 0.898, 0.9697, 1.072, 1.205, 1.375, 1.589, 1.856, 2.183, 2.572",\
"0.8821, 0.9102, 0.9821, 1.084, 1.217, 1.387, 1.601, 1.868, 2.195, 2.584",\
"0.879, 0.9071, 0.979, 1.081, 1.214, 1.383, 1.598, 1.865, 2.192, 2.58",\
"0.8584, 0.8865, 0.9583, 1.061, 1.194, 1.363, 1.577, 1.845, 2.171, 2.56",\
"0.8199, 0.8478, 0.9196, 1.022, 1.155, 1.324, 1.538, 1.806, 2.132, 2.521");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.6939, 0.7217, 0.7978, 0.928, 1.128, 1.41, 1.783, 2.254, 2.83, 3.517",\
"0.7005, 0.7283, 0.8045, 0.9345, 1.134, 1.417, 1.79, 2.26, 2.837, 3.523",\
"0.7262, 0.7537, 0.8303, 0.9601, 1.16, 1.442, 1.815, 2.286, 2.862, 3.549",\
"0.7677, 0.7956, 0.8719, 1.002, 1.201, 1.484, 1.857, 2.328, 2.903, 3.591",\
"0.8042, 0.8318, 0.9083, 1.038, 1.238, 1.521, 1.894, 2.364, 2.939, 3.625",\
"0.8319, 0.8595, 0.9357, 1.066, 1.265, 1.548, 1.921, 2.392, 2.968, 3.655",\
"0.8468, 0.8745, 0.951, 1.081, 1.281, 1.563, 1.936, 2.407, 2.982, 3.669",\
"0.8476, 0.8753, 0.9517, 1.082, 1.281, 1.564, 1.937, 2.407, 2.982, 3.668",\
"0.832, 0.8597, 0.9363, 1.066, 1.266, 1.548, 1.921, 2.392, 2.967, 3.652",\
"0.7999, 0.8277, 0.904, 1.034, 1.234, 1.516, 1.889, 2.36, 2.935, 3.62");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.1078, 0.1362, 0.2089, 0.3248, 0.4991, 0.752, 1.101, 1.555, 2.114, 2.782",\
"0.1078, 0.1362, 0.2082, 0.3251, 0.4995, 0.7522, 1.102, 1.555, 2.114, 2.782",\
"0.1078, 0.1362, 0.2084, 0.325, 0.4992, 0.7516, 1.101, 1.555, 2.113, 2.783",\
"0.108, 0.136, 0.2084, 0.3252, 0.5, 0.752, 1.101, 1.555, 2.114, 2.782",\
"0.1084, 0.136, 0.2084, 0.325, 0.499, 0.7526, 1.101, 1.555, 2.112, 2.783",\
"0.1078, 0.1358, 0.2082, 0.3248, 0.4992, 0.7524, 1.103, 1.555, 2.112, 2.782",\
"0.1078, 0.1364, 0.2084, 0.3242, 0.4998, 0.7518, 1.1, 1.552, 2.11, 2.782",\
"0.1078, 0.1366, 0.208, 0.3242, 0.4996, 0.752, 1.101, 1.552, 2.11, 2.78",\
"0.1078, 0.136, 0.2082, 0.3252, 0.4998, 0.7518, 1.102, 1.552, 2.111, 2.777",\
"0.108, 0.136, 0.2088, 0.3242, 0.4998, 0.7522, 1.101, 1.553, 2.112, 2.78");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.09508, 0.1269, 0.2366, 0.4579, 0.8236, 1.344, 2.028, 2.896, 3.95, 5.199",\
"0.09476, 0.1269, 0.2366, 0.4579, 0.8237, 1.342, 2.031, 2.894, 3.946, 5.199",\
"0.09502, 0.1266, 0.2364, 0.4579, 0.824, 1.343, 2.029, 2.893, 3.944, 5.201",\
"0.0948, 0.127, 0.237, 0.4578, 0.824, 1.343, 2.029, 2.893, 3.944, 5.201",\
"0.0946, 0.127, 0.2366, 0.4576, 0.8236, 1.342, 2.031, 2.893, 3.944, 5.198",\
"0.0948, 0.1272, 0.2368, 0.4576, 0.8234, 1.345, 2.031, 2.894, 3.943, 5.201",\
"0.0946, 0.1274, 0.2366, 0.4582, 0.823, 1.343, 2.031, 2.891, 3.944, 5.201",\
"0.095, 0.1268, 0.2374, 0.4588, 0.8224, 1.343, 2.027, 2.893, 3.948, 5.2",\
"0.095, 0.1272, 0.2372, 0.4584, 0.8236, 1.344, 2.027, 2.89, 3.946, 5.201",\
"0.0954, 0.128, 0.2374, 0.4582, 0.8226, 1.342, 2.028, 2.892, 3.944, 5.201");
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.735, 0.7631, 0.835, 0.9373, 1.07, 1.24, 1.454, 1.721, 2.048, 2.437",\
"0.7416, 0.7697, 0.8415, 0.9439, 1.077, 1.246, 1.46, 1.728, 2.054, 2.443",\
"0.7676, 0.7957, 0.8674, 0.9699, 1.103, 1.272, 1.487, 1.754, 2.08, 2.469",\
"0.8088, 0.837, 0.9088, 1.011, 1.144, 1.313, 1.528, 1.795, 2.121, 2.511",\
"0.8442, 0.8724, 0.9442, 1.046, 1.18, 1.349, 1.563, 1.831, 2.157, 2.546",\
"0.8699, 0.8981, 0.9697, 1.072, 1.205, 1.375, 1.589, 1.856, 2.183, 2.572",\
"0.882, 0.91, 0.9819, 1.084, 1.217, 1.386, 1.601, 1.868, 2.195, 2.584",\
"0.879, 0.9071, 0.9787, 1.081, 1.214, 1.384, 1.598, 1.865, 2.192, 2.581",\
"0.8585, 0.8866, 0.9584, 1.061, 1.194, 1.363, 1.577, 1.845, 2.171, 2.56",\
"0.8197, 0.8478, 0.9196, 1.022, 1.155, 1.324, 1.539, 1.806, 2.132, 2.521");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.6939, 0.7217, 0.7978, 0.928, 1.128, 1.41, 1.783, 2.254, 2.83, 3.517",\
"0.7005, 0.7283, 0.8045, 0.9345, 1.134, 1.417, 1.79, 2.26, 2.837, 3.523",\
"0.7262, 0.7537, 0.8303, 0.9601, 1.16, 1.442, 1.815, 2.286, 2.862, 3.549",\
"0.7677, 0.7956, 0.8719, 1.002, 1.201, 1.484, 1.857, 2.328, 2.903, 3.591",\
"0.8042, 0.8318, 0.9083, 1.038, 1.238, 1.521, 1.894, 2.364, 2.939, 3.625",\
"0.8319, 0.8595, 0.9357, 1.066, 1.265, 1.548, 1.921, 2.392, 2.968, 3.655",\
"0.8468, 0.8745, 0.951, 1.081, 1.281, 1.563, 1.936, 2.407, 2.982, 3.669",\
"0.8476, 0.8753, 0.9517, 1.082, 1.281, 1.564, 1.937, 2.407, 2.982, 3.668",\
"0.832, 0.8597, 0.9363, 1.066, 1.266, 1.548, 1.921, 2.392, 2.967, 3.652",\
"0.7999, 0.8277, 0.904, 1.034, 1.234, 1.516, 1.889, 2.36, 2.935, 3.62");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.1078, 0.1362, 0.2089, 0.3248, 0.4991, 0.752, 1.101, 1.555, 2.114, 2.782",\
"0.1078, 0.1362, 0.2082, 0.3251, 0.4995, 0.7522, 1.102, 1.555, 2.114, 2.782",\
"0.1078, 0.1362, 0.2084, 0.325, 0.4992, 0.7516, 1.101, 1.555, 2.113, 2.783",\
"0.108, 0.136, 0.2084, 0.3252, 0.5, 0.752, 1.101, 1.555, 2.114, 2.782",\
"0.1084, 0.136, 0.2084, 0.325, 0.499, 0.7526, 1.101, 1.555, 2.112, 2.783",\
"0.1078, 0.1358, 0.2082, 0.3248, 0.4992, 0.7524, 1.103, 1.555, 2.112, 2.782",\
"0.1078, 0.1364, 0.2084, 0.3242, 0.4998, 0.7518, 1.1, 1.552, 2.11, 2.782",\
"0.1078, 0.1366, 0.208, 0.3242, 0.4996, 0.752, 1.101, 1.552, 2.11, 2.78",\
"0.1078, 0.136, 0.2082, 0.3252, 0.4998, 0.7518, 1.102, 1.552, 2.111, 2.777",\
"0.108, 0.136, 0.2088, 0.3242, 0.4998, 0.7522, 1.101, 1.553, 2.112, 2.78");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01146, 0.04818, 0.1183, 0.2275, 0.3808, 0.5825, 0.8366, 1.147, 1.516");
values("0.0948, 0.1267, 0.2365, 0.4579, 0.8224, 1.345, 2.03, 2.894, 3.945, 5.201",\
"0.09478, 0.1269, 0.2367, 0.4579, 0.8233, 1.343, 2.031, 2.894, 3.945, 5.2",\
"0.0947, 0.1269, 0.2366, 0.4579, 0.8236, 1.342, 2.031, 2.894, 3.942, 5.201",\
"0.0948, 0.1268, 0.237, 0.4578, 0.8236, 1.342, 2.031, 2.895, 3.942, 5.201",\
"0.0946, 0.127, 0.2366, 0.4578, 0.8236, 1.342, 2.031, 2.894, 3.942, 5.201",\
"0.0948, 0.1272, 0.2366, 0.4578, 0.8224, 1.344, 2.03, 2.892, 3.944, 5.199",\
"0.0946, 0.127, 0.2366, 0.4582, 0.822, 1.343, 2.031, 2.892, 3.942, 5.2",\
"0.095, 0.1274, 0.2372, 0.4582, 0.8224, 1.343, 2.027, 2.893, 3.945, 5.2",\
"0.095, 0.1274, 0.237, 0.4582, 0.8236, 1.344, 2.028, 2.89, 3.946, 5.212",\
"0.0958, 0.1276, 0.2372, 0.4582, 0.8236, 1.343, 2.028, 2.891, 3.944, 5.2");
}
}
}
pin(SE) {
capacitance : 0.008302 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.08965, 0.08904, 0.09227, 0.104, 0.1258, 0.159, 0.2042, 0.2624, \
0.3342, 0.4204");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.005032, 0.004686, 0.006979, 0.01618, 0.03462, 0.06364, 0.1037, \
0.1553, 0.2194, 0.2963");
}
}
internal_power() {
when : "!CLK&!D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2866, 0.2855, 0.2878, 0.3003, 0.3306, 0.384, 0.463, 0.5689, \
0.7012, 0.8599");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1341, 0.1338, 0.1357, 0.1471, 0.1753, 0.2225, 0.2904, 0.38, \
0.4926, 0.6291");
}
}
internal_power() {
when : "!CLK&D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2366, 0.2361, 0.2396, 0.2515, 0.2737, 0.308, 0.3554, 0.4164, \
0.492, 0.583");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2205, 0.2202, 0.2219, 0.2299, 0.2464, 0.2742, 0.3141, 0.3664, \
0.4326, 0.5148");
}
}
internal_power() {
when : "!CLK&D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.08754, 0.08708, 0.09064, 0.1025, 0.1247, 0.1582, 0.2037, 0.262, \
0.3338, 0.4201");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.004371, 0.004093, 0.006296, 0.01529, 0.03364, 0.06238, 0.1023, \
0.1541, 0.2181, 0.2951");
}
}
internal_power() {
when : "CLK&!D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.08646, 0.08583, 0.08915, 0.1007, 0.1227, 0.1559, 0.2012, 0.2594, \
0.3311, 0.4174");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.0009233, 0.0006854, 0.002978, 0.01211, 0.03065, 0.05965, 0.09963, \
0.1514, 0.2155, 0.2925");
}
}
internal_power() {
when : "CLK&!D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1081, 0.1075, 0.1109, 0.1236, 0.148, 0.1841, 0.2321, 0.2924, \
0.3655, 0.4521");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.002756, -0.003166, -0.001283, 0.008335, 0.02883, 0.06055, 0.1031, \
0.1568, 0.2219, 0.2994");
}
}
internal_power() {
when : "CLK&D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.09421, 0.09359, 0.0969, 0.1084, 0.1301, 0.1628, 0.2078, 0.2655, \
0.337, 0.4227");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02789, 0.0276, 0.02981, 0.03867, 0.05696, 0.08575, 0.1271, 0.1795, \
0.2434, 0.3203");
}
}
internal_power() {
when : "CLK&D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.08528, 0.08481, 0.08846, 0.1004, 0.1225, 0.156, 0.2014, 0.2598, \
0.3317, 0.4179");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.002544, 0.00224, 0.004474, 0.01347, 0.0318, 0.06064, 0.1006, \
0.1523, 0.2164, 0.2935");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!D&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.074, -0.069, -0.049, -0.01, 0.014, 0.032, 0.039, 0.02, -0.01, -0.057",\
"-0.078, -0.07, -0.044, -0.012, 0.013, 0.031, 0.033, 0.019, -0.012, -0.059",\
"-0.091, -0.087, -0.071, -0.035, -0.003, 0.012, 0.017, 0.006, -0.029, -0.08",\
"-0.136, -0.127, -0.104, -0.068, -0.04, -0.025, -0.017, -0.03, -0.063, -0.108",\
"-0.191, -0.18, -0.161, -0.121, -0.087, -0.071, -0.062, -0.076, -0.108, -0.154",\
"-0.251, -0.246, -0.219, -0.183, -0.153, -0.136, -0.125, -0.138, -0.167, -0.205",\
"-0.319, -0.31, -0.293, -0.255, -0.218, -0.203, -0.185, -0.201, -0.228, -0.271",\
"-0.39, -0.385, -0.372, -0.329, -0.302, -0.285, -0.269, -0.282, -0.305, -0.353",\
"-0.484, -0.476, -0.464, -0.43, -0.401, -0.383, -0.368, -0.374, -0.41, -0.453",\
"-0.599, -0.594, -0.583, -0.541, -0.522, -0.511, -0.491, -0.488, -0.532, -0.575");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.195, -0.191, -0.18, -0.182, -0.209, -0.246, -0.299, -0.373, -0.455, -0.558",\
"-0.206, -0.197, -0.185, -0.186, -0.212, -0.253, -0.305, -0.371, -0.461, -0.564",\
"-0.214, -0.21, -0.2, -0.198, -0.224, -0.261, -0.316, -0.384, -0.475, -0.576",\
"-0.235, -0.231, -0.218, -0.218, -0.234, -0.269, -0.326, -0.397, -0.48, -0.584",\
"-0.252, -0.248, -0.231, -0.232, -0.252, -0.282, -0.336, -0.409, -0.489, -0.594",\
"-0.258, -0.254, -0.236, -0.239, -0.259, -0.286, -0.336, -0.41, -0.49, -0.595",\
"-0.243, -0.234, -0.224, -0.223, -0.247, -0.278, -0.331, -0.4, -0.482, -0.586",\
"-0.198, -0.19, -0.185, -0.187, -0.209, -0.248, -0.305, -0.373, -0.462, -0.568",\
"-0.128, -0.127, -0.115, -0.127, -0.15, -0.195, -0.252, -0.325, -0.419, -0.531",\
"-0.04, -0.038, -0.027, -0.038, -0.067, -0.113, -0.176, -0.253, -0.356, -0.469");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SI === 1'b1" ;
timing_type : hold_rising ;
when : "D&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.338, -0.331, -0.32, -0.321, -0.345, -0.381, -0.439, -0.506, -0.594, -0.7",\
"-0.346, -0.339, -0.327, -0.332, -0.353, -0.391, -0.447, -0.521, -0.601, -0.712",\
"-0.38, -0.379, -0.365, -0.369, -0.392, -0.427, -0.483, -0.555, -0.645, -0.748",\
"-0.447, -0.44, -0.429, -0.431, -0.456, -0.494, -0.547, -0.614, -0.708, -0.812",\
"-0.536, -0.53, -0.516, -0.516, -0.539, -0.578, -0.631, -0.695, -0.787, -0.887",\
"-0.641, -0.631, -0.624, -0.624, -0.643, -0.68, -0.731, -0.802, -0.885, -0.992",\
"-0.764, -0.758, -0.748, -0.747, -0.764, -0.8, -0.852, -0.919, -1.006, -1.111",\
"-0.901, -0.895, -0.886, -0.88, -0.901, -0.938, -0.987, -1.057, -1.142, -1.242",\
"-1.058, -1.055, -1.039, -1.042, -1.063, -1.094, -1.147, -1.212, -1.296, -1.395",\
"-1.236, -1.228, -1.218, -1.217, -1.233, -1.266, -1.323, -1.387, -1.469, -1.569");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.183, -0.178, -0.154, -0.123, -0.097, -0.08, -0.079, -0.091, -0.119, -0.173",\
"-0.193, -0.183, -0.167, -0.13, -0.102, -0.084, -0.087, -0.094, -0.132, -0.182",\
"-0.205, -0.2, -0.183, -0.149, -0.125, -0.104, -0.103, -0.111, -0.152, -0.199",\
"-0.222, -0.217, -0.193, -0.167, -0.137, -0.119, -0.118, -0.13, -0.161, -0.212",\
"-0.242, -0.232, -0.213, -0.176, -0.148, -0.132, -0.127, -0.141, -0.178, -0.22",\
"-0.235, -0.231, -0.211, -0.173, -0.147, -0.129, -0.125, -0.138, -0.173, -0.221",\
"-0.216, -0.207, -0.19, -0.148, -0.124, -0.102, -0.105, -0.111, -0.148, -0.192",\
"-0.175, -0.168, -0.146, -0.112, -0.08, -0.065, -0.058, -0.07, -0.106, -0.147",\
"-0.108, -0.107, -0.089, -0.051, -0.021, -0.001, 0.003, -0.01, -0.038, -0.084",\
"-0.033, -0.031, -0.007, 0.031, 0.058, 0.077, 0.078, 0.079, 0.04, -0.007");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!D&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.607, 0.6, 0.573, 0.526, 0.487, 0.471, 0.461, 0.477, 0.535, 0.796",\
"0.615, 0.608, 0.581, 0.534, 0.494, 0.476, 0.465, 0.484, 0.532, 0.81",\
"0.625, 0.625, 0.594, 0.547, 0.508, 0.492, 0.483, 0.493, 0.548, 0.807",\
"0.658, 0.649, 0.624, 0.576, 0.545, 0.522, 0.515, 0.531, 0.578, 0.859",\
"0.733, 0.724, 0.695, 0.654, 0.617, 0.594, 0.58, 0.593, 0.641, 0.865",\
"0.852, 0.846, 0.822, 0.774, 0.732, 0.714, 0.706, 0.713, 0.756, 0.896",\
"1.018, 1.01, 0.978, 0.937, 0.894, 0.868, 0.853, 0.86, 0.891, 0.98",\
"1.213, 1.203, 1.174, 1.131, 1.077, 1.053, 1.03, 1.037, 1.069, 1.135",\
"1.444, 1.435, 1.404, 1.351, 1.297, 1.269, 1.249, 1.246, 1.269, 1.335",\
"1.698, 1.694, 1.661, 1.603, 1.548, 1.512, 1.49, 1.495, 1.508, 1.562");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.521, 0.511, 0.486, 0.447, 0.421, 0.433, 0.461, 0.521, 0.594, 0.699",\
"0.526, 0.523, 0.497, 0.457, 0.432, 0.438, 0.47, 0.522, 0.602, 0.706",\
"0.546, 0.53, 0.506, 0.465, 0.438, 0.443, 0.483, 0.54, 0.614, 0.714",\
"0.553, 0.551, 0.526, 0.487, 0.462, 0.466, 0.496, 0.547, 0.626, 0.728",\
"0.591, 0.583, 0.556, 0.516, 0.495, 0.488, 0.515, 0.565, 0.647, 0.74",\
"0.619, 0.608, 0.583, 0.548, 0.52, 0.517, 0.538, 0.588, 0.659, 0.753",\
"0.641, 0.628, 0.604, 0.571, 0.541, 0.54, 0.552, 0.6, 0.665, 0.761",\
"0.643, 0.633, 0.605, 0.571, 0.542, 0.536, 0.553, 0.593, 0.662, 0.754",\
"0.623, 0.607, 0.583, 0.544, 0.524, 0.512, 0.529, 0.574, 0.639, 0.729",\
"0.573, 0.569, 0.542, 0.499, 0.477, 0.463, 0.483, 0.527, 0.588, 0.687");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SI === 1'b1" ;
timing_type : setup_rising ;
when : "D&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.664, 0.658, 0.626, 0.592, 0.563, 0.576, 0.606, 0.662, 0.743, 0.841",\
"0.673, 0.665, 0.638, 0.603, 0.573, 0.576, 0.612, 0.672, 0.751, 0.848",\
"0.712, 0.7, 0.671, 0.636, 0.613, 0.625, 0.65, 0.711, 0.784, 0.886",\
"0.778, 0.767, 0.746, 0.695, 0.677, 0.682, 0.717, 0.773, 0.849, 0.949",\
"0.859, 0.849, 0.823, 0.786, 0.764, 0.767, 0.796, 0.851, 0.934, 1.033",\
"0.964, 0.954, 0.93, 0.896, 0.866, 0.87, 0.9, 0.954, 1.034, 1.135",\
"1.093, 1.081, 1.053, 1.019, 0.994, 0.994, 1.024, 1.077, 1.153, 1.25",\
"1.227, 1.217, 1.196, 1.155, 1.131, 1.131, 1.163, 1.22, 1.293, 1.389",\
"1.395, 1.385, 1.353, 1.318, 1.291, 1.289, 1.322, 1.371, 1.45, 1.539",\
"1.569, 1.565, 1.535, 1.499, 1.474, 1.472, 1.498, 1.553, 1.618, 1.718");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.733, 0.722, 0.696, 0.652, 0.613, 0.591, 0.584, 0.603, 0.654, 0.886",\
"0.737, 0.728, 0.704, 0.659, 0.615, 0.599, 0.59, 0.604, 0.652, 0.894",\
"0.756, 0.747, 0.718, 0.677, 0.636, 0.616, 0.61, 0.625, 0.671, 0.926",\
"0.771, 0.76, 0.737, 0.685, 0.65, 0.635, 0.623, 0.639, 0.691, 0.942",\
"0.773, 0.766, 0.736, 0.689, 0.651, 0.633, 0.63, 0.648, 0.694, 0.953",\
"0.769, 0.761, 0.74, 0.689, 0.654, 0.632, 0.631, 0.645, 0.704, 0.96",\
"0.749, 0.742, 0.719, 0.672, 0.635, 0.615, 0.607, 0.624, 0.68, 0.919",\
"0.709, 0.701, 0.683, 0.638, 0.597, 0.578, 0.571, 0.586, 0.646, 0.871",\
"0.653, 0.647, 0.619, 0.576, 0.542, 0.519, 0.515, 0.533, 0.582, 0.834",\
"0.579, 0.569, 0.542, 0.499, 0.47, 0.447, 0.439, 0.459, 0.5, 0.7");
}
}
}
pin(SI) {
capacitance : 0.004031 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.01816, 0.01738, 0.01707, 0.01698, 0.01694, 0.01693, 0.01693, \
0.01693, 0.01692, 0.01691");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01671, -0.01674, -0.01671, -0.0167, -0.01672, -0.01675, -0.01674, \
-0.01681, -0.01682, -0.01682");
}
}
internal_power() {
when : "!CLK&!D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2429, 0.2423, 0.2414, 0.2415, 0.2486, 0.2707, 0.3122, 0.3725, \
0.4497, 0.5428");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1323, 0.1315, 0.1304, 0.1314, 0.1403, 0.1609, 0.1944, 0.2418, \
0.3035, 0.3796");
}
}
internal_power() {
when : "!CLK&D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.017, 0.01698, 0.01696, 0.01696, 0.01694, 0.01697, 0.01699, 0.01696, \
0.01698, 0.01698");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01512, -0.01557, -0.01626, -0.01652, -0.01665, -0.01677, -0.01682, \
-0.01681, -0.01686, -0.01687");
}
}
internal_power() {
when : "!CLK&D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.243, 0.2423, 0.2414, 0.2415, 0.2487, 0.2707, 0.3121, 0.3725, \
0.4496, 0.5426");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1323, 0.1315, 0.1304, 0.1314, 0.1404, 0.161, 0.1946, 0.2419, \
0.3036, 0.3799");
}
}
internal_power() {
when : "CLK&!D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.01726, 0.01706, 0.01701, 0.01695, 0.01696, 0.01695, 0.01697, \
0.01694, 0.01696, 0.01696");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01674, -0.01674, -0.01671, -0.01672, -0.01671, -0.01673, -0.0168, \
-0.01676, -0.01681, -0.01683");
}
}
internal_power() {
when : "CLK&!D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.04631, 0.04633, 0.04631, 0.04623, 0.04616, 0.0462, 0.04617, \
0.04622, 0.04621, 0.04622");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01412, -0.01431, -0.01481, -0.01535, -0.01574, -0.01597, -0.01618, \
-0.01629, -0.01634, -0.01643");
}
}
internal_power() {
when : "CLK&D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.01698, 0.01702, 0.01698, 0.01697, 0.01696, 0.01697, 0.01694, \
0.017, 0.01697, 0.01699");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01544, -0.01578, -0.01631, -0.01654, -0.01667, -0.01673, -0.01675, \
-0.01683, -0.01684, -0.01684");
}
}
internal_power() {
when : "CLK&D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.04635, 0.04638, 0.04635, 0.04628, 0.04622, 0.04624, 0.04623, \
0.04626, 0.04625, 0.04628");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01403, -0.01428, -0.01479, -0.01534, -0.01573, -0.01597, -0.01617, \
-0.01625, -0.01637, -0.01642");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE === 1'b1" ;
timing_type : hold_rising ;
when : "!D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.155, -0.146, -0.127, -0.096, -0.072, -0.058, -0.052, -0.069, -0.099, -0.147",\
"-0.161, -0.155, -0.129, -0.103, -0.076, -0.061, -0.054, -0.071, -0.102, -0.15",\
"-0.17, -0.163, -0.147, -0.108, -0.084, -0.071, -0.063, -0.08, -0.111, -0.166",\
"-0.189, -0.183, -0.161, -0.13, -0.102, -0.081, -0.08, -0.088, -0.128, -0.17",\
"-0.217, -0.211, -0.19, -0.149, -0.122, -0.104, -0.099, -0.113, -0.143, -0.191",\
"-0.235, -0.223, -0.211, -0.164, -0.142, -0.123, -0.117, -0.133, -0.167, -0.213",\
"-0.243, -0.234, -0.219, -0.179, -0.153, -0.135, -0.125, -0.141, -0.169, -0.218",\
"-0.249, -0.243, -0.231, -0.187, -0.163, -0.154, -0.13, -0.148, -0.189, -0.226",\
"-0.266, -0.256, -0.248, -0.208, -0.179, -0.169, -0.15, -0.159, -0.209, -0.239",\
"-0.284, -0.277, -0.272, -0.231, -0.206, -0.201, -0.176, -0.185, -0.235, -0.269");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.258, -0.248, -0.232, -0.242, -0.264, -0.306, -0.364, -0.432, -0.525, -0.629",\
"-0.257, -0.254, -0.242, -0.242, -0.27, -0.307, -0.365, -0.438, -0.521, -0.628",\
"-0.258, -0.248, -0.242, -0.247, -0.27, -0.311, -0.368, -0.438, -0.527, -0.636",\
"-0.262, -0.259, -0.242, -0.244, -0.269, -0.307, -0.364, -0.431, -0.524, -0.626",\
"-0.262, -0.253, -0.242, -0.246, -0.27, -0.305, -0.357, -0.427, -0.518, -0.623",\
"-0.251, -0.239, -0.227, -0.23, -0.259, -0.299, -0.353, -0.42, -0.51, -0.611",\
"-0.216, -0.212, -0.201, -0.204, -0.232, -0.27, -0.331, -0.406, -0.497, -0.603",\
"-0.153, -0.153, -0.138, -0.154, -0.182, -0.227, -0.293, -0.366, -0.462, -0.577",\
"-0.069, -0.057, -0.058, -0.072, -0.109, -0.156, -0.223, -0.308, -0.41, -0.531",\
"0.05, 0.053, 0.059, 0.038, -0.001, -0.058, -0.132, -0.224, -0.334, -0.457");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE === 1'b1" ;
timing_type : hold_rising ;
when : "D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.155, -0.152, -0.127, -0.096, -0.072, -0.058, -0.052, -0.069, -0.099, -0.147",\
"-0.161, -0.155, -0.129, -0.103, -0.076, -0.061, -0.054, -0.071, -0.102, -0.15",\
"-0.17, -0.163, -0.147, -0.108, -0.09, -0.071, -0.063, -0.08, -0.111, -0.159",\
"-0.189, -0.183, -0.161, -0.13, -0.102, -0.081, -0.08, -0.088, -0.128, -0.17",\
"-0.217, -0.212, -0.19, -0.149, -0.122, -0.104, -0.099, -0.113, -0.143, -0.191",\
"-0.235, -0.223, -0.211, -0.164, -0.142, -0.123, -0.117, -0.133, -0.167, -0.213",\
"-0.243, -0.24, -0.219, -0.179, -0.153, -0.135, -0.125, -0.141, -0.177, -0.218",\
"-0.257, -0.243, -0.231, -0.187, -0.163, -0.154, -0.13, -0.148, -0.18, -0.226",\
"-0.266, -0.256, -0.248, -0.208, -0.185, -0.169, -0.15, -0.167, -0.209, -0.244",\
"-0.284, -0.277, -0.278, -0.231, -0.206, -0.201, -0.176, -0.195, -0.23, -0.269");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.258, -0.248, -0.232, -0.242, -0.264, -0.306, -0.364, -0.432, -0.525, -0.629",\
"-0.257, -0.254, -0.242, -0.242, -0.27, -0.307, -0.365, -0.438, -0.521, -0.628",\
"-0.258, -0.257, -0.242, -0.247, -0.27, -0.311, -0.368, -0.438, -0.527, -0.635",\
"-0.262, -0.259, -0.242, -0.244, -0.269, -0.307, -0.364, -0.431, -0.524, -0.626",\
"-0.262, -0.253, -0.242, -0.246, -0.27, -0.305, -0.357, -0.427, -0.518, -0.623",\
"-0.25, -0.246, -0.227, -0.23, -0.259, -0.299, -0.353, -0.42, -0.51, -0.611",\
"-0.216, -0.212, -0.201, -0.204, -0.232, -0.27, -0.331, -0.406, -0.497, -0.603",\
"-0.153, -0.153, -0.138, -0.154, -0.182, -0.227, -0.293, -0.366, -0.462, -0.577",\
"-0.069, -0.057, -0.053, -0.072, -0.109, -0.156, -0.223, -0.308, -0.41, -0.531",\
"0.05, 0.059, 0.059, 0.038, -0.001, -0.058, -0.132, -0.224, -0.334, -0.457");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE === 1'b1" ;
timing_type : setup_rising ;
when : "!D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.704, 0.696, 0.67, 0.626, 0.583, 0.561, 0.557, 0.573, 0.634, 0.886",\
"0.711, 0.7, 0.675, 0.631, 0.588, 0.576, 0.563, 0.582, 0.632, 0.881",\
"0.721, 0.719, 0.683, 0.636, 0.601, 0.583, 0.575, 0.594, 0.64, 0.913",\
"0.738, 0.732, 0.705, 0.659, 0.615, 0.607, 0.591, 0.606, 0.654, 0.887",\
"0.783, 0.776, 0.748, 0.703, 0.669, 0.644, 0.638, 0.648, 0.688, 0.909",\
"0.882, 0.877, 0.847, 0.802, 0.76, 0.741, 0.732, 0.739, 0.775, 0.904",\
"1.034, 1.026, 1.001, 0.956, 0.908, 0.885, 0.868, 0.872, 0.905, 0.989",\
"1.235, 1.225, 1.197, 1.147, 1.095, 1.064, 1.048, 1.051, 1.069, 1.135",\
"1.464, 1.455, 1.42, 1.367, 1.315, 1.282, 1.257, 1.255, 1.278, 1.329",\
"1.724, 1.714, 1.687, 1.623, 1.563, 1.528, 1.507, 1.505, 1.519, 1.574");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.584, 0.575, 0.547, 0.513, 0.482, 0.494, 0.525, 0.588, 0.664, 0.764",\
"0.583, 0.573, 0.553, 0.513, 0.484, 0.491, 0.53, 0.589, 0.671, 0.771",\
"0.59, 0.577, 0.553, 0.514, 0.485, 0.492, 0.529, 0.586, 0.666, 0.774",\
"0.586, 0.579, 0.559, 0.519, 0.49, 0.494, 0.528, 0.589, 0.67, 0.77",\
"0.596, 0.588, 0.562, 0.529, 0.504, 0.499, 0.53, 0.593, 0.664, 0.762",\
"0.604, 0.6, 0.574, 0.539, 0.509, 0.517, 0.538, 0.593, 0.665, 0.769",\
"0.615, 0.601, 0.581, 0.539, 0.52, 0.514, 0.542, 0.588, 0.665, 0.77",\
"0.606, 0.596, 0.574, 0.53, 0.514, 0.51, 0.529, 0.579, 0.654, 0.754",\
"0.574, 0.567, 0.547, 0.511, 0.489, 0.48, 0.5, 0.55, 0.62, 0.718",\
"0.541, 0.523, 0.503, 0.478, 0.448, 0.439, 0.465, 0.498, 0.566, 0.668");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE === 1'b1" ;
timing_type : setup_rising ;
when : "D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.704, 0.696, 0.67, 0.626, 0.583, 0.569, 0.557, 0.573, 0.634, 0.886",\
"0.711, 0.7, 0.675, 0.631, 0.588, 0.568, 0.563, 0.582, 0.632, 0.881",\
"0.721, 0.719, 0.683, 0.644, 0.601, 0.583, 0.575, 0.594, 0.64, 0.893",\
"0.738, 0.732, 0.705, 0.659, 0.615, 0.597, 0.591, 0.606, 0.654, 0.859",\
"0.783, 0.776, 0.748, 0.703, 0.669, 0.644, 0.638, 0.648, 0.688, 0.865",\
"0.882, 0.877, 0.847, 0.802, 0.76, 0.741, 0.723, 0.739, 0.775, 0.904",\
"1.034, 1.026, 1.001, 0.95, 0.908, 0.885, 0.868, 0.872, 0.905, 0.989",\
"1.235, 1.225, 1.197, 1.147, 1.095, 1.064, 1.042, 1.051, 1.069, 1.135",\
"1.464, 1.455, 1.42, 1.367, 1.315, 1.282, 1.257, 1.255, 1.278, 1.335",\
"1.724, 1.714, 1.687, 1.623, 1.563, 1.528, 1.507, 1.505, 1.519, 1.574");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.584, 0.575, 0.547, 0.513, 0.482, 0.486, 0.525, 0.588, 0.664, 0.764",\
"0.583, 0.573, 0.553, 0.513, 0.484, 0.499, 0.525, 0.589, 0.671, 0.771",\
"0.59, 0.577, 0.553, 0.514, 0.485, 0.501, 0.529, 0.594, 0.666, 0.774",\
"0.586, 0.579, 0.559, 0.519, 0.49, 0.494, 0.528, 0.589, 0.664, 0.77",\
"0.596, 0.588, 0.562, 0.529, 0.495, 0.505, 0.53, 0.593, 0.664, 0.762",\
"0.604, 0.6, 0.574, 0.539, 0.509, 0.51, 0.538, 0.588, 0.665, 0.769",\
"0.609, 0.601, 0.581, 0.539, 0.52, 0.514, 0.542, 0.588, 0.665, 0.77",\
"0.606, 0.596, 0.574, 0.53, 0.505, 0.51, 0.529, 0.579, 0.654, 0.754",\
"0.574, 0.567, 0.542, 0.511, 0.489, 0.48, 0.5, 0.55, 0.62, 0.718",\
"0.541, 0.53, 0.503, 0.478, 0.448, 0.439, 0.465, 0.498, 0.577, 0.668");
}
}
}
test_cell() {
pin(SI) {
direction : input ;
signal_type : test_scan_in ;
}
pin(SE) {
direction : input ;
signal_type : test_scan_enable ;
}
ff(IQ1,IQN1) {
clocked_on : CLK ;
next_state : D ;
}
pin(CLK) {
clock : true ;
direction : input ;
}
pin(D) {
direction : input ;
}
pin(Q) {
direction : output ;
function : IQ1 ;
signal_type : test_scan_out ;
}
}
}