blob: c8007e0efd4a1a406889872b26ea949b5773d34e [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__sdffq_1) {
area : 107.251200 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "((D&(!SE))|(SE&SI))" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SE&!SI" ;
value : "0.00045623" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SE&SI" ;
value : "0.00045641" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SE&!SI" ;
value : "0.00046763" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SE&SI" ;
value : "0.00047469" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SE&!SI" ;
value : "0.00047551" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SE&SI" ;
value : "0.0005146" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SE&!SI" ;
value : "0.0004678" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SE&SI" ;
value : "0.0005138" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SE&!SI" ;
value : "0.00042331" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SE&SI" ;
value : "0.000423325" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SE&!SI" ;
value : "0.000414575" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SE&SI" ;
value : "0.00048429" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SE&!SI" ;
value : "0.000479145" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SE&SI" ;
value : "0.0005808" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SE&!SI" ;
value : "0.000414575" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SE&SI" ;
value : "0.00058595" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.00058595" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.004891 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 4 ;
min_period : 1.19 ;
min_pulse_width_high : 0.31 ;
min_pulse_width_low : 0.595 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3825, 0.3818, 0.388, 0.416, 0.4714, 0.5564, 0.6724, 0.8216, \
1.005, 1.223");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2693, 0.2687, 0.2729, 0.2966, 0.3432, 0.4174, 0.5209, 0.6539, \
0.8189, 1.016");
}
}
internal_power() {
when : "!D&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3825, 0.3818, 0.388, 0.4158, 0.4714, 0.5564, 0.6724, 0.8216, \
1.005, 1.223");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2693, 0.2687, 0.2728, 0.2966, 0.3433, 0.4173, 0.5208, 0.6539, \
0.819, 1.016");
}
}
internal_power() {
when : "!D&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3828, 0.3819, 0.3881, 0.4163, 0.4717, 0.5566, 0.6728, 0.8216, \
1.005, 1.223");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2694, 0.2684, 0.2728, 0.2964, 0.3427, 0.4174, 0.5209, 0.654, \
0.8187, 1.016");
}
}
internal_power() {
when : "!D&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3799, 0.3791, 0.385, 0.4132, 0.4688, 0.5536, 0.67, 0.8185, 1.002, \
1.22");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2717, 0.2712, 0.2755, 0.2992, 0.3454, 0.4202, 0.5234, 0.6568, \
0.8216, 1.018");
}
}
internal_power() {
when : "D&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.38, 0.3791, 0.3852, 0.4135, 0.4689, 0.5538, 0.6702, 0.8188, \
1.002, 1.22");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2717, 0.2709, 0.2753, 0.2989, 0.3454, 0.4201, 0.5234, 0.6568, \
0.8214, 1.018");
}
}
internal_power() {
when : "D&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3889, 0.388, 0.3942, 0.4221, 0.4775, 0.5625, 0.6784, 0.8275, \
1.01, 1.229");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2715, 0.2709, 0.2753, 0.2989, 0.3454, 0.4201, 0.5234, 0.6566, \
0.8213, 1.019");
}
}
internal_power() {
when : "D&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3828, 0.3818, 0.3881, 0.4163, 0.4718, 0.5566, 0.6728, 0.8217, \
1.005, 1.223");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2694, 0.2684, 0.2728, 0.2964, 0.3428, 0.4174, 0.5209, 0.654, \
0.8187, 1.016");
}
}
internal_power() {
when : "D&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3888, 0.3878, 0.3938, 0.4219, 0.4775, 0.5622, 0.6784, 0.8274, \
1.01, 1.228");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2719, 0.2712, 0.2754, 0.2991, 0.3455, 0.4201, 0.5235, 0.6568, \
0.8215, 1.019");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!SE&!SI" ;
fall_constraint(scalar) {
values("0.595");
}
rise_constraint(scalar) {
values("0.261");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!SE&SI" ;
fall_constraint(scalar) {
values("0.595");
}
rise_constraint(scalar) {
values("0.261");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SE&!SI" ;
fall_constraint(scalar) {
values("0.595");
}
rise_constraint(scalar) {
values("0.261");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SE&SI" ;
fall_constraint(scalar) {
values("0.498");
}
rise_constraint(scalar) {
values("0.31");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!SE&!SI" ;
fall_constraint(scalar) {
values("0.498");
}
rise_constraint(scalar) {
values("0.31");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!SE&SI" ;
fall_constraint(scalar) {
values("0.498");
}
rise_constraint(scalar) {
values("0.31");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SE&!SI" ;
fall_constraint(scalar) {
values("0.595");
}
rise_constraint(scalar) {
values("0.261");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SE&SI" ;
fall_constraint(scalar) {
values("0.498");
}
rise_constraint(scalar) {
values("0.31");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&!SE&!SI" ;
rise_constraint(scalar) {
values("1.19");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&!SE&SI" ;
rise_constraint(scalar) {
values("1.184");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SE&!SI" ;
rise_constraint(scalar) {
values("1.19");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SE&SI" ;
rise_constraint(scalar) {
values("0.992");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&!SE&!SI" ;
rise_constraint(scalar) {
values("0.997");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&!SE&SI" ;
rise_constraint(scalar) {
values("0.997");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&SE&!SI" ;
rise_constraint(scalar) {
values("1.19");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&SE&SI" ;
rise_constraint(scalar) {
values("0.992");
}
}
}
pin(D) {
capacitance : 0.003595 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 4 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.4257, 0.4247, 0.4232, 0.4236, 0.4373, 0.4761, 0.5475, 0.6505, \
0.7815, 0.9392");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2629, 0.2615, 0.2593, 0.2607, 0.2755, 0.3106, 0.3692, 0.4523, \
0.5606, 0.6941");
}
}
internal_power() {
when : "!CLK&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.4257, 0.4248, 0.4232, 0.4236, 0.4373, 0.4762, 0.5476, 0.6504, \
0.7816, 0.9391");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2629, 0.2615, 0.2593, 0.2607, 0.2755, 0.3106, 0.3692, 0.4523, \
0.5607, 0.694");
}
}
internal_power() {
when : "!CLK&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.03244, 0.03218, 0.03208, 0.03201, 0.03199, 0.03198, 0.03203, \
0.03206, 0.03205, 0.03204");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.0311, -0.03108, -0.03106, -0.03111, -0.03131, -0.03145, -0.03152, \
-0.03158, -0.03165, -0.03171");
}
}
internal_power() {
when : "!CLK&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.0321, 0.03203, 0.03207, 0.03195, 0.03198, 0.03199, 0.03209, \
0.03203, 0.03207, 0.03203");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.02853, -0.02919, -0.03056, -0.03115, -0.03131, -0.03145, -0.03159, \
-0.03161, -0.03166, -0.03169");
}
}
internal_power() {
when : "CLK&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.08608, 0.0859, 0.08546, 0.08504, 0.08482, 0.08483, 0.08484, \
0.08492, 0.08487, 0.08483");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.009571, -0.0102, -0.01133, -0.01241, -0.01311, -0.0135, -0.01381, \
-0.01399, -0.01408, -0.01424");
}
}
internal_power() {
when : "CLK&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.08612, 0.08587, 0.08547, 0.08505, 0.08486, 0.08489, 0.08484, \
0.08491, 0.08486, 0.08489");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.00957, -0.01019, -0.01133, -0.01241, -0.01311, -0.0135, -0.01379, \
-0.01401, -0.01408, -0.01423");
}
}
internal_power() {
when : "CLK&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.03209, 0.03207, 0.03201, 0.03196, 0.03199, 0.03199, 0.03209, \
0.03201, 0.03201, 0.03205");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.0311, -0.03109, -0.03105, -0.03117, -0.03133, -0.03145, -0.03155, \
-0.03153, -0.03156, -0.0317");
}
}
internal_power() {
when : "CLK&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.03211, 0.03209, 0.03207, 0.03196, 0.03199, 0.032, 0.03203, 0.03206, \
0.03205, 0.03204");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.02904, -0.02955, -0.03067, -0.0311, -0.03131, -0.03143, -0.03156, \
-0.03161, -0.03164, -0.03166");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!SE&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.097, -0.099, -0.077, -0.05, -0.019, 0.007, 0.021, 0.025, 0.021, -0.006",\
"-0.101, -0.101, -0.083, -0.053, -0.021, -0, 0.02, 0.018, 0.012, -0.007",\
"-0.116, -0.105, -0.093, -0.058, -0.027, -0.004, 0.008, 0.015, 0.008, -0.017",\
"-0.128, -0.127, -0.101, -0.069, -0.044, -0.02, -0.004, 0.001, -0, -0.027",\
"-0.15, -0.144, -0.127, -0.092, -0.063, -0.034, -0.022, -0.018, -0.022, -0.041",\
"-0.172, -0.173, -0.149, -0.116, -0.081, -0.059, -0.04, -0.036, -0.04, -0.062",\
"-0.193, -0.185, -0.163, -0.131, -0.103, -0.073, -0.055, -0.04, -0.048, -0.075",\
"-0.213, -0.214, -0.187, -0.153, -0.12, -0.087, -0.073, -0.061, -0.063, -0.077",\
"-0.239, -0.239, -0.217, -0.178, -0.143, -0.116, -0.094, -0.079, -0.081, -0.096",\
"-0.271, -0.276, -0.251, -0.211, -0.182, -0.149, -0.123, -0.106, -0.101, -0.126");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.218, -0.217, -0.206, -0.199, -0.213, -0.231, -0.262, -0.303, -0.352, -0.413",\
"-0.219, -0.216, -0.203, -0.203, -0.212, -0.231, -0.259, -0.302, -0.348, -0.417",\
"-0.22, -0.216, -0.207, -0.203, -0.209, -0.232, -0.258, -0.305, -0.355, -0.415",\
"-0.226, -0.223, -0.212, -0.208, -0.214, -0.231, -0.26, -0.302, -0.348, -0.414",\
"-0.226, -0.222, -0.213, -0.206, -0.217, -0.23, -0.261, -0.294, -0.342, -0.407",\
"-0.227, -0.223, -0.216, -0.206, -0.215, -0.231, -0.258, -0.298, -0.343, -0.408",\
"-0.219, -0.211, -0.2, -0.201, -0.211, -0.225, -0.252, -0.295, -0.343, -0.402",\
"-0.196, -0.19, -0.181, -0.179, -0.185, -0.202, -0.234, -0.281, -0.328, -0.396",\
"-0.153, -0.153, -0.136, -0.136, -0.152, -0.171, -0.207, -0.247, -0.304, -0.369",\
"-0.095, -0.094, -0.081, -0.081, -0.096, -0.118, -0.157, -0.205, -0.255, -0.33");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!SE&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.103, -0.099, -0.077, -0.05, -0.019, 0.007, 0.021, 0.025, 0.021, -0.006",\
"-0.107, -0.101, -0.083, -0.053, -0.021, -0, 0.02, 0.018, 0.012, -0.007",\
"-0.116, -0.105, -0.093, -0.058, -0.027, -0.004, 0.008, 0.015, 0.008, -0.017",\
"-0.128, -0.127, -0.101, -0.069, -0.044, -0.02, 0.001, 0.001, -0, -0.027",\
"-0.15, -0.144, -0.127, -0.092, -0.063, -0.034, -0.016, -0.018, -0.022, -0.041",\
"-0.178, -0.173, -0.149, -0.116, -0.081, -0.059, -0.04, -0.036, -0.04, -0.062",\
"-0.201, -0.194, -0.163, -0.131, -0.097, -0.073, -0.047, -0.04, -0.048, -0.075",\
"-0.225, -0.214, -0.187, -0.153, -0.12, -0.087, -0.064, -0.061, -0.063, -0.085",\
"-0.254, -0.239, -0.217, -0.178, -0.143, -0.116, -0.082, -0.079, -0.081, -0.096",\
"-0.291, -0.281, -0.251, -0.211, -0.182, -0.149, -0.109, -0.106, -0.101, -0.126");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.218, -0.217, -0.206, -0.199, -0.213, -0.231, -0.262, -0.303, -0.352, -0.413",\
"-0.219, -0.216, -0.203, -0.203, -0.212, -0.231, -0.259, -0.302, -0.348, -0.417",\
"-0.22, -0.216, -0.207, -0.203, -0.209, -0.232, -0.258, -0.299, -0.355, -0.415",\
"-0.226, -0.217, -0.212, -0.208, -0.214, -0.231, -0.26, -0.302, -0.348, -0.414",\
"-0.226, -0.222, -0.213, -0.206, -0.217, -0.23, -0.261, -0.294, -0.342, -0.407",\
"-0.226, -0.223, -0.216, -0.206, -0.215, -0.231, -0.258, -0.298, -0.343, -0.408",\
"-0.219, -0.211, -0.2, -0.201, -0.211, -0.225, -0.252, -0.295, -0.343, -0.402",\
"-0.196, -0.19, -0.181, -0.179, -0.185, -0.202, -0.234, -0.276, -0.328, -0.396",\
"-0.153, -0.145, -0.136, -0.136, -0.152, -0.171, -0.207, -0.247, -0.304, -0.369",\
"-0.095, -0.089, -0.081, -0.081, -0.096, -0.118, -0.157, -0.205, -0.263, -0.33");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!SE&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.504, 0.499, 0.472, 0.435, 0.399, 0.372, 0.357, 0.349, 0.36, 0.417",\
"0.507, 0.5, 0.478, 0.438, 0.404, 0.375, 0.355, 0.349, 0.357, 0.407",\
"0.519, 0.508, 0.483, 0.452, 0.411, 0.386, 0.369, 0.36, 0.371, 0.426",\
"0.531, 0.524, 0.502, 0.466, 0.423, 0.398, 0.376, 0.374, 0.382, 0.43",\
"0.563, 0.562, 0.54, 0.5, 0.462, 0.435, 0.409, 0.41, 0.416, 0.447",\
"0.636, 0.63, 0.611, 0.577, 0.535, 0.502, 0.485, 0.479, 0.481, 0.508",\
"0.751, 0.744, 0.723, 0.678, 0.638, 0.61, 0.583, 0.569, 0.57, 0.593",\
"0.886, 0.877, 0.857, 0.817, 0.772, 0.743, 0.715, 0.693, 0.689, 0.706",\
"1.047, 1.038, 1.015, 0.968, 0.922, 0.889, 0.86, 0.847, 0.838, 0.848",\
"1.224, 1.216, 1.192, 1.147, 1.097, 1.059, 1.028, 1.009, 0.999, 1.017");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.424, 0.424, 0.407, 0.372, 0.348, 0.348, 0.365, 0.396, 0.445, 0.498",\
"0.432, 0.426, 0.409, 0.378, 0.352, 0.35, 0.373, 0.396, 0.443, 0.498",\
"0.43, 0.431, 0.405, 0.376, 0.353, 0.353, 0.369, 0.403, 0.444, 0.503",\
"0.433, 0.427, 0.412, 0.38, 0.355, 0.353, 0.371, 0.4, 0.441, 0.5",\
"0.445, 0.432, 0.415, 0.387, 0.364, 0.355, 0.375, 0.402, 0.443, 0.498",\
"0.453, 0.443, 0.424, 0.403, 0.375, 0.367, 0.385, 0.405, 0.445, 0.5",\
"0.459, 0.456, 0.439, 0.407, 0.382, 0.372, 0.386, 0.408, 0.445, 0.504",\
"0.459, 0.451, 0.433, 0.409, 0.381, 0.374, 0.384, 0.407, 0.444, 0.494",\
"0.449, 0.443, 0.427, 0.399, 0.381, 0.364, 0.372, 0.395, 0.43, 0.489",\
"0.421, 0.417, 0.401, 0.377, 0.353, 0.341, 0.354, 0.371, 0.409, 0.455");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!SE&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.504, 0.499, 0.472, 0.435, 0.399, 0.372, 0.357, 0.349, 0.36, 0.422",\
"0.507, 0.5, 0.478, 0.438, 0.404, 0.375, 0.355, 0.349, 0.357, 0.407",\
"0.519, 0.508, 0.483, 0.452, 0.411, 0.386, 0.369, 0.36, 0.371, 0.426",\
"0.531, 0.524, 0.502, 0.466, 0.423, 0.398, 0.376, 0.374, 0.382, 0.43",\
"0.563, 0.562, 0.54, 0.5, 0.462, 0.435, 0.409, 0.402, 0.416, 0.447",\
"0.636, 0.63, 0.611, 0.569, 0.535, 0.502, 0.485, 0.47, 0.481, 0.508",\
"0.751, 0.744, 0.723, 0.678, 0.638, 0.61, 0.583, 0.569, 0.57, 0.593",\
"0.881, 0.877, 0.851, 0.817, 0.772, 0.743, 0.715, 0.693, 0.689, 0.706",\
"1.047, 1.038, 1.015, 0.968, 0.922, 0.889, 0.86, 0.847, 0.838, 0.848",\
"1.224, 1.216, 1.192, 1.147, 1.097, 1.059, 1.028, 1.009, 0.999, 1.017");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.424, 0.424, 0.407, 0.372, 0.348, 0.348, 0.365, 0.396, 0.445, 0.498",\
"0.432, 0.426, 0.409, 0.378, 0.352, 0.35, 0.373, 0.396, 0.443, 0.498",\
"0.438, 0.431, 0.405, 0.376, 0.353, 0.353, 0.369, 0.403, 0.444, 0.503",\
"0.433, 0.427, 0.412, 0.38, 0.355, 0.353, 0.371, 0.4, 0.441, 0.5",\
"0.445, 0.432, 0.415, 0.387, 0.364, 0.355, 0.375, 0.402, 0.443, 0.498",\
"0.453, 0.443, 0.424, 0.403, 0.375, 0.367, 0.385, 0.405, 0.445, 0.5",\
"0.468, 0.456, 0.439, 0.407, 0.382, 0.372, 0.386, 0.408, 0.445, 0.504",\
"0.459, 0.451, 0.433, 0.409, 0.381, 0.374, 0.384, 0.407, 0.444, 0.494",\
"0.449, 0.443, 0.427, 0.399, 0.381, 0.364, 0.372, 0.395, 0.43, 0.489",\
"0.421, 0.417, 0.406, 0.377, 0.353, 0.341, 0.354, 0.371, 0.409, 0.455");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.3612 ;
max_transition : 4 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
when : "!D&SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.424, 0.4188, 0.4096, 0.4032, 0.3994, 0.3971, 0.3957, 0.3946, 0.3938, 0.3934",\
"0.424, 0.419, 0.4094, 0.4029, 0.3995, 0.3972, 0.3957, 0.3944, 0.3936, 0.3931",\
"0.4244, 0.4195, 0.41, 0.4036, 0.4001, 0.3978, 0.3961, 0.3951, 0.3943, 0.3939",\
"0.4265, 0.4215, 0.4121, 0.4057, 0.4022, 0.3998, 0.3982, 0.3971, 0.3964, 0.3959",\
"0.4302, 0.425, 0.4159, 0.4097, 0.4058, 0.4035, 0.4019, 0.4009, 0.4001, 0.3996",\
"0.435, 0.4297, 0.4209, 0.4145, 0.4105, 0.4081, 0.4066, 0.4055, 0.4048, 0.4044",\
"0.4401, 0.4349, 0.4257, 0.4197, 0.4157, 0.4134, 0.4115, 0.4107, 0.41, 0.4095",\
"0.4467, 0.4416, 0.4324, 0.4261, 0.4223, 0.42, 0.4185, 0.4173, 0.4164, 0.4161",\
"0.4532, 0.4481, 0.4389, 0.4323, 0.4286, 0.4262, 0.4248, 0.4236, 0.4228, 0.4224",\
"0.4602, 0.455, 0.4458, 0.4396, 0.4356, 0.4334, 0.4318, 0.4308, 0.43, 0.4297");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.389, 0.3854, 0.3792, 0.3744, 0.372, 0.3716, 0.3721, 0.3733, 0.3755, 0.3778",\
"0.3887, 0.3849, 0.3788, 0.3741, 0.3717, 0.3714, 0.3719, 0.3732, 0.3739, 0.3772",\
"0.3887, 0.385, 0.3788, 0.374, 0.3717, 0.3712, 0.3718, 0.373, 0.3741, 0.376",\
"0.3908, 0.3873, 0.3809, 0.3762, 0.3739, 0.3734, 0.3741, 0.3753, 0.3775, 0.3783",\
"0.3952, 0.3918, 0.3851, 0.3805, 0.3781, 0.3778, 0.3779, 0.3795, 0.3817, 0.383",\
"0.401, 0.3977, 0.3911, 0.3874, 0.3843, 0.3841, 0.3849, 0.3863, 0.3879, 0.3888",\
"0.4092, 0.4058, 0.3991, 0.3939, 0.393, 0.3928, 0.3925, 0.3941, 0.3963, 0.3966",\
"0.4194, 0.416, 0.4092, 0.4037, 0.4017, 0.4027, 0.4043, 0.4052, 0.4058, 0.4071",\
"0.4315, 0.428, 0.4213, 0.4164, 0.4133, 0.413, 0.4159, 0.4184, 0.4195, 0.4188",\
"0.4453, 0.4415, 0.4353, 0.4296, 0.4269, 0.4258, 0.4265, 0.4301, 0.4353, 0.4351");
}
}
internal_power() {
related_pin : "CLK" ;
when : "!SE&!SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.4241, 0.4188, 0.4094, 0.403, 0.3995, 0.3973, 0.3955, 0.3946, 0.3938, 0.3933",\
"0.4238, 0.4188, 0.4094, 0.4032, 0.3994, 0.3971, 0.3956, 0.3945, 0.3936, 0.3932",\
"0.4244, 0.4194, 0.4099, 0.4036, 0.4, 0.3977, 0.3961, 0.395, 0.3942, 0.3938",\
"0.4265, 0.4216, 0.4121, 0.4057, 0.4022, 0.3998, 0.3982, 0.3972, 0.3964, 0.3959",\
"0.4304, 0.4253, 0.416, 0.4097, 0.406, 0.4035, 0.402, 0.401, 0.4002, 0.3998",\
"0.4354, 0.43, 0.4208, 0.4144, 0.4108, 0.4084, 0.4069, 0.4059, 0.4052, 0.4046",\
"0.4403, 0.4349, 0.426, 0.4193, 0.4156, 0.4132, 0.4119, 0.4107, 0.41, 0.4096",\
"0.4469, 0.4418, 0.4324, 0.4263, 0.4223, 0.4201, 0.4187, 0.4176, 0.4166, 0.416",\
"0.4533, 0.4481, 0.439, 0.4325, 0.4288, 0.4263, 0.4249, 0.4238, 0.4229, 0.4225",\
"0.4604, 0.4552, 0.4455, 0.4395, 0.4356, 0.4333, 0.4318, 0.4308, 0.4301, 0.4297");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.3888, 0.3852, 0.3791, 0.3742, 0.3718, 0.3713, 0.3714, 0.3733, 0.3749, 0.3763",\
"0.3889, 0.3854, 0.379, 0.3743, 0.3719, 0.3713, 0.3724, 0.3736, 0.3742, 0.3761",\
"0.3887, 0.3851, 0.3788, 0.3741, 0.3716, 0.3712, 0.3718, 0.3732, 0.3744, 0.3762",\
"0.3909, 0.3873, 0.3809, 0.3762, 0.374, 0.3735, 0.3742, 0.3756, 0.3762, 0.3786",\
"0.395, 0.3916, 0.3849, 0.3803, 0.378, 0.3776, 0.3776, 0.3796, 0.3812, 0.3831",\
"0.401, 0.3976, 0.3913, 0.3872, 0.3842, 0.3839, 0.385, 0.3859, 0.3876, 0.3884",\
"0.4092, 0.4053, 0.3982, 0.3937, 0.3928, 0.3928, 0.3917, 0.3936, 0.3953, 0.3966",\
"0.4192, 0.4158, 0.409, 0.4035, 0.4015, 0.4025, 0.4042, 0.4047, 0.4054, 0.4067",\
"0.4316, 0.428, 0.4207, 0.4162, 0.4134, 0.413, 0.4156, 0.4181, 0.4193, 0.4191",\
"0.445, 0.4412, 0.435, 0.4293, 0.4266, 0.4254, 0.4262, 0.43, 0.4352, 0.4355");
}
}
internal_power() {
related_pin : "CLK" ;
when : "D&!SE&SI | !D&SE&!SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.4237, 0.4185, 0.4091, 0.4027, 0.3992, 0.3969, 0.3951, 0.3942, 0.3935, 0.393",\
"0.424, 0.4187, 0.4095, 0.4032, 0.3995, 0.397, 0.3954, 0.3944, 0.3936, 0.3932",\
"0.4241, 0.4192, 0.4097, 0.4034, 0.3998, 0.3975, 0.3958, 0.3948, 0.394, 0.3936",\
"0.4264, 0.4214, 0.412, 0.4056, 0.402, 0.3997, 0.3981, 0.397, 0.3962, 0.3958",\
"0.4306, 0.4254, 0.4162, 0.4099, 0.406, 0.4038, 0.4021, 0.4012, 0.4004, 0.3999",\
"0.4348, 0.4297, 0.4205, 0.4145, 0.4103, 0.4079, 0.4064, 0.4054, 0.4045, 0.404",\
"0.4397, 0.4346, 0.4251, 0.4194, 0.4156, 0.4132, 0.4116, 0.4105, 0.4096, 0.4091",\
"0.4465, 0.4414, 0.432, 0.4259, 0.4219, 0.4197, 0.4183, 0.4171, 0.4162, 0.4156",\
"0.4533, 0.448, 0.4389, 0.4324, 0.4287, 0.4262, 0.4249, 0.4237, 0.4229, 0.4224",\
"0.4601, 0.4551, 0.4455, 0.4395, 0.4356, 0.4333, 0.4318, 0.4308, 0.4301, 0.4297");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.3891, 0.3854, 0.3794, 0.3745, 0.3721, 0.3716, 0.3722, 0.3735, 0.3747, 0.3765",\
"0.3888, 0.3851, 0.379, 0.3742, 0.3718, 0.3716, 0.372, 0.3731, 0.3742, 0.3776",\
"0.3887, 0.3851, 0.3788, 0.3741, 0.3716, 0.3712, 0.3718, 0.3732, 0.3744, 0.3762",\
"0.3909, 0.3873, 0.3809, 0.3762, 0.374, 0.3735, 0.3742, 0.3756, 0.3762, 0.3786",\
"0.3951, 0.3916, 0.3848, 0.3805, 0.378, 0.3776, 0.3783, 0.3806, 0.3828, 0.3831",\
"0.4009, 0.3977, 0.3906, 0.3869, 0.384, 0.3839, 0.3849, 0.3859, 0.3881, 0.3889",\
"0.4092, 0.4057, 0.3982, 0.3941, 0.3932, 0.3923, 0.3915, 0.3931, 0.3948, 0.3961",\
"0.4194, 0.416, 0.4091, 0.4036, 0.4018, 0.4027, 0.4043, 0.4048, 0.4055, 0.4068",\
"0.4315, 0.4282, 0.4208, 0.4163, 0.4139, 0.4132, 0.416, 0.4182, 0.4189, 0.4192",\
"0.4447, 0.4408, 0.4346, 0.4289, 0.4269, 0.4252, 0.4254, 0.4301, 0.4353, 0.4341");
}
}
internal_power() {
related_pin : "CLK" ;
when : "D&SE" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.4237, 0.4186, 0.4093, 0.4025, 0.399, 0.3966, 0.3952, 0.3942, 0.3934, 0.3929",\
"0.4238, 0.4186, 0.4092, 0.4029, 0.3993, 0.3969, 0.3954, 0.3942, 0.3935, 0.3932",\
"0.424, 0.4191, 0.4096, 0.4033, 0.3997, 0.3974, 0.3958, 0.3947, 0.3939, 0.3935",\
"0.4263, 0.4214, 0.4119, 0.4055, 0.402, 0.3997, 0.3981, 0.397, 0.3962, 0.3958",\
"0.4303, 0.4251, 0.416, 0.4097, 0.406, 0.4036, 0.402, 0.401, 0.4001, 0.3997",\
"0.4345, 0.4294, 0.4204, 0.4138, 0.41, 0.4076, 0.4061, 0.4051, 0.4044, 0.4039",\
"0.4395, 0.4346, 0.4251, 0.4192, 0.4152, 0.413, 0.4111, 0.4102, 0.4095, 0.409",\
"0.4463, 0.4413, 0.432, 0.4257, 0.422, 0.4194, 0.418, 0.4169, 0.416, 0.4157",\
"0.4532, 0.4481, 0.4389, 0.4323, 0.4286, 0.4263, 0.4248, 0.4236, 0.4229, 0.4223",\
"0.4599, 0.4549, 0.4456, 0.4392, 0.4355, 0.4333, 0.4317, 0.4307, 0.43, 0.4295");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.3888, 0.3851, 0.379, 0.3741, 0.3717, 0.3711, 0.3719, 0.3731, 0.3743, 0.3776",\
"0.3887, 0.385, 0.3789, 0.3741, 0.3717, 0.3714, 0.3719, 0.3733, 0.3749, 0.3772",\
"0.3887, 0.385, 0.3788, 0.3741, 0.3716, 0.3712, 0.3719, 0.373, 0.3742, 0.376",\
"0.3908, 0.3873, 0.3809, 0.3762, 0.3739, 0.3735, 0.3742, 0.3754, 0.3775, 0.3784",\
"0.3951, 0.3917, 0.3851, 0.3804, 0.3781, 0.3778, 0.3778, 0.3795, 0.3816, 0.3824",\
"0.401, 0.3978, 0.3909, 0.3875, 0.3844, 0.3842, 0.385, 0.3864, 0.388, 0.3888",\
"0.4092, 0.4053, 0.3982, 0.3934, 0.3925, 0.3926, 0.3924, 0.3935, 0.3962, 0.3965",\
"0.4194, 0.4161, 0.4092, 0.4037, 0.4018, 0.4028, 0.4044, 0.4052, 0.4059, 0.4067",\
"0.4314, 0.4282, 0.4208, 0.4162, 0.4139, 0.4132, 0.4159, 0.4185, 0.4191, 0.4189",\
"0.445, 0.4411, 0.435, 0.4292, 0.4272, 0.426, 0.4261, 0.4298, 0.4344, 0.4347");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b0 && SI===1'b1" ;
timing_type : rising_edge ;
when : "!D&SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.5305, 0.5472, 0.5944, 0.6678, 0.7696, 0.9069, 1.086, 1.312, 1.588, 1.917",\
"0.5353, 0.552, 0.5992, 0.6725, 0.7744, 0.9117, 1.091, 1.317, 1.593, 1.922",\
"0.5548, 0.5715, 0.6187, 0.6921, 0.7939, 0.9312, 1.11, 1.336, 1.612, 1.941",\
"0.5898, 0.6065, 0.6537, 0.7271, 0.8289, 0.9662, 1.145, 1.371, 1.647, 1.976",\
"0.6238, 0.6406, 0.6877, 0.7611, 0.8629, 1, 1.18, 1.405, 1.681, 2.01",\
"0.6529, 0.6697, 0.7168, 0.7903, 0.8922, 1.03, 1.209, 1.435, 1.71, 2.04",\
"0.6743, 0.691, 0.7382, 0.8116, 0.9135, 1.051, 1.23, 1.456, 1.732, 2.061",\
"0.6864, 0.7032, 0.7503, 0.8237, 0.9256, 1.063, 1.242, 1.468, 1.744, 2.073",\
"0.6867, 0.7035, 0.7506, 0.824, 0.9259, 1.063, 1.242, 1.468, 1.744, 2.073",\
"0.6756, 0.6922, 0.7396, 0.8128, 0.9148, 1.052, 1.231, 1.457, 1.733, 2.062");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.4895, 0.5068, 0.5617, 0.6628, 0.8216, 1.045, 1.34, 1.711, 2.166, 2.707",\
"0.4943, 0.5115, 0.5665, 0.6676, 0.8264, 1.05, 1.345, 1.716, 2.171, 2.712",\
"0.5135, 0.5308, 0.5857, 0.6868, 0.8456, 1.069, 1.364, 1.735, 2.19, 2.732",\
"0.5483, 0.5656, 0.6206, 0.7216, 0.8804, 1.104, 1.399, 1.77, 2.225, 2.767",\
"0.5827, 0.5999, 0.6549, 0.7559, 0.9147, 1.138, 1.433, 1.805, 2.258, 2.8",\
"0.6126, 0.6299, 0.6851, 0.786, 0.9446, 1.168, 1.463, 1.835, 2.289, 2.83",\
"0.6352, 0.6525, 0.7075, 0.8085, 0.9672, 1.191, 1.486, 1.857, 2.311, 2.852",\
"0.6488, 0.6661, 0.7208, 0.822, 0.9807, 1.204, 1.499, 1.871, 2.324, 2.866",\
"0.6522, 0.6695, 0.7245, 0.8257, 0.9843, 1.208, 1.502, 1.874, 2.327, 2.868",\
"0.6444, 0.6616, 0.7167, 0.8176, 0.9762, 1.199, 1.495, 1.866, 2.319, 2.86");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.07412, 0.09144, 0.1433, 0.2355, 0.3835, 0.6014, 0.8958, 1.272, 1.731, 2.279",\
"0.07424, 0.09146, 0.1433, 0.2356, 0.3837, 0.6024, 0.8966, 1.272, 1.731, 2.28",\
"0.07408, 0.09146, 0.1433, 0.2356, 0.3841, 0.6026, 0.8981, 1.273, 1.732, 2.277",\
"0.07418, 0.09144, 0.1433, 0.2356, 0.3841, 0.6024, 0.8982, 1.273, 1.731, 2.28",\
"0.0743, 0.0912, 0.1432, 0.236, 0.383, 0.6026, 0.8958, 1.272, 1.732, 2.281",\
"0.074, 0.0912, 0.1428, 0.236, 0.3832, 0.6016, 0.898, 1.273, 1.732, 2.277",\
"0.0742, 0.0912, 0.1428, 0.2356, 0.3834, 0.601, 0.8952, 1.271, 1.731, 2.279",\
"0.0744, 0.0912, 0.1432, 0.2358, 0.3834, 0.6014, 0.896, 1.27, 1.728, 2.276",\
"0.0742, 0.0914, 0.1432, 0.2358, 0.3834, 0.6016, 0.896, 1.271, 1.729, 2.274",\
"0.074, 0.0912, 0.1428, 0.2358, 0.3838, 0.6016, 0.8978, 1.271, 1.728, 2.273");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.07542, 0.09982, 0.187, 0.3629, 0.6451, 1.043, 1.564, 2.223, 3.027, 3.987",\
"0.07548, 0.09984, 0.1866, 0.3629, 0.6452, 1.042, 1.564, 2.223, 3.027, 3.987",\
"0.07548, 0.09982, 0.1866, 0.3628, 0.6449, 1.043, 1.564, 2.223, 3.027, 3.986",\
"0.07548, 0.0998, 0.1865, 0.3643, 0.645, 1.044, 1.567, 2.223, 3.027, 3.985",\
"0.07532, 0.0997, 0.1868, 0.364, 0.6454, 1.041, 1.564, 2.224, 3.026, 3.987",\
"0.0756, 0.0998, 0.1868, 0.363, 0.645, 1.042, 1.564, 2.222, 3.027, 3.986",\
"0.0756, 0.0998, 0.1868, 0.3632, 0.645, 1.042, 1.567, 2.223, 3.027, 3.986",\
"0.0756, 0.0998, 0.1868, 0.3628, 0.6456, 1.042, 1.565, 2.225, 3.025, 3.983",\
"0.0758, 0.0998, 0.1864, 0.363, 0.645, 1.043, 1.567, 2.222, 3.027, 3.988",\
"0.0758, 0.1002, 0.1872, 0.363, 0.6454, 1.043, 1.566, 2.223, 3.025, 3.983");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "SE===1'b0 && SI===1'b0" ;
timing_type : rising_edge ;
when : "!SE&!SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.5305, 0.5472, 0.5944, 0.6678, 0.7696, 0.9069, 1.086, 1.312, 1.588, 1.917",\
"0.5353, 0.5519, 0.5992, 0.6725, 0.7744, 0.9116, 1.091, 1.317, 1.593, 1.922",\
"0.5549, 0.5716, 0.6188, 0.6921, 0.794, 0.9313, 1.11, 1.336, 1.612, 1.941",\
"0.5899, 0.6066, 0.6538, 0.7271, 0.829, 0.9662, 1.145, 1.371, 1.647, 1.976",\
"0.6239, 0.6406, 0.6878, 0.7611, 0.8629, 1, 1.179, 1.405, 1.681, 2.01",\
"0.6531, 0.6699, 0.717, 0.7905, 0.8923, 1.03, 1.209, 1.435, 1.71, 2.04",\
"0.6743, 0.6911, 0.7382, 0.8116, 0.9134, 1.051, 1.23, 1.456, 1.732, 2.061",\
"0.6864, 0.7031, 0.7503, 0.8237, 0.9256, 1.063, 1.242, 1.468, 1.744, 2.073",\
"0.6867, 0.7035, 0.7506, 0.824, 0.9259, 1.063, 1.242, 1.468, 1.744, 2.073",\
"0.6756, 0.6923, 0.7394, 0.8129, 0.9148, 1.052, 1.231, 1.457, 1.733, 2.062");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.4895, 0.5068, 0.5617, 0.6628, 0.8215, 1.045, 1.34, 1.712, 2.166, 2.708",\
"0.4943, 0.5115, 0.5665, 0.6676, 0.8263, 1.05, 1.345, 1.716, 2.171, 2.712",\
"0.5135, 0.5308, 0.5857, 0.6868, 0.8456, 1.069, 1.364, 1.735, 2.19, 2.732",\
"0.5483, 0.5656, 0.6206, 0.7216, 0.8804, 1.104, 1.399, 1.77, 2.225, 2.767",\
"0.5827, 0.5999, 0.6549, 0.756, 0.9148, 1.138, 1.433, 1.805, 2.259, 2.799",\
"0.6126, 0.6299, 0.6849, 0.786, 0.9445, 1.168, 1.463, 1.835, 2.289, 2.83",\
"0.6353, 0.6524, 0.7073, 0.8085, 0.9672, 1.191, 1.486, 1.857, 2.312, 2.852",\
"0.6488, 0.6661, 0.7208, 0.822, 0.9807, 1.204, 1.499, 1.871, 2.324, 2.866",\
"0.6522, 0.6695, 0.7243, 0.8256, 0.9843, 1.208, 1.503, 1.874, 2.327, 2.868",\
"0.6441, 0.6616, 0.7167, 0.8176, 0.9762, 1.199, 1.495, 1.866, 2.32, 2.861");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.07418, 0.09144, 0.1428, 0.2356, 0.3835, 0.6023, 0.8981, 1.273, 1.73, 2.28",\
"0.07412, 0.09144, 0.1431, 0.2361, 0.3837, 0.602, 0.8966, 1.271, 1.731, 2.28",\
"0.07426, 0.09142, 0.1433, 0.2355, 0.3842, 0.6025, 0.898, 1.273, 1.731, 2.28",\
"0.0741, 0.09138, 0.1431, 0.2355, 0.3843, 0.6026, 0.898, 1.273, 1.731, 2.28",\
"0.0742, 0.09142, 0.1434, 0.2362, 0.384, 0.6026, 0.8972, 1.273, 1.731, 2.279",\
"0.0744, 0.091, 0.143, 0.2356, 0.3834, 0.6022, 0.8978, 1.272, 1.731, 2.279",\
"0.0742, 0.0912, 0.1432, 0.2358, 0.3836, 0.601, 0.8954, 1.271, 1.73, 2.279",\
"0.0742, 0.0914, 0.1432, 0.2362, 0.3836, 0.6024, 0.8962, 1.27, 1.727, 2.275",\
"0.0744, 0.0912, 0.1432, 0.2356, 0.3832, 0.6012, 0.8964, 1.271, 1.728, 2.274",\
"0.0744, 0.0912, 0.143, 0.2362, 0.384, 0.6014, 0.8972, 1.27, 1.729, 2.274");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.07548, 0.09982, 0.187, 0.3629, 0.6451, 1.042, 1.566, 2.225, 3.027, 3.986",\
"0.07548, 0.09978, 0.1866, 0.3629, 0.6452, 1.042, 1.566, 2.223, 3.027, 3.986",\
"0.07542, 0.09982, 0.1866, 0.3628, 0.6449, 1.043, 1.564, 2.223, 3.027, 3.986",\
"0.07548, 0.0998, 0.1865, 0.3643, 0.645, 1.044, 1.567, 2.223, 3.027, 3.985",\
"0.07534, 0.0997, 0.1866, 0.3632, 0.6448, 1.041, 1.566, 2.223, 3.026, 3.985",\
"0.0756, 0.0998, 0.1872, 0.363, 0.6446, 1.042, 1.564, 2.222, 3.027, 3.986",\
"0.0756, 0.0998, 0.1868, 0.3634, 0.646, 1.042, 1.563, 2.222, 3.027, 3.984",\
"0.0756, 0.0998, 0.1868, 0.3628, 0.6456, 1.042, 1.565, 2.225, 3.025, 3.983",\
"0.0758, 0.0998, 0.1868, 0.3636, 0.645, 1.043, 1.564, 2.222, 3.027, 3.991",\
"0.0756, 0.1002, 0.1872, 0.363, 0.6454, 1.043, 1.566, 2.223, 3.025, 3.984");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b1 && SE===1'b0 && SI===1'b1 || D===1'b0 && SE===1'b1 && SI===1'b0" ;
timing_type : rising_edge ;
when : "D&!SE&SI | !D&SE&!SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.5305, 0.5472, 0.5944, 0.6678, 0.7696, 0.9069, 1.086, 1.312, 1.588, 1.917",\
"0.5353, 0.5519, 0.5992, 0.6725, 0.7744, 0.9116, 1.091, 1.317, 1.593, 1.922",\
"0.5548, 0.5716, 0.6188, 0.6921, 0.7939, 0.9313, 1.11, 1.336, 1.612, 1.941",\
"0.5898, 0.6066, 0.6538, 0.7271, 0.829, 0.9662, 1.145, 1.371, 1.647, 1.976",\
"0.6239, 0.6406, 0.6878, 0.7611, 0.863, 1, 1.18, 1.405, 1.681, 2.01",\
"0.6531, 0.6698, 0.717, 0.7904, 0.8923, 1.03, 1.209, 1.435, 1.71, 2.04",\
"0.6742, 0.6911, 0.7381, 0.8115, 0.9135, 1.051, 1.23, 1.456, 1.732, 2.061",\
"0.6864, 0.7031, 0.7503, 0.8237, 0.9256, 1.063, 1.242, 1.468, 1.744, 2.073",\
"0.6867, 0.7034, 0.7506, 0.824, 0.9259, 1.063, 1.242, 1.468, 1.744, 2.073",\
"0.6756, 0.6923, 0.7394, 0.8129, 0.9148, 1.052, 1.231, 1.457, 1.733, 2.062");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.4895, 0.5068, 0.5617, 0.6628, 0.8215, 1.045, 1.34, 1.712, 2.166, 2.708",\
"0.4943, 0.5115, 0.5665, 0.6676, 0.8264, 1.05, 1.345, 1.716, 2.171, 2.712",\
"0.5135, 0.5308, 0.5857, 0.6868, 0.8456, 1.069, 1.364, 1.735, 2.19, 2.732",\
"0.5483, 0.5656, 0.6206, 0.7216, 0.8804, 1.104, 1.399, 1.77, 2.225, 2.767",\
"0.5827, 0.5999, 0.6548, 0.756, 0.9148, 1.138, 1.433, 1.805, 2.259, 2.799",\
"0.6126, 0.63, 0.6847, 0.7858, 0.9445, 1.168, 1.463, 1.835, 2.289, 2.83",\
"0.6352, 0.6525, 0.7073, 0.8086, 0.9672, 1.191, 1.485, 1.857, 2.312, 2.854",\
"0.6488, 0.6661, 0.7208, 0.822, 0.9806, 1.204, 1.499, 1.871, 2.324, 2.866",\
"0.6522, 0.6695, 0.7243, 0.8256, 0.9843, 1.208, 1.503, 1.874, 2.327, 2.868",\
"0.6441, 0.6616, 0.7167, 0.8176, 0.9762, 1.2, 1.495, 1.866, 2.319, 2.861");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.07416, 0.09144, 0.1428, 0.2356, 0.3835, 0.6023, 0.8981, 1.273, 1.73, 2.28",\
"0.07408, 0.09144, 0.1434, 0.2359, 0.3842, 0.6027, 0.8981, 1.272, 1.731, 2.28",\
"0.07426, 0.09144, 0.1433, 0.2355, 0.3842, 0.6025, 0.898, 1.273, 1.731, 2.28",\
"0.07426, 0.09138, 0.1431, 0.2355, 0.3843, 0.6026, 0.898, 1.273, 1.731, 2.28",\
"0.0742, 0.09142, 0.1432, 0.2362, 0.383, 0.6014, 0.8962, 1.272, 1.732, 2.28",\
"0.0742, 0.0912, 0.143, 0.2354, 0.3834, 0.6018, 0.897, 1.273, 1.731, 2.279",\
"0.0744, 0.091, 0.143, 0.2358, 0.3832, 0.6008, 0.8956, 1.271, 1.73, 2.279",\
"0.0742, 0.0914, 0.1432, 0.2362, 0.3836, 0.6022, 0.8962, 1.27, 1.728, 2.275",\
"0.0744, 0.0912, 0.1432, 0.2356, 0.3832, 0.601, 0.8964, 1.271, 1.728, 2.274",\
"0.0744, 0.0912, 0.143, 0.2362, 0.384, 0.6014, 0.8972, 1.271, 1.729, 2.274");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.07542, 0.09982, 0.187, 0.3629, 0.6451, 1.043, 1.564, 2.223, 3.027, 3.986",\
"0.07548, 0.09984, 0.1866, 0.3629, 0.6452, 1.042, 1.564, 2.223, 3.027, 3.987",\
"0.0754, 0.09982, 0.1866, 0.3628, 0.6449, 1.043, 1.564, 2.223, 3.027, 3.986",\
"0.07548, 0.0998, 0.1865, 0.3643, 0.645, 1.044, 1.567, 2.223, 3.027, 3.985",\
"0.07532, 0.0997, 0.1866, 0.3628, 0.6448, 1.041, 1.566, 2.223, 3.027, 3.984",\
"0.0754, 0.0996, 0.1866, 0.363, 0.6446, 1.044, 1.564, 2.222, 3.027, 3.986",\
"0.0758, 0.1, 0.1868, 0.3632, 0.6456, 1.042, 1.566, 2.223, 3.026, 3.985",\
"0.0752, 0.0998, 0.1868, 0.3628, 0.646, 1.042, 1.565, 2.225, 3.025, 3.985",\
"0.0756, 0.1, 0.1868, 0.3636, 0.645, 1.043, 1.564, 2.222, 3.026, 3.991",\
"0.0756, 0.1002, 0.1872, 0.363, 0.6456, 1.043, 1.564, 2.222, 3.025, 3.983");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b1 && SE===1'b1" ;
timing_type : rising_edge ;
when : "D&SE" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.5305, 0.5472, 0.5944, 0.6677, 0.7696, 0.9069, 1.086, 1.312, 1.588, 1.917",\
"0.5353, 0.5519, 0.5991, 0.6724, 0.7743, 0.9116, 1.091, 1.317, 1.593, 1.922",\
"0.5548, 0.5715, 0.6187, 0.6921, 0.7939, 0.9312, 1.11, 1.336, 1.612, 1.941",\
"0.5898, 0.6065, 0.6537, 0.7271, 0.8289, 0.9662, 1.145, 1.371, 1.647, 1.976",\
"0.6238, 0.6406, 0.6877, 0.7611, 0.863, 1, 1.179, 1.405, 1.681, 2.01",\
"0.653, 0.6697, 0.7168, 0.7903, 0.8922, 1.03, 1.209, 1.434, 1.71, 2.04",\
"0.6743, 0.691, 0.7382, 0.8117, 0.9135, 1.051, 1.23, 1.456, 1.732, 2.061",\
"0.6864, 0.7031, 0.7503, 0.8237, 0.9255, 1.063, 1.242, 1.468, 1.744, 2.073",\
"0.6867, 0.7035, 0.7506, 0.824, 0.9259, 1.063, 1.242, 1.468, 1.744, 2.073",\
"0.6756, 0.6923, 0.7396, 0.8128, 0.9148, 1.052, 1.231, 1.457, 1.733, 2.062");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.4894, 0.5067, 0.5617, 0.6628, 0.8215, 1.045, 1.34, 1.711, 2.166, 2.707",\
"0.4943, 0.5115, 0.5666, 0.6676, 0.8264, 1.05, 1.345, 1.716, 2.171, 2.712",\
"0.5135, 0.5308, 0.5857, 0.6868, 0.8456, 1.069, 1.364, 1.735, 2.19, 2.732",\
"0.5483, 0.5656, 0.6206, 0.7216, 0.8804, 1.104, 1.399, 1.77, 2.225, 2.767",\
"0.5827, 0.5999, 0.6549, 0.7559, 0.9147, 1.138, 1.433, 1.805, 2.258, 2.801",\
"0.6126, 0.63, 0.6847, 0.786, 0.9446, 1.168, 1.463, 1.835, 2.289, 2.83",\
"0.6353, 0.6523, 0.7073, 0.8084, 0.967, 1.191, 1.485, 1.857, 2.311, 2.854",\
"0.6488, 0.6661, 0.7208, 0.822, 0.9806, 1.204, 1.499, 1.871, 2.324, 2.866",\
"0.6522, 0.6695, 0.7243, 0.8256, 0.9843, 1.208, 1.503, 1.874, 2.327, 2.868",\
"0.6444, 0.6616, 0.7167, 0.8176, 0.9762, 1.199, 1.495, 1.866, 2.32, 2.861");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.07416, 0.09156, 0.1432, 0.2356, 0.3831, 0.6025, 0.8966, 1.272, 1.729, 2.278",\
"0.07414, 0.09144, 0.1431, 0.2358, 0.3842, 0.6021, 0.8967, 1.272, 1.732, 2.28",\
"0.07408, 0.09146, 0.1433, 0.2356, 0.3841, 0.6026, 0.8981, 1.273, 1.732, 2.277",\
"0.07416, 0.09144, 0.1433, 0.2355, 0.3841, 0.6024, 0.8982, 1.273, 1.731, 2.279",\
"0.07432, 0.09122, 0.1434, 0.236, 0.383, 0.6026, 0.8962, 1.272, 1.729, 2.281",\
"0.0742, 0.091, 0.1428, 0.2354, 0.3834, 0.602, 0.8976, 1.273, 1.732, 2.278",\
"0.0742, 0.091, 0.143, 0.236, 0.3836, 0.6008, 0.8958, 1.271, 1.73, 2.28",\
"0.0742, 0.0912, 0.1432, 0.2358, 0.3834, 0.602, 0.896, 1.27, 1.728, 2.276",\
"0.0742, 0.0914, 0.1432, 0.2358, 0.3834, 0.6026, 0.8962, 1.271, 1.728, 2.273",\
"0.0742, 0.0912, 0.1428, 0.2354, 0.3838, 0.6016, 0.8978, 1.271, 1.73, 2.273");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.07546, 0.09982, 0.187, 0.3629, 0.6452, 1.042, 1.564, 2.223, 3.027, 3.987",\
"0.07542, 0.09984, 0.1866, 0.3629, 0.6451, 1.043, 1.564, 2.223, 3.027, 3.987",\
"0.07542, 0.09982, 0.1866, 0.3628, 0.6449, 1.043, 1.564, 2.223, 3.027, 3.986",\
"0.07548, 0.0998, 0.1865, 0.3643, 0.645, 1.044, 1.567, 2.223, 3.027, 3.985",\
"0.07532, 0.0997, 0.1868, 0.3642, 0.6452, 1.042, 1.564, 2.224, 3.026, 3.984",\
"0.0754, 0.0996, 0.1866, 0.363, 0.645, 1.042, 1.564, 2.222, 3.027, 3.986",\
"0.0754, 0.0998, 0.1868, 0.3628, 0.6448, 1.042, 1.566, 2.223, 3.028, 3.984",\
"0.0752, 0.0998, 0.1868, 0.3628, 0.646, 1.042, 1.565, 2.225, 3.025, 3.985",\
"0.0756, 0.1, 0.1868, 0.3636, 0.645, 1.043, 1.564, 2.222, 3.026, 3.991",\
"0.0758, 0.1002, 0.1872, 0.363, 0.6456, 1.043, 1.566, 2.223, 3.025, 3.984");
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.5305, 0.5472, 0.5944, 0.6677, 0.7696, 0.9069, 1.086, 1.312, 1.588, 1.917",\
"0.5353, 0.5519, 0.5991, 0.6724, 0.7743, 0.9116, 1.091, 1.317, 1.593, 1.922",\
"0.5548, 0.5715, 0.6187, 0.6921, 0.7939, 0.9312, 1.11, 1.336, 1.612, 1.941",\
"0.5898, 0.6065, 0.6537, 0.7271, 0.8289, 0.9662, 1.145, 1.371, 1.647, 1.976",\
"0.6238, 0.6406, 0.6877, 0.7611, 0.863, 1, 1.179, 1.405, 1.681, 2.01",\
"0.653, 0.6697, 0.7168, 0.7903, 0.8922, 1.03, 1.209, 1.434, 1.71, 2.04",\
"0.6743, 0.691, 0.7382, 0.8117, 0.9135, 1.051, 1.23, 1.456, 1.732, 2.061",\
"0.6864, 0.7031, 0.7503, 0.8237, 0.9255, 1.063, 1.242, 1.468, 1.744, 2.073",\
"0.6867, 0.7035, 0.7506, 0.824, 0.9259, 1.063, 1.242, 1.468, 1.744, 2.073",\
"0.6756, 0.6923, 0.7396, 0.8128, 0.9148, 1.052, 1.231, 1.457, 1.733, 2.062");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.4894, 0.5067, 0.5617, 0.6628, 0.8215, 1.045, 1.34, 1.711, 2.166, 2.707",\
"0.4943, 0.5115, 0.5666, 0.6676, 0.8264, 1.05, 1.345, 1.716, 2.171, 2.712",\
"0.5135, 0.5308, 0.5857, 0.6868, 0.8456, 1.069, 1.364, 1.735, 2.19, 2.732",\
"0.5483, 0.5656, 0.6206, 0.7216, 0.8804, 1.104, 1.399, 1.77, 2.225, 2.767",\
"0.5827, 0.5999, 0.6549, 0.7559, 0.9147, 1.138, 1.433, 1.805, 2.258, 2.801",\
"0.6126, 0.63, 0.6847, 0.786, 0.9446, 1.168, 1.463, 1.835, 2.289, 2.83",\
"0.6353, 0.6523, 0.7073, 0.8084, 0.967, 1.191, 1.485, 1.857, 2.311, 2.854",\
"0.6488, 0.6661, 0.7208, 0.822, 0.9806, 1.204, 1.499, 1.871, 2.324, 2.866",\
"0.6522, 0.6695, 0.7243, 0.8256, 0.9843, 1.208, 1.503, 1.874, 2.327, 2.868",\
"0.6444, 0.6616, 0.7167, 0.8176, 0.9762, 1.199, 1.495, 1.866, 2.32, 2.861");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.07412, 0.09144, 0.1433, 0.2355, 0.3835, 0.6014, 0.8958, 1.272, 1.731, 2.279",\
"0.07424, 0.09146, 0.1433, 0.2356, 0.3837, 0.6024, 0.8966, 1.272, 1.731, 2.28",\
"0.07408, 0.09146, 0.1433, 0.2356, 0.3841, 0.6026, 0.8981, 1.273, 1.732, 2.277",\
"0.07418, 0.09144, 0.1433, 0.2356, 0.3841, 0.6024, 0.8982, 1.273, 1.731, 2.28",\
"0.0743, 0.0912, 0.1432, 0.236, 0.383, 0.6026, 0.8958, 1.272, 1.732, 2.281",\
"0.074, 0.0912, 0.1428, 0.236, 0.3832, 0.6016, 0.898, 1.273, 1.732, 2.277",\
"0.0742, 0.0912, 0.1428, 0.2356, 0.3834, 0.601, 0.8952, 1.271, 1.731, 2.279",\
"0.0744, 0.0912, 0.1432, 0.2358, 0.3834, 0.6014, 0.896, 1.27, 1.728, 2.276",\
"0.0742, 0.0914, 0.1432, 0.2358, 0.3834, 0.6016, 0.896, 1.271, 1.729, 2.274",\
"0.074, 0.0912, 0.1428, 0.2358, 0.3838, 0.6016, 0.8978, 1.271, 1.728, 2.273");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.003486, 0.01221, 0.02888, 0.05485, 0.09128, 0.1392, 0.1996, 0.2734, 0.3612");
values("0.07546, 0.09982, 0.187, 0.3629, 0.6452, 1.042, 1.564, 2.223, 3.027, 3.987",\
"0.07542, 0.09984, 0.1866, 0.3629, 0.6451, 1.043, 1.564, 2.223, 3.027, 3.987",\
"0.07542, 0.09982, 0.1866, 0.3628, 0.6449, 1.043, 1.564, 2.223, 3.027, 3.986",\
"0.07548, 0.0998, 0.1865, 0.3643, 0.645, 1.044, 1.567, 2.223, 3.027, 3.985",\
"0.07532, 0.0997, 0.1868, 0.3642, 0.6452, 1.042, 1.564, 2.224, 3.026, 3.984",\
"0.0754, 0.0996, 0.1866, 0.363, 0.645, 1.042, 1.564, 2.222, 3.027, 3.986",\
"0.0754, 0.0998, 0.1868, 0.3628, 0.6448, 1.042, 1.566, 2.223, 3.028, 3.984",\
"0.0752, 0.0998, 0.1868, 0.3628, 0.646, 1.042, 1.565, 2.225, 3.025, 3.985",\
"0.0756, 0.1, 0.1868, 0.3636, 0.645, 1.043, 1.564, 2.222, 3.026, 3.991",\
"0.0758, 0.1002, 0.1872, 0.363, 0.6456, 1.043, 1.566, 2.223, 3.025, 3.984");
}
}
}
pin(SE) {
capacitance : 0.008332 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 4 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1734, 0.1726, 0.1787, 0.1989, 0.2364, 0.2932, 0.3704, 0.4696, \
0.5918, 0.7382");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.005995, 0.005532, 0.009498, 0.02514, 0.05672, 0.1064, 0.1749, \
0.2635, 0.3732, 0.5051");
}
}
internal_power() {
when : "!CLK&!D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.5342, 0.5324, 0.5365, 0.5586, 0.6122, 0.7045, 0.8407, 1.022, \
1.248, 1.519");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2419, 0.2413, 0.2442, 0.2632, 0.3111, 0.3924, 0.5101, 0.6658, \
0.8619, 1.099");
}
}
internal_power() {
when : "!CLK&D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.4457, 0.445, 0.4514, 0.472, 0.5097, 0.5678, 0.6482, 0.7512, \
0.8783, 1.031");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.4025, 0.402, 0.4047, 0.4182, 0.4465, 0.4942, 0.5626, 0.6522, \
0.765, 0.9045");
}
}
internal_power() {
when : "!CLK&D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1733, 0.1726, 0.1793, 0.1998, 0.2381, 0.2951, 0.3728, 0.4723, \
0.5944, 0.7412");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.006618, 0.006147, 0.009914, 0.02514, 0.05647, 0.1058, 0.1743, \
0.2627, 0.3724, 0.5045");
}
}
internal_power() {
when : "CLK&!D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1685, 0.1676, 0.1735, 0.1939, 0.2315, 0.2884, 0.3657, 0.4647, \
0.587, 0.7335");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.0002744, -0.0006959, 0.003295, 0.01886, 0.05049, 0.1003, 0.1688, \
0.2572, 0.3672, 0.4991");
}
}
internal_power() {
when : "CLK&!D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2101, 0.2091, 0.2155, 0.2382, 0.28, 0.3421, 0.4246, 0.528, 0.6526, \
0.7999");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.007649, -0.008495, -0.00544, 0.01067, 0.04587, 0.1011, 0.1753, \
0.2677, 0.3799, 0.5128");
}
}
internal_power() {
when : "CLK&D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1839, 0.183, 0.1889, 0.209, 0.246, 0.3021, 0.3788, 0.4771, 0.5988, \
0.7447");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.0508, 0.05024, 0.05394, 0.06913, 0.1004, 0.1495, 0.2198, 0.3106, \
0.42, 0.5519");
}
}
internal_power() {
when : "CLK&D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1676, 0.1669, 0.1736, 0.1943, 0.2324, 0.2895, 0.3673, 0.4666, \
0.5891, 0.7359");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.002713, 0.002238, 0.00598, 0.02134, 0.05258, 0.1019, 0.1704, \
0.2589, 0.3686, 0.5007");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!D&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.046, -0.036, -0.021, 0.013, 0.04, 0.068, 0.081, 0.084, 0.083, 0.059",\
"-0.044, -0.04, -0.023, 0.007, 0.04, 0.062, 0.081, 0.083, 0.075, 0.058",\
"-0.059, -0.053, -0.036, -0.002, 0.031, 0.049, 0.063, 0.07, 0.064, 0.045",\
"-0.094, -0.085, -0.066, -0.035, -0.004, 0.025, 0.036, 0.047, 0.042, 0.016",\
"-0.133, -0.127, -0.107, -0.075, -0.042, -0.016, 0.001, 0.003, 0.006, -0.018",\
"-0.19, -0.186, -0.162, -0.123, -0.09, -0.064, -0.046, -0.044, -0.045, -0.062",\
"-0.244, -0.238, -0.218, -0.186, -0.154, -0.119, -0.102, -0.088, -0.093, -0.116",\
"-0.319, -0.309, -0.285, -0.251, -0.222, -0.185, -0.168, -0.155, -0.154, -0.176",\
"-0.402, -0.388, -0.37, -0.331, -0.299, -0.267, -0.247, -0.234, -0.237, -0.258",\
"-0.497, -0.488, -0.463, -0.431, -0.4, -0.359, -0.341, -0.334, -0.323, -0.349");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.172, -0.167, -0.158, -0.153, -0.162, -0.176, -0.202, -0.244, -0.289, -0.352",\
"-0.175, -0.168, -0.16, -0.155, -0.16, -0.181, -0.206, -0.248, -0.301, -0.361",\
"-0.18, -0.182, -0.171, -0.161, -0.171, -0.192, -0.221, -0.256, -0.306, -0.369",\
"-0.203, -0.199, -0.184, -0.182, -0.185, -0.201, -0.23, -0.269, -0.314, -0.376",\
"-0.217, -0.214, -0.204, -0.194, -0.203, -0.212, -0.238, -0.272, -0.324, -0.384",\
"-0.233, -0.23, -0.216, -0.206, -0.215, -0.226, -0.245, -0.282, -0.328, -0.384",\
"-0.236, -0.229, -0.218, -0.211, -0.217, -0.225, -0.252, -0.286, -0.331, -0.388",\
"-0.225, -0.22, -0.211, -0.199, -0.207, -0.218, -0.243, -0.276, -0.322, -0.381",\
"-0.192, -0.192, -0.177, -0.17, -0.18, -0.192, -0.219, -0.26, -0.304, -0.361",\
"-0.15, -0.144, -0.133, -0.13, -0.136, -0.149, -0.177, -0.22, -0.272, -0.33");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SI === 1'b1" ;
timing_type : hold_rising ;
when : "D&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.292, -0.286, -0.27, -0.268, -0.281, -0.298, -0.322, -0.367, -0.414, -0.478",\
"-0.294, -0.29, -0.28, -0.276, -0.282, -0.3, -0.328, -0.373, -0.418, -0.482",\
"-0.325, -0.319, -0.311, -0.307, -0.315, -0.332, -0.359, -0.397, -0.443, -0.508",\
"-0.376, -0.373, -0.357, -0.354, -0.361, -0.375, -0.411, -0.447, -0.492, -0.56",\
"-0.437, -0.431, -0.424, -0.421, -0.428, -0.443, -0.471, -0.512, -0.553, -0.619",\
"-0.52, -0.516, -0.503, -0.501, -0.508, -0.523, -0.55, -0.585, -0.637, -0.693",\
"-0.614, -0.613, -0.603, -0.593, -0.598, -0.616, -0.638, -0.682, -0.729, -0.783",\
"-0.723, -0.717, -0.703, -0.699, -0.7, -0.718, -0.743, -0.782, -0.825, -0.89",\
"-0.837, -0.834, -0.821, -0.815, -0.821, -0.833, -0.86, -0.892, -0.941, -1.002",\
"-0.969, -0.963, -0.954, -0.943, -0.949, -0.96, -0.988, -1.025, -1.067, -1.124");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.137, -0.136, -0.117, -0.084, -0.053, -0.03, -0.013, -0.01, -0.018, -0.041",\
"-0.144, -0.141, -0.117, -0.089, -0.055, -0.031, -0.015, -0.017, -0.019, -0.043",\
"-0.156, -0.156, -0.134, -0.106, -0.075, -0.051, -0.029, -0.029, -0.032, -0.059",\
"-0.174, -0.169, -0.149, -0.121, -0.089, -0.065, -0.049, -0.045, -0.051, -0.075",\
"-0.192, -0.188, -0.165, -0.138, -0.105, -0.078, -0.067, -0.062, -0.068, -0.087",\
"-0.196, -0.198, -0.175, -0.146, -0.117, -0.091, -0.073, -0.069, -0.076, -0.093",\
"-0.193, -0.185, -0.172, -0.136, -0.109, -0.079, -0.071, -0.059, -0.07, -0.089",\
"-0.178, -0.172, -0.156, -0.12, -0.091, -0.062, -0.045, -0.045, -0.05, -0.069",\
"-0.145, -0.145, -0.12, -0.093, -0.061, -0.035, -0.02, -0.014, -0.022, -0.036",\
"-0.11, -0.104, -0.086, -0.049, -0.022, 0.006, 0.02, 0.031, 0.027, 0.009");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!D&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.435, 0.424, 0.407, 0.366, 0.331, 0.299, 0.28, 0.279, 0.29, 0.347",\
"0.438, 0.426, 0.409, 0.372, 0.334, 0.307, 0.285, 0.284, 0.294, 0.351",\
"0.446, 0.439, 0.415, 0.383, 0.344, 0.313, 0.295, 0.293, 0.299, 0.364",\
"0.473, 0.463, 0.44, 0.406, 0.366, 0.345, 0.32, 0.314, 0.323, 0.387",\
"0.53, 0.519, 0.501, 0.466, 0.427, 0.399, 0.375, 0.373, 0.379, 0.424",\
"0.618, 0.611, 0.591, 0.554, 0.518, 0.486, 0.465, 0.462, 0.465, 0.495",\
"0.743, 0.735, 0.714, 0.673, 0.638, 0.604, 0.583, 0.569, 0.57, 0.593",\
"0.881, 0.877, 0.851, 0.817, 0.772, 0.743, 0.715, 0.693, 0.696, 0.714",\
"1.047, 1.046, 1.023, 0.976, 0.931, 0.9, 0.866, 0.853, 0.845, 0.865",\
"1.239, 1.226, 1.207, 1.163, 1.114, 1.077, 1.049, 1.032, 1.024, 1.036");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.378, 0.374, 0.351, 0.32, 0.306, 0.293, 0.314, 0.343, 0.383, 0.438",\
"0.382, 0.372, 0.358, 0.324, 0.308, 0.3, 0.32, 0.349, 0.388, 0.442",\
"0.39, 0.38, 0.369, 0.334, 0.315, 0.313, 0.332, 0.354, 0.395, 0.451",\
"0.41, 0.403, 0.378, 0.354, 0.327, 0.322, 0.34, 0.367, 0.408, 0.462",\
"0.437, 0.432, 0.415, 0.381, 0.357, 0.346, 0.358, 0.38, 0.425, 0.476",\
"0.459, 0.455, 0.437, 0.41, 0.384, 0.367, 0.378, 0.397, 0.435, 0.483",\
"0.477, 0.474, 0.457, 0.427, 0.399, 0.385, 0.394, 0.418, 0.445, 0.497",\
"0.488, 0.48, 0.463, 0.435, 0.41, 0.398, 0.403, 0.418, 0.45, 0.494",\
"0.48, 0.474, 0.459, 0.433, 0.4, 0.389, 0.395, 0.408, 0.445, 0.489",\
"0.461, 0.453, 0.437, 0.409, 0.382, 0.372, 0.375, 0.386, 0.417, 0.465");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SI === 1'b1" ;
timing_type : setup_rising ;
when : "D&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.498, 0.492, 0.472, 0.441, 0.416, 0.415, 0.434, 0.461, 0.507, 0.563",\
"0.507, 0.494, 0.478, 0.45, 0.43, 0.425, 0.442, 0.467, 0.513, 0.569",\
"0.535, 0.525, 0.509, 0.48, 0.459, 0.453, 0.47, 0.496, 0.54, 0.596",\
"0.583, 0.572, 0.557, 0.527, 0.502, 0.504, 0.516, 0.545, 0.586, 0.645",\
"0.648, 0.64, 0.626, 0.596, 0.568, 0.568, 0.58, 0.613, 0.654, 0.71",\
"0.728, 0.723, 0.704, 0.675, 0.651, 0.647, 0.664, 0.692, 0.728, 0.786",\
"0.82, 0.814, 0.797, 0.768, 0.746, 0.743, 0.756, 0.786, 0.82, 0.872",\
"0.922, 0.919, 0.9, 0.876, 0.845, 0.841, 0.856, 0.88, 0.922, 0.98",\
"1.047, 1.038, 1.023, 0.993, 0.968, 0.96, 0.974, 0.995, 1.038, 1.087",\
"1.174, 1.17, 1.156, 1.12, 1.097, 1.09, 1.097, 1.123, 1.161, 1.22");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.538, 0.53, 0.512, 0.47, 0.441, 0.409, 0.391, 0.379, 0.391, 0.428",\
"0.544, 0.534, 0.512, 0.48, 0.439, 0.413, 0.39, 0.39, 0.396, 0.442",\
"0.559, 0.551, 0.529, 0.493, 0.459, 0.426, 0.415, 0.403, 0.412, 0.457",\
"0.571, 0.566, 0.544, 0.509, 0.474, 0.443, 0.416, 0.42, 0.425, 0.468",\
"0.58, 0.579, 0.559, 0.517, 0.477, 0.452, 0.432, 0.424, 0.434, 0.476",\
"0.593, 0.586, 0.564, 0.524, 0.491, 0.459, 0.445, 0.438, 0.445, 0.508",\
"0.588, 0.587, 0.558, 0.522, 0.484, 0.458, 0.441, 0.436, 0.44, 0.484",\
"0.57, 0.563, 0.543, 0.507, 0.468, 0.448, 0.422, 0.418, 0.425, 0.479",\
"0.542, 0.537, 0.516, 0.484, 0.446, 0.42, 0.395, 0.389, 0.4, 0.446",\
"0.501, 0.493, 0.474, 0.442, 0.405, 0.378, 0.361, 0.356, 0.366, 0.407");
}
}
}
pin(SI) {
capacitance : 0.003979 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 4 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.03258, 0.03211, 0.0321, 0.032, 0.03207, 0.032, 0.032, 0.03203, \
0.03199, 0.03204");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.03154, -0.03147, -0.03148, -0.03147, -0.03157, -0.03166, -0.03171, \
-0.03177, -0.03172, -0.03183");
}
}
internal_power() {
when : "!CLK&!D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.4451, 0.4441, 0.4425, 0.4431, 0.4572, 0.4966, 0.5685, 0.6716, \
0.803, 0.9607");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2396, 0.2382, 0.2362, 0.2376, 0.2527, 0.2879, 0.3466, 0.43, \
0.5385, 0.672");
}
}
internal_power() {
when : "!CLK&D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.03206, 0.03193, 0.03202, 0.03198, 0.03196, 0.032, 0.03197, 0.03204, \
0.03204, 0.032");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.02928, -0.02972, -0.031, -0.03144, -0.03157, -0.03165, -0.0317, \
-0.03178, -0.0318, -0.03179");
}
}
internal_power() {
when : "!CLK&D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.445, 0.4441, 0.4425, 0.4431, 0.4573, 0.4965, 0.5686, 0.6716, \
0.8029, 0.9607");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2396, 0.2383, 0.2362, 0.2376, 0.2527, 0.2879, 0.3467, 0.43, \
0.5384, 0.672");
}
}
internal_power() {
when : "CLK&!D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.03236, 0.03218, 0.0321, 0.03206, 0.03204, 0.03199, 0.03199, \
0.03207, 0.03198, 0.03204");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.03155, -0.03153, -0.03147, -0.03152, -0.03158, -0.03167, -0.03169, \
-0.03178, -0.03175, -0.03181");
}
}
internal_power() {
when : "CLK&!D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.08738, 0.0874, 0.08731, 0.08709, 0.087, 0.08708, 0.08715, 0.08719, \
0.08719, 0.08719");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.0261, -0.02679, -0.02799, -0.02912, -0.02981, -0.03026, -0.03062, \
-0.03072, -0.03088, -0.03105");
}
}
internal_power() {
when : "CLK&D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.03204, 0.03198, 0.03205, 0.03199, 0.03204, 0.03199, 0.03202, \
0.03206, 0.03206, 0.03204");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.02977, -0.03011, -0.03105, -0.03142, -0.03155, -0.03163, -0.03174, \
-0.03175, -0.03179, -0.0318");
}
}
internal_power() {
when : "CLK&D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.08736, 0.08731, 0.08738, 0.08709, 0.08702, 0.08708, 0.0871, \
0.08717, 0.08714, 0.08723");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.02609, -0.02679, -0.02799, -0.02912, -0.02981, -0.03026, -0.0306, \
-0.03073, -0.03087, -0.03104");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE === 1'b1" ;
timing_type : hold_rising ;
when : "!D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.103, -0.099, -0.077, -0.05, -0.019, 0.007, 0.021, 0.025, 0.021, -0.006",\
"-0.107, -0.101, -0.083, -0.053, -0.021, -0, 0.02, 0.018, 0.012, -0.007",\
"-0.116, -0.105, -0.088, -0.058, -0.027, -0.004, 0.008, 0.015, 0.008, -0.012",\
"-0.128, -0.121, -0.101, -0.069, -0.044, -0.013, 0.001, 0.007, -0, -0.021",\
"-0.15, -0.144, -0.127, -0.092, -0.063, -0.034, -0.022, -0.011, -0.022, -0.041",\
"-0.178, -0.167, -0.142, -0.108, -0.081, -0.054, -0.04, -0.028, -0.035, -0.056",\
"-0.193, -0.185, -0.163, -0.126, -0.097, -0.066, -0.047, -0.04, -0.048, -0.068",\
"-0.219, -0.208, -0.187, -0.146, -0.12, -0.087, -0.064, -0.056, -0.057, -0.077",\
"-0.246, -0.239, -0.209, -0.178, -0.143, -0.111, -0.094, -0.079, -0.081, -0.096",\
"-0.291, -0.276, -0.246, -0.205, -0.176, -0.142, -0.116, -0.106, -0.101, -0.117");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.218, -0.211, -0.198, -0.194, -0.205, -0.225, -0.254, -0.297, -0.344, -0.408",\
"-0.219, -0.209, -0.203, -0.197, -0.203, -0.225, -0.259, -0.296, -0.348, -0.407",\
"-0.22, -0.216, -0.207, -0.196, -0.209, -0.225, -0.258, -0.299, -0.346, -0.41",\
"-0.22, -0.217, -0.205, -0.199, -0.208, -0.224, -0.255, -0.295, -0.348, -0.409",\
"-0.226, -0.222, -0.213, -0.206, -0.21, -0.23, -0.255, -0.294, -0.342, -0.402",\
"-0.227, -0.223, -0.209, -0.206, -0.215, -0.231, -0.258, -0.29, -0.343, -0.402",\
"-0.219, -0.211, -0.2, -0.196, -0.206, -0.225, -0.252, -0.286, -0.337, -0.402",\
"-0.196, -0.19, -0.181, -0.173, -0.185, -0.202, -0.234, -0.276, -0.328, -0.388",\
"-0.153, -0.145, -0.136, -0.136, -0.143, -0.166, -0.202, -0.247, -0.296, -0.369",\
"-0.095, -0.089, -0.081, -0.081, -0.096, -0.118, -0.15, -0.197, -0.255, -0.33");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE === 1'b1" ;
timing_type : hold_rising ;
when : "D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.103, -0.099, -0.077, -0.05, -0.019, 0.007, 0.021, 0.025, 0.021, -0.006",\
"-0.107, -0.101, -0.083, -0.053, -0.021, -0, 0.02, 0.018, 0.012, -0.007",\
"-0.116, -0.105, -0.088, -0.058, -0.027, -0.004, 0.008, 0.015, 0.008, -0.012",\
"-0.128, -0.121, -0.101, -0.069, -0.044, -0.013, 0.001, 0.001, -0, -0.021",\
"-0.15, -0.144, -0.127, -0.092, -0.063, -0.034, -0.022, -0.011, -0.013, -0.041",\
"-0.178, -0.167, -0.142, -0.108, -0.081, -0.054, -0.04, -0.028, -0.035, -0.056",\
"-0.193, -0.185, -0.163, -0.126, -0.097, -0.066, -0.047, -0.04, -0.048, -0.068",\
"-0.219, -0.208, -0.187, -0.146, -0.12, -0.087, -0.064, -0.056, -0.057, -0.077",\
"-0.246, -0.239, -0.209, -0.17, -0.143, -0.111, -0.094, -0.079, -0.074, -0.096",\
"-0.286, -0.276, -0.246, -0.211, -0.176, -0.142, -0.123, -0.106, -0.101, -0.117");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.218, -0.211, -0.198, -0.194, -0.205, -0.225, -0.254, -0.297, -0.344, -0.408",\
"-0.219, -0.216, -0.203, -0.197, -0.203, -0.225, -0.259, -0.296, -0.348, -0.407",\
"-0.22, -0.216, -0.207, -0.196, -0.209, -0.225, -0.258, -0.299, -0.346, -0.41",\
"-0.22, -0.217, -0.205, -0.199, -0.208, -0.224, -0.255, -0.295, -0.348, -0.409",\
"-0.226, -0.222, -0.213, -0.206, -0.21, -0.23, -0.255, -0.294, -0.342, -0.402",\
"-0.227, -0.223, -0.209, -0.206, -0.215, -0.231, -0.258, -0.29, -0.343, -0.402",\
"-0.219, -0.211, -0.2, -0.196, -0.206, -0.225, -0.252, -0.286, -0.337, -0.402",\
"-0.196, -0.19, -0.181, -0.173, -0.185, -0.202, -0.234, -0.276, -0.328, -0.388",\
"-0.153, -0.153, -0.136, -0.136, -0.143, -0.166, -0.202, -0.247, -0.296, -0.369",\
"-0.095, -0.094, -0.081, -0.081, -0.096, -0.118, -0.15, -0.197, -0.255, -0.33");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE === 1'b1" ;
timing_type : setup_rising ;
when : "!D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.504, 0.492, 0.472, 0.435, 0.399, 0.372, 0.357, 0.349, 0.352, 0.422",\
"0.507, 0.5, 0.478, 0.438, 0.404, 0.375, 0.355, 0.355, 0.357, 0.407",\
"0.519, 0.508, 0.483, 0.445, 0.411, 0.38, 0.369, 0.36, 0.371, 0.426",\
"0.525, 0.518, 0.502, 0.458, 0.423, 0.398, 0.376, 0.374, 0.374, 0.43",\
"0.563, 0.553, 0.54, 0.5, 0.456, 0.435, 0.409, 0.402, 0.407, 0.447",\
"0.636, 0.63, 0.611, 0.569, 0.536, 0.502, 0.478, 0.47, 0.475, 0.508",\
"0.751, 0.744, 0.723, 0.678, 0.638, 0.61, 0.583, 0.569, 0.57, 0.593",\
"0.881, 0.877, 0.851, 0.817, 0.772, 0.735, 0.715, 0.693, 0.689, 0.706",\
"1.047, 1.038, 1.015, 0.968, 0.922, 0.889, 0.86, 0.84, 0.83, 0.848",\
"1.224, 1.216, 1.192, 1.147, 1.097, 1.059, 1.028, 1.009, 0.999, 1.017");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.424, 0.417, 0.399, 0.366, 0.348, 0.341, 0.365, 0.39, 0.437, 0.493",\
"0.425, 0.419, 0.401, 0.372, 0.343, 0.344, 0.364, 0.396, 0.435, 0.493",\
"0.43, 0.422, 0.4, 0.376, 0.353, 0.346, 0.369, 0.397, 0.444, 0.498",\
"0.433, 0.421, 0.405, 0.38, 0.349, 0.353, 0.366, 0.393, 0.441, 0.494",\
"0.437, 0.432, 0.415, 0.381, 0.357, 0.355, 0.369, 0.395, 0.443, 0.493",\
"0.447, 0.443, 0.424, 0.395, 0.375, 0.362, 0.378, 0.405, 0.44, 0.495",\
"0.459, 0.448, 0.43, 0.402, 0.382, 0.372, 0.386, 0.408, 0.445, 0.497",\
"0.453, 0.451, 0.433, 0.409, 0.381, 0.374, 0.384, 0.407, 0.444, 0.494",\
"0.441, 0.443, 0.427, 0.399, 0.372, 0.359, 0.372, 0.389, 0.43, 0.48",\
"0.421, 0.417, 0.401, 0.372, 0.348, 0.341, 0.347, 0.371, 0.4, 0.455");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE === 1'b1" ;
timing_type : setup_rising ;
when : "D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.504, 0.492, 0.472, 0.435, 0.399, 0.372, 0.357, 0.349, 0.352, 0.417",\
"0.507, 0.5, 0.478, 0.438, 0.404, 0.375, 0.355, 0.349, 0.357, 0.402",\
"0.519, 0.508, 0.483, 0.445, 0.411, 0.38, 0.369, 0.354, 0.371, 0.426",\
"0.525, 0.518, 0.502, 0.458, 0.423, 0.398, 0.371, 0.367, 0.374, 0.43",\
"0.563, 0.553, 0.54, 0.5, 0.456, 0.435, 0.409, 0.41, 0.407, 0.447",\
"0.636, 0.63, 0.611, 0.569, 0.535, 0.502, 0.478, 0.479, 0.475, 0.508",\
"0.751, 0.744, 0.723, 0.678, 0.638, 0.604, 0.583, 0.569, 0.57, 0.586",\
"0.881, 0.877, 0.851, 0.817, 0.772, 0.734, 0.715, 0.693, 0.689, 0.706",\
"1.047, 1.038, 1.015, 0.968, 0.922, 0.889, 0.86, 0.84, 0.83, 0.848",\
"1.224, 1.216, 1.192, 1.147, 1.097, 1.059, 1.028, 1.009, 0.999, 1.017");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.424, 0.417, 0.399, 0.366, 0.348, 0.341, 0.365, 0.39, 0.437, 0.493",\
"0.425, 0.419, 0.401, 0.372, 0.343, 0.344, 0.364, 0.396, 0.435, 0.493",\
"0.43, 0.422, 0.4, 0.376, 0.353, 0.346, 0.369, 0.397, 0.436, 0.498",\
"0.433, 0.421, 0.405, 0.38, 0.349, 0.353, 0.366, 0.393, 0.441, 0.494",\
"0.437, 0.432, 0.415, 0.381, 0.357, 0.355, 0.369, 0.395, 0.443, 0.493",\
"0.447, 0.443, 0.424, 0.395, 0.375, 0.362, 0.378, 0.405, 0.44, 0.495",\
"0.459, 0.448, 0.43, 0.402, 0.382, 0.372, 0.386, 0.408, 0.445, 0.497",\
"0.453, 0.451, 0.433, 0.409, 0.381, 0.374, 0.384, 0.407, 0.444, 0.494",\
"0.441, 0.443, 0.427, 0.399, 0.372, 0.364, 0.372, 0.389, 0.43, 0.48",\
"0.421, 0.417, 0.401, 0.372, 0.348, 0.341, 0.347, 0.371, 0.4, 0.455");
}
}
}
test_cell() {
pin(SI) {
direction : input ;
signal_type : test_scan_in ;
}
pin(SE) {
direction : input ;
signal_type : test_scan_enable ;
}
ff(IQ1,IQN1) {
clocked_on : CLK ;
next_state : D ;
}
pin(CLK) {
clock : true ;
direction : input ;
}
pin(D) {
direction : input ;
}
pin(Q) {
direction : output ;
function : IQ1 ;
signal_type : test_scan_out ;
}
}
}