blob: 5a6dc070628cbf72b9c5e7bc804063e0e576c571 [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__latsnq_1) {
area : 59.270400 ;
latch(IQ2,IQN2) {
enable : "E" ;
data_in : "D" ;
preset : "(!SETN)" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!D&!E&!SETN" ;
value : "0.0001914795" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!D&!E&SETN" ;
value : "0.000248922" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!D&E&!SETN" ;
value : "0.00019377" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!D&E&SETN" ;
value : "0.000216414" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "D&!E&!SETN" ;
value : "0.0001914795" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "D&!E&SETN" ;
value : "0.0002774385" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "D&E&!SETN" ;
value : "0.0001925775" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "D&E&SETN" ;
value : "0.0002220975" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.0002774385" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(D) {
capacitance : 0.004041 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!E&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02522, 0.02519, 0.02513, 0.02515, 0.02519, 0.02518, 0.02524, \
0.02523, 0.02522, 0.02523");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.02205, -0.02298, -0.02447, -0.0247, -0.02479, -0.02482, -0.02492, \
-0.02494, -0.02493, -0.02499");
}
}
internal_power() {
when : "!E&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02687, 0.02683, 0.02676, 0.02679, 0.0268, 0.02684, 0.02688, \
0.02686, 0.02685, 0.02687");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.02461, -0.02455, -0.02455, -0.02471, -0.02476, -0.02483, -0.02493, \
-0.02493, -0.02496, -0.02497");
}
}
internal_power() {
when : "E&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1842, 0.1831, 0.1818, 0.1867, 0.2019, 0.2288, 0.2681, 0.3196, \
0.384, 0.4615");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.04955, 0.0484, 0.04744, 0.05108, 0.06249, 0.08381, 0.1158, 0.1594, \
0.2149, 0.2828");
}
}
timing() {
related_pin : "E" ;
sdf_cond : "ENABLE_SETN === 1'b1" ;
timing_type : hold_falling ;
when : "SETN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.366, -0.357, -0.32, -0.275, -0.209, -0.148, -0.079, -0.009, 0.079, 0.162",\
"-0.372, -0.36, -0.327, -0.277, -0.217, -0.153, -0.087, -0.011, 0.068, 0.161",\
"-0.389, -0.379, -0.348, -0.295, -0.235, -0.17, -0.103, -0.033, 0.048, 0.145",\
"-0.44, -0.426, -0.397, -0.348, -0.283, -0.212, -0.143, -0.072, 0.018, 0.113",\
"-0.52, -0.515, -0.475, -0.426, -0.365, -0.288, -0.214, -0.132, -0.044, 0.051",\
"-0.634, -0.623, -0.591, -0.54, -0.476, -0.395, -0.319, -0.232, -0.141, -0.038",\
"-0.781, -0.769, -0.731, -0.678, -0.613, -0.531, -0.451, -0.364, -0.264, -0.157",\
"-0.946, -0.932, -0.893, -0.839, -0.781, -0.703, -0.607, -0.514, -0.413, -0.304",\
"-1.127, -1.115, -1.081, -1.026, -0.969, -0.887, -0.79, -0.69, -0.591, -0.475",\
"-1.345, -1.332, -1.291, -1.231, -1.175, -1.099, -0.999, -0.889, -0.78, -0.663");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.24, -0.242, -0.25, -0.282, -0.335, -0.381, -0.417, -0.432, -0.426, -0.391",\
"-0.25, -0.247, -0.251, -0.29, -0.337, -0.383, -0.42, -0.431, -0.421, -0.395",\
"-0.258, -0.257, -0.265, -0.304, -0.346, -0.385, -0.42, -0.438, -0.429, -0.397",\
"-0.288, -0.287, -0.291, -0.322, -0.359, -0.391, -0.427, -0.439, -0.431, -0.398",\
"-0.323, -0.316, -0.318, -0.343, -0.374, -0.405, -0.429, -0.445, -0.448, -0.418",\
"-0.341, -0.339, -0.343, -0.352, -0.381, -0.415, -0.445, -0.473, -0.477, -0.452",\
"-0.356, -0.354, -0.351, -0.362, -0.39, -0.421, -0.461, -0.491, -0.511, -0.507",\
"-0.353, -0.348, -0.34, -0.354, -0.385, -0.421, -0.468, -0.514, -0.545, -0.558",\
"-0.326, -0.326, -0.32, -0.332, -0.36, -0.409, -0.463, -0.516, -0.572, -0.613",\
"-0.284, -0.284, -0.278, -0.293, -0.324, -0.376, -0.439, -0.507, -0.582, -0.644");
}
}
timing() {
related_pin : "E" ;
sdf_cond : "ENABLE_SETN === 1'b1" ;
timing_type : setup_falling ;
when : "SETN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.412, 0.402, 0.363, 0.314, 0.249, 0.185, 0.133, 0.084, 0.04, -0.008",\
"0.417, 0.41, 0.374, 0.325, 0.259, 0.192, 0.137, 0.087, 0.043, 0.001",\
"0.441, 0.427, 0.394, 0.343, 0.275, 0.211, 0.155, 0.104, 0.055, 0",\
"0.486, 0.475, 0.437, 0.389, 0.323, 0.25, 0.193, 0.13, 0.068, 0.004",\
"0.565, 0.557, 0.521, 0.467, 0.408, 0.326, 0.257, 0.187, 0.108, 0.028",\
"0.679, 0.669, 0.632, 0.577, 0.515, 0.428, 0.353, 0.269, 0.186, 0.093",\
"0.819, 0.808, 0.765, 0.709, 0.649, 0.565, 0.477, 0.395, 0.301, 0.201",\
"0.983, 0.97, 0.925, 0.872, 0.818, 0.729, 0.632, 0.543, 0.447, 0.343",\
"1.167, 1.155, 1.112, 1.053, 0.998, 0.914, 0.813, 0.715, 0.611, 0.498",\
"1.377, 1.364, 1.317, 1.258, 1.203, 1.122, 1.017, 0.908, 0.797, 0.675");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.292, 0.293, 0.302, 0.347, 0.487, 0.704, 0.998, 1.373, 1.841, 2.39",\
"0.296, 0.297, 0.299, 0.353, 0.489, 0.706, 0.994, 1.368, 1.829, 2.369",\
"0.31, 0.314, 0.318, 0.368, 0.496, 0.707, 0.984, 1.342, 1.785, 2.316",\
"0.341, 0.336, 0.339, 0.389, 0.504, 0.691, 0.938, 1.265, 1.661, 2.135",\
"0.368, 0.368, 0.37, 0.404, 0.504, 0.655, 0.875, 1.156, 1.507, 1.921",\
"0.393, 0.393, 0.392, 0.417, 0.498, 0.639, 0.841, 1.095, 1.409, 1.794",\
"0.41, 0.404, 0.409, 0.425, 0.491, 0.624, 0.803, 1.047, 1.342, 1.707",\
"0.405, 0.408, 0.403, 0.421, 0.477, 0.593, 0.776, 1.009, 1.301, 1.653",\
"0.396, 0.387, 0.387, 0.397, 0.454, 0.564, 0.74, 0.973, 1.269, 1.621",\
"0.354, 0.349, 0.35, 0.361, 0.404, 0.518, 0.684, 0.918, 1.216, 1.574");
}
}
}
pin(E) {
capacitance : 0.01005 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
min_pulse_width_high : 0.49 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2431, 0.2413, 0.2456, 0.2616, 0.2911, 0.3346, 0.3937, 0.4691, \
0.562, 0.6734");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1513, 0.1499, 0.1493, 0.158, 0.1801, 0.2168, 0.2685, 0.3364, \
0.4211, 0.5238");
}
}
internal_power() {
when : "!D&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1636, 0.1617, 0.1653, 0.1803, 0.2083, 0.2503, 0.3077, 0.3812, \
0.4716, 0.5801");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.002066, -0.003487, -0.002246, 0.008735, 0.03214, 0.06897, 0.1202, \
0.1865, 0.2685, 0.3674");
}
}
internal_power() {
when : "D&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1655, 0.1637, 0.1678, 0.1831, 0.2113, 0.2536, 0.3112, 0.3847, \
0.4752, 0.5837");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.003463, 0.0021, 0.003263, 0.01385, 0.03688, 0.0735, 0.1243, \
0.1903, 0.2722, 0.3708");
}
}
internal_power() {
when : "D&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1655, 0.1638, 0.1678, 0.183, 0.2114, 0.2537, 0.3112, 0.3846, \
0.4753, 0.5839");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.002628, -0.004065, -0.002891, 0.007773, 0.03074, 0.06743, 0.1182, \
0.1843, 0.2662, 0.365");
}
}
timing() {
related_pin : "E" ;
sdf_cond : "ENABLE_NOT_D_AND_SETN === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SETN" ;
rise_constraint(scalar) {
values("0.49");
}
}
timing() {
related_pin : "E" ;
sdf_cond : "ENABLE_D_AND_SETN === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SETN" ;
rise_constraint(scalar) {
values("0.262");
}
}
}
pin(Q) {
direction : output ;
function : "IQ2" ;
max_capacitance : 0.4054 ;
max_transition : 5.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "D" ;
when : "E&SETN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.4735, 0.4724, 0.4718, 0.4722, 0.4726, 0.4729, 0.4731, 0.4734, 0.4734, 0.4736",\
"0.4723, 0.4713, 0.4705, 0.471, 0.4714, 0.4717, 0.4719, 0.472, 0.4723, 0.4724",\
"0.4712, 0.4701, 0.4697, 0.4702, 0.4703, 0.4706, 0.4709, 0.4711, 0.4711, 0.4712",\
"0.4764, 0.4752, 0.4748, 0.4754, 0.4758, 0.476, 0.4761, 0.4762, 0.4764, 0.4764",\
"0.494, 0.4929, 0.4922, 0.4927, 0.4931, 0.4934, 0.4938, 0.4937, 0.4938, 0.4939",\
"0.5243, 0.5235, 0.5228, 0.523, 0.5234, 0.5239, 0.5241, 0.5243, 0.5243, 0.5244",\
"0.5691, 0.5681, 0.5677, 0.568, 0.5682, 0.5685, 0.5688, 0.5689, 0.569, 0.5691",\
"0.6278, 0.6269, 0.6258, 0.6263, 0.6268, 0.627, 0.6273, 0.6276, 0.6276, 0.6277",\
"0.7006, 0.6996, 0.6989, 0.6994, 0.6998, 0.7, 0.7002, 0.7002, 0.7003, 0.7005",\
"0.7879, 0.787, 0.7859, 0.7865, 0.7866, 0.7869, 0.7872, 0.7874, 0.7876, 0.7876");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.3863, 0.3853, 0.3844, 0.386, 0.3868, 0.3887, 0.3907, 0.3924, 0.3942, 0.3956",\
"0.3851, 0.3842, 0.3837, 0.3844, 0.386, 0.3877, 0.3898, 0.3911, 0.3938, 0.3965",\
"0.3839, 0.383, 0.3826, 0.3836, 0.385, 0.3867, 0.3888, 0.3902, 0.3929, 0.3943",\
"0.3887, 0.3877, 0.3872, 0.3885, 0.3901, 0.391, 0.3936, 0.3947, 0.3974, 0.3992",\
"0.4025, 0.4017, 0.4009, 0.4018, 0.403, 0.4052, 0.4073, 0.4086, 0.4113, 0.4127",\
"0.4283, 0.4274, 0.4263, 0.4285, 0.4296, 0.4309, 0.433, 0.4352, 0.4361, 0.4392",\
"0.4665, 0.4656, 0.4645, 0.4655, 0.4681, 0.4698, 0.4709, 0.4725, 0.4743, 0.4761",\
"0.5178, 0.517, 0.5158, 0.5167, 0.518, 0.5203, 0.5227, 0.5243, 0.5252, 0.5265",\
"0.5823, 0.5815, 0.5805, 0.5811, 0.5821, 0.5831, 0.5863, 0.59, 0.5909, 0.5917",\
"0.6597, 0.6588, 0.6578, 0.6585, 0.66, 0.6604, 0.6621, 0.666, 0.6701, 0.6718");
}
}
internal_power() {
related_pin : "E" ;
when : "SETN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.4466, 0.4456, 0.4451, 0.4453, 0.4455, 0.4459, 0.4462, 0.4463, 0.4464, 0.4465",\
"0.4463, 0.4456, 0.4448, 0.4452, 0.4457, 0.446, 0.4462, 0.4464, 0.4465, 0.4465",\
"0.4446, 0.4434, 0.4428, 0.4431, 0.4437, 0.4441, 0.4443, 0.4445, 0.4445, 0.4446",\
"0.4421, 0.4412, 0.4402, 0.4409, 0.4413, 0.4416, 0.4417, 0.442, 0.4421, 0.4421",\
"0.4399, 0.4389, 0.4378, 0.4384, 0.439, 0.4393, 0.4396, 0.4397, 0.4399, 0.4398",\
"0.4392, 0.4384, 0.4376, 0.4381, 0.4384, 0.4387, 0.4389, 0.439, 0.4391, 0.4392",\
"0.4399, 0.4387, 0.4383, 0.4385, 0.4393, 0.4395, 0.4397, 0.4398, 0.4399, 0.44",\
"0.4413, 0.4404, 0.4396, 0.44, 0.4404, 0.4407, 0.4409, 0.441, 0.4411, 0.4412",\
"0.4433, 0.4427, 0.4421, 0.4424, 0.4432, 0.4434, 0.4434, 0.4436, 0.4438, 0.4438",\
"0.4464, 0.4455, 0.4446, 0.4452, 0.4459, 0.446, 0.4462, 0.4464, 0.4465, 0.4466");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.3944, 0.3936, 0.3931, 0.3939, 0.3955, 0.3971, 0.3992, 0.4014, 0.4036, 0.405",\
"0.3946, 0.3936, 0.3933, 0.3942, 0.3957, 0.3967, 0.3992, 0.401, 0.4028, 0.4046",\
"0.3951, 0.3941, 0.3937, 0.3954, 0.3963, 0.3979, 0.4, 0.4016, 0.4039, 0.4044",\
"0.4018, 0.4009, 0.4006, 0.4015, 0.4024, 0.4046, 0.4071, 0.4081, 0.4099, 0.4117",\
"0.4164, 0.4157, 0.4147, 0.4158, 0.4173, 0.4187, 0.4208, 0.4224, 0.4247, 0.4256",\
"0.4433, 0.4424, 0.4413, 0.4434, 0.4442, 0.4449, 0.4479, 0.4492, 0.4506, 0.4546",\
"0.4781, 0.4772, 0.4761, 0.4766, 0.4795, 0.4811, 0.4826, 0.4839, 0.4862, 0.4889",\
"0.5156, 0.5148, 0.5143, 0.515, 0.5165, 0.5193, 0.5219, 0.5235, 0.5245, 0.5249",\
"0.5575, 0.5565, 0.5553, 0.5563, 0.5569, 0.5583, 0.5611, 0.5654, 0.5663, 0.5667",\
"0.6024, 0.6018, 0.6004, 0.6012, 0.6018, 0.6039, 0.6044, 0.6084, 0.6129, 0.6147");
}
}
internal_power() {
related_pin : "SETN" ;
when : "!D&!E" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.4044, 0.4035, 0.4031, 0.4042, 0.4055, 0.4065, 0.4089, 0.4104, 0.4122, 0.4149",\
"0.403, 0.4023, 0.4017, 0.4026, 0.4039, 0.4054, 0.4078, 0.4091, 0.4118, 0.4123",\
"0.4031, 0.4022, 0.4019, 0.403, 0.4042, 0.4053, 0.4079, 0.4101, 0.411, 0.412",\
"0.4155, 0.4146, 0.414, 0.4149, 0.4161, 0.4173, 0.4201, 0.4214, 0.4227, 0.425",\
"0.4431, 0.4421, 0.4412, 0.4412, 0.4424, 0.4434, 0.446, 0.4475, 0.4493, 0.4511",\
"0.4877, 0.4867, 0.4847, 0.4854, 0.4864, 0.4871, 0.4894, 0.491, 0.4924, 0.4951",\
"0.5496, 0.5482, 0.5461, 0.5452, 0.5474, 0.5483, 0.5492, 0.5509, 0.5527, 0.5554",\
"0.6192, 0.6176, 0.6148, 0.6138, 0.6134, 0.6167, 0.6189, 0.6193, 0.6216, 0.6224",\
"0.6946, 0.6926, 0.6901, 0.6881, 0.6878, 0.6889, 0.6921, 0.6945, 0.6954, 0.6976",\
"0.7772, 0.7754, 0.7714, 0.7699, 0.7684, 0.7694, 0.7712, 0.7755, 0.7786, 0.7795");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.4044, 0.4035, 0.4031, 0.4042, 0.4055, 0.4065, 0.4089, 0.4104, 0.4122, 0.4149",\
"0.403, 0.4023, 0.4017, 0.4026, 0.4039, 0.4054, 0.4078, 0.4091, 0.4118, 0.4123",\
"0.4031, 0.4022, 0.4019, 0.403, 0.4042, 0.4053, 0.4079, 0.4101, 0.411, 0.412",\
"0.4155, 0.4146, 0.414, 0.4149, 0.4161, 0.4173, 0.4201, 0.4214, 0.4227, 0.425",\
"0.4431, 0.4421, 0.4412, 0.4412, 0.4424, 0.4434, 0.446, 0.4475, 0.4493, 0.4511",\
"0.4877, 0.4867, 0.4847, 0.4854, 0.4864, 0.4871, 0.4894, 0.491, 0.4924, 0.4951",\
"0.5496, 0.5482, 0.5461, 0.5452, 0.5474, 0.5483, 0.5492, 0.5509, 0.5527, 0.5554",\
"0.6192, 0.6176, 0.6148, 0.6138, 0.6134, 0.6167, 0.6189, 0.6193, 0.6216, 0.6224",\
"0.6946, 0.6926, 0.6901, 0.6881, 0.6878, 0.6889, 0.6921, 0.6945, 0.6954, 0.6976",\
"0.7772, 0.7754, 0.7714, 0.7699, 0.7684, 0.7694, 0.7712, 0.7755, 0.7786, 0.7795");
}
}
internal_power() {
related_pin : "SETN" ;
when : "!D&E" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.2445, 0.2436, 0.2432, 0.2437, 0.2442, 0.2445, 0.2447, 0.2449, 0.245, 0.245",\
"0.2432, 0.2424, 0.2419, 0.2422, 0.243, 0.243, 0.2433, 0.2435, 0.2436, 0.2436",\
"0.2431, 0.2423, 0.2419, 0.2421, 0.2425, 0.2429, 0.2432, 0.2433, 0.2435, 0.2435",\
"0.2531, 0.2522, 0.2516, 0.2523, 0.2526, 0.2528, 0.2531, 0.2532, 0.2533, 0.2534",\
"0.2759, 0.2746, 0.2739, 0.2743, 0.2746, 0.2748, 0.275, 0.2751, 0.2753, 0.2754",\
"0.3123, 0.3108, 0.3096, 0.3099, 0.3104, 0.3107, 0.3109, 0.311, 0.3112, 0.3112",\
"0.3637, 0.3624, 0.361, 0.3608, 0.3606, 0.3609, 0.3614, 0.3615, 0.3614, 0.3615",\
"0.4303, 0.4288, 0.4266, 0.4261, 0.4263, 0.4265, 0.4267, 0.4267, 0.4267, 0.4268",\
"0.5132, 0.5111, 0.5088, 0.5074, 0.5073, 0.5075, 0.5076, 0.5076, 0.5078, 0.5079",\
"0.6123, 0.6101, 0.607, 0.6057, 0.6052, 0.6055, 0.6056, 0.6055, 0.6056, 0.6055");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.3417, 0.3411, 0.3407, 0.3414, 0.3434, 0.345, 0.3474, 0.3485, 0.3517, 0.354",\
"0.3403, 0.3397, 0.3394, 0.3405, 0.342, 0.3434, 0.3455, 0.3472, 0.3503, 0.3517",\
"0.3405, 0.3396, 0.3395, 0.3407, 0.3423, 0.3434, 0.3458, 0.3476, 0.3494, 0.3526",\
"0.3525, 0.3518, 0.3511, 0.3516, 0.3531, 0.3548, 0.357, 0.3584, 0.3607, 0.363",\
"0.3788, 0.3775, 0.3774, 0.3767, 0.3785, 0.3794, 0.382, 0.3841, 0.3863, 0.3882",\
"0.42, 0.4192, 0.4171, 0.4178, 0.4184, 0.4194, 0.4223, 0.4241, 0.4255, 0.4269",\
"0.4773, 0.4755, 0.4739, 0.4729, 0.4748, 0.4763, 0.478, 0.4786, 0.4804, 0.483",\
"0.5505, 0.5489, 0.5469, 0.5462, 0.5457, 0.5487, 0.5511, 0.5515, 0.5542, 0.5555",\
"0.6409, 0.6393, 0.6369, 0.6352, 0.6351, 0.6354, 0.6392, 0.641, 0.6424, 0.6446",\
"0.7491, 0.7474, 0.7437, 0.7415, 0.74, 0.7407, 0.742, 0.7472, 0.7499, 0.7508");
}
}
internal_power() {
related_pin : "SETN" ;
when : "D&!E" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.4044, 0.4034, 0.4032, 0.404, 0.4053, 0.407, 0.4087, 0.4103, 0.4121, 0.4149",\
"0.403, 0.4023, 0.4018, 0.4026, 0.4039, 0.4054, 0.4078, 0.4091, 0.4118, 0.4127",\
"0.4031, 0.4023, 0.4019, 0.403, 0.4042, 0.4055, 0.4083, 0.4096, 0.411, 0.4124",\
"0.4157, 0.4147, 0.414, 0.4151, 0.4162, 0.4175, 0.4198, 0.4218, 0.4228, 0.4255",\
"0.4432, 0.4418, 0.4408, 0.4414, 0.4425, 0.444, 0.4462, 0.4474, 0.4497, 0.452",\
"0.4882, 0.4868, 0.4854, 0.486, 0.4866, 0.4874, 0.4899, 0.492, 0.4934, 0.4952",\
"0.5495, 0.5482, 0.5459, 0.5454, 0.5468, 0.5488, 0.5496, 0.5509, 0.5527, 0.5553",\
"0.6189, 0.6174, 0.6147, 0.6133, 0.6131, 0.6171, 0.6189, 0.6198, 0.6216, 0.6215",\
"0.6948, 0.6931, 0.6898, 0.6892, 0.6892, 0.6896, 0.6927, 0.6949, 0.6967, 0.6984",\
"0.7772, 0.7756, 0.7719, 0.7697, 0.7695, 0.7706, 0.7707, 0.7763, 0.7791, 0.7808");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.4044, 0.4034, 0.4032, 0.404, 0.4053, 0.407, 0.4087, 0.4103, 0.4121, 0.4149",\
"0.403, 0.4023, 0.4018, 0.4026, 0.4039, 0.4054, 0.4078, 0.4091, 0.4118, 0.4127",\
"0.4031, 0.4023, 0.4019, 0.403, 0.4042, 0.4055, 0.4083, 0.4096, 0.411, 0.4124",\
"0.4157, 0.4147, 0.414, 0.4151, 0.4162, 0.4175, 0.4198, 0.4218, 0.4228, 0.4255",\
"0.4432, 0.4418, 0.4408, 0.4414, 0.4425, 0.444, 0.4462, 0.4474, 0.4497, 0.452",\
"0.4882, 0.4868, 0.4854, 0.486, 0.4866, 0.4874, 0.4899, 0.492, 0.4934, 0.4952",\
"0.5495, 0.5482, 0.5459, 0.5454, 0.5468, 0.5488, 0.5496, 0.5509, 0.5527, 0.5553",\
"0.6189, 0.6174, 0.6147, 0.6133, 0.6131, 0.6171, 0.6189, 0.6198, 0.6216, 0.6215",\
"0.6948, 0.6931, 0.6898, 0.6892, 0.6892, 0.6896, 0.6927, 0.6949, 0.6967, 0.6984",\
"0.7772, 0.7756, 0.7719, 0.7697, 0.7695, 0.7706, 0.7707, 0.7763, 0.7791, 0.7808");
}
}
timing() {
related_pin : "D" ;
timing_sense : positive_unate ;
timing_type : combinational ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.7338, 0.7537, 0.8102, 0.9015, 1.037, 1.226, 1.473, 1.786, 2.167, 2.621",\
"0.7403, 0.7601, 0.8165, 0.9081, 1.044, 1.232, 1.48, 1.792, 2.173, 2.627",\
"0.7608, 0.7806, 0.8372, 0.9287, 1.064, 1.253, 1.501, 1.813, 2.194, 2.648",\
"0.8069, 0.8268, 0.8834, 0.9749, 1.11, 1.299, 1.547, 1.859, 2.24, 2.694",\
"0.8881, 0.9079, 0.9645, 1.056, 1.191, 1.38, 1.628, 1.94, 2.321, 2.775",\
"1, 1.02, 1.077, 1.169, 1.304, 1.493, 1.74, 2.053, 2.434, 2.888",\
"1.144, 1.163, 1.22, 1.312, 1.447, 1.635, 1.883, 2.196, 2.577, 3.031",\
"1.312, 1.332, 1.388, 1.48, 1.616, 1.804, 2.052, 2.364, 2.745, 3.199",\
"1.504, 1.524, 1.58, 1.672, 1.807, 1.996, 2.244, 2.556, 2.937, 3.391",\
"1.718, 1.738, 1.795, 1.886, 2.022, 2.21, 2.458, 2.77, 3.151, 3.606");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.5704, 0.5928, 0.6656, 0.8045, 1.02, 1.322, 1.719, 2.219, 2.83, 3.558",\
"0.5751, 0.5976, 0.6707, 0.8091, 1.025, 1.327, 1.724, 2.225, 2.833, 3.563",\
"0.5888, 0.6113, 0.6845, 0.8229, 1.039, 1.341, 1.738, 2.238, 2.848, 3.577",\
"0.6163, 0.6387, 0.7118, 0.8503, 1.066, 1.368, 1.766, 2.266, 2.875, 3.604",\
"0.6463, 0.6687, 0.7417, 0.8802, 1.096, 1.398, 1.795, 2.295, 2.905, 3.634",\
"0.669, 0.6914, 0.7645, 0.903, 1.118, 1.421, 1.818, 2.318, 2.928, 3.655",\
"0.6784, 0.7011, 0.7738, 0.9126, 1.128, 1.43, 1.827, 2.327, 2.937, 3.664",\
"0.6728, 0.6952, 0.768, 0.9064, 1.122, 1.424, 1.821, 2.321, 2.931, 3.658",\
"0.6491, 0.6717, 0.7447, 0.8827, 1.098, 1.4, 1.797, 2.297, 2.907, 3.634",\
"0.6064, 0.6289, 0.7019, 0.8402, 1.056, 1.358, 1.754, 2.254, 2.864, 3.591");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.06628, 0.0877, 0.1547, 0.2837, 0.4982, 0.8036, 1.208, 1.718, 2.338, 3.085",\
"0.06636, 0.08786, 0.1549, 0.2838, 0.497, 0.804, 1.208, 1.717, 2.339, 3.083",\
"0.06634, 0.08778, 0.1549, 0.2843, 0.4974, 0.8036, 1.207, 1.718, 2.338, 3.082",\
"0.0664, 0.0878, 0.155, 0.284, 0.4982, 0.8032, 1.208, 1.718, 2.342, 3.084",\
"0.0664, 0.0878, 0.155, 0.2836, 0.4982, 0.804, 1.208, 1.719, 2.338, 3.083",\
"0.0664, 0.088, 0.1548, 0.2838, 0.4972, 0.8038, 1.208, 1.717, 2.341, 3.082",\
"0.0662, 0.088, 0.1552, 0.284, 0.4972, 0.8028, 1.207, 1.716, 2.342, 3.08",\
"0.0666, 0.0882, 0.155, 0.2846, 0.4978, 0.803, 1.207, 1.716, 2.34, 3.079",\
"0.067, 0.0884, 0.155, 0.284, 0.498, 0.804, 1.208, 1.718, 2.338, 3.081",\
"0.0672, 0.0886, 0.1552, 0.2842, 0.4974, 0.803, 1.208, 1.716, 2.338, 3.079");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.0752, 0.1071, 0.2252, 0.4616, 0.8306, 1.35, 2.033, 2.892, 3.948, 5.196",\
"0.0753, 0.1072, 0.2254, 0.4616, 0.8311, 1.351, 2.033, 2.894, 3.944, 5.204",\
"0.0755, 0.1072, 0.2253, 0.4617, 0.8311, 1.351, 2.033, 2.892, 3.947, 5.196",\
"0.07544, 0.1073, 0.2254, 0.4616, 0.831, 1.35, 2.033, 2.894, 3.942, 5.204",\
"0.0756, 0.1076, 0.2254, 0.4614, 0.8318, 1.35, 2.033, 2.893, 3.946, 5.195",\
"0.0754, 0.1074, 0.2254, 0.4612, 0.832, 1.351, 2.033, 2.893, 3.943, 5.196",\
"0.0756, 0.1072, 0.2252, 0.4614, 0.8318, 1.35, 2.033, 2.891, 3.942, 5.193",\
"0.0758, 0.1076, 0.2258, 0.4616, 0.8318, 1.349, 2.03, 2.891, 3.944, 5.193",\
"0.076, 0.1076, 0.2254, 0.462, 0.8316, 1.351, 2.031, 2.889, 3.937, 5.197",\
"0.076, 0.1074, 0.2256, 0.4622, 0.831, 1.349, 2.032, 2.889, 3.938, 5.187");
}
}
timing() {
related_pin : "E" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.7888, 0.8086, 0.8651, 0.9566, 1.092, 1.281, 1.529, 1.841, 2.222, 2.676",\
"0.796, 0.8158, 0.8723, 0.9638, 1.099, 1.288, 1.536, 1.848, 2.229, 2.683",\
"0.8182, 0.838, 0.8944, 0.986, 1.122, 1.31, 1.558, 1.87, 2.251, 2.706",\
"0.8445, 0.8643, 0.9208, 1.012, 1.148, 1.336, 1.584, 1.896, 2.277, 2.732",\
"0.8706, 0.8904, 0.9469, 1.038, 1.174, 1.362, 1.61, 1.922, 2.304, 2.758",\
"0.8907, 0.9105, 0.9671, 1.059, 1.194, 1.383, 1.63, 1.943, 2.324, 2.778",\
"0.9028, 0.9227, 0.9792, 1.071, 1.206, 1.395, 1.642, 1.955, 2.336, 2.79",\
"0.9045, 0.9242, 0.9807, 1.072, 1.208, 1.396, 1.644, 1.956, 2.337, 2.792",\
"0.8961, 0.9158, 0.9722, 1.064, 1.199, 1.388, 1.636, 1.948, 2.329, 2.783",\
"0.8749, 0.8947, 0.9511, 1.043, 1.179, 1.367, 1.615, 1.928, 2.308, 2.763");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.5347, 0.557, 0.6304, 0.7689, 0.9845, 1.286, 1.684, 2.184, 2.793, 3.522",\
"0.542, 0.5643, 0.6375, 0.7759, 0.9916, 1.294, 1.691, 2.19, 2.802, 3.528",\
"0.5674, 0.5898, 0.6631, 0.8015, 1.017, 1.319, 1.717, 2.217, 2.826, 3.555",\
"0.6096, 0.632, 0.7053, 0.8437, 1.059, 1.362, 1.759, 2.259, 2.87, 3.596",\
"0.645, 0.6674, 0.7403, 0.8787, 1.094, 1.397, 1.794, 2.294, 2.905, 3.631",\
"0.6705, 0.6929, 0.7658, 0.9041, 1.12, 1.421, 1.819, 2.319, 2.93, 3.658",\
"0.681, 0.7034, 0.7764, 0.9145, 1.13, 1.432, 1.829, 2.329, 2.94, 3.666",\
"0.6737, 0.6961, 0.7693, 0.9076, 1.123, 1.425, 1.822, 2.322, 2.932, 3.659",\
"0.6459, 0.6682, 0.7412, 0.8795, 1.095, 1.397, 1.794, 2.293, 2.904, 3.63",\
"0.596, 0.6189, 0.6919, 0.83, 1.046, 1.347, 1.744, 2.244, 2.854, 3.581");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.06648, 0.08792, 0.1547, 0.2838, 0.497, 0.8034, 1.208, 1.718, 2.34, 3.08",\
"0.06624, 0.08792, 0.1548, 0.2839, 0.4982, 0.8034, 1.208, 1.717, 2.34, 3.081",\
"0.06646, 0.08792, 0.1547, 0.2838, 0.4982, 0.8036, 1.208, 1.718, 2.34, 3.079",\
"0.0664, 0.088, 0.1548, 0.2836, 0.4982, 0.8032, 1.207, 1.717, 2.34, 3.084",\
"0.0666, 0.088, 0.1548, 0.2842, 0.4974, 0.8034, 1.208, 1.717, 2.34, 3.084",\
"0.0662, 0.0878, 0.1544, 0.2842, 0.4976, 0.803, 1.208, 1.718, 2.342, 3.08",\
"0.0664, 0.0876, 0.1548, 0.2844, 0.498, 0.8026, 1.207, 1.717, 2.34, 3.081",\
"0.0664, 0.088, 0.1548, 0.284, 0.4972, 0.803, 1.207, 1.716, 2.338, 3.08",\
"0.0664, 0.088, 0.1546, 0.2842, 0.498, 0.8038, 1.207, 1.716, 2.338, 3.078",\
"0.0664, 0.088, 0.1546, 0.2836, 0.4982, 0.8034, 1.208, 1.716, 2.338, 3.079");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.07544, 0.1073, 0.2257, 0.4618, 0.831, 1.351, 2.033, 2.894, 3.942, 5.204",\
"0.07558, 0.1073, 0.2253, 0.4616, 0.8311, 1.352, 2.033, 2.892, 3.944, 5.199",\
"0.07548, 0.1071, 0.2254, 0.4617, 0.8311, 1.352, 2.033, 2.893, 3.945, 5.204",\
"0.07548, 0.1073, 0.2251, 0.4615, 0.8314, 1.35, 2.036, 2.898, 3.944, 5.2",\
"0.0756, 0.1074, 0.2254, 0.4616, 0.831, 1.349, 2.035, 2.898, 3.95, 5.201",\
"0.0756, 0.1074, 0.2254, 0.4616, 0.8314, 1.352, 2.035, 2.898, 3.95, 5.204",\
"0.0756, 0.1074, 0.2254, 0.4614, 0.8318, 1.349, 2.034, 2.895, 3.949, 5.197",\
"0.0758, 0.1078, 0.2256, 0.4614, 0.8318, 1.35, 2.03, 2.891, 3.947, 5.192",\
"0.076, 0.1074, 0.2254, 0.4616, 0.8312, 1.349, 2.03, 2.889, 3.939, 5.188",\
"0.076, 0.1076, 0.2256, 0.4622, 0.8322, 1.349, 2.03, 2.89, 3.94, 5.187");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "D===1'b0 && E===1'b0" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "!D&!E" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.3395, 0.3618, 0.435, 0.5734, 0.789, 1.091, 1.488, 1.988, 2.599, 3.325",\
"0.3485, 0.3709, 0.444, 0.5824, 0.7979, 1.1, 1.497, 1.997, 2.608, 3.335",\
"0.3847, 0.407, 0.4802, 0.6186, 0.8341, 1.136, 1.533, 2.034, 2.643, 3.371",\
"0.4547, 0.477, 0.5501, 0.6883, 0.9038, 1.206, 1.603, 2.103, 2.713, 3.44",\
"0.541, 0.5634, 0.6363, 0.774, 0.9895, 1.292, 1.689, 2.188, 2.799, 3.527",\
"0.6393, 0.6619, 0.7343, 0.8723, 1.087, 1.389, 1.786, 2.287, 2.897, 3.625",\
"0.7476, 0.7702, 0.8428, 0.98, 1.195, 1.497, 1.893, 2.394, 3.004, 3.731",\
"0.8636, 0.8863, 0.9586, 1.096, 1.31, 1.612, 2.009, 2.509, 3.118, 3.846",\
"0.9862, 1.009, 1.082, 1.218, 1.432, 1.734, 2.131, 2.631, 3.24, 3.967",\
"1.117, 1.14, 1.212, 1.348, 1.563, 1.864, 2.261, 2.76, 3.37, 4.097");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.07524, 0.1069, 0.2253, 0.4619, 0.8312, 1.349, 2.032, 2.893, 3.943, 5.194",\
"0.075, 0.1069, 0.2253, 0.4613, 0.8309, 1.352, 2.035, 2.897, 3.95, 5.195",\
"0.07518, 0.107, 0.2253, 0.4616, 0.8321, 1.349, 2.035, 2.896, 3.941, 5.201",\
"0.0754, 0.1071, 0.2254, 0.4619, 0.8316, 1.352, 2.035, 2.892, 3.942, 5.198",\
"0.0762, 0.1078, 0.2256, 0.4618, 0.8322, 1.349, 2.036, 2.892, 3.941, 5.199",\
"0.0774, 0.1086, 0.2258, 0.4614, 0.8302, 1.351, 2.036, 2.896, 3.948, 5.195",\
"0.0782, 0.1098, 0.226, 0.4618, 0.8312, 1.348, 2.031, 2.897, 3.943, 5.197",\
"0.0798, 0.111, 0.2266, 0.4612, 0.8312, 1.35, 2.031, 2.893, 3.94, 5.191",\
"0.0814, 0.1122, 0.2268, 0.4622, 0.8318, 1.349, 2.032, 2.889, 3.942, 5.191",\
"0.0826, 0.1132, 0.2274, 0.4622, 0.8308, 1.35, 2.032, 2.889, 3.937, 5.19");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "D===1'b0 && E===1'b1" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "!D&E" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.3688, 0.3886, 0.4451, 0.5366, 0.672, 0.8606, 1.108, 1.421, 1.802, 2.256",\
"0.3745, 0.3942, 0.4508, 0.5421, 0.6776, 0.8661, 1.114, 1.426, 1.807, 2.262",\
"0.3996, 0.4193, 0.4759, 0.5672, 0.7027, 0.8913, 1.139, 1.451, 1.833, 2.287",\
"0.4501, 0.4698, 0.5263, 0.6177, 0.7532, 0.9418, 1.19, 1.502, 1.883, 2.337",\
"0.5041, 0.5239, 0.5805, 0.6721, 0.8076, 0.9961, 1.244, 1.556, 1.937, 2.392",\
"0.5583, 0.5782, 0.6351, 0.7267, 0.8623, 1.051, 1.299, 1.611, 1.992, 2.446",\
"0.6119, 0.6319, 0.689, 0.7809, 0.9165, 1.105, 1.353, 1.665, 2.046, 2.5",\
"0.6603, 0.6808, 0.7382, 0.8305, 0.9661, 1.155, 1.402, 1.715, 2.096, 2.55",\
"0.7034, 0.7239, 0.7818, 0.8744, 1.01, 1.198, 1.446, 1.758, 2.139, 2.594",\
"0.7396, 0.76, 0.8184, 0.9111, 1.047, 1.235, 1.483, 1.795, 2.176, 2.63");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.3384, 0.3609, 0.4341, 0.5726, 0.7884, 1.091, 1.488, 1.988, 2.6, 3.328",\
"0.3475, 0.3699, 0.4432, 0.5816, 0.7974, 1.1, 1.496, 1.997, 2.607, 3.334",\
"0.3834, 0.4059, 0.4793, 0.6178, 0.8336, 1.136, 1.533, 2.034, 2.644, 3.372",\
"0.4536, 0.476, 0.549, 0.6874, 0.9028, 1.205, 1.603, 2.103, 2.714, 3.44",\
"0.5395, 0.5616, 0.635, 0.7728, 0.9882, 1.29, 1.687, 2.188, 2.798, 3.525",\
"0.6368, 0.6597, 0.7324, 0.8701, 1.085, 1.387, 1.784, 2.285, 2.896, 3.623",\
"0.744, 0.7665, 0.8398, 0.977, 1.192, 1.494, 1.89, 2.391, 3.002, 3.729",\
"0.8589, 0.8821, 0.9554, 1.093, 1.307, 1.609, 2.005, 2.505, 3.115, 3.844",\
"0.9819, 1.006, 1.079, 1.215, 1.43, 1.731, 2.128, 2.627, 3.238, 3.964",\
"1.113, 1.137, 1.21, 1.346, 1.56, 1.861, 2.258, 2.758, 3.367, 4.095");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.06582, 0.08738, 0.1548, 0.2836, 0.4981, 0.8033, 1.208, 1.718, 2.34, 3.081",\
"0.06592, 0.08734, 0.1545, 0.2836, 0.4981, 0.8034, 1.208, 1.719, 2.34, 3.08",\
"0.06592, 0.08734, 0.1545, 0.2836, 0.4971, 0.8034, 1.208, 1.717, 2.343, 3.08",\
"0.06608, 0.08762, 0.1546, 0.2845, 0.4978, 0.8034, 1.207, 1.717, 2.339, 3.085",\
"0.06688, 0.08834, 0.1552, 0.2842, 0.4978, 0.8036, 1.207, 1.716, 2.339, 3.085",\
"0.0678, 0.0894, 0.1558, 0.2844, 0.4976, 0.8028, 1.207, 1.716, 2.341, 3.079",\
"0.0694, 0.0906, 0.157, 0.2852, 0.498, 0.8034, 1.207, 1.716, 2.34, 3.084",\
"0.0708, 0.0924, 0.1588, 0.286, 0.4986, 0.8034, 1.207, 1.716, 2.337, 3.08",\
"0.0732, 0.0944, 0.1604, 0.2872, 0.4988, 0.8042, 1.207, 1.717, 2.338, 3.079",\
"0.0754, 0.097, 0.1626, 0.2884, 0.4996, 0.8036, 1.208, 1.717, 2.338, 3.078");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.07522, 0.1069, 0.2252, 0.4615, 0.8312, 1.352, 2.033, 2.893, 3.951, 5.206",\
"0.0754, 0.107, 0.2253, 0.4614, 0.8313, 1.35, 2.034, 2.899, 3.946, 5.203",\
"0.07524, 0.1068, 0.2252, 0.4616, 0.8312, 1.352, 2.037, 2.894, 3.952, 5.207",\
"0.07536, 0.107, 0.2252, 0.4617, 0.8307, 1.352, 2.037, 2.894, 3.952, 5.203",\
"0.0762, 0.108, 0.226, 0.4612, 0.831, 1.352, 2.032, 2.897, 3.944, 5.203",\
"0.0776, 0.1088, 0.2256, 0.4614, 0.8306, 1.351, 2.034, 2.894, 3.951, 5.206",\
"0.0788, 0.1104, 0.2264, 0.4614, 0.832, 1.349, 2.034, 2.892, 3.948, 5.202",\
"0.0808, 0.1118, 0.227, 0.4624, 0.8312, 1.349, 2.032, 2.889, 3.94, 5.194",\
"0.0828, 0.1136, 0.2276, 0.4622, 0.8306, 1.35, 2.031, 2.89, 3.938, 5.19",\
"0.085, 0.1154, 0.2286, 0.4624, 0.8322, 1.349, 2.032, 2.89, 3.938, 5.188");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "D===1'b1 && E===1'b0" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "D&!E" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.3395, 0.3618, 0.435, 0.5733, 0.7889, 1.091, 1.488, 1.988, 2.599, 3.325",\
"0.3485, 0.3709, 0.444, 0.5824, 0.7979, 1.1, 1.497, 1.997, 2.608, 3.335",\
"0.3847, 0.407, 0.4802, 0.6186, 0.8342, 1.136, 1.534, 2.033, 2.643, 3.371",\
"0.4546, 0.477, 0.55, 0.6883, 0.9038, 1.206, 1.603, 2.103, 2.714, 3.441",\
"0.5411, 0.5633, 0.6361, 0.7743, 0.9896, 1.292, 1.689, 2.189, 2.799, 3.527",\
"0.6395, 0.6621, 0.7348, 0.8725, 1.088, 1.389, 1.787, 2.287, 2.897, 3.625",\
"0.7475, 0.7702, 0.8428, 0.9801, 1.195, 1.497, 1.893, 2.393, 3.004, 3.732",\
"0.8633, 0.8861, 0.9586, 1.096, 1.31, 1.612, 2.009, 2.509, 3.119, 3.848",\
"0.9869, 1.01, 1.082, 1.219, 1.433, 1.735, 2.131, 2.631, 3.242, 3.969",\
"1.117, 1.14, 1.212, 1.348, 1.563, 1.864, 2.261, 2.76, 3.371, 4.098");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.07526, 0.107, 0.2253, 0.4618, 0.832, 1.35, 2.033, 2.893, 3.943, 5.195",\
"0.07508, 0.1069, 0.2253, 0.4613, 0.8309, 1.352, 2.035, 2.897, 3.95, 5.195",\
"0.0752, 0.107, 0.2253, 0.4619, 0.8307, 1.352, 2.035, 2.892, 3.941, 5.199",\
"0.07534, 0.1072, 0.2253, 0.4614, 0.8317, 1.352, 2.035, 2.896, 3.948, 5.195",\
"0.0764, 0.108, 0.2254, 0.4616, 0.8308, 1.352, 2.032, 2.897, 3.946, 5.195",\
"0.0772, 0.1086, 0.2258, 0.4614, 0.8308, 1.351, 2.036, 2.895, 3.947, 5.194",\
"0.0788, 0.1098, 0.226, 0.4618, 0.8306, 1.348, 2.031, 2.896, 3.943, 5.199",\
"0.08, 0.1112, 0.2264, 0.462, 0.8308, 1.349, 2.031, 2.891, 3.94, 5.202",\
"0.0814, 0.1118, 0.2268, 0.4622, 0.8304, 1.35, 2.03, 2.89, 3.942, 5.191",\
"0.0828, 0.1132, 0.2272, 0.4618, 0.832, 1.35, 2.032, 2.89, 3.938, 5.196");
}
}
timing() {
related_pin : "SETN" ;
timing_sense : negative_unate ;
timing_type : preset ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.3688, 0.3886, 0.4451, 0.5366, 0.672, 0.8606, 1.108, 1.421, 1.802, 2.256",\
"0.3745, 0.3942, 0.4508, 0.5421, 0.6776, 0.8661, 1.114, 1.426, 1.807, 2.262",\
"0.3996, 0.4193, 0.4759, 0.5672, 0.7027, 0.8913, 1.139, 1.451, 1.833, 2.287",\
"0.4501, 0.4698, 0.5263, 0.6177, 0.7532, 0.9418, 1.19, 1.502, 1.883, 2.337",\
"0.5041, 0.5239, 0.5805, 0.6721, 0.8076, 0.9961, 1.244, 1.556, 1.937, 2.392",\
"0.5583, 0.5782, 0.6351, 0.7267, 0.8623, 1.051, 1.299, 1.611, 1.992, 2.446",\
"0.6119, 0.6319, 0.689, 0.7809, 0.9165, 1.105, 1.353, 1.665, 2.046, 2.5",\
"0.6603, 0.6808, 0.7382, 0.8305, 0.9661, 1.155, 1.402, 1.715, 2.096, 2.55",\
"0.7034, 0.7239, 0.7818, 0.8744, 1.01, 1.198, 1.446, 1.758, 2.139, 2.594",\
"0.7396, 0.76, 0.8184, 0.9111, 1.047, 1.235, 1.483, 1.795, 2.176, 2.63");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.3395, 0.3618, 0.435, 0.5733, 0.7889, 1.091, 1.488, 1.988, 2.599, 3.325",\
"0.3485, 0.3709, 0.444, 0.5824, 0.7979, 1.1, 1.497, 1.997, 2.608, 3.335",\
"0.3847, 0.407, 0.4802, 0.6186, 0.8342, 1.136, 1.534, 2.033, 2.643, 3.371",\
"0.4546, 0.477, 0.55, 0.6883, 0.9038, 1.206, 1.603, 2.103, 2.714, 3.441",\
"0.5411, 0.5633, 0.6361, 0.7743, 0.9896, 1.292, 1.689, 2.189, 2.799, 3.527",\
"0.6395, 0.6621, 0.7348, 0.8725, 1.088, 1.389, 1.787, 2.287, 2.897, 3.625",\
"0.7475, 0.7702, 0.8428, 0.9801, 1.195, 1.497, 1.893, 2.393, 3.004, 3.732",\
"0.8633, 0.8861, 0.9586, 1.096, 1.31, 1.612, 2.009, 2.509, 3.119, 3.848",\
"0.9869, 1.01, 1.082, 1.219, 1.433, 1.735, 2.131, 2.631, 3.242, 3.969",\
"1.117, 1.14, 1.212, 1.348, 1.563, 1.864, 2.261, 2.76, 3.371, 4.098");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.06582, 0.08738, 0.1548, 0.2836, 0.4981, 0.8033, 1.208, 1.718, 2.34, 3.081",\
"0.06592, 0.08734, 0.1545, 0.2836, 0.4981, 0.8034, 1.208, 1.719, 2.34, 3.08",\
"0.06592, 0.08734, 0.1545, 0.2836, 0.4971, 0.8034, 1.208, 1.717, 2.343, 3.08",\
"0.06608, 0.08762, 0.1546, 0.2845, 0.4978, 0.8034, 1.207, 1.717, 2.339, 3.085",\
"0.06688, 0.08834, 0.1552, 0.2842, 0.4978, 0.8036, 1.207, 1.716, 2.339, 3.085",\
"0.0678, 0.0894, 0.1558, 0.2844, 0.4976, 0.8028, 1.207, 1.716, 2.341, 3.079",\
"0.0694, 0.0906, 0.157, 0.2852, 0.498, 0.8034, 1.207, 1.716, 2.34, 3.084",\
"0.0708, 0.0924, 0.1588, 0.286, 0.4986, 0.8034, 1.207, 1.716, 2.337, 3.08",\
"0.0732, 0.0944, 0.1604, 0.2872, 0.4988, 0.8042, 1.207, 1.717, 2.338, 3.079",\
"0.0754, 0.097, 0.1626, 0.2884, 0.4996, 0.8036, 1.208, 1.717, 2.338, 3.078");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003791, 0.01359, 0.0323, 0.06145, 0.1024, 0.1562, 0.224, 0.3068, 0.4054");
values("0.07522, 0.1069, 0.2252, 0.4615, 0.8312, 1.352, 2.033, 2.893, 3.951, 5.206",\
"0.0754, 0.107, 0.2253, 0.4614, 0.8313, 1.35, 2.034, 2.899, 3.946, 5.203",\
"0.07524, 0.1068, 0.2252, 0.4616, 0.8312, 1.352, 2.037, 2.894, 3.952, 5.207",\
"0.07536, 0.107, 0.2252, 0.4617, 0.8307, 1.352, 2.037, 2.894, 3.952, 5.203",\
"0.0762, 0.108, 0.226, 0.4612, 0.831, 1.352, 2.032, 2.897, 3.944, 5.203",\
"0.0776, 0.1088, 0.2256, 0.4614, 0.8306, 1.351, 2.034, 2.894, 3.951, 5.206",\
"0.0788, 0.1104, 0.2264, 0.4614, 0.832, 1.349, 2.034, 2.892, 3.948, 5.202",\
"0.0808, 0.1118, 0.227, 0.4624, 0.8312, 1.349, 2.032, 2.889, 3.94, 5.194",\
"0.0828, 0.1136, 0.2276, 0.4622, 0.8306, 1.35, 2.031, 2.89, 3.938, 5.19",\
"0.085, 0.1154, 0.2286, 0.4624, 0.8322, 1.349, 2.032, 2.89, 3.938, 5.188");
}
}
}
pin(SETN) {
capacitance : 0.005144 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
min_pulse_width_low : 0.249 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!E" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.03928, 0.03924, 0.03908, 0.03909, 0.03907, 0.03917, 0.03914, \
0.03913, 0.03913, 0.03914");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.03046, -0.0304, -0.0304, -0.03039, -0.03037, -0.03039, -0.03046, \
-0.03051, -0.03054, -0.0306");
}
}
internal_power() {
when : "D&!E" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.03932, 0.03921, 0.0391, 0.03904, 0.03909, 0.03905, 0.03917, \
0.03912, 0.03916, 0.03914");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.03046, -0.0304, -0.0304, -0.03039, -0.03037, -0.03039, -0.03046, \
-0.03051, -0.03054, -0.03061");
}
}
internal_power() {
when : "D&E" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.03928, 0.03914, 0.03911, 0.03906, 0.03911, 0.03908, 0.03915, \
0.03915, 0.03918, 0.03914");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.03047, -0.03041, -0.03036, -0.0304, -0.03037, -0.0304, -0.0305, \
-0.03054, -0.03054, -0.03055");
}
}
timing() {
related_pin : "E" ;
timing_type : recovery_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.006, -0.014, -0.057, -0.123, -0.202, -0.288, -0.383, -0.487, -0.603, -0.741",\
"0.001, -0.007, -0.05, -0.113, -0.196, -0.277, -0.376, -0.482, -0.596, -0.73",\
"0.021, 0.012, -0.03, -0.096, -0.173, -0.26, -0.357, -0.465, -0.587, -0.715",\
"0.043, 0.037, -0.003, -0.068, -0.142, -0.23, -0.331, -0.438, -0.56, -0.693",\
"0.07, 0.06, 0.021, -0.04, -0.113, -0.209, -0.304, -0.412, -0.536, -0.668",\
"0.1, 0.093, 0.053, -0.006, -0.087, -0.177, -0.27, -0.384, -0.506, -0.638",\
"0.131, 0.126, 0.086, 0.028, -0.048, -0.134, -0.235, -0.347, -0.47, -0.605",\
"0.161, 0.154, 0.115, 0.062, -0.021, -0.107, -0.207, -0.31, -0.433, -0.566",\
"0.188, 0.178, 0.141, 0.083, 0.009, -0.076, -0.177, -0.288, -0.41, -0.544",\
"0.207, 0.193, 0.159, 0.099, 0.029, -0.054, -0.157, -0.265, -0.387, -0.519");
}
}
timing() {
related_pin : "E" ;
timing_type : removal_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.086, 0.097, 0.135, 0.195, 0.272, 0.363, 0.464, 0.576, 0.702, 0.837",\
"0.082, 0.092, 0.125, 0.19, 0.269, 0.362, 0.459, 0.573, 0.696, 0.834",\
"0.057, 0.073, 0.106, 0.168, 0.248, 0.334, 0.437, 0.551, 0.675, 0.814",\
"0.03, 0.04, 0.075, 0.14, 0.217, 0.304, 0.406, 0.521, 0.641, 0.782",\
"-0.004, 0.008, 0.043, 0.108, 0.182, 0.275, 0.376, 0.486, 0.606, 0.748",\
"-0.033, -0.024, 0.013, 0.071, 0.148, 0.238, 0.338, 0.447, 0.571, 0.709",\
"-0.066, -0.059, -0.017, 0.042, 0.12, 0.21, 0.306, 0.414, 0.544, 0.675",\
"-0.094, -0.085, -0.044, 0.013, 0.095, 0.18, 0.28, 0.388, 0.508, 0.644",\
"-0.108, -0.107, -0.069, -0.007, 0.067, 0.154, 0.258, 0.364, 0.487, 0.621",\
"-0.13, -0.116, -0.08, -0.024, 0.05, 0.141, 0.236, 0.343, 0.465, 0.6");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_E === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!E" ;
fall_constraint(scalar) {
values("0.249");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_D_AND_NOT_E === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!E" ;
fall_constraint(scalar) {
values("0.249");
}
}
}
}