blob: c9fc804b231e5e71ef762c583cb6f3e7a368f4dd [file] [log] [blame]
/*
* Copyright 2022 GlobalFoundries PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
cell(gf180mcu_fd_sc_mcu9t5v0__latrnq_2) {
area : 70.560000 ;
latch(IQ2,IQN2) {
enable : "E" ;
data_in : "D" ;
clear : "(!RN)" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!D&!E&!RN" ;
value : "0.0104214" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!D&!E&RN" ;
value : "0.01288375" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!D&E&!RN" ;
value : "0.00654775" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!D&E&RN" ;
value : "0.00933075" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "D&!E&!RN" ;
value : "0.01056825" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "D&!E&RN" ;
value : "0.02093135" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "D&E&!RN" ;
value : "0.0091784" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "D&E&RN" ;
value : "0.0170401" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.02093135" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(D) {
capacitance : 0.004173 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 3.6 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!E&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.05627, 0.05969, 0.06439, 0.06648, 0.06809, 0.06931, 0.07032, \
0.07073, 0.07123, 0.07156");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("-0.04037, -0.04044, -0.0403, -0.04033, -0.04036, -0.0403, -0.04042, \
-0.04032, -0.04047, -0.04048");
}
}
internal_power() {
when : "!E&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.04351, 0.04354, 0.04348, 0.04352, 0.04355, 0.04351, 0.04361, \
0.04359, 0.04363, 0.04362");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("-0.04034, -0.04034, -0.04024, -0.04031, -0.04033, -0.04038, -0.04029, \
-0.04043, -0.04045, -0.0405");
}
}
internal_power() {
when : "E&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.04547, 0.04547, 0.04549, 0.04543, 0.04541, 0.04541, 0.04546, \
0.04537, 0.0454, 0.0454");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("-0.03095, -0.03099, -0.0309, -0.03089, -0.03099, -0.03097, -0.03103, \
-0.03094, -0.03115, -0.0312");
}
}
timing() {
related_pin : "E" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : hold_falling ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("-0.275, -0.266, -0.247, -0.222, -0.191, -0.152, -0.115, -0.073, -0.024, 0.035",\
"-0.273, -0.273, -0.246, -0.221, -0.19, -0.156, -0.118, -0.075, -0.026, 0.034",\
"-0.284, -0.276, -0.258, -0.23, -0.195, -0.165, -0.128, -0.082, -0.03, 0.03",\
"-0.313, -0.308, -0.284, -0.258, -0.224, -0.185, -0.147, -0.101, -0.055, 0.005",\
"-0.368, -0.362, -0.341, -0.31, -0.277, -0.235, -0.197, -0.148, -0.095, -0.038",\
"-0.44, -0.436, -0.414, -0.383, -0.351, -0.305, -0.266, -0.218, -0.166, -0.112",\
"-0.522, -0.513, -0.493, -0.467, -0.441, -0.398, -0.35, -0.31, -0.255, -0.198",\
"-0.616, -0.605, -0.585, -0.558, -0.531, -0.496, -0.443, -0.399, -0.35, -0.29",\
"-0.717, -0.708, -0.687, -0.654, -0.628, -0.595, -0.547, -0.491, -0.443, -0.388",\
"-0.827, -0.813, -0.79, -0.765, -0.739, -0.7, -0.647, -0.589, -0.532, -0.473");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("-0.281, -0.278, -0.279, -0.293, -0.325, -0.372, -0.414, -0.442, -0.448, -0.439",\
"-0.279, -0.28, -0.279, -0.289, -0.328, -0.369, -0.413, -0.437, -0.445, -0.443",\
"-0.284, -0.284, -0.277, -0.295, -0.328, -0.368, -0.405, -0.435, -0.448, -0.438",\
"-0.296, -0.297, -0.297, -0.306, -0.334, -0.373, -0.412, -0.437, -0.448, -0.434",\
"-0.321, -0.313, -0.314, -0.326, -0.355, -0.39, -0.43, -0.458, -0.47, -0.468",\
"-0.344, -0.344, -0.34, -0.348, -0.376, -0.413, -0.459, -0.494, -0.523, -0.521",\
"-0.365, -0.361, -0.359, -0.367, -0.4, -0.44, -0.494, -0.55, -0.589, -0.611",\
"-0.375, -0.373, -0.372, -0.384, -0.419, -0.466, -0.529, -0.598, -0.665, -0.708",\
"-0.371, -0.373, -0.373, -0.392, -0.42, -0.476, -0.558, -0.643, -0.731, -0.805",\
"-0.363, -0.355, -0.368, -0.385, -0.423, -0.487, -0.573, -0.672, -0.787, -0.893");
}
}
timing() {
related_pin : "E" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : setup_falling ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.326, 0.321, 0.302, 0.277, 0.262, 0.237, 0.233, 0.25, 0.278, 0.311",\
"0.328, 0.319, 0.304, 0.278, 0.263, 0.237, 0.238, 0.248, 0.274, 0.305",\
"0.339, 0.333, 0.307, 0.288, 0.266, 0.245, 0.237, 0.25, 0.272, 0.297",\
"0.362, 0.354, 0.336, 0.314, 0.287, 0.262, 0.248, 0.251, 0.263, 0.278",\
"0.415, 0.41, 0.394, 0.363, 0.335, 0.309, 0.28, 0.274, 0.27, 0.271",\
"0.485, 0.477, 0.457, 0.425, 0.4, 0.364, 0.332, 0.308, 0.294, 0.28",\
"0.57, 0.561, 0.535, 0.504, 0.483, 0.447, 0.401, 0.37, 0.342, 0.315",\
"0.653, 0.643, 0.618, 0.594, 0.571, 0.533, 0.486, 0.439, 0.402, 0.365",\
"0.752, 0.743, 0.716, 0.693, 0.669, 0.632, 0.573, 0.521, 0.476, 0.434",\
"0.864, 0.85, 0.817, 0.795, 0.765, 0.728, 0.671, 0.61, 0.555, 0.498");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.332, 0.334, 0.333, 0.353, 0.436, 0.569, 0.76, 1.014, 1.33, 1.704",\
"0.335, 0.332, 0.329, 0.358, 0.433, 0.57, 0.765, 1.009, 1.328, 1.707",\
"0.339, 0.333, 0.337, 0.36, 0.435, 0.565, 0.757, 1.001, 1.304, 1.674",\
"0.351, 0.348, 0.349, 0.371, 0.444, 0.574, 0.761, 0.994, 1.288, 1.644",\
"0.368, 0.37, 0.368, 0.394, 0.458, 0.577, 0.747, 0.973, 1.251, 1.576",\
"0.4, 0.396, 0.396, 0.418, 0.482, 0.601, 0.761, 0.978, 1.256, 1.581",\
"0.42, 0.417, 0.418, 0.44, 0.499, 0.604, 0.766, 0.978, 1.246, 1.572",\
"0.429, 0.427, 0.433, 0.456, 0.511, 0.615, 0.769, 0.987, 1.25, 1.58",\
"0.435, 0.437, 0.438, 0.462, 0.52, 0.613, 0.768, 0.976, 1.246, 1.577",\
"0.426, 0.428, 0.433, 0.453, 0.506, 0.599, 0.751, 0.96, 1.234, 1.566");
}
}
}
pin(E) {
capacitance : 0.009969 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 3.6 ;
min_pulse_width_high : 0.371 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.2462, 0.247, 0.2657, 0.3164, 0.4065, 0.5393, 0.7185, 0.9459, \
1.226, 1.561");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.01403, 0.01425, 0.02714, 0.06862, 0.1468, 0.2654, 0.4262, 0.633, \
0.8874, 1.192");
}
}
internal_power() {
when : "!D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.2462, 0.247, 0.2654, 0.3161, 0.4067, 0.5395, 0.7188, 0.9462, \
1.226, 1.561");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("-0.001991, -0.001773, 0.01096, 0.05254, 0.1304, 0.2488, 0.4103, \
0.6167, 0.8712, 1.176");
}
}
internal_power() {
when : "D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.2465, 0.2473, 0.2658, 0.3165, 0.4068, 0.5399, 0.7191, 0.9466, \
1.226, 1.561");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.05822, 0.05845, 0.07154, 0.1133, 0.1917, 0.3104, 0.4713, 0.6781, \
0.932, 1.237");
}
}
internal_power() {
when : "D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.2438, 0.2451, 0.2643, 0.3156, 0.4065, 0.5399, 0.7191, 0.9468, \
1.227, 1.561");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("-0.00297, -0.003059, 0.009395, 0.05059, 0.1285, 0.2469, 0.4081, \
0.6147, 0.8692, 1.174");
}
}
timing() {
related_pin : "E" ;
sdf_cond : "ENABLE_NOT_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&RN" ;
rise_constraint(scalar) {
values("0.371");
}
}
timing() {
related_pin : "E" ;
sdf_cond : "ENABLE_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&RN" ;
rise_constraint(scalar) {
values("0.283");
}
}
}
pin(Q) {
direction : output ;
function : "IQ2" ;
max_capacitance : 0.7215 ;
max_transition : 3.6 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "D" ;
when : "E&RN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("1.123, 1.101, 1.06, 1.031, 1.015, 1.005, 0.9987, 0.9946, 0.9918, 0.9896",\
"1.122, 1.1, 1.059, 1.03, 1.014, 1.004, 0.9976, 0.9934, 0.9906, 0.9884",\
"1.122, 1.101, 1.06, 1.031, 1.014, 1.005, 0.9986, 0.9944, 0.9918, 0.9897",\
"1.144, 1.122, 1.081, 1.052, 1.036, 1.026, 1.02, 1.016, 1.013, 1.011",\
"1.209, 1.186, 1.145, 1.116, 1.1, 1.09, 1.084, 1.08, 1.077, 1.076",\
"1.332, 1.311, 1.269, 1.238, 1.222, 1.212, 1.205, 1.201, 1.198, 1.196",\
"1.508, 1.486, 1.442, 1.412, 1.395, 1.384, 1.377, 1.373, 1.37, 1.368",\
"1.734, 1.711, 1.666, 1.634, 1.616, 1.604, 1.597, 1.593, 1.589, 1.587",\
"2.006, 1.982, 1.935, 1.901, 1.883, 1.871, 1.863, 1.859, 1.855, 1.852",\
"2.327, 2.303, 2.256, 2.22, 2.2, 2.187, 2.179, 2.173, 2.17, 2.168");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.8912, 0.8708, 0.8393, 0.8197, 0.8067, 0.802, 0.7988, 0.8021, 0.8028, 0.8069",\
"0.8897, 0.8691, 0.8377, 0.8178, 0.8049, 0.7987, 0.7988, 0.7994, 0.8012, 0.8014",\
"0.8902, 0.8691, 0.8369, 0.8172, 0.8055, 0.7987, 0.7977, 0.801, 0.8017, 0.8058",\
"0.9094, 0.8887, 0.8568, 0.837, 0.8245, 0.818, 0.817, 0.8192, 0.8204, 0.8229",\
"0.9652, 0.9441, 0.9134, 0.8914, 0.8794, 0.8724, 0.872, 0.8736, 0.8754, 0.8795",\
"1.064, 1.042, 1.01, 0.9891, 0.9773, 0.9703, 0.9688, 0.9699, 0.9722, 0.9736",\
"1.209, 1.187, 1.153, 1.13, 1.12, 1.116, 1.112, 1.113, 1.115, 1.118",\
"1.399, 1.378, 1.343, 1.32, 1.304, 1.304, 1.303, 1.302, 1.303, 1.306",\
"1.634, 1.612, 1.576, 1.552, 1.536, 1.529, 1.534, 1.538, 1.539, 1.54",\
"1.918, 1.894, 1.859, 1.83, 1.814, 1.807, 1.804, 1.809, 1.818, 1.825");
}
}
internal_power() {
related_pin : "E" ;
when : "RN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("1.07, 1.049, 1.007, 0.9785, 0.9625, 0.953, 0.9465, 0.9428, 0.9398, 0.9376",\
"1.07, 1.048, 1.007, 0.9782, 0.9622, 0.9526, 0.9462, 0.9424, 0.9394, 0.9372",\
"1.069, 1.048, 1.006, 0.9778, 0.9615, 0.9521, 0.946, 0.9419, 0.939, 0.9372",\
"1.068, 1.046, 1.005, 0.9762, 0.96, 0.9503, 0.9443, 0.9402, 0.9373, 0.9351",\
"1.067, 1.045, 1.003, 0.9753, 0.9591, 0.9496, 0.9431, 0.9392, 0.9365, 0.9343",\
"1.072, 1.05, 1.009, 0.9811, 0.9648, 0.955, 0.9487, 0.9446, 0.9418, 0.9398",\
"1.08, 1.059, 1.018, 0.9896, 0.9742, 0.9643, 0.9583, 0.9544, 0.9512, 0.9492",\
"1.095, 1.074, 1.032, 1.004, 0.9883, 0.9783, 0.9724, 0.968, 0.9656, 0.9632",\
"1.115, 1.094, 1.053, 1.025, 1.009, 0.9991, 0.9926, 0.9884, 0.9859, 0.9836",\
"1.14, 1.118, 1.078, 1.05, 1.034, 1.024, 1.018, 1.014, 1.011, 1.009");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.8972, 0.8755, 0.8437, 0.8238, 0.8116, 0.8067, 0.8057, 0.8067, 0.8091, 0.8143",\
"0.8979, 0.8764, 0.8449, 0.8248, 0.8127, 0.8073, 0.8069, 0.8074, 0.8103, 0.8117",\
"0.9032, 0.8815, 0.8504, 0.8302, 0.8176, 0.8119, 0.8109, 0.8125, 0.8149, 0.8173",\
"0.9267, 0.9052, 0.8726, 0.8533, 0.8419, 0.8361, 0.8352, 0.8362, 0.8386, 0.8411",\
"0.9832, 0.9622, 0.9304, 0.9096, 0.8981, 0.8936, 0.8898, 0.8915, 0.8922, 0.8974",\
"1.075, 1.053, 1.02, 1.001, 0.9888, 0.9819, 0.981, 0.982, 0.986, 0.9874",\
"1.186, 1.163, 1.13, 1.106, 1.098, 1.094, 1.091, 1.09, 1.093, 1.095",\
"1.309, 1.287, 1.254, 1.23, 1.216, 1.211, 1.217, 1.215, 1.216, 1.217",\
"1.445, 1.423, 1.387, 1.364, 1.349, 1.34, 1.344, 1.352, 1.355, 1.355",\
"1.594, 1.572, 1.539, 1.513, 1.496, 1.488, 1.486, 1.492, 1.502, 1.513");
}
}
internal_power() {
related_pin : "RN" ;
when : "!D&!E" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("1.016, 0.9954, 0.9551, 0.9284, 0.9136, 0.9045, 0.8987, 0.8949, 0.8923, 0.8903",\
"1.016, 0.9946, 0.9551, 0.9278, 0.9133, 0.904, 0.8983, 0.8943, 0.892, 0.8898",\
"1.023, 1.002, 0.9626, 0.9355, 0.9207, 0.9117, 0.9059, 0.9019, 0.8996, 0.8973",\
"1.061, 1.039, 0.9995, 0.9724, 0.9579, 0.9486, 0.9429, 0.9391, 0.9366, 0.9346",\
"1.146, 1.125, 1.085, 1.058, 1.043, 1.034, 1.028, 1.024, 1.021, 1.019",\
"1.274, 1.253, 1.213, 1.185, 1.17, 1.161, 1.155, 1.152, 1.149, 1.147",\
"1.438, 1.417, 1.377, 1.348, 1.333, 1.323, 1.317, 1.313, 1.311, 1.308",\
"1.628, 1.607, 1.565, 1.537, 1.522, 1.512, 1.506, 1.502, 1.499, 1.497",\
"1.842, 1.819, 1.777, 1.749, 1.733, 1.723, 1.717, 1.713, 1.71, 1.708",\
"2.077, 2.056, 2.014, 1.984, 1.969, 1.958, 1.953, 1.948, 1.946, 1.944");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("1.016, 0.9954, 0.9551, 0.9284, 0.9136, 0.9045, 0.8987, 0.8949, 0.8923, 0.8903",\
"1.016, 0.9946, 0.9551, 0.9278, 0.9133, 0.904, 0.8983, 0.8943, 0.892, 0.8898",\
"1.023, 1.002, 0.9626, 0.9355, 0.9207, 0.9117, 0.9059, 0.9019, 0.8996, 0.8973",\
"1.061, 1.039, 0.9995, 0.9724, 0.9579, 0.9486, 0.9429, 0.9391, 0.9366, 0.9346",\
"1.146, 1.125, 1.085, 1.058, 1.043, 1.034, 1.028, 1.024, 1.021, 1.019",\
"1.274, 1.253, 1.213, 1.185, 1.17, 1.161, 1.155, 1.152, 1.149, 1.147",\
"1.438, 1.417, 1.377, 1.348, 1.333, 1.323, 1.317, 1.313, 1.311, 1.308",\
"1.628, 1.607, 1.565, 1.537, 1.522, 1.512, 1.506, 1.502, 1.499, 1.497",\
"1.842, 1.819, 1.777, 1.749, 1.733, 1.723, 1.717, 1.713, 1.71, 1.708",\
"2.077, 2.056, 2.014, 1.984, 1.969, 1.958, 1.953, 1.948, 1.946, 1.944");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "D&!E" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("1.013, 0.9915, 0.9513, 0.9246, 0.9099, 0.9008, 0.895, 0.8909, 0.8886, 0.8866",\
"1.014, 0.993, 0.953, 0.926, 0.9114, 0.9022, 0.8965, 0.8924, 0.8902, 0.8881",\
"1.023, 1.002, 0.9618, 0.9357, 0.9202, 0.911, 0.9053, 0.9018, 0.8992, 0.8972",\
"1.06, 1.039, 0.9993, 0.9726, 0.9577, 0.9485, 0.9428, 0.9389, 0.9366, 0.9343",\
"1.146, 1.125, 1.085, 1.058, 1.043, 1.034, 1.028, 1.024, 1.021, 1.019",\
"1.275, 1.254, 1.213, 1.186, 1.171, 1.161, 1.156, 1.152, 1.149, 1.147",\
"1.438, 1.417, 1.376, 1.348, 1.333, 1.324, 1.317, 1.313, 1.311, 1.309",\
"1.629, 1.607, 1.566, 1.537, 1.522, 1.512, 1.506, 1.502, 1.5, 1.497",\
"1.841, 1.819, 1.777, 1.748, 1.733, 1.723, 1.717, 1.713, 1.71, 1.708",\
"2.078, 2.056, 2.014, 1.985, 1.969, 1.959, 1.952, 1.948, 1.945, 1.943");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("1.013, 0.9915, 0.9513, 0.9246, 0.9099, 0.9008, 0.895, 0.8909, 0.8886, 0.8866",\
"1.014, 0.993, 0.953, 0.926, 0.9114, 0.9022, 0.8965, 0.8924, 0.8902, 0.8881",\
"1.023, 1.002, 0.9618, 0.9357, 0.9202, 0.911, 0.9053, 0.9018, 0.8992, 0.8972",\
"1.06, 1.039, 0.9993, 0.9726, 0.9577, 0.9485, 0.9428, 0.9389, 0.9366, 0.9343",\
"1.146, 1.125, 1.085, 1.058, 1.043, 1.034, 1.028, 1.024, 1.021, 1.019",\
"1.275, 1.254, 1.213, 1.186, 1.171, 1.161, 1.156, 1.152, 1.149, 1.147",\
"1.438, 1.417, 1.376, 1.348, 1.333, 1.324, 1.317, 1.313, 1.311, 1.309",\
"1.629, 1.607, 1.566, 1.537, 1.522, 1.512, 1.506, 1.502, 1.5, 1.497",\
"1.841, 1.819, 1.777, 1.748, 1.733, 1.723, 1.717, 1.713, 1.71, 1.708",\
"2.078, 2.056, 2.014, 1.985, 1.969, 1.959, 1.952, 1.948, 1.945, 1.943");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "D&E" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("1.148, 1.127, 1.086, 1.059, 1.043, 1.033, 1.027, 1.023, 1.021, 1.018",\
"1.144, 1.122, 1.082, 1.054, 1.039, 1.029, 1.024, 1.02, 1.017, 1.015",\
"1.148, 1.126, 1.085, 1.058, 1.042, 1.033, 1.027, 1.023, 1.02, 1.018",\
"1.182, 1.162, 1.121, 1.093, 1.077, 1.068, 1.062, 1.058, 1.055, 1.053",\
"1.264, 1.243, 1.202, 1.174, 1.158, 1.149, 1.143, 1.139, 1.136, 1.134",\
"1.396, 1.374, 1.333, 1.305, 1.289, 1.28, 1.273, 1.269, 1.266, 1.265",\
"1.589, 1.568, 1.525, 1.496, 1.479, 1.469, 1.462, 1.458, 1.455, 1.453",\
"1.84, 1.818, 1.774, 1.743, 1.726, 1.716, 1.709, 1.704, 1.702, 1.7",\
"2.146, 2.123, 2.079, 2.047, 2.029, 2.017, 2.01, 2.006, 2.003, 2",\
"2.51, 2.487, 2.439, 2.406, 2.387, 2.376, 2.369, 2.364, 2.361, 2.358");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.8934, 0.873, 0.841, 0.8205, 0.8082, 0.8053, 0.8021, 0.8032, 0.8056, 0.8091",\
"0.8921, 0.8706, 0.8377, 0.8191, 0.8071, 0.802, 0.7994, 0.801, 0.8045, 0.8075",\
"0.8924, 0.8716, 0.84, 0.819, 0.8073, 0.8026, 0.7999, 0.8027, 0.8034, 0.808",\
"0.9104, 0.8896, 0.8566, 0.8369, 0.8254, 0.8185, 0.8175, 0.8208, 0.8215, 0.8256",\
"0.9676, 0.9466, 0.9155, 0.8934, 0.8818, 0.8757, 0.8742, 0.8764, 0.8771, 0.8828",\
"1.075, 1.053, 1.021, 1.001, 0.9886, 0.9819, 0.9798, 0.9809, 0.9832, 0.989",\
"1.231, 1.21, 1.175, 1.152, 1.142, 1.139, 1.136, 1.136, 1.137, 1.139",\
"1.437, 1.415, 1.381, 1.356, 1.341, 1.338, 1.341, 1.339, 1.34, 1.342",\
"1.69, 1.668, 1.631, 1.609, 1.589, 1.582, 1.586, 1.593, 1.593, 1.595",\
"1.993, 1.97, 1.934, 1.908, 1.891, 1.883, 1.875, 1.886, 1.896, 1.897");
}
}
timing() {
related_pin : "D" ;
timing_sense : positive_unate ;
timing_type : combinational ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.5494, 0.566, 0.6101, 0.6782, 0.7744, 0.9051, 1.077, 1.293, 1.558, 1.873",\
"0.552, 0.5685, 0.6126, 0.6806, 0.7768, 0.9076, 1.079, 1.296, 1.56, 1.876",\
"0.5602, 0.5768, 0.6209, 0.689, 0.7851, 0.9159, 1.088, 1.304, 1.569, 1.884",\
"0.587, 0.6035, 0.6477, 0.7157, 0.8119, 0.9427, 1.114, 1.331, 1.595, 1.911",\
"0.6421, 0.6585, 0.7027, 0.7709, 0.8671, 0.9979, 1.169, 1.386, 1.65, 1.966",\
"0.7219, 0.7383, 0.7826, 0.8507, 0.9469, 1.078, 1.249, 1.466, 1.73, 2.046",\
"0.8158, 0.8324, 0.8765, 0.9441, 1.04, 1.171, 1.343, 1.559, 1.823, 2.139",\
"0.9192, 0.9357, 0.98, 1.048, 1.144, 1.275, 1.446, 1.663, 1.927, 2.243",\
"1.033, 1.049, 1.093, 1.161, 1.258, 1.388, 1.56, 1.776, 2.041, 2.356",\
"1.156, 1.173, 1.217, 1.285, 1.381, 1.512, 1.683, 1.9, 2.164, 2.479");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.5374, 0.5544, 0.6034, 0.691, 0.8283, 1.023, 1.28, 1.604, 2.001, 2.472",\
"0.5377, 0.5547, 0.6038, 0.6912, 0.8288, 1.023, 1.28, 1.605, 2.001, 2.473",\
"0.54, 0.5569, 0.6058, 0.6935, 0.831, 1.026, 1.283, 1.607, 2.004, 2.475",\
"0.5527, 0.5696, 0.6186, 0.7062, 0.8435, 1.038, 1.296, 1.619, 2.015, 2.489",\
"0.5752, 0.5922, 0.6411, 0.7287, 0.8661, 1.061, 1.318, 1.642, 2.039, 2.509",\
"0.5987, 0.6156, 0.6645, 0.7519, 0.8892, 1.084, 1.341, 1.666, 2.062, 2.534",\
"0.6166, 0.6336, 0.6825, 0.7698, 0.9075, 1.101, 1.358, 1.683, 2.079, 2.552",\
"0.6252, 0.6424, 0.691, 0.7784, 0.9156, 1.11, 1.366, 1.69, 2.086, 2.558",\
"0.6236, 0.6408, 0.6896, 0.7767, 0.9138, 1.108, 1.365, 1.689, 2.084, 2.556",\
"0.6111, 0.6282, 0.6772, 0.764, 0.9009, 1.095, 1.352, 1.675, 2.071, 2.543");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.0572, 0.07562, 0.1268, 0.2179, 0.365, 0.5815, 0.872, 1.243, 1.693, 2.232",\
"0.0572, 0.07568, 0.1268, 0.2182, 0.3654, 0.5818, 0.8734, 1.242, 1.693, 2.232",\
"0.0572, 0.07566, 0.1268, 0.218, 0.3654, 0.5809, 0.8736, 1.242, 1.693, 2.231",\
"0.05726, 0.07586, 0.1268, 0.2177, 0.3651, 0.5815, 0.8732, 1.242, 1.692, 2.232",\
"0.05736, 0.07584, 0.1268, 0.218, 0.3652, 0.581, 0.8736, 1.242, 1.694, 2.231",\
"0.0576, 0.0764, 0.127, 0.218, 0.3656, 0.5816, 0.873, 1.243, 1.693, 2.232",\
"0.0584, 0.0768, 0.1276, 0.218, 0.3652, 0.5816, 0.8732, 1.242, 1.692, 2.231",\
"0.0594, 0.0778, 0.1286, 0.2188, 0.366, 0.5822, 0.8714, 1.24, 1.693, 2.231",\
"0.0598, 0.0786, 0.1292, 0.2192, 0.3666, 0.5814, 0.8722, 1.241, 1.691, 2.23",\
"0.061, 0.0796, 0.13, 0.22, 0.3664, 0.582, 0.872, 1.241, 1.691, 2.228");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.06062, 0.08218, 0.1576, 0.3147, 0.5688, 0.9286, 1.403, 1.996, 2.723, 3.588",\
"0.06058, 0.08222, 0.1577, 0.3145, 0.5696, 0.9278, 1.4, 1.996, 2.723, 3.59",\
"0.06048, 0.0822, 0.1579, 0.3147, 0.5687, 0.9279, 1.402, 1.996, 2.723, 3.588",\
"0.06096, 0.08232, 0.1571, 0.3146, 0.5691, 0.9278, 1.401, 1.996, 2.722, 3.589",\
"0.0603, 0.08194, 0.1575, 0.3144, 0.5688, 0.928, 1.4, 1.995, 2.723, 3.59",\
"0.0608, 0.0822, 0.1572, 0.3146, 0.569, 0.928, 1.4, 1.997, 2.723, 3.59",\
"0.0612, 0.0828, 0.1582, 0.3148, 0.5688, 0.9282, 1.402, 1.995, 2.723, 3.589",\
"0.0616, 0.0828, 0.1578, 0.315, 0.5688, 0.929, 1.401, 1.996, 2.725, 3.588",\
"0.062, 0.084, 0.158, 0.315, 0.5692, 0.929, 1.401, 1.997, 2.725, 3.59",\
"0.063, 0.0844, 0.159, 0.315, 0.5694, 0.9294, 1.4, 1.995, 2.722, 3.588");
}
}
timing() {
related_pin : "E" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.577, 0.5935, 0.6376, 0.7057, 0.802, 0.9328, 1.104, 1.321, 1.585, 1.901",\
"0.5803, 0.5968, 0.6409, 0.709, 0.8052, 0.936, 1.108, 1.324, 1.589, 1.904",\
"0.5922, 0.6087, 0.6528, 0.7209, 0.8171, 0.9479, 1.12, 1.336, 1.601, 1.916",\
"0.6053, 0.6218, 0.6659, 0.7341, 0.8303, 0.9611, 1.133, 1.349, 1.614, 1.929",\
"0.6178, 0.6342, 0.6784, 0.7465, 0.8428, 0.9736, 1.145, 1.362, 1.626, 1.942",\
"0.6254, 0.6421, 0.6863, 0.7543, 0.8505, 0.9813, 1.153, 1.37, 1.634, 1.949",\
"0.6235, 0.64, 0.6842, 0.7523, 0.8485, 0.9794, 1.151, 1.367, 1.632, 1.947",\
"0.6125, 0.629, 0.673, 0.7412, 0.8373, 0.9683, 1.14, 1.356, 1.621, 1.936",\
"0.5929, 0.6094, 0.6537, 0.7217, 0.8179, 0.9487, 1.12, 1.337, 1.601, 1.917",\
"0.5638, 0.5804, 0.6247, 0.6928, 0.7888, 0.9199, 1.092, 1.308, 1.572, 1.888");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.4858, 0.5026, 0.5514, 0.6391, 0.7768, 0.9712, 1.228, 1.553, 1.95, 2.42",\
"0.4887, 0.5057, 0.5546, 0.6422, 0.7798, 0.9747, 1.232, 1.556, 1.953, 2.425",\
"0.5006, 0.5173, 0.5663, 0.6539, 0.7916, 0.9863, 1.243, 1.568, 1.964, 2.437",\
"0.5254, 0.5424, 0.5912, 0.6789, 0.8166, 1.011, 1.268, 1.593, 1.989, 2.462",\
"0.5551, 0.5721, 0.6207, 0.7085, 0.8458, 1.041, 1.297, 1.622, 2.018, 2.491",\
"0.5806, 0.5975, 0.6463, 0.7339, 0.8713, 1.066, 1.322, 1.647, 2.043, 2.515",\
"0.5969, 0.6136, 0.6624, 0.75, 0.8873, 1.082, 1.339, 1.663, 2.058, 2.532",\
"0.6007, 0.6178, 0.6666, 0.7539, 0.8911, 1.085, 1.342, 1.666, 2.062, 2.534",\
"0.5913, 0.6083, 0.6571, 0.7443, 0.8815, 1.076, 1.332, 1.656, 2.052, 2.524",\
"0.5671, 0.5843, 0.6331, 0.7202, 0.8573, 1.051, 1.308, 1.632, 2.027, 2.499");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.05718, 0.0756, 0.1269, 0.2179, 0.3651, 0.5819, 0.872, 1.241, 1.694, 2.232",\
"0.0574, 0.0758, 0.1269, 0.2179, 0.3651, 0.5815, 0.8718, 1.241, 1.694, 2.232",\
"0.05722, 0.07576, 0.1268, 0.2177, 0.3651, 0.582, 0.8731, 1.242, 1.693, 2.232",\
"0.05728, 0.07578, 0.1268, 0.2175, 0.3651, 0.5814, 0.8732, 1.242, 1.691, 2.231",\
"0.05706, 0.07562, 0.127, 0.2178, 0.365, 0.5816, 0.8728, 1.243, 1.693, 2.232",\
"0.0572, 0.0756, 0.1264, 0.218, 0.3654, 0.581, 0.8736, 1.242, 1.693, 2.231",\
"0.057, 0.0754, 0.1268, 0.2172, 0.365, 0.581, 0.8718, 1.241, 1.693, 2.231",\
"0.057, 0.0758, 0.1264, 0.2174, 0.3648, 0.5818, 0.8728, 1.24, 1.691, 2.231",\
"0.0572, 0.0756, 0.1262, 0.2176, 0.3654, 0.5812, 0.873, 1.241, 1.691, 2.227",\
"0.0572, 0.0754, 0.1264, 0.2176, 0.3654, 0.5814, 0.8732, 1.242, 1.691, 2.228");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.06094, 0.0821, 0.1572, 0.3146, 0.5697, 0.9282, 1.4, 1.996, 2.726, 3.59",\
"0.06062, 0.08218, 0.1577, 0.3147, 0.5697, 0.9289, 1.4, 1.996, 2.723, 3.59",\
"0.0602, 0.0825, 0.1575, 0.3146, 0.5697, 0.9293, 1.4, 1.996, 2.726, 3.589",\
"0.06062, 0.08214, 0.1572, 0.3146, 0.5689, 0.9281, 1.4, 1.996, 2.726, 3.589",\
"0.06032, 0.08198, 0.1576, 0.3148, 0.5688, 0.9288, 1.4, 1.995, 2.723, 3.588",\
"0.0606, 0.0822, 0.1572, 0.3148, 0.569, 0.9278, 1.4, 1.996, 2.723, 3.587",\
"0.0608, 0.0826, 0.1578, 0.3148, 0.5688, 0.9284, 1.401, 1.996, 2.723, 3.593",\
"0.061, 0.0826, 0.1578, 0.3148, 0.5694, 0.9296, 1.401, 1.998, 2.727, 3.588",\
"0.0618, 0.083, 0.1578, 0.3148, 0.5694, 0.9284, 1.402, 1.996, 2.723, 3.589",\
"0.0616, 0.0832, 0.1582, 0.3146, 0.5686, 0.9292, 1.402, 1.997, 2.724, 3.59");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "D===1'b0 && E===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "!D&!E" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.4276, 0.4441, 0.4883, 0.5564, 0.6527, 0.7836, 0.9553, 1.172, 1.436, 1.752",\
"0.432, 0.4484, 0.4927, 0.5609, 0.6572, 0.7881, 0.9598, 1.176, 1.441, 1.757",\
"0.454, 0.4703, 0.5146, 0.5828, 0.6792, 0.8101, 0.9816, 1.198, 1.463, 1.778",\
"0.5022, 0.5187, 0.5627, 0.631, 0.7273, 0.8582, 1.03, 1.246, 1.511, 1.827",\
"0.5743, 0.5908, 0.635, 0.7032, 0.7994, 0.9303, 1.102, 1.318, 1.583, 1.898",\
"0.6596, 0.6761, 0.7203, 0.7884, 0.8848, 1.016, 1.187, 1.404, 1.668, 1.984",\
"0.7555, 0.7719, 0.8162, 0.8842, 0.9804, 1.111, 1.283, 1.499, 1.764, 2.079",\
"0.8616, 0.878, 0.9222, 0.9903, 1.087, 1.217, 1.389, 1.606, 1.87, 2.185",\
"0.9773, 0.9936, 1.038, 1.106, 1.202, 1.333, 1.504, 1.721, 1.985, 2.301",\
"1.104, 1.12, 1.164, 1.232, 1.328, 1.459, 1.631, 1.847, 2.112, 2.427");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.05642, 0.07482, 0.1259, 0.217, 0.3651, 0.5808, 0.8737, 1.242, 1.693, 2.233",\
"0.05634, 0.07512, 0.1261, 0.217, 0.3651, 0.5811, 0.8738, 1.242, 1.693, 2.233",\
"0.05644, 0.07516, 0.1261, 0.2175, 0.365, 0.5816, 0.8732, 1.242, 1.691, 2.231",\
"0.0564, 0.075, 0.1262, 0.2174, 0.3651, 0.581, 0.8718, 1.242, 1.692, 2.231",\
"0.0565, 0.07504, 0.1262, 0.2171, 0.365, 0.581, 0.8718, 1.241, 1.694, 2.231",\
"0.0564, 0.075, 0.126, 0.2172, 0.365, 0.581, 0.872, 1.241, 1.693, 2.231",\
"0.0566, 0.0754, 0.1266, 0.2172, 0.365, 0.5808, 0.8728, 1.241, 1.694, 2.229",\
"0.0568, 0.0754, 0.1262, 0.2176, 0.365, 0.5818, 0.8718, 1.241, 1.693, 2.228",\
"0.057, 0.0754, 0.1268, 0.2178, 0.365, 0.5814, 0.8728, 1.241, 1.691, 2.229",\
"0.0572, 0.0758, 0.1268, 0.2176, 0.3652, 0.5812, 0.8742, 1.243, 1.691, 2.228");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "D===1'b1 && E===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "D&!E" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.4285, 0.445, 0.4892, 0.5574, 0.6537, 0.7846, 0.9563, 1.173, 1.437, 1.753",\
"0.4324, 0.4488, 0.493, 0.5612, 0.6575, 0.7884, 0.9601, 1.177, 1.441, 1.757",\
"0.454, 0.4704, 0.5146, 0.5829, 0.6789, 0.8099, 0.9816, 1.198, 1.463, 1.779",\
"0.5021, 0.5187, 0.5628, 0.6309, 0.7272, 0.8581, 1.03, 1.246, 1.511, 1.826",\
"0.5744, 0.5908, 0.6351, 0.7033, 0.7996, 0.9304, 1.102, 1.319, 1.583, 1.899",\
"0.6598, 0.6763, 0.7205, 0.7885, 0.8848, 1.016, 1.187, 1.404, 1.668, 1.984",\
"0.7554, 0.7719, 0.8162, 0.8843, 0.9805, 1.111, 1.283, 1.499, 1.764, 2.079",\
"0.8615, 0.8779, 0.922, 0.9902, 1.086, 1.217, 1.389, 1.605, 1.87, 2.185",\
"0.9771, 0.9935, 1.038, 1.106, 1.202, 1.333, 1.505, 1.721, 1.985, 2.301",\
"1.104, 1.12, 1.164, 1.232, 1.329, 1.459, 1.631, 1.847, 2.112, 2.427");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.05658, 0.07502, 0.1261, 0.217, 0.3648, 0.5811, 0.8716, 1.243, 1.694, 2.231",\
"0.05656, 0.07514, 0.1262, 0.2172, 0.3652, 0.581, 0.8717, 1.243, 1.693, 2.231",\
"0.05634, 0.0749, 0.1259, 0.2176, 0.3651, 0.5816, 0.8717, 1.242, 1.693, 2.233",\
"0.05644, 0.07484, 0.126, 0.2171, 0.3651, 0.581, 0.8736, 1.243, 1.694, 2.231",\
"0.05656, 0.0751, 0.1263, 0.2178, 0.365, 0.5814, 0.8722, 1.243, 1.693, 2.229",\
"0.0568, 0.075, 0.126, 0.217, 0.365, 0.582, 0.872, 1.242, 1.693, 2.23",\
"0.0566, 0.0754, 0.126, 0.2174, 0.3648, 0.5806, 0.8722, 1.242, 1.694, 2.231",\
"0.0568, 0.0754, 0.1268, 0.2176, 0.365, 0.581, 0.8716, 1.241, 1.693, 2.229",\
"0.057, 0.0758, 0.1268, 0.2176, 0.3652, 0.581, 0.8724, 1.241, 1.691, 2.229",\
"0.0574, 0.0758, 0.1266, 0.2176, 0.365, 0.5822, 0.8728, 1.242, 1.692, 2.228");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "D===1'b1 && E===1'b1" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "D&E" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.4311, 0.4475, 0.4919, 0.5599, 0.6562, 0.787, 0.9586, 1.175, 1.44, 1.755",\
"0.4346, 0.4511, 0.4953, 0.5634, 0.6596, 0.7905, 0.9621, 1.178, 1.443, 1.759",\
"0.4552, 0.4717, 0.5159, 0.584, 0.6803, 0.8111, 0.9827, 1.199, 1.464, 1.779",\
"0.5025, 0.5189, 0.5632, 0.6313, 0.7275, 0.8583, 1.03, 1.246, 1.511, 1.827",\
"0.574, 0.5905, 0.6348, 0.7028, 0.7991, 0.9299, 1.102, 1.318, 1.583, 1.898",\
"0.6622, 0.6787, 0.7229, 0.7911, 0.8873, 1.018, 1.19, 1.406, 1.671, 1.986",\
"0.7633, 0.7798, 0.8239, 0.892, 0.9881, 1.119, 1.291, 1.507, 1.771, 2.087",\
"0.8769, 0.8934, 0.9379, 1.006, 1.102, 1.232, 1.404, 1.62, 1.885, 2.2",\
"1.004, 1.02, 1.064, 1.132, 1.228, 1.359, 1.53, 1.747, 2.011, 2.326",\
"1.145, 1.161, 1.205, 1.273, 1.369, 1.5, 1.671, 1.888, 2.152, 2.467");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.5495, 0.5665, 0.6155, 0.7031, 0.8403, 1.035, 1.292, 1.616, 2.012, 2.486",\
"0.5497, 0.5665, 0.6154, 0.7031, 0.8407, 1.035, 1.292, 1.617, 2.012, 2.486",\
"0.5478, 0.5647, 0.6137, 0.7012, 0.8385, 1.033, 1.291, 1.614, 2.01, 2.484",\
"0.5496, 0.5665, 0.6154, 0.703, 0.8406, 1.035, 1.292, 1.616, 2.013, 2.484",\
"0.5607, 0.5776, 0.6265, 0.714, 0.8514, 1.046, 1.303, 1.627, 2.023, 2.496",\
"0.5752, 0.5922, 0.6409, 0.7284, 0.8658, 1.061, 1.318, 1.642, 2.038, 2.51",\
"0.5836, 0.6007, 0.6495, 0.7371, 0.8743, 1.069, 1.325, 1.65, 2.046, 2.518",\
"0.5827, 0.5999, 0.6487, 0.7358, 0.873, 1.068, 1.324, 1.648, 2.044, 2.516",\
"0.5697, 0.5866, 0.6353, 0.7229, 0.8595, 1.054, 1.311, 1.634, 2.03, 2.502",\
"0.5426, 0.5597, 0.6085, 0.6957, 0.8323, 1.027, 1.283, 1.607, 2.002, 2.474");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.05678, 0.0754, 0.1265, 0.2179, 0.3651, 0.5811, 0.8732, 1.241, 1.693, 2.231",\
"0.05698, 0.0754, 0.1264, 0.2178, 0.3651, 0.5816, 0.8729, 1.241, 1.694, 2.232",\
"0.05682, 0.0754, 0.1263, 0.2178, 0.3651, 0.5815, 0.8722, 1.243, 1.693, 2.231",\
"0.0569, 0.07542, 0.1264, 0.2176, 0.3646, 0.5818, 0.8724, 1.242, 1.693, 2.23",\
"0.05686, 0.07572, 0.1264, 0.2176, 0.3652, 0.5818, 0.872, 1.242, 1.693, 2.232",\
"0.0572, 0.0758, 0.1266, 0.2174, 0.3648, 0.582, 0.8738, 1.241, 1.694, 2.23",\
"0.0576, 0.0762, 0.1268, 0.2178, 0.3646, 0.5808, 0.8732, 1.243, 1.693, 2.231",\
"0.0584, 0.0768, 0.1272, 0.2182, 0.3652, 0.5812, 0.8718, 1.24, 1.691, 2.231",\
"0.059, 0.0774, 0.1282, 0.2182, 0.3654, 0.5822, 0.8728, 1.241, 1.69, 2.229",\
"0.0596, 0.0782, 0.1288, 0.219, 0.3658, 0.5822, 0.8734, 1.24, 1.691, 2.228");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.06062, 0.08232, 0.1571, 0.3146, 0.5691, 0.9287, 1.401, 1.996, 2.723, 3.587",\
"0.06086, 0.08248, 0.157, 0.3146, 0.5688, 0.9273, 1.4, 1.998, 2.723, 3.587",\
"0.06088, 0.08238, 0.1576, 0.3146, 0.569, 0.9274, 1.401, 1.996, 2.723, 3.589",\
"0.06088, 0.08242, 0.1579, 0.3147, 0.5688, 0.9278, 1.402, 1.996, 2.723, 3.588",\
"0.06058, 0.08212, 0.1574, 0.3146, 0.569, 0.9282, 1.4, 1.996, 2.723, 3.589",\
"0.0606, 0.0822, 0.1576, 0.3148, 0.569, 0.9278, 1.4, 1.995, 2.722, 3.59",\
"0.0612, 0.0826, 0.1576, 0.3148, 0.5696, 0.9282, 1.402, 1.998, 2.723, 3.592",\
"0.0618, 0.0834, 0.1582, 0.315, 0.5688, 0.928, 1.401, 2, 2.723, 3.588",\
"0.0628, 0.0838, 0.1584, 0.3156, 0.5692, 0.9288, 1.401, 1.996, 2.723, 3.592",\
"0.063, 0.0844, 0.1588, 0.315, 0.5702, 0.929, 1.401, 1.998, 2.723, 3.589");
}
}
timing() {
related_pin : "RN" ;
timing_sense : positive_unate ;
timing_type : clear ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.4311, 0.4475, 0.4919, 0.5599, 0.6562, 0.787, 0.9586, 1.175, 1.44, 1.755",\
"0.4346, 0.4511, 0.4953, 0.5634, 0.6596, 0.7905, 0.9621, 1.178, 1.443, 1.759",\
"0.4552, 0.4717, 0.5159, 0.584, 0.6803, 0.8111, 0.9827, 1.199, 1.464, 1.779",\
"0.5025, 0.5189, 0.5632, 0.6313, 0.7275, 0.8583, 1.03, 1.246, 1.511, 1.827",\
"0.574, 0.5905, 0.6348, 0.7028, 0.7991, 0.9299, 1.102, 1.318, 1.583, 1.898",\
"0.6622, 0.6787, 0.7229, 0.7911, 0.8873, 1.018, 1.19, 1.406, 1.671, 1.986",\
"0.7633, 0.7798, 0.8239, 0.892, 0.9881, 1.119, 1.291, 1.507, 1.771, 2.087",\
"0.8769, 0.8934, 0.9379, 1.006, 1.102, 1.232, 1.404, 1.62, 1.885, 2.2",\
"1.004, 1.02, 1.064, 1.132, 1.228, 1.359, 1.53, 1.747, 2.011, 2.326",\
"1.145, 1.161, 1.205, 1.273, 1.369, 1.5, 1.671, 1.888, 2.152, 2.467");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.5495, 0.5665, 0.6155, 0.7031, 0.8403, 1.035, 1.292, 1.616, 2.012, 2.486",\
"0.5497, 0.5665, 0.6154, 0.7031, 0.8407, 1.035, 1.292, 1.617, 2.012, 2.486",\
"0.5478, 0.5647, 0.6137, 0.7012, 0.8385, 1.033, 1.291, 1.614, 2.01, 2.484",\
"0.5496, 0.5665, 0.6154, 0.703, 0.8406, 1.035, 1.292, 1.616, 2.013, 2.484",\
"0.5607, 0.5776, 0.6265, 0.714, 0.8514, 1.046, 1.303, 1.627, 2.023, 2.496",\
"0.5752, 0.5922, 0.6409, 0.7284, 0.8658, 1.061, 1.318, 1.642, 2.038, 2.51",\
"0.5836, 0.6007, 0.6495, 0.7371, 0.8743, 1.069, 1.325, 1.65, 2.046, 2.518",\
"0.5827, 0.5999, 0.6487, 0.7358, 0.873, 1.068, 1.324, 1.648, 2.044, 2.516",\
"0.5697, 0.5866, 0.6353, 0.7229, 0.8595, 1.054, 1.311, 1.634, 2.03, 2.502",\
"0.5426, 0.5597, 0.6085, 0.6957, 0.8323, 1.027, 1.283, 1.607, 2.002, 2.474");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.05658, 0.07502, 0.1261, 0.217, 0.3648, 0.5811, 0.8716, 1.243, 1.694, 2.231",\
"0.05656, 0.07514, 0.1262, 0.2172, 0.3652, 0.581, 0.8717, 1.243, 1.693, 2.231",\
"0.05634, 0.0749, 0.1259, 0.2176, 0.3651, 0.5816, 0.8717, 1.242, 1.693, 2.233",\
"0.05644, 0.07484, 0.126, 0.2171, 0.3651, 0.581, 0.8736, 1.243, 1.694, 2.231",\
"0.05656, 0.0751, 0.1263, 0.2178, 0.365, 0.5814, 0.8722, 1.243, 1.693, 2.229",\
"0.0568, 0.075, 0.126, 0.217, 0.365, 0.582, 0.872, 1.242, 1.693, 2.23",\
"0.0566, 0.0754, 0.126, 0.2174, 0.3648, 0.5806, 0.8722, 1.242, 1.694, 2.231",\
"0.0568, 0.0754, 0.1268, 0.2176, 0.365, 0.581, 0.8716, 1.241, 1.693, 2.229",\
"0.057, 0.0758, 0.1268, 0.2176, 0.3652, 0.581, 0.8724, 1.241, 1.691, 2.229",\
"0.0574, 0.0758, 0.1266, 0.2176, 0.365, 0.5822, 0.8728, 1.242, 1.692, 2.228");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.001, 0.005973, 0.02343, 0.05676, 0.1087, 0.1816, 0.2775, 0.3983, 0.5457, 0.7215");
values("0.06062, 0.08232, 0.1571, 0.3146, 0.5691, 0.9287, 1.401, 1.996, 2.723, 3.587",\
"0.06086, 0.08248, 0.157, 0.3146, 0.5688, 0.9273, 1.4, 1.998, 2.723, 3.587",\
"0.06088, 0.08238, 0.1576, 0.3146, 0.569, 0.9274, 1.401, 1.996, 2.723, 3.589",\
"0.06088, 0.08242, 0.1579, 0.3147, 0.5688, 0.9278, 1.402, 1.996, 2.723, 3.588",\
"0.06058, 0.08212, 0.1574, 0.3146, 0.569, 0.9282, 1.4, 1.996, 2.723, 3.589",\
"0.0606, 0.0822, 0.1576, 0.3148, 0.569, 0.9278, 1.4, 1.995, 2.722, 3.59",\
"0.0612, 0.0826, 0.1576, 0.3148, 0.5696, 0.9282, 1.402, 1.998, 2.723, 3.592",\
"0.0618, 0.0834, 0.1582, 0.315, 0.5688, 0.928, 1.401, 2, 2.723, 3.588",\
"0.0628, 0.0838, 0.1584, 0.3156, 0.5692, 0.9288, 1.401, 1.996, 2.723, 3.592",\
"0.063, 0.0844, 0.1588, 0.315, 0.5702, 0.929, 1.401, 1.998, 2.723, 3.589");
}
}
}
pin(RN) {
capacitance : 0.006445 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 3.6 ;
min_pulse_width_low : 0.239 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!E" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.04612, 0.04367, 0.04206, 0.0414, 0.04105, 0.04092, 0.04079, \
0.04076, 0.04069, 0.04069");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("-0.04074, -0.04068, -0.04066, -0.0407, -0.04062, -0.0406, -0.04067, \
-0.0406, -0.04065, -0.04069");
}
}
internal_power() {
when : "!D&E" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.04868, 0.04434, 0.04194, 0.04121, 0.04088, 0.0407, 0.0407, 0.04054, \
0.04056, 0.04053");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("-0.04074, -0.04071, -0.04071, -0.04068, -0.04062, -0.04062, -0.04072, \
-0.04062, -0.0407, -0.04069");
}
}
internal_power() {
when : "D&!E" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.05434, 0.04901, 0.04397, 0.04203, 0.04126, 0.04086, 0.04066, \
0.04047, 0.04041, 0.04037");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("-0.04074, -0.0407, -0.04072, -0.04067, -0.0406, -0.04064, -0.04069, \
-0.04063, -0.04068, -0.04066");
}
}
timing() {
related_pin : "E" ;
timing_type : recovery_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("0.343, 0.346, 0.341, 0.37, 0.444, 0.581, 0.776, 1.024, 1.344, 1.722",\
"0.347, 0.346, 0.345, 0.369, 0.441, 0.582, 0.773, 1.026, 1.342, 1.725",\
"0.346, 0.341, 0.347, 0.367, 0.444, 0.578, 0.774, 1.023, 1.333, 1.721",\
"0.346, 0.342, 0.343, 0.371, 0.444, 0.581, 0.77, 1.012, 1.327, 1.693",\
"0.36, 0.354, 0.359, 0.378, 0.451, 0.586, 0.768, 1.013, 1.309, 1.669",\
"0.378, 0.373, 0.377, 0.397, 0.465, 0.591, 0.773, 1.008, 1.311, 1.659",\
"0.397, 0.393, 0.393, 0.413, 0.478, 0.598, 0.78, 1.012, 1.303, 1.664",\
"0.402, 0.4, 0.4, 0.426, 0.485, 0.6, 0.777, 1.017, 1.314, 1.676",\
"0.399, 0.394, 0.402, 0.423, 0.487, 0.595, 0.768, 1.006, 1.3, 1.662",\
"0.381, 0.373, 0.386, 0.405, 0.465, 0.577, 0.745, 0.981, 1.281, 1.644");
}
}
timing() {
related_pin : "E" ;
timing_type : removal_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
index_2("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394, 1.994, 2.727, 3.6");
values("-0.292, -0.29, -0.286, -0.304, -0.333, -0.383, -0.422, -0.452, -0.462, -0.448",\
"-0.291, -0.293, -0.288, -0.306, -0.336, -0.386, -0.421, -0.453, -0.46, -0.452",\
"-0.292, -0.292, -0.287, -0.301, -0.337, -0.38, -0.421, -0.452, -0.462, -0.447",\
"-0.296, -0.291, -0.291, -0.306, -0.334, -0.38, -0.422, -0.449, -0.456, -0.444",\
"-0.305, -0.305, -0.305, -0.315, -0.342, -0.382, -0.43, -0.458, -0.478, -0.468",\
"-0.327, -0.321, -0.322, -0.334, -0.359, -0.403, -0.453, -0.494, -0.523, -0.521",\
"-0.341, -0.337, -0.342, -0.348, -0.384, -0.434, -0.486, -0.541, -0.589, -0.611",\
"-0.349, -0.346, -0.349, -0.366, -0.399, -0.451, -0.52, -0.598, -0.665, -0.708",\
"-0.343, -0.345, -0.351, -0.361, -0.404, -0.457, -0.547, -0.638, -0.731, -0.805",\
"-0.327, -0.328, -0.331, -0.346, -0.392, -0.459, -0.548, -0.658, -0.779, -0.884");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_E === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!E" ;
fall_constraint(scalar) {
values("0.239");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_D_AND_NOT_E === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!E" ;
fall_constraint(scalar) {
values("0.239");
}
}
}
}