blob: d6f6ec27d269fe350783e318ce628b0a5a60768e [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__icgtp_2) {
area : 79.027200 ;
clock_gating_integrated_cell : "latch_posedge_precontrol" ;
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!E&!TE" ;
value : "3.99348e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!E&TE" ;
value : "4.0815e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&E&!TE" ;
value : "3.72438e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&E&TE" ;
value : "3.72438e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!E&!TE" ;
value : "0.000115731" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!E&TE" ;
value : "8.52822e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&E&!TE" ;
value : "8.4231e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&E&TE" ;
value : "8.4231e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.000115731" ;
}
statetable("CLK E TE", "IQ2 IQN2") {
table : "L L L : - - : L H, \
L H - : - - : H L, \
L - H : - - : H L, \
H - - : - - : N N" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.009742 ;
clock : true ;
clock_gate_clock_pin : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 12 ;
min_pulse_width_low : 1.978 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!E&!TE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.04447, 0.04426, 0.0438, 0.04346, 0.04323, 0.04331, 0.04352, \
0.04391, 0.04444, 0.04516");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.01997, 0.01984, 0.01949, 0.01904, 0.01873, 0.01869, 0.01885, \
0.0192, 0.01972, 0.02041");
}
}
internal_power() {
when : "!E&TE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.08883, 0.08863, 0.08817, 0.08765, 0.08726, 0.08719, 0.08725, \
0.08755, 0.08804, 0.08872");
}
rise_power(scalar) {
values("0");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
when : "E&!TE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.08679, 0.08656, 0.0861, 0.08558, 0.08522, 0.08513, 0.0852, 0.08553, \
0.08598, 0.08667");
}
rise_power(scalar) {
values("0");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
when : "E&TE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.08695, 0.08674, 0.08627, 0.0857, 0.08539, 0.08528, 0.08534, \
0.08564, 0.08612, 0.08685");
}
rise_power(scalar) {
values("0");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_E_AND_NOT_TE === 1'b1" ;
timing_type : min_pulse_width ;
when : "!E&!TE" ;
fall_constraint(scalar) {
values("1.862");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_E_AND_TE === 1'b1" ;
timing_type : min_pulse_width ;
when : "!E&TE" ;
fall_constraint(scalar) {
values("1.978");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_E_AND_NOT_TE === 1'b1" ;
timing_type : min_pulse_width ;
when : "E&!TE" ;
fall_constraint(scalar) {
values("1.914");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_E_AND_TE === 1'b1" ;
timing_type : min_pulse_width ;
when : "E&TE" ;
fall_constraint(scalar) {
values("1.795");
}
}
}
pin(E) {
capacitance : 0.004001 ;
clock_gate_enable_pin : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 12 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!TE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.0475, 0.04731, 0.04709, 0.04687, 0.04674, 0.04663, 0.04657, \
0.04658, 0.04667, 0.04685");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.04035, 0.04034, 0.04026, 0.03999, 0.03965, 0.03934, 0.03916, \
0.0391, 0.03914, 0.03932");
}
}
internal_power() {
when : "!CLK&TE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.002486, 0.002481, 0.002477, 0.002484, 0.00249, 0.002485, 0.002481, \
0.002472, 0.002461, 0.002449");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.002444, -0.002445, -0.002445, -0.002449, -0.002461, -0.002467, \
-0.002471, -0.002479, -0.00249, -0.002507");
}
}
internal_power() {
when : "CLK&!TE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.005229, 0.005219, 0.005227, 0.005225, 0.005223, 0.005233, 0.005232, \
0.005232, 0.005234, 0.00523");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.00459, -0.00461, -0.004659, -0.004709, -0.004715, -0.004744, \
-0.00475, -0.00476, -0.004765, -0.004769");
}
}
internal_power() {
when : "CLK&TE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.002499, 0.002498, 0.002507, 0.00251, 0.002509, 0.002517, 0.002518, \
0.002518, 0.002517, 0.002517");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.002641, -0.002639, -0.002646, -0.002649, -0.002649, -0.002652, \
-0.002646, -0.002654, -0.002652, -0.002653");
}
}
timing() {
related_pin : "CLK" ;
timing_type : hold_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-1.208, -1.184, -1.091, -0.912, -0.711, -0.529, -0.368, -0.223, -0.102, -0.016",\
"-1.224, -1.201, -1.113, -0.934, -0.733, -0.546, -0.384, -0.238, -0.124, -0.035",\
"-1.326, -1.299, -1.203, -1.032, -0.833, -0.641, -0.482, -0.339, -0.222, -0.139",\
"-1.502, -1.476, -1.384, -1.21, -1.005, -0.821, -0.66, -0.519, -0.411, -0.327",\
"-1.774, -1.749, -1.656, -1.474, -1.275, -1.093, -0.934, -0.792, -0.681, -0.602",\
"-2.137, -2.116, -2.024, -1.848, -1.649, -1.471, -1.303, -1.17, -1.058, -0.984",\
"-2.574, -2.549, -2.461, -2.285, -2.094, -1.911, -1.753, -1.615, -1.508, -1.427",\
"-3.057, -3.032, -2.948, -2.778, -2.588, -2.408, -2.249, -2.107, -1.997, -1.918",\
"-3.579, -3.557, -3.47, -3.309, -3.123, -2.941, -2.787, -2.644, -2.537, -2.459",\
"-4.137, -4.118, -4.037, -3.877, -3.694, -3.521, -3.361, -3.229, -3.119, -3.035");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.555, -0.522, -0.436, -0.346, -0.289, -0.258, -0.253, -0.264, -0.311, -0.383",\
"-0.584, -0.556, -0.464, -0.379, -0.325, -0.292, -0.284, -0.296, -0.34, -0.412",\
"-0.677, -0.642, -0.552, -0.467, -0.415, -0.382, -0.37, -0.382, -0.427, -0.502",\
"-0.821, -0.792, -0.706, -0.612, -0.552, -0.514, -0.503, -0.51, -0.556, -0.621",\
"-1.018, -0.993, -0.904, -0.801, -0.738, -0.692, -0.667, -0.68, -0.713, -0.784",\
"-1.23, -1.202, -1.11, -1.005, -0.926, -0.872, -0.84, -0.845, -0.877, -0.939",\
"-1.437, -1.41, -1.312, -1.198, -1.105, -1.049, -1.013, -1.008, -1.034, -1.091",\
"-1.623, -1.598, -1.497, -1.373, -1.278, -1.211, -1.17, -1.157, -1.183, -1.238",\
"-1.785, -1.758, -1.661, -1.53, -1.424, -1.347, -1.306, -1.287, -1.306, -1.358",\
"-1.913, -1.886, -1.789, -1.655, -1.542, -1.461, -1.412, -1.397, -1.405, -1.45");
}
}
timing() {
related_pin : "CLK" ;
timing_type : setup_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("1.443, 1.417, 1.32, 1.126, 0.908, 0.708, 0.522, 0.361, 0.234, 0.139",\
"1.464, 1.438, 1.334, 1.144, 0.927, 0.729, 0.541, 0.385, 0.251, 0.158",\
"1.561, 1.534, 1.429, 1.243, 1.023, 0.822, 0.636, 0.484, 0.352, 0.258",\
"1.739, 1.711, 1.61, 1.423, 1.204, 1, 0.817, 0.666, 0.538, 0.443",\
"2.01, 1.984, 1.882, 1.694, 1.476, 1.272, 1.091, 0.935, 0.811, 0.718",\
"2.386, 2.354, 2.257, 2.067, 1.847, 1.649, 1.47, 1.31, 1.189, 1.101",\
"2.832, 2.806, 2.708, 2.517, 2.305, 2.105, 1.925, 1.77, 1.646, 1.556",\
"3.34, 3.31, 3.212, 3.026, 2.812, 2.611, 2.43, 2.281, 2.156, 2.057",\
"3.886, 3.861, 3.76, 3.58, 3.371, 3.173, 2.991, 2.831, 2.703, 2.609",\
"4.476, 4.451, 4.356, 4.179, 3.967, 3.772, 3.588, 3.433, 3.3, 3.206");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("1.454, 1.453, 1.358, 1.3, 1.321, 1.359, 1.482, 1.657, 1.995, 2.241",\
"1.479, 1.474, 1.391, 1.329, 1.356, 1.389, 1.586, 1.688, 2.022, 2.267",\
"1.573, 1.569, 1.478, 1.416, 1.446, 1.478, 1.6, 1.773, 2.115, 2.357",\
"1.722, 1.717, 1.625, 1.569, 1.594, 1.626, 1.821, 1.915, 2.262, 2.51",\
"1.922, 1.922, 1.824, 1.772, 1.795, 1.828, 1.946, 2.118, 2.452, 2.698",\
"2.138, 2.132, 2.042, 1.988, 2.014, 2.041, 2.23, 2.326, 2.667, 2.919",\
"2.35, 2.35, 2.251, 2.196, 2.219, 2.254, 2.371, 2.539, 2.882, 3.128",\
"2.554, 2.548, 2.452, 2.392, 2.41, 2.448, 2.633, 2.732, 3.073, 3.313",\
"2.723, 2.721, 2.625, 2.561, 2.587, 2.608, 2.729, 2.896, 3.227, 3.472",\
"2.87, 2.857, 2.768, 2.708, 2.722, 2.751, 2.945, 3.035, 3.369, 3.612");
}
}
}
pin(IQ2) {
direction : internal ;
internal_node : IQ2 ;
inverted_output : false ;
}
pin(IQN2) {
direction : internal ;
internal_node : IQN2 ;
inverted_output : true ;
}
pin(Q) {
clock_gate_out_pin : true ;
direction : output ;
max_capacitance : 0.6312 ;
max_transition : 12 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
state_function : "(CLK&IQ2)" ;
internal_power() {
related_pin : "CLK" ;
when : "!E&!TE" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.07745, 0.07787, 0.07878, 0.07945, 0.07979, 0.07998, 0.08009, 0.08014, 0.08018, 0.0802",\
"0.07729, 0.07778, 0.0787, 0.07934, 0.07968, 0.07986, 0.07996, 0.08001, 0.08004, 0.08007",\
"0.07663, 0.07706, 0.07799, 0.07865, 0.07903, 0.07921, 0.07932, 0.07938, 0.07942, 0.07944",\
"0.07587, 0.07624, 0.07701, 0.07769, 0.07821, 0.07846, 0.07865, 0.07872, 0.07878, 0.07881",\
"0.07539, 0.07568, 0.07635, 0.07705, 0.07757, 0.07792, 0.07815, 0.07829, 0.07836, 0.07842",\
"0.0752, 0.07538, 0.07596, 0.07662, 0.07721, 0.07759, 0.07785, 0.07801, 0.07813, 0.0782",\
"0.07539, 0.07551, 0.07589, 0.07649, 0.07707, 0.07749, 0.07781, 0.07801, 0.07813, 0.07822",\
"0.07577, 0.07583, 0.07608, 0.07662, 0.07718, 0.07761, 0.07795, 0.07815, 0.07831, 0.07841",\
"0.07649, 0.07646, 0.07663, 0.07704, 0.07756, 0.07798, 0.07832, 0.07856, 0.07874, 0.07886",\
"0.07748, 0.07741, 0.07746, 0.07779, 0.07825, 0.07869, 0.07901, 0.07926, 0.07946, 0.0796");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.07745, 0.07787, 0.07878, 0.07945, 0.07979, 0.07998, 0.08009, 0.08014, 0.08018, 0.0802",\
"0.07729, 0.07778, 0.0787, 0.07934, 0.07968, 0.07986, 0.07996, 0.08001, 0.08004, 0.08007",\
"0.07663, 0.07706, 0.07799, 0.07865, 0.07903, 0.07921, 0.07932, 0.07938, 0.07942, 0.07944",\
"0.07587, 0.07624, 0.07701, 0.07769, 0.07821, 0.07846, 0.07865, 0.07872, 0.07878, 0.07881",\
"0.07539, 0.07568, 0.07635, 0.07705, 0.07757, 0.07792, 0.07815, 0.07829, 0.07836, 0.07842",\
"0.0752, 0.07538, 0.07596, 0.07662, 0.07721, 0.07759, 0.07785, 0.07801, 0.07813, 0.0782",\
"0.07539, 0.07551, 0.07589, 0.07649, 0.07707, 0.07749, 0.07781, 0.07801, 0.07813, 0.07822",\
"0.07577, 0.07583, 0.07608, 0.07662, 0.07718, 0.07761, 0.07795, 0.07815, 0.07831, 0.07841",\
"0.07649, 0.07646, 0.07663, 0.07704, 0.07756, 0.07798, 0.07832, 0.07856, 0.07874, 0.07886",\
"0.07748, 0.07741, 0.07746, 0.07779, 0.07825, 0.07869, 0.07901, 0.07926, 0.07946, 0.0796");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "CLK" ;
when : "!E&TE" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("-0.008435, -0.007973, -0.00704, -0.006417, -0.006059, -0.005872, -0.005778, -0.005712, -0.005678, -0.005654",\
"-0.008554, -0.008142, -0.007213, -0.006543, -0.006191, -0.006011, -0.005913, -0.005856, -0.00582, -0.005796",\
"-0.009197, -0.008797, -0.007922, -0.007213, -0.006813, -0.006614, -0.006522, -0.006461, -0.006426, -0.006399",\
"-0.009767, -0.009461, -0.008669, -0.007931, -0.007465, -0.007191, -0.007028, -0.006929, -0.006875, -0.006826",\
"-0.009938, -0.009661, -0.009026, -0.008302, -0.007755, -0.007433, -0.007217, -0.007056, -0.006988, -0.006916",\
"-0.009827, -0.009663, -0.009101, -0.00843, -0.007866, -0.007485, -0.007206, -0.007064, -0.006932, -0.006849",\
"-0.009409, -0.009321, -0.008808, -0.008248, -0.007692, -0.007276, -0.006952, -0.006774, -0.006626, -0.006542",\
"-0.008793, -0.008747, -0.008408, -0.00791, -0.007375, -0.006929, -0.006601, -0.006367, -0.00621, -0.006083",\
"-0.007913, -0.007955, -0.007742, -0.007326, -0.006821, -0.006376, -0.00605, -0.005795, -0.005611, -0.005489",\
"-0.006833, -0.006921, -0.006849, -0.006513, -0.006065, -0.005645, -0.005294, -0.005019, -0.004799, -0.004693");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.05234, 0.05262, 0.05343, 0.05425, 0.05502, 0.05548, 0.05599, 0.05637, 0.05785, 0.06898",\
"0.05203, 0.05232, 0.05311, 0.054, 0.05472, 0.05522, 0.0557, 0.05608, 0.05755, 0.06911",\
"0.05126, 0.05153, 0.05228, 0.0531, 0.05387, 0.0544, 0.0548, 0.05531, 0.05723, 0.06899",\
"0.05038, 0.05059, 0.05118, 0.05195, 0.0527, 0.05326, 0.05385, 0.05427, 0.05604, 0.0712",\
"0.04986, 0.05001, 0.0505, 0.05114, 0.05193, 0.05254, 0.05316, 0.05373, 0.0564, 0.07445",\
"0.04977, 0.04992, 0.05023, 0.05096, 0.05164, 0.0522, 0.05277, 0.05347, 0.05615, 0.07982",\
"0.0502, 0.05024, 0.05051, 0.05098, 0.05173, 0.0526, 0.05277, 0.05369, 0.05816, 0.0911",\
"0.05105, 0.05105, 0.05123, 0.05161, 0.05213, 0.05279, 0.05394, 0.05462, 0.06146, 0.1087",\
"0.05228, 0.05224, 0.05239, 0.05272, 0.05321, 0.05335, 0.05449, 0.05627, 0.06837, 0.1412",\
"0.05398, 0.05391, 0.05396, 0.05429, 0.05468, 0.05497, 0.0556, 0.05863, 0.08389, 0.1982");
}
}
internal_power() {
related_pin : "CLK" ;
when : "E&!TE" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("-0.006382, -0.00592, -0.004989, -0.00437, -0.004008, -0.003819, -0.003725, -0.003659, -0.003625, -0.003592",\
"-0.00646, -0.006047, -0.005119, -0.004444, -0.004096, -0.003914, -0.003821, -0.003761, -0.003725, -0.003702",\
"-0.007106, -0.006706, -0.005831, -0.005122, -0.004723, -0.004523, -0.004431, -0.004372, -0.004336, -0.004316",\
"-0.007676, -0.007351, -0.006578, -0.005846, -0.005376, -0.005101, -0.004937, -0.004838, -0.004782, -0.004735",\
"-0.00788, -0.007601, -0.006964, -0.006247, -0.005709, -0.005378, -0.005138, -0.004985, -0.004921, -0.004854",\
"-0.007752, -0.007563, -0.007039, -0.006357, -0.005786, -0.005385, -0.005147, -0.004991, -0.004838, -0.004784",\
"-0.007318, -0.007221, -0.006755, -0.006195, -0.005633, -0.005205, -0.004895, -0.004706, -0.004559, -0.004469",\
"-0.006755, -0.006704, -0.006368, -0.005867, -0.005333, -0.004888, -0.004555, -0.004298, -0.004168, -0.004042",\
"-0.005846, -0.005876, -0.005668, -0.005246, -0.004737, -0.004305, -0.00397, -0.00372, -0.003538, -0.003418",\
"-0.004764, -0.004852, -0.004789, -0.004444, -0.003992, -0.003571, -0.003218, -0.00295, -0.00273, -0.002624");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.05231, 0.0526, 0.0534, 0.05424, 0.05497, 0.05546, 0.05597, 0.05655, 0.05782, 0.07017",\
"0.05201, 0.05233, 0.05312, 0.05398, 0.05468, 0.05519, 0.05574, 0.05621, 0.05748, 0.06985",\
"0.05123, 0.05152, 0.05226, 0.05308, 0.05386, 0.05436, 0.05486, 0.05529, 0.05722, 0.06919",\
"0.05036, 0.05058, 0.05115, 0.05191, 0.05267, 0.05332, 0.05383, 0.0543, 0.05579, 0.07055",\
"0.04984, 0.04998, 0.05047, 0.05112, 0.05189, 0.05251, 0.05322, 0.05358, 0.05565, 0.07361",\
"0.04975, 0.04989, 0.0502, 0.05092, 0.05161, 0.05218, 0.05275, 0.05344, 0.05613, 0.07984",\
"0.05018, 0.05023, 0.05047, 0.05092, 0.05171, 0.05253, 0.05306, 0.05367, 0.05772, 0.09027",\
"0.05103, 0.05103, 0.05121, 0.05161, 0.05211, 0.05278, 0.05392, 0.05461, 0.06144, 0.1085",\
"0.05226, 0.05221, 0.05237, 0.05271, 0.0531, 0.05343, 0.05453, 0.05619, 0.0687, 0.1412",\
"0.05398, 0.0539, 0.05391, 0.05425, 0.05467, 0.05494, 0.05559, 0.05853, 0.08401, 0.198");
}
}
internal_power() {
related_pin : "CLK" ;
when : "E&TE" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("-0.006535, -0.006073, -0.00514, -0.004517, -0.004159, -0.003972, -0.003878, -0.003812, -0.003778, -0.003754",\
"-0.006641, -0.006229, -0.0053, -0.004631, -0.004278, -0.004096, -0.004001, -0.003943, -0.003907, -0.003884",\
"-0.007279, -0.006872, -0.006004, -0.005295, -0.004895, -0.004696, -0.004606, -0.004546, -0.004517, -0.004489",\
"-0.007813, -0.007518, -0.006701, -0.005966, -0.005488, -0.005234, -0.005081, -0.004969, -0.004915, -0.004868",\
"-0.008062, -0.00775, -0.007104, -0.006425, -0.00586, -0.005525, -0.0053, -0.005183, -0.005077, -0.005054",\
"-0.00793, -0.007694, -0.007187, -0.006523, -0.005925, -0.005551, -0.005268, -0.00511, -0.005007, -0.004904",\
"-0.007442, -0.007329, -0.006895, -0.006332, -0.005774, -0.005342, -0.005054, -0.00482, -0.004694, -0.004598",\
"-0.006794, -0.006771, -0.006488, -0.005974, -0.005446, -0.004978, -0.004678, -0.004436, -0.00428, -0.004161",\
"-0.005981, -0.00599, -0.005821, -0.005385, -0.004881, -0.004435, -0.004107, -0.003844, -0.00367, -0.003549",\
"-0.004933, -0.005052, -0.004962, -0.004611, -0.004172, -0.00376, -0.00338, -0.003157, -0.002887, -0.002807");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.05232, 0.0526, 0.05341, 0.05424, 0.05499, 0.05548, 0.05595, 0.05636, 0.05786, 0.06922",\
"0.05202, 0.05231, 0.05311, 0.05395, 0.05472, 0.05521, 0.05568, 0.05592, 0.05732, 0.06936",\
"0.05123, 0.05152, 0.05224, 0.0531, 0.05386, 0.05434, 0.05498, 0.05526, 0.05671, 0.06995",\
"0.05037, 0.05058, 0.05116, 0.0519, 0.05269, 0.05325, 0.05383, 0.05427, 0.05579, 0.07113",\
"0.04986, 0.04999, 0.05048, 0.05112, 0.05188, 0.05254, 0.05315, 0.05357, 0.05568, 0.07415",\
"0.04976, 0.04986, 0.05023, 0.05091, 0.05164, 0.05224, 0.05275, 0.05346, 0.05608, 0.07984",\
"0.05019, 0.05027, 0.0505, 0.05094, 0.05175, 0.05253, 0.05307, 0.05342, 0.05793, 0.0909",\
"0.05103, 0.05103, 0.05124, 0.05157, 0.05193, 0.05283, 0.05397, 0.05432, 0.06148, 0.1083",\
"0.05227, 0.05226, 0.05238, 0.05271, 0.0531, 0.05355, 0.05462, 0.0562, 0.06832, 0.141",\
"0.05399, 0.05388, 0.05391, 0.05427, 0.05467, 0.05497, 0.05553, 0.05867, 0.08423, 0.1984");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "E===1'b0 && TE===1'b1" ;
timing_sense : positive_unate ;
timing_type : combinational ;
when : "!E&TE" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.5187, 0.5702, 0.7015, 0.8959, 1.167, 1.536, 2.017, 2.624, 3.365, 4.249",\
"0.5537, 0.6053, 0.7366, 0.9312, 1.202, 1.571, 2.053, 2.659, 3.4, 4.284",\
"0.6754, 0.7269, 0.8582, 1.053, 1.324, 1.692, 2.174, 2.781, 3.522, 4.407",\
"0.914, 0.9655, 1.097, 1.292, 1.563, 1.932, 2.414, 3.021, 3.762, 4.646",\
"1.247, 1.304, 1.443, 1.642, 1.914, 2.283, 2.766, 3.373, 4.114, 4.998",\
"1.613, 1.679, 1.834, 2.044, 2.322, 2.693, 3.175, 3.782, 4.523, 5.406",\
"2.005, 2.081, 2.255, 2.477, 2.763, 3.136, 3.62, 4.227, 4.966, 5.849",\
"2.421, 2.508, 2.702, 2.94, 3.233, 3.611, 4.095, 4.702, 5.443, 6.325",\
"2.863, 2.96, 3.176, 3.432, 3.735, 4.115, 4.6, 5.207, 5.948, 6.831",\
"3.334, 3.44, 3.676, 3.954, 4.268, 4.653, 5.139, 5.746, 6.487, 7.369");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.5956, 0.6689, 0.871, 1.207, 1.711, 2.415, 3.337, 4.5, 5.918, 7.606",\
"0.6196, 0.693, 0.8951, 1.23, 1.735, 2.438, 3.361, 4.524, 5.942, 7.629",\
"0.71, 0.7832, 0.985, 1.32, 1.825, 2.528, 3.452, 4.613, 6.031, 7.723",\
"0.8839, 0.9573, 1.159, 1.494, 1.999, 2.702, 3.625, 4.788, 6.205, 7.897",\
"1.091, 1.169, 1.377, 1.715, 2.22, 2.923, 3.848, 5.009, 6.428, 8.119",\
"1.286, 1.371, 1.587, 1.928, 2.436, 3.14, 4.065, 5.228, 6.646, 8.337",\
"1.461, 1.554, 1.782, 2.127, 2.634, 3.341, 4.266, 5.429, 6.848, 8.536",\
"1.609, 1.713, 1.956, 2.306, 2.813, 3.518, 4.444, 5.61, 7.027, 8.72",\
"1.728, 1.842, 2.103, 2.461, 2.968, 3.672, 4.598, 5.763, 7.184, 8.873",\
"1.815, 1.94, 2.222, 2.59, 3.098, 3.802, 4.726, 5.89, 7.311, 9.003");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.1532, 0.2005, 0.3424, 0.6028, 1.024, 1.636, 2.457, 3.494, 4.763, 6.272",\
"0.1533, 0.2009, 0.3424, 0.6022, 1.024, 1.638, 2.454, 3.495, 4.754, 6.271",\
"0.1535, 0.2, 0.3422, 0.6024, 1.024, 1.638, 2.457, 3.495, 4.763, 6.275",\
"0.1558, 0.2026, 0.3434, 0.6032, 1.023, 1.637, 2.457, 3.494, 4.763, 6.276",\
"0.1846, 0.2284, 0.3616, 0.6122, 1.027, 1.639, 2.456, 3.494, 4.763, 6.275",\
"0.228, 0.2726, 0.4006, 0.6418, 1.045, 1.646, 2.457, 3.494, 4.763, 6.273",\
"0.2724, 0.3224, 0.448, 0.677, 1.067, 1.658, 2.465, 3.494, 4.759, 6.264",\
"0.3186, 0.3744, 0.5026, 0.7192, 1.097, 1.674, 2.475, 3.501, 4.759, 6.268",\
"0.3636, 0.4272, 0.5638, 0.7702, 1.129, 1.692, 2.484, 3.507, 4.766, 6.266",\
"0.41, 0.478, 0.628, 0.828, 1.168, 1.712, 2.496, 3.514, 4.77, 6.274");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.2084, 0.2837, 0.5455, 1.068, 1.916, 3.115, 4.698, 6.682, 9.112, 12.01",\
"0.2081, 0.2841, 0.5447, 1.068, 1.916, 3.113, 4.698, 6.682, 9.111, 12.01",\
"0.207, 0.2842, 0.5444, 1.068, 1.916, 3.116, 4.695, 6.688, 9.118, 12.01",\
"0.2108, 0.2864, 0.5456, 1.068, 1.914, 3.115, 4.698, 6.681, 9.117, 12.02",\
"0.2344, 0.3082, 0.5614, 1.074, 1.917, 3.113, 4.697, 6.686, 9.118, 12.02",\
"0.267, 0.3368, 0.5792, 1.087, 1.924, 3.117, 4.692, 6.687, 9.117, 12.01",\
"0.3064, 0.375, 0.6038, 1.097, 1.93, 3.123, 4.698, 6.685, 9.115, 12.02",\
"0.3484, 0.4196, 0.6362, 1.112, 1.935, 3.128, 4.702, 6.688, 9.107, 12",\
"0.3902, 0.4676, 0.677, 1.128, 1.94, 3.131, 4.709, 6.69, 9.112, 12",\
"0.4318, 0.5166, 0.7242, 1.151, 1.948, 3.132, 4.71, 6.69, 9.114, 12");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "E===1'b1 && TE===1'b0" ;
timing_sense : positive_unate ;
timing_type : combinational ;
when : "E&!TE" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.5187, 0.5702, 0.7015, 0.8959, 1.167, 1.536, 2.018, 2.624, 3.365, 4.25",\
"0.5537, 0.6053, 0.7366, 0.9312, 1.202, 1.571, 2.053, 2.659, 3.4, 4.284",\
"0.6754, 0.7269, 0.8582, 1.053, 1.324, 1.692, 2.174, 2.781, 3.522, 4.407",\
"0.914, 0.9653, 1.097, 1.292, 1.563, 1.932, 2.414, 3.021, 3.761, 4.646",\
"1.247, 1.304, 1.443, 1.642, 1.914, 2.283, 2.766, 3.373, 4.113, 4.998",\
"1.613, 1.679, 1.834, 2.044, 2.323, 2.693, 3.176, 3.782, 4.523, 5.406",\
"2.005, 2.081, 2.255, 2.477, 2.763, 3.136, 3.62, 4.227, 4.966, 5.849",\
"2.421, 2.508, 2.702, 2.94, 3.233, 3.611, 4.095, 4.702, 5.443, 6.325",\
"2.863, 2.96, 3.176, 3.432, 3.736, 4.116, 4.6, 5.208, 5.948, 6.831",\
"3.334, 3.44, 3.676, 3.954, 4.268, 4.653, 5.139, 5.746, 6.487, 7.369");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.5956, 0.6689, 0.871, 1.207, 1.711, 2.415, 3.337, 4.499, 5.917, 7.606",\
"0.6197, 0.693, 0.8951, 1.23, 1.735, 2.438, 3.361, 4.523, 5.941, 7.63",\
"0.71, 0.7832, 0.985, 1.32, 1.825, 2.529, 3.451, 4.613, 6.031, 7.722",\
"0.8839, 0.9572, 1.159, 1.494, 1.999, 2.702, 3.625, 4.789, 6.207, 7.897",\
"1.091, 1.169, 1.377, 1.715, 2.22, 2.923, 3.848, 5.01, 6.429, 8.12",\
"1.286, 1.371, 1.587, 1.928, 2.436, 3.14, 4.065, 5.228, 6.646, 8.337",\
"1.461, 1.554, 1.782, 2.127, 2.634, 3.341, 4.266, 5.429, 6.847, 8.536",\
"1.609, 1.713, 1.956, 2.305, 2.813, 3.518, 4.444, 5.61, 7.027, 8.72",\
"1.728, 1.842, 2.103, 2.461, 2.968, 3.673, 4.598, 5.762, 7.184, 8.873",\
"1.816, 1.94, 2.222, 2.59, 3.098, 3.802, 4.726, 5.89, 7.31, 9.003");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.1533, 0.2005, 0.3423, 0.6028, 1.024, 1.636, 2.457, 3.494, 4.763, 6.276",\
"0.1533, 0.2009, 0.3423, 0.6024, 1.024, 1.638, 2.454, 3.495, 4.755, 6.271",\
"0.1535, 0.2, 0.3422, 0.6024, 1.024, 1.639, 2.457, 3.493, 4.763, 6.274",\
"0.1558, 0.2026, 0.3434, 0.603, 1.024, 1.637, 2.457, 3.494, 4.762, 6.276",\
"0.1846, 0.2284, 0.3616, 0.6122, 1.028, 1.639, 2.458, 3.495, 4.762, 6.275",\
"0.228, 0.2726, 0.4002, 0.6418, 1.045, 1.643, 2.458, 3.493, 4.762, 6.273",\
"0.2726, 0.3226, 0.4478, 0.6774, 1.068, 1.659, 2.464, 3.493, 4.759, 6.264",\
"0.3186, 0.3744, 0.5026, 0.7192, 1.097, 1.675, 2.475, 3.501, 4.759, 6.266",\
"0.3638, 0.4272, 0.5638, 0.77, 1.129, 1.691, 2.484, 3.511, 4.766, 6.266",\
"0.41, 0.478, 0.626, 0.828, 1.168, 1.712, 2.496, 3.514, 4.77, 6.274");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.2084, 0.2837, 0.5455, 1.068, 1.916, 3.115, 4.698, 6.683, 9.113, 12.01",\
"0.207, 0.2846, 0.5455, 1.068, 1.916, 3.112, 4.699, 6.686, 9.115, 12.02",\
"0.207, 0.2842, 0.5448, 1.068, 1.916, 3.115, 4.698, 6.688, 9.118, 12.01",\
"0.2106, 0.2866, 0.5456, 1.068, 1.914, 3.117, 4.698, 6.679, 9.106, 12",\
"0.2342, 0.3086, 0.5614, 1.074, 1.917, 3.116, 4.698, 6.688, 9.111, 12.01",\
"0.267, 0.3368, 0.5792, 1.087, 1.924, 3.117, 4.692, 6.687, 9.117, 12.01",\
"0.3064, 0.3752, 0.6046, 1.097, 1.93, 3.123, 4.698, 6.685, 9.121, 12.01",\
"0.3484, 0.4202, 0.6362, 1.111, 1.935, 3.128, 4.702, 6.688, 9.107, 12",\
"0.3902, 0.4676, 0.677, 1.129, 1.94, 3.131, 4.707, 6.689, 9.112, 12",\
"0.4318, 0.5166, 0.724, 1.151, 1.947, 3.132, 4.71, 6.698, 9.114, 12");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "E===1'b1 && TE===1'b1" ;
timing_sense : positive_unate ;
timing_type : combinational ;
when : "E&TE" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.5187, 0.5702, 0.7015, 0.8959, 1.167, 1.536, 2.017, 2.624, 3.365, 4.249",\
"0.5537, 0.6053, 0.7366, 0.9312, 1.202, 1.571, 2.053, 2.659, 3.4, 4.284",\
"0.6754, 0.7269, 0.8582, 1.053, 1.324, 1.692, 2.174, 2.781, 3.522, 4.406",\
"0.914, 0.9654, 1.097, 1.292, 1.563, 1.932, 2.414, 3.021, 3.761, 4.646",\
"1.247, 1.304, 1.443, 1.642, 1.914, 2.284, 2.766, 3.373, 4.113, 4.998",\
"1.613, 1.68, 1.834, 2.044, 2.322, 2.693, 3.175, 3.782, 4.523, 5.406",\
"2.005, 2.081, 2.255, 2.477, 2.763, 3.136, 3.62, 4.227, 4.966, 5.849",\
"2.421, 2.508, 2.703, 2.94, 3.233, 3.611, 4.095, 4.702, 5.443, 6.325",\
"2.863, 2.959, 3.176, 3.432, 3.735, 4.116, 4.6, 5.208, 5.948, 6.831",\
"3.334, 3.44, 3.676, 3.953, 4.268, 4.653, 5.139, 5.746, 6.487, 7.369");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.5955, 0.6689, 0.871, 1.206, 1.711, 2.414, 3.337, 4.5, 5.918, 7.605",\
"0.6197, 0.6929, 0.895, 1.23, 1.735, 2.439, 3.361, 4.524, 5.942, 7.631",\
"0.7098, 0.7831, 0.985, 1.32, 1.825, 2.528, 3.452, 4.613, 6.03, 7.721",\
"0.8839, 0.9572, 1.159, 1.494, 1.998, 2.702, 3.625, 4.789, 6.207, 7.896",\
"1.091, 1.169, 1.377, 1.715, 2.22, 2.923, 3.848, 5.01, 6.429, 8.119",\
"1.286, 1.371, 1.587, 1.928, 2.436, 3.14, 4.065, 5.228, 6.646, 8.337",\
"1.461, 1.555, 1.782, 2.127, 2.634, 3.341, 4.266, 5.428, 6.848, 8.536",\
"1.609, 1.713, 1.956, 2.305, 2.813, 3.518, 4.444, 5.609, 7.027, 8.72",\
"1.728, 1.842, 2.103, 2.461, 2.969, 3.672, 4.598, 5.763, 7.183, 8.874",\
"1.816, 1.941, 2.222, 2.589, 3.098, 3.802, 4.727, 5.89, 7.31, 9.003");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.1533, 0.2005, 0.3423, 0.6028, 1.024, 1.636, 2.457, 3.494, 4.763, 6.272",\
"0.1533, 0.2009, 0.3424, 0.6022, 1.024, 1.638, 2.454, 3.495, 4.754, 6.271",\
"0.1535, 0.2006, 0.3422, 0.6024, 1.024, 1.639, 2.454, 3.495, 4.762, 6.273",\
"0.1556, 0.2022, 0.3434, 0.603, 1.025, 1.638, 2.457, 3.495, 4.762, 6.276",\
"0.185, 0.2282, 0.362, 0.6122, 1.027, 1.638, 2.458, 3.49, 4.761, 6.274",\
"0.2278, 0.2734, 0.3998, 0.642, 1.045, 1.645, 2.457, 3.495, 4.763, 6.275",\
"0.273, 0.3228, 0.4478, 0.6774, 1.069, 1.659, 2.464, 3.494, 4.759, 6.266",\
"0.3188, 0.3746, 0.5034, 0.7192, 1.095, 1.675, 2.477, 3.502, 4.76, 6.266",\
"0.3636, 0.4266, 0.5638, 0.7698, 1.128, 1.693, 2.482, 3.507, 4.766, 6.266",\
"0.41, 0.478, 0.626, 0.828, 1.168, 1.714, 2.496, 3.514, 4.774, 6.274");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.2082, 0.2841, 0.5456, 1.068, 1.916, 3.115, 4.698, 6.682, 9.111, 12.01",\
"0.207, 0.2841, 0.5456, 1.068, 1.915, 3.114, 4.698, 6.682, 9.11, 12.01",\
"0.2071, 0.2843, 0.5456, 1.069, 1.916, 3.115, 4.698, 6.687, 9.116, 12.02",\
"0.2104, 0.2866, 0.547, 1.069, 1.916, 3.114, 4.698, 6.678, 9.105, 12.01",\
"0.2346, 0.3076, 0.5612, 1.073, 1.917, 3.116, 4.697, 6.688, 9.112, 12.01",\
"0.2668, 0.3366, 0.579, 1.086, 1.924, 3.117, 4.692, 6.688, 9.118, 12.01",\
"0.3064, 0.3752, 0.6038, 1.097, 1.93, 3.123, 4.696, 6.683, 9.112, 12.02",\
"0.3484, 0.4202, 0.6362, 1.112, 1.938, 3.128, 4.702, 6.683, 9.115, 12",\
"0.3902, 0.4676, 0.6766, 1.129, 1.94, 3.133, 4.707, 6.69, 9.112, 12",\
"0.4326, 0.516, 0.7248, 1.153, 1.948, 3.134, 4.708, 6.69, 9.116, 12");
}
}
timing() {
related_pin : "CLK" ;
timing_sense : positive_unate ;
timing_type : combinational ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.5187, 0.5702, 0.7016, 0.896, 1.167, 1.536, 2.018, 2.629, 3.376, 4.256",\
"0.5538, 0.6053, 0.7368, 0.9312, 1.202, 1.571, 2.053, 2.664, 3.411, 4.292",\
"0.6754, 0.7269, 0.8583, 1.053, 1.324, 1.692, 2.175, 2.786, 3.533, 4.413",\
"0.9138, 0.9654, 1.097, 1.292, 1.563, 1.932, 2.414, 3.025, 3.773, 4.653",\
"1.247, 1.304, 1.443, 1.641, 1.915, 2.283, 2.766, 3.378, 4.124, 5.005",\
"1.613, 1.68, 1.834, 2.043, 2.322, 2.693, 3.175, 3.787, 4.533, 5.414",\
"2.005, 2.082, 2.254, 2.477, 2.762, 3.136, 3.621, 4.233, 4.978, 5.858",\
"2.422, 2.508, 2.702, 2.94, 3.234, 3.61, 4.095, 4.71, 5.454, 6.334",\
"2.864, 2.96, 3.176, 3.432, 3.735, 4.115, 4.6, 5.216, 5.96, 6.84",\
"3.334, 3.439, 3.675, 3.953, 4.267, 4.653, 5.14, 5.757, 6.498, 7.379");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.5955, 0.6689, 0.871, 1.206, 1.711, 2.414, 3.337, 4.5, 5.918, 7.605",\
"0.6197, 0.6929, 0.895, 1.23, 1.735, 2.439, 3.361, 4.524, 5.942, 7.631",\
"0.7098, 0.7831, 0.985, 1.32, 1.825, 2.528, 3.452, 4.613, 6.03, 7.721",\
"0.8839, 0.9572, 1.159, 1.494, 1.998, 2.702, 3.625, 4.789, 6.207, 7.896",\
"1.091, 1.169, 1.377, 1.715, 2.22, 2.923, 3.848, 5.01, 6.429, 8.119",\
"1.286, 1.371, 1.587, 1.928, 2.436, 3.14, 4.065, 5.228, 6.646, 8.337",\
"1.461, 1.555, 1.782, 2.127, 2.634, 3.341, 4.266, 5.428, 6.848, 8.536",\
"1.609, 1.713, 1.956, 2.305, 2.813, 3.518, 4.444, 5.609, 7.027, 8.72",\
"1.728, 1.842, 2.103, 2.461, 2.969, 3.672, 4.598, 5.763, 7.183, 8.874",\
"1.816, 1.941, 2.222, 2.589, 3.098, 3.802, 4.727, 5.89, 7.31, 9.003");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.1533, 0.2005, 0.3423, 0.6028, 1.024, 1.636, 2.457, 3.494, 4.763, 6.272",\
"0.1533, 0.2009, 0.3424, 0.6022, 1.024, 1.638, 2.454, 3.495, 4.754, 6.271",\
"0.1535, 0.2006, 0.3422, 0.6024, 1.024, 1.639, 2.454, 3.495, 4.762, 6.273",\
"0.1556, 0.2022, 0.3434, 0.603, 1.025, 1.638, 2.457, 3.495, 4.762, 6.276",\
"0.185, 0.2282, 0.362, 0.6122, 1.027, 1.638, 2.458, 3.49, 4.761, 6.274",\
"0.2278, 0.2734, 0.3998, 0.642, 1.045, 1.645, 2.457, 3.495, 4.763, 6.275",\
"0.273, 0.3228, 0.4478, 0.6774, 1.069, 1.659, 2.464, 3.494, 4.759, 6.266",\
"0.3188, 0.3746, 0.5034, 0.7192, 1.095, 1.675, 2.477, 3.502, 4.76, 6.266",\
"0.3636, 0.4266, 0.5638, 0.7698, 1.128, 1.693, 2.482, 3.507, 4.766, 6.266",\
"0.41, 0.478, 0.626, 0.828, 1.168, 1.714, 2.496, 3.514, 4.774, 6.274");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.2082, 0.2841, 0.5456, 1.068, 1.916, 3.115, 4.698, 6.682, 9.111, 12.01",\
"0.207, 0.2841, 0.5456, 1.068, 1.915, 3.114, 4.698, 6.682, 9.11, 12.01",\
"0.2071, 0.2843, 0.5456, 1.069, 1.916, 3.115, 4.698, 6.687, 9.116, 12.02",\
"0.2104, 0.2866, 0.547, 1.069, 1.916, 3.114, 4.698, 6.678, 9.105, 12.01",\
"0.2346, 0.3076, 0.5612, 1.073, 1.917, 3.116, 4.697, 6.688, 9.112, 12.01",\
"0.2668, 0.3366, 0.579, 1.086, 1.924, 3.117, 4.692, 6.688, 9.118, 12.01",\
"0.3064, 0.3752, 0.6038, 1.097, 1.93, 3.123, 4.696, 6.683, 9.112, 12.02",\
"0.3484, 0.4202, 0.6362, 1.112, 1.938, 3.128, 4.702, 6.683, 9.115, 12",\
"0.3902, 0.4676, 0.6766, 1.129, 1.94, 3.133, 4.707, 6.69, 9.112, 12",\
"0.4326, 0.516, 0.7248, 1.153, 1.948, 3.134, 4.708, 6.69, 9.116, 12");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "E===1'b0 && TE===1'b0" ;
timing_sense : positive_unate ;
timing_type : combinational_fall ;
when : "!E&!TE" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.5187, 0.5702, 0.7016, 0.896, 1.167, 1.536, 2.018, 2.629, 3.376, 4.256",\
"0.5538, 0.6053, 0.7368, 0.9312, 1.202, 1.571, 2.053, 2.664, 3.411, 4.292",\
"0.6754, 0.7269, 0.8583, 1.053, 1.324, 1.692, 2.175, 2.786, 3.533, 4.413",\
"0.9138, 0.9654, 1.097, 1.292, 1.563, 1.932, 2.414, 3.025, 3.773, 4.653",\
"1.247, 1.304, 1.443, 1.641, 1.915, 2.283, 2.766, 3.378, 4.124, 5.005",\
"1.613, 1.68, 1.834, 2.043, 2.322, 2.693, 3.175, 3.787, 4.533, 5.414",\
"2.005, 2.082, 2.254, 2.477, 2.762, 3.136, 3.621, 4.233, 4.978, 5.858",\
"2.422, 2.508, 2.702, 2.94, 3.234, 3.61, 4.095, 4.71, 5.454, 6.334",\
"2.864, 2.96, 3.176, 3.432, 3.735, 4.115, 4.6, 5.216, 5.96, 6.84",\
"3.334, 3.439, 3.675, 3.953, 4.267, 4.653, 5.14, 5.757, 6.498, 7.379");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.005349, 0.02062, 0.04977, 0.09519, 0.1589, 0.2428, 0.3484, 0.4774, 0.6312");
values("0.1534, 0.2006, 0.3425, 0.6027, 1.024, 1.636, 2.463, 3.508, 4.766, 6.255",\
"0.1534, 0.2006, 0.3424, 0.6026, 1.024, 1.636, 2.463, 3.508, 4.767, 6.256",\
"0.1534, 0.2006, 0.3424, 0.6024, 1.024, 1.636, 2.463, 3.508, 4.766, 6.256",\
"0.1556, 0.2026, 0.3436, 0.6032, 1.023, 1.636, 2.463, 3.508, 4.766, 6.255",\
"0.1848, 0.229, 0.3622, 0.6118, 1.028, 1.637, 2.464, 3.508, 4.765, 6.255",\
"0.227, 0.2724, 0.3998, 0.642, 1.045, 1.644, 2.467, 3.508, 4.766, 6.254",\
"0.2726, 0.3222, 0.4466, 0.6776, 1.069, 1.659, 2.478, 3.513, 4.766, 6.253",\
"0.3184, 0.3748, 0.5026, 0.7188, 1.095, 1.673, 2.489, 3.521, 4.771, 6.252",\
"0.3628, 0.4264, 0.5638, 0.77, 1.129, 1.69, 2.501, 3.526, 4.774, 6.254",\
"0.408, 0.476, 0.626, 0.828, 1.166, 1.714, 2.514, 3.532, 4.78, 6.26");
}
}
}
pin(TE) {
capacitance : 0.003991 ;
clock_gate_test_pin : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 12 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!E" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.05019, 0.04999, 0.04976, 0.04956, 0.04943, 0.04934, 0.04925, \
0.04923, 0.04923, 0.04934");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.04242, 0.04223, 0.04196, 0.04173, 0.0415, 0.04128, 0.04116, \
0.04112, 0.04116, 0.04132");
}
}
internal_power() {
when : "!CLK&E" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.004827, 0.004823, 0.004818, 0.004825, 0.004832, 0.004833, 0.004834, \
0.004832, 0.004833, 0.004831");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.00433, -0.004396, -0.004514, -0.004585, -0.004633, -0.004656, \
-0.004676, -0.004687, -0.004698, -0.004701");
}
}
internal_power() {
when : "CLK&!E" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.007853, 0.007856, 0.007852, 0.007835, 0.007842, 0.007845, 0.007838, \
0.007848, 0.007845, 0.007851");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.004109, -0.004174, -0.004304, -0.004416, -0.004473, -0.004544, \
-0.004574, -0.004597, -0.004615, -0.00463");
}
}
internal_power() {
when : "CLK&E" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.004826, 0.004825, 0.004826, 0.004826, 0.004825, 0.004835, 0.004834, \
0.004834, 0.004833, 0.004836");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.004363, -0.004406, -0.004524, -0.004588, -0.004628, -0.004659, \
-0.004677, -0.00469, -0.004697, -0.004703");
}
}
timing() {
related_pin : "CLK" ;
timing_type : hold_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-1.259, -1.235, -1.142, -0.964, -0.76, -0.576, -0.419, -0.272, -0.157, -0.074",\
"-1.283, -1.262, -1.17, -0.99, -0.789, -0.61, -0.442, -0.296, -0.185, -0.1",\
"-1.389, -1.363, -1.272, -1.092, -0.896, -0.71, -0.55, -0.407, -0.29, -0.213",\
"-1.566, -1.538, -1.452, -1.27, -1.069, -0.881, -0.721, -0.583, -0.472, -0.389",\
"-1.813, -1.79, -1.697, -1.517, -1.311, -1.134, -0.973, -0.833, -0.72, -0.643",\
"-2.13, -2.108, -2.016, -1.838, -1.643, -1.457, -1.294, -1.159, -1.051, -0.975",\
"-2.499, -2.479, -2.387, -2.209, -2.015, -1.837, -1.676, -1.534, -1.426, -1.348",\
"-2.903, -2.877, -2.785, -2.613, -2.425, -2.245, -2.087, -1.948, -1.838, -1.763",\
"-3.338, -3.314, -3.224, -3.055, -2.868, -2.687, -2.533, -2.391, -2.288, -2.209",\
"-3.806, -3.784, -3.696, -3.536, -3.348, -3.172, -3.014, -2.886, -2.775, -2.694");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.618, -0.588, -0.5, -0.404, -0.348, -0.328, -0.322, -0.345, -0.393, -0.47",\
"-0.642, -0.618, -0.528, -0.435, -0.381, -0.356, -0.349, -0.369, -0.417, -0.499",\
"-0.734, -0.7, -0.611, -0.52, -0.467, -0.434, -0.432, -0.45, -0.501, -0.577",\
"-0.878, -0.848, -0.759, -0.668, -0.609, -0.573, -0.571, -0.584, -0.634, -0.706",\
"-1.086, -1.059, -0.967, -0.872, -0.801, -0.764, -0.746, -0.762, -0.798, -0.874",\
"-1.316, -1.281, -1.188, -1.084, -1.01, -0.961, -0.933, -0.944, -0.979, -1.047",\
"-1.54, -1.51, -1.417, -1.301, -1.215, -1.151, -1.125, -1.123, -1.156, -1.219",\
"-1.745, -1.721, -1.625, -1.501, -1.41, -1.339, -1.305, -1.291, -1.323, -1.382",\
"-1.933, -1.908, -1.81, -1.681, -1.58, -1.506, -1.462, -1.446, -1.468, -1.521",\
"-2.093, -2.06, -1.96, -1.833, -1.723, -1.649, -1.6, -1.579, -1.593, -1.642");
}
}
timing() {
related_pin : "CLK" ;
timing_type : setup_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("1.494, 1.468, 1.371, 1.178, 0.967, 0.762, 0.574, 0.417, 0.283, 0.189",\
"1.522, 1.5, 1.398, 1.205, 0.983, 0.785, 0.599, 0.443, 0.311, 0.216",\
"1.624, 1.605, 1.498, 1.31, 1.093, 0.891, 0.704, 0.552, 0.421, 0.324",\
"1.803, 1.779, 1.677, 1.483, 1.268, 1.07, 0.885, 0.73, 0.598, 0.505",\
"2.05, 2.024, 1.922, 1.73, 1.513, 1.314, 1.13, 0.971, 0.851, 0.759",\
"2.378, 2.346, 2.249, 2.057, 1.841, 1.642, 1.461, 1.304, 1.182, 1.092",\
"2.752, 2.73, 2.628, 2.442, 2.227, 2.022, 1.842, 1.689, 1.565, 1.476",\
"3.17, 3.146, 3.05, 2.861, 2.644, 2.448, 2.268, 2.106, 1.987, 1.891",\
"3.623, 3.601, 3.503, 3.315, 3.103, 2.905, 2.729, 2.569, 2.444, 2.353",\
"4.116, 4.096, 4, 3.815, 3.604, 3.405, 3.222, 3.068, 2.943, 2.851");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("1.517, 1.511, 1.415, 1.358, 1.38, 1.413, 1.614, 1.713, 2.05, 2.298",\
"1.537, 1.544, 1.441, 1.39, 1.412, 1.444, 1.639, 1.746, 2.077, 2.325",\
"1.631, 1.626, 1.537, 1.476, 1.498, 1.53, 1.656, 1.824, 2.172, 2.409",\
"1.774, 1.772, 1.685, 1.62, 1.644, 1.675, 1.869, 1.98, 2.305, 2.557",\
"1.991, 1.979, 1.888, 1.829, 1.85, 1.888, 2.087, 2.179, 2.517, 2.764",\
"2.223, 2.219, 2.128, 2.067, 2.086, 2.115, 2.313, 2.408, 2.746, 2.991",\
"2.453, 2.444, 2.35, 2.298, 2.321, 2.346, 2.471, 2.633, 2.972, 3.217",\
"2.668, 2.663, 2.572, 2.512, 2.532, 2.559, 2.754, 2.851, 3.185, 3.424",\
"2.866, 2.859, 2.767, 2.706, 2.731, 2.753, 2.95, 3.037, 3.373, 3.616",\
"3.036, 3.031, 2.932, 2.871, 2.895, 2.913, 3.113, 3.201, 3.544, 3.782");
}
}
}
}