blob: 83e9b8a4917cffe140b2701506b3f847fc221d63 [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffsnq_4) {
area : 118.540800 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "D" ;
preset : "(!SETN)" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SETN" ;
value : "0.00050975" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SETN" ;
value : "0.0007482" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SETN" ;
value : "0.00051125" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SETN" ;
value : "0.00071215" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SETN" ;
value : "0.00054795" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SETN" ;
value : "0.00065675" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SETN" ;
value : "0.0005497" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SETN" ;
value : "0.00076075" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.00076075" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.005051 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 4 ;
min_period : 0.953 ;
min_pulse_width_high : 0.476 ;
min_pulse_width_low : 0.388 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.568, 0.567, 0.573, 0.6033, 0.6633, 0.7546, 0.8787, 1.036, 1.229, \
1.457");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3931, 0.3923, 0.3967, 0.4206, 0.4681, 0.5431, 0.6468, 0.7816, \
0.947, 1.145");
}
}
internal_power() {
when : "!D&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3935, 0.3927, 0.3986, 0.4262, 0.4811, 0.5657, 0.6814, 0.83, \
1.013, 1.231");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2877, 0.2871, 0.2911, 0.3145, 0.3605, 0.4343, 0.5374, 0.6708, \
0.8355, 1.032");
}
}
internal_power() {
when : "D&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3932, 0.3922, 0.3981, 0.4257, 0.4806, 0.5646, 0.6807, 0.8292, \
1.012, 1.23");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3016, 0.301, 0.305, 0.3281, 0.3744, 0.4481, 0.5512, 0.6839, \
0.8484, 1.045");
}
}
internal_power() {
when : "D&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3931, 0.3923, 0.3982, 0.4258, 0.4807, 0.5651, 0.6807, 0.8292, \
1.012, 1.23");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3015, 0.3009, 0.305, 0.328, 0.3742, 0.4483, 0.5509, 0.6839, \
0.8485, 1.045");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SETN === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SETN" ;
fall_constraint(scalar) {
values("0.388");
}
rise_constraint(scalar) {
values("0.476");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SETN === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SETN" ;
fall_constraint(scalar) {
values("0.376");
}
rise_constraint(scalar) {
values("0.417");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SETN === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SETN" ;
rise_constraint(scalar) {
values("0.953");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SETN === 1'b1" ;
timing_type : minimum_period ;
when : "D&SETN" ;
rise_constraint(scalar) {
values("0.866");
}
}
}
pin(D) {
capacitance : 0.003905 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 4 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2048, 0.205, 0.2106, 0.2287, 0.2629, 0.3162, 0.3908, 0.4876, \
0.6082, 0.7537");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.09003, 0.08983, 0.09386, 0.1079, 0.1359, 0.181, 0.2453, 0.3303, \
0.437, 0.5665");
}
}
internal_power() {
when : "!CLK&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3086, 0.3088, 0.3146, 0.3349, 0.3745, 0.4363, 0.5218, 0.6317, \
0.7673, 0.9297");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2374, 0.2371, 0.2412, 0.2577, 0.2905, 0.3427, 0.4165, 0.5124, \
0.6325, 0.7768");
}
}
internal_power() {
when : "CLK&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.08325, 0.08314, 0.09199, 0.1156, 0.1567, 0.2165, 0.2965, 0.398, \
0.5224, 0.6712");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.01168, 0.01116, 0.01623, 0.03485, 0.06978, 0.1224, 0.1936, 0.2846, \
0.3968, 0.5312");
}
}
internal_power() {
when : "CLK&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.08317, 0.08315, 0.09198, 0.1157, 0.1568, 0.2165, 0.2964, 0.3979, \
0.5224, 0.6712");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.01169, 0.01128, 0.01634, 0.03487, 0.0698, 0.1225, 0.1936, 0.2847, \
0.3968, 0.5313");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_SETN === 1'b1" ;
timing_type : hold_rising ;
when : "SETN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.046, 0.051, 0.076, 0.105, 0.141, 0.16, 0.176, 0.183, 0.184, 0.165",\
"0.037, 0.041, 0.063, 0.097, 0.127, 0.156, 0.177, 0.178, 0.177, 0.159",\
"0.013, 0.015, 0.037, 0.074, 0.108, 0.129, 0.145, 0.15, 0.153, 0.133",\
"-0.036, -0.031, -0.011, 0.026, 0.058, 0.086, 0.101, 0.106, 0.11, 0.092",\
"-0.091, -0.083, -0.069, -0.036, -0, 0.028, 0.041, 0.047, 0.052, 0.033",\
"-0.165, -0.155, -0.135, -0.108, -0.072, -0.048, -0.026, -0.02, -0.025, -0.037",\
"-0.236, -0.229, -0.209, -0.186, -0.154, -0.132, -0.11, -0.106, -0.104, -0.116",\
"-0.319, -0.309, -0.291, -0.271, -0.243, -0.218, -0.196, -0.193, -0.199, -0.214",\
"-0.402, -0.395, -0.37, -0.357, -0.335, -0.318, -0.298, -0.292, -0.296, -0.309",\
"-0.497, -0.488, -0.468, -0.453, -0.434, -0.415, -0.395, -0.402, -0.409, -0.426");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.034, -0.024, -0.013, 0.008, 0.015, 0.025, 0.013, -0.004, -0.025, -0.066",\
"-0.038, -0.033, -0.014, 0.001, 0.014, 0.018, 0.012, -0.011, -0.035, -0.073",\
"-0.051, -0.045, -0.036, -0.016, -0.007, 0.002, -0.01, -0.028, -0.048, -0.09",\
"-0.077, -0.073, -0.06, -0.043, -0.033, -0.028, -0.04, -0.052, -0.076, -0.118",\
"-0.099, -0.092, -0.079, -0.058, -0.049, -0.043, -0.062, -0.076, -0.104, -0.15",\
"-0.104, -0.099, -0.088, -0.07, -0.064, -0.064, -0.079, -0.102, -0.131, -0.173",\
"-0.098, -0.089, -0.081, -0.076, -0.069, -0.073, -0.094, -0.116, -0.15, -0.198",\
"-0.067, -0.06, -0.058, -0.061, -0.062, -0.071, -0.092, -0.122, -0.154, -0.206",\
"-0.029, -0.019, -0.024, -0.025, -0.042, -0.05, -0.082, -0.111, -0.148, -0.207",\
"0.026, 0.033, 0.033, 0.032, 0.001, -0.019, -0.055, -0.091, -0.135, -0.194");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_SETN === 1'b1" ;
timing_type : setup_rising ;
when : "SETN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.218, 0.218, 0.198, 0.171, 0.154, 0.134, 0.142, 0.162, 0.212, 0.347",\
"0.226, 0.223, 0.204, 0.174, 0.152, 0.145, 0.146, 0.171, 0.231, 0.356",\
"0.253, 0.251, 0.228, 0.203, 0.181, 0.172, 0.176, 0.201, 0.242, 0.369",\
"0.307, 0.307, 0.288, 0.259, 0.236, 0.232, 0.225, 0.242, 0.289, 0.414",\
"0.386, 0.388, 0.367, 0.336, 0.315, 0.301, 0.295, 0.315, 0.343, 0.453",\
"0.483, 0.48, 0.457, 0.433, 0.411, 0.394, 0.385, 0.397, 0.42, 0.489",\
"0.597, 0.596, 0.576, 0.543, 0.519, 0.498, 0.496, 0.503, 0.519, 0.586",\
"0.728, 0.717, 0.697, 0.672, 0.642, 0.628, 0.62, 0.621, 0.638, 0.684",\
"0.868, 0.866, 0.846, 0.815, 0.785, 0.768, 0.758, 0.763, 0.771, 0.814",\
"1.024, 1.019, 1.001, 0.969, 0.937, 0.923, 0.913, 0.918, 0.93, 0.959");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.263, 0.255, 0.238, 0.194, 0.171, 0.146, 0.151, 0.156, 0.181, 0.217",\
"0.269, 0.264, 0.246, 0.204, 0.178, 0.151, 0.155, 0.165, 0.184, 0.225",\
"0.293, 0.285, 0.26, 0.224, 0.2, 0.172, 0.176, 0.182, 0.202, 0.24",\
"0.318, 0.313, 0.295, 0.259, 0.225, 0.201, 0.2, 0.209, 0.238, 0.268",\
"0.352, 0.345, 0.329, 0.285, 0.259, 0.239, 0.233, 0.243, 0.269, 0.298",\
"0.38, 0.374, 0.357, 0.32, 0.286, 0.265, 0.259, 0.274, 0.289, 0.328",\
"0.408, 0.404, 0.375, 0.347, 0.308, 0.285, 0.284, 0.295, 0.315, 0.354",\
"0.418, 0.415, 0.39, 0.356, 0.33, 0.3, 0.299, 0.302, 0.328, 0.372",\
"0.425, 0.412, 0.395, 0.357, 0.336, 0.303, 0.298, 0.305, 0.334, 0.378",\
"0.411, 0.402, 0.386, 0.35, 0.319, 0.297, 0.293, 0.303, 0.323, 0.368");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 1.459 ;
max_transition : 4 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
when : "SETN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("1.427, 1.39, 1.324, 1.285, 1.262, 1.249, 1.241, 1.235, 1.232, 1.229",\
"1.426, 1.389, 1.324, 1.284, 1.262, 1.249, 1.24, 1.235, 1.231, 1.229",\
"1.427, 1.39, 1.325, 1.286, 1.263, 1.249, 1.241, 1.236, 1.232, 1.23",\
"1.428, 1.391, 1.325, 1.286, 1.264, 1.25, 1.242, 1.237, 1.233, 1.23",\
"1.43, 1.394, 1.327, 1.289, 1.267, 1.253, 1.245, 1.239, 1.236, 1.233",\
"1.433, 1.397, 1.331, 1.291, 1.269, 1.256, 1.247, 1.242, 1.238, 1.236",\
"1.434, 1.397, 1.332, 1.292, 1.271, 1.257, 1.249, 1.243, 1.24, 1.237",\
"1.437, 1.4, 1.335, 1.296, 1.273, 1.261, 1.252, 1.247, 1.243, 1.241",\
"1.441, 1.404, 1.338, 1.3, 1.277, 1.264, 1.256, 1.251, 1.247, 1.245",\
"1.445, 1.408, 1.344, 1.304, 1.282, 1.27, 1.262, 1.256, 1.253, 1.251");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("1.335, 1.306, 1.266, 1.238, 1.223, 1.217, 1.219, 1.222, 1.226, 1.235",\
"1.335, 1.307, 1.266, 1.239, 1.222, 1.216, 1.218, 1.224, 1.231, 1.233",\
"1.335, 1.306, 1.266, 1.238, 1.223, 1.217, 1.22, 1.223, 1.229, 1.234",\
"1.335, 1.308, 1.266, 1.238, 1.223, 1.217, 1.22, 1.224, 1.23, 1.235",\
"1.335, 1.309, 1.267, 1.239, 1.223, 1.218, 1.22, 1.223, 1.226, 1.235",\
"1.338, 1.309, 1.272, 1.245, 1.228, 1.22, 1.223, 1.227, 1.23, 1.239",\
"1.345, 1.318, 1.277, 1.246, 1.237, 1.228, 1.229, 1.232, 1.236, 1.244",\
"1.355, 1.326, 1.285, 1.255, 1.239, 1.242, 1.245, 1.243, 1.245, 1.257",\
"1.366, 1.338, 1.297, 1.266, 1.25, 1.246, 1.255, 1.262, 1.264, 1.267",\
"1.381, 1.353, 1.313, 1.285, 1.267, 1.258, 1.259, 1.275, 1.287, 1.291");
}
}
internal_power() {
related_pin : "SETN" ;
when : "!CLK&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("1.302, 1.274, 1.235, 1.205, 1.19, 1.187, 1.189, 1.189, 1.195, 1.203",\
"1.302, 1.276, 1.236, 1.21, 1.192, 1.187, 1.189, 1.19, 1.199, 1.204",\
"1.305, 1.279, 1.24, 1.213, 1.196, 1.192, 1.188, 1.192, 1.199, 1.203",\
"1.323, 1.295, 1.254, 1.227, 1.212, 1.21, 1.209, 1.214, 1.217, 1.222",\
"1.376, 1.35, 1.309, 1.279, 1.263, 1.258, 1.254, 1.259, 1.27, 1.272",\
"1.477, 1.448, 1.407, 1.374, 1.353, 1.347, 1.345, 1.348, 1.356, 1.358",\
"1.619, 1.59, 1.544, 1.512, 1.489, 1.476, 1.472, 1.476, 1.478, 1.49",\
"1.791, 1.76, 1.708, 1.668, 1.645, 1.638, 1.63, 1.625, 1.628, 1.635",\
"1.977, 1.943, 1.893, 1.846, 1.81, 1.801, 1.802, 1.8, 1.796, 1.799",\
"2.174, 2.138, 2.084, 2.041, 2.001, 1.977, 1.976, 1.984, 1.981, 1.981");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("1.302, 1.274, 1.235, 1.205, 1.19, 1.187, 1.189, 1.189, 1.195, 1.203",\
"1.302, 1.276, 1.236, 1.21, 1.192, 1.187, 1.189, 1.19, 1.199, 1.204",\
"1.305, 1.279, 1.24, 1.213, 1.196, 1.192, 1.188, 1.192, 1.199, 1.203",\
"1.323, 1.295, 1.254, 1.227, 1.212, 1.21, 1.209, 1.214, 1.217, 1.222",\
"1.376, 1.35, 1.309, 1.279, 1.263, 1.258, 1.254, 1.259, 1.27, 1.272",\
"1.477, 1.448, 1.407, 1.374, 1.353, 1.347, 1.345, 1.348, 1.356, 1.358",\
"1.619, 1.59, 1.544, 1.512, 1.489, 1.476, 1.472, 1.476, 1.478, 1.49",\
"1.791, 1.76, 1.708, 1.668, 1.645, 1.638, 1.63, 1.625, 1.628, 1.635",\
"1.977, 1.943, 1.893, 1.846, 1.81, 1.801, 1.802, 1.8, 1.796, 1.799",\
"2.174, 2.138, 2.084, 2.041, 2.001, 1.977, 1.976, 1.984, 1.981, 1.981");
}
}
internal_power() {
related_pin : "SETN" ;
when : "!CLK&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("1.3, 1.274, 1.235, 1.207, 1.19, 1.186, 1.186, 1.191, 1.194, 1.2",\
"1.3, 1.275, 1.233, 1.205, 1.191, 1.187, 1.183, 1.188, 1.194, 1.206",\
"1.303, 1.278, 1.236, 1.211, 1.193, 1.188, 1.189, 1.195, 1.197, 1.203",\
"1.32, 1.295, 1.253, 1.225, 1.211, 1.206, 1.209, 1.214, 1.212, 1.222",\
"1.374, 1.348, 1.307, 1.278, 1.261, 1.255, 1.259, 1.255, 1.261, 1.276",\
"1.476, 1.447, 1.405, 1.372, 1.351, 1.344, 1.343, 1.345, 1.349, 1.363",\
"1.619, 1.588, 1.544, 1.51, 1.49, 1.476, 1.47, 1.472, 1.477, 1.49",\
"1.79, 1.758, 1.712, 1.67, 1.646, 1.636, 1.631, 1.625, 1.627, 1.636",\
"1.975, 1.942, 1.889, 1.85, 1.814, 1.8, 1.8, 1.795, 1.799, 1.796",\
"2.174, 2.139, 2.081, 2.034, 1.999, 1.976, 1.975, 1.985, 1.979, 1.977");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("1.3, 1.274, 1.235, 1.207, 1.19, 1.186, 1.186, 1.191, 1.194, 1.2",\
"1.3, 1.275, 1.233, 1.205, 1.191, 1.187, 1.183, 1.188, 1.194, 1.206",\
"1.303, 1.278, 1.236, 1.211, 1.193, 1.188, 1.189, 1.195, 1.197, 1.203",\
"1.32, 1.295, 1.253, 1.225, 1.211, 1.206, 1.209, 1.214, 1.212, 1.222",\
"1.374, 1.348, 1.307, 1.278, 1.261, 1.255, 1.259, 1.255, 1.261, 1.276",\
"1.476, 1.447, 1.405, 1.372, 1.351, 1.344, 1.343, 1.345, 1.349, 1.363",\
"1.619, 1.588, 1.544, 1.51, 1.49, 1.476, 1.47, 1.472, 1.477, 1.49",\
"1.79, 1.758, 1.712, 1.67, 1.646, 1.636, 1.631, 1.625, 1.627, 1.636",\
"1.975, 1.942, 1.889, 1.85, 1.814, 1.8, 1.8, 1.795, 1.799, 1.796",\
"2.174, 2.139, 2.081, 2.034, 1.999, 1.976, 1.975, 1.985, 1.979, 1.977");
}
}
internal_power() {
related_pin : "SETN" ;
when : "CLK&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("1.552, 1.527, 1.49, 1.459, 1.443, 1.438, 1.44, 1.441, 1.448, 1.453",\
"1.551, 1.525, 1.488, 1.456, 1.442, 1.437, 1.44, 1.438, 1.443, 1.458",\
"1.559, 1.534, 1.496, 1.467, 1.45, 1.445, 1.447, 1.447, 1.452, 1.458",\
"1.592, 1.568, 1.528, 1.5, 1.482, 1.478, 1.478, 1.483, 1.486, 1.488",\
"1.682, 1.656, 1.616, 1.587, 1.568, 1.562, 1.562, 1.568, 1.571, 1.577",\
"1.841, 1.814, 1.773, 1.741, 1.719, 1.713, 1.708, 1.716, 1.723, 1.725",\
"2.065, 2.036, 1.989, 1.956, 1.935, 1.922, 1.922, 1.921, 1.922, 1.933",\
"2.334, 2.305, 2.256, 2.215, 2.193, 2.184, 2.175, 2.172, 2.174, 2.184",\
"2.623, 2.59, 2.542, 2.496, 2.463, 2.45, 2.457, 2.451, 2.445, 2.449",\
"2.931, 2.897, 2.843, 2.8, 2.759, 2.74, 2.738, 2.746, 2.744, 2.745");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("1.552, 1.527, 1.49, 1.459, 1.443, 1.438, 1.44, 1.441, 1.448, 1.453",\
"1.551, 1.525, 1.488, 1.456, 1.442, 1.437, 1.44, 1.438, 1.443, 1.458",\
"1.559, 1.534, 1.496, 1.467, 1.45, 1.445, 1.447, 1.447, 1.452, 1.458",\
"1.592, 1.568, 1.528, 1.5, 1.482, 1.478, 1.478, 1.483, 1.486, 1.488",\
"1.682, 1.656, 1.616, 1.587, 1.568, 1.562, 1.562, 1.568, 1.571, 1.577",\
"1.841, 1.814, 1.773, 1.741, 1.719, 1.713, 1.708, 1.716, 1.723, 1.725",\
"2.065, 2.036, 1.989, 1.956, 1.935, 1.922, 1.922, 1.921, 1.922, 1.933",\
"2.334, 2.305, 2.256, 2.215, 2.193, 2.184, 2.175, 2.172, 2.174, 2.184",\
"2.623, 2.59, 2.542, 2.496, 2.463, 2.45, 2.457, 2.451, 2.445, 2.449",\
"2.931, 2.897, 2.843, 2.8, 2.759, 2.74, 2.738, 2.746, 2.744, 2.745");
}
}
internal_power() {
related_pin : "SETN" ;
when : "CLK&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("1.552, 1.525, 1.487, 1.458, 1.443, 1.439, 1.438, 1.444, 1.447, 1.453",\
"1.551, 1.525, 1.488, 1.457, 1.442, 1.438, 1.44, 1.438, 1.443, 1.453",\
"1.559, 1.534, 1.494, 1.465, 1.451, 1.446, 1.444, 1.449, 1.456, 1.462",\
"1.592, 1.568, 1.529, 1.5, 1.482, 1.478, 1.477, 1.483, 1.486, 1.492",\
"1.682, 1.656, 1.618, 1.585, 1.569, 1.563, 1.562, 1.566, 1.569, 1.579",\
"1.841, 1.814, 1.773, 1.74, 1.72, 1.713, 1.708, 1.712, 1.723, 1.722",\
"2.065, 2.038, 1.991, 1.958, 1.937, 1.925, 1.92, 1.922, 1.923, 1.933",\
"2.334, 2.306, 2.255, 2.217, 2.193, 2.184, 2.175, 2.174, 2.173, 2.183",\
"2.623, 2.592, 2.541, 2.497, 2.464, 2.451, 2.455, 2.45, 2.443, 2.45",\
"2.932, 2.899, 2.845, 2.8, 2.76, 2.738, 2.737, 2.749, 2.744, 2.746");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("1.552, 1.525, 1.487, 1.458, 1.443, 1.439, 1.438, 1.444, 1.447, 1.453",\
"1.551, 1.525, 1.488, 1.457, 1.442, 1.438, 1.44, 1.438, 1.443, 1.453",\
"1.559, 1.534, 1.494, 1.465, 1.451, 1.446, 1.444, 1.449, 1.456, 1.462",\
"1.592, 1.568, 1.529, 1.5, 1.482, 1.478, 1.477, 1.483, 1.486, 1.492",\
"1.682, 1.656, 1.618, 1.585, 1.569, 1.563, 1.562, 1.566, 1.569, 1.579",\
"1.841, 1.814, 1.773, 1.74, 1.72, 1.713, 1.708, 1.712, 1.723, 1.722",\
"2.065, 2.038, 1.991, 1.958, 1.937, 1.925, 1.92, 1.922, 1.923, 1.933",\
"2.334, 2.306, 2.255, 2.217, 2.193, 2.184, 2.175, 2.174, 2.173, 2.183",\
"2.623, 2.592, 2.541, 2.497, 2.464, 2.451, 2.455, 2.45, 2.443, 2.45",\
"2.932, 2.899, 2.845, 2.8, 2.76, 2.738, 2.737, 2.749, 2.744, 2.746");
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.6885, 0.7081, 0.7578, 0.8318, 0.9338, 1.072, 1.253, 1.482, 1.761, 2.094",\
"0.6933, 0.7129, 0.7627, 0.8366, 0.9386, 1.077, 1.258, 1.487, 1.766, 2.099",\
"0.7129, 0.7326, 0.7823, 0.8563, 0.9583, 1.096, 1.278, 1.506, 1.785, 2.118",\
"0.7478, 0.7675, 0.8172, 0.8911, 0.9931, 1.131, 1.313, 1.541, 1.82, 2.153",\
"0.7825, 0.8021, 0.8519, 0.9258, 1.028, 1.166, 1.347, 1.576, 1.855, 2.188",\
"0.8117, 0.8314, 0.8811, 0.955, 1.057, 1.195, 1.376, 1.605, 1.884, 2.217",\
"0.8338, 0.8535, 0.9029, 0.977, 1.079, 1.217, 1.398, 1.627, 1.906, 2.239",\
"0.8467, 0.8665, 0.9159, 0.9899, 1.092, 1.23, 1.411, 1.64, 1.919, 2.252",\
"0.8488, 0.8684, 0.9181, 0.992, 1.094, 1.232, 1.414, 1.642, 1.921, 2.254",\
"0.8396, 0.8593, 0.9088, 0.9827, 1.085, 1.223, 1.404, 1.633, 1.912, 2.245");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.5855, 0.6052, 0.6618, 0.7638, 0.9237, 1.15, 1.448, 1.824, 2.283, 2.83",\
"0.5904, 0.6102, 0.6667, 0.7687, 0.9285, 1.155, 1.453, 1.829, 2.288, 2.835",\
"0.6096, 0.6293, 0.6858, 0.7878, 0.9478, 1.174, 1.472, 1.848, 2.307, 2.855",\
"0.6443, 0.6642, 0.7205, 0.8225, 0.9826, 1.209, 1.507, 1.882, 2.342, 2.89",\
"0.6786, 0.6985, 0.7549, 0.8569, 1.017, 1.243, 1.541, 1.917, 2.376, 2.924",\
"0.7078, 0.7275, 0.7843, 0.8863, 1.046, 1.272, 1.571, 1.946, 2.405, 2.953",\
"0.7305, 0.7505, 0.8072, 0.9085, 1.068, 1.295, 1.593, 1.969, 2.428, 2.975",\
"0.7444, 0.7639, 0.8205, 0.9225, 1.082, 1.308, 1.607, 1.982, 2.442, 2.989",\
"0.7478, 0.7674, 0.8239, 0.9259, 1.086, 1.312, 1.61, 1.986, 2.445, 2.992",\
"0.7402, 0.7599, 0.8166, 0.9185, 1.078, 1.304, 1.602, 1.978, 2.437, 2.985");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.0642, 0.08352, 0.1344, 0.2264, 0.3754, 0.5975, 0.897, 1.276, 1.74, 2.293",\
"0.06456, 0.08344, 0.1345, 0.2264, 0.375, 0.5972, 0.8968, 1.276, 1.739, 2.292",\
"0.06444, 0.0835, 0.1345, 0.2262, 0.3749, 0.5972, 0.8974, 1.275, 1.739, 2.294",\
"0.06454, 0.0838, 0.1345, 0.2262, 0.3748, 0.597, 0.897, 1.275, 1.739, 2.294",\
"0.0644, 0.0834, 0.1344, 0.2262, 0.3746, 0.5964, 0.8972, 1.275, 1.738, 2.294",\
"0.0642, 0.083, 0.1342, 0.2262, 0.375, 0.597, 0.8972, 1.275, 1.739, 2.294",\
"0.0642, 0.0834, 0.1346, 0.2262, 0.3748, 0.5972, 0.896, 1.275, 1.739, 2.293",\
"0.0642, 0.0836, 0.1342, 0.226, 0.3748, 0.5968, 0.895, 1.274, 1.737, 2.293",\
"0.0644, 0.0834, 0.1344, 0.226, 0.3748, 0.5962, 0.8966, 1.275, 1.737, 2.29",\
"0.064, 0.0832, 0.1344, 0.226, 0.3748, 0.5974, 0.897, 1.274, 1.738, 2.29");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.06022, 0.08408, 0.17, 0.3476, 0.6324, 1.034, 1.563, 2.231, 3.045, 4.011",\
"0.06018, 0.08436, 0.1695, 0.347, 0.6314, 1.032, 1.563, 2.229, 3.04, 4.011",\
"0.06038, 0.08428, 0.1699, 0.3476, 0.6314, 1.033, 1.564, 2.231, 3.04, 4.01",\
"0.06046, 0.08402, 0.1697, 0.3475, 0.6316, 1.033, 1.564, 2.231, 3.04, 4.011",\
"0.0602, 0.0838, 0.17, 0.3476, 0.632, 1.034, 1.562, 2.23, 3.045, 4.011",\
"0.06, 0.0836, 0.17, 0.3478, 0.6314, 1.034, 1.562, 2.229, 3.044, 4.011",\
"0.0602, 0.0834, 0.1698, 0.3476, 0.6316, 1.034, 1.562, 2.231, 3.042, 4.012",\
"0.06, 0.084, 0.1698, 0.347, 0.6322, 1.033, 1.561, 2.232, 3.046, 4.011",\
"0.0602, 0.0838, 0.1698, 0.3474, 0.6322, 1.034, 1.562, 2.227, 3.044, 4.01",\
"0.06, 0.0838, 0.17, 0.3474, 0.632, 1.035, 1.562, 2.229, 3.04, 4.01");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLK===1'b0 && D===1'b0" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "!CLK&!D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.4979, 0.5171, 0.5732, 0.6744, 0.8344, 1.06, 1.358, 1.734, 2.193, 2.741",\
"0.5047, 0.5242, 0.5803, 0.6817, 0.8413, 1.067, 1.365, 1.741, 2.201, 2.748",\
"0.5309, 0.5503, 0.6064, 0.708, 0.8678, 1.094, 1.392, 1.767, 2.226, 2.775",\
"0.5844, 0.6037, 0.6596, 0.7609, 0.9212, 1.147, 1.445, 1.821, 2.28, 2.829",\
"0.6658, 0.6854, 0.7413, 0.8424, 1.002, 1.228, 1.526, 1.902, 2.361, 2.909",\
"0.7655, 0.7852, 0.8412, 0.9421, 1.101, 1.327, 1.625, 2.001, 2.46, 3.008",\
"0.8776, 0.8976, 0.9539, 1.055, 1.213, 1.439, 1.736, 2.112, 2.571, 3.119",\
"0.9983, 1.018, 1.075, 1.175, 1.334, 1.559, 1.856, 2.232, 2.69, 3.239",\
"1.126, 1.146, 1.203, 1.303, 1.461, 1.686, 1.983, 2.358, 2.817, 3.364",\
"1.26, 1.28, 1.337, 1.438, 1.595, 1.819, 2.115, 2.49, 2.949, 3.496");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.059, 0.0827, 0.1685, 0.3459, 0.6325, 1.033, 1.561, 2.228, 3.042, 4.009",\
"0.05924, 0.08342, 0.1682, 0.3458, 0.6309, 1.033, 1.563, 2.232, 3.041, 4.011",\
"0.05978, 0.08348, 0.1684, 0.3458, 0.631, 1.033, 1.562, 2.23, 3.042, 4.011",\
"0.05904, 0.08292, 0.1683, 0.3465, 0.6312, 1.034, 1.564, 2.227, 3.041, 4.01",\
"0.0596, 0.0832, 0.1686, 0.3466, 0.6312, 1.033, 1.563, 2.231, 3.04, 4.013",\
"0.061, 0.084, 0.1696, 0.346, 0.6312, 1.033, 1.564, 2.232, 3.041, 4.01",\
"0.0622, 0.0856, 0.1702, 0.3462, 0.6312, 1.034, 1.564, 2.228, 3.041, 4.013",\
"0.0642, 0.0876, 0.1716, 0.347, 0.632, 1.033, 1.562, 2.23, 3.041, 4.012",\
"0.066, 0.0894, 0.1724, 0.3476, 0.6324, 1.034, 1.561, 2.227, 3.045, 4.009",\
"0.0674, 0.092, 0.174, 0.3484, 0.6314, 1.034, 1.562, 2.228, 3.041, 4.018");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLK===1'b0 && D===1'b1" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "!CLK&D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.4982, 0.5176, 0.5738, 0.6751, 0.8349, 1.061, 1.359, 1.735, 2.194, 2.742",\
"0.5049, 0.5245, 0.5803, 0.6817, 0.8418, 1.068, 1.366, 1.742, 2.2, 2.749",\
"0.5311, 0.5506, 0.6065, 0.7081, 0.8678, 1.094, 1.392, 1.768, 2.227, 2.775",\
"0.5847, 0.6042, 0.66, 0.7613, 0.9215, 1.147, 1.445, 1.821, 2.28, 2.828",\
"0.666, 0.6856, 0.7415, 0.8427, 1.003, 1.228, 1.526, 1.902, 2.361, 2.909",\
"0.7658, 0.7853, 0.8413, 0.9421, 1.102, 1.327, 1.625, 2.001, 2.46, 3.008",\
"0.8779, 0.8975, 0.9541, 1.055, 1.214, 1.439, 1.737, 2.112, 2.571, 3.119",\
"0.9985, 1.019, 1.075, 1.176, 1.334, 1.559, 1.856, 2.232, 2.691, 3.238",\
"1.126, 1.146, 1.203, 1.303, 1.462, 1.686, 1.983, 2.358, 2.816, 3.364",\
"1.26, 1.28, 1.337, 1.437, 1.595, 1.819, 2.116, 2.49, 2.949, 3.496");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.05902, 0.08328, 0.1683, 0.3458, 0.631, 1.033, 1.564, 2.228, 3.041, 4.011",\
"0.05914, 0.08258, 0.1688, 0.3461, 0.6313, 1.034, 1.563, 2.23, 3.042, 4.013",\
"0.05932, 0.0834, 0.1683, 0.3458, 0.6308, 1.033, 1.564, 2.227, 3.041, 4.011",\
"0.05906, 0.08286, 0.1684, 0.3457, 0.6324, 1.034, 1.564, 2.229, 3.042, 4.012",\
"0.0596, 0.0832, 0.1686, 0.3462, 0.6312, 1.034, 1.564, 2.232, 3.042, 4.013",\
"0.0606, 0.0838, 0.1692, 0.3462, 0.6322, 1.034, 1.564, 2.231, 3.042, 4.013",\
"0.062, 0.0856, 0.17, 0.347, 0.6316, 1.034, 1.562, 2.232, 3.042, 4.013",\
"0.0638, 0.0874, 0.172, 0.3468, 0.6318, 1.032, 1.561, 2.23, 3.041, 4.011",\
"0.0658, 0.0892, 0.173, 0.3474, 0.6326, 1.035, 1.561, 2.228, 3.04, 4.009",\
"0.0678, 0.091, 0.1738, 0.3486, 0.632, 1.034, 1.562, 2.227, 3.04, 4.016");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLK===1'b1 && D===1'b0" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "CLK&!D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.5313, 0.551, 0.607, 0.7078, 0.868, 1.094, 1.392, 1.768, 2.227, 2.775",\
"0.538, 0.5574, 0.6137, 0.7145, 0.8747, 1.1, 1.398, 1.774, 2.233, 2.782",\
"0.564, 0.5837, 0.6396, 0.7409, 0.9007, 1.126, 1.424, 1.8, 2.26, 2.808",\
"0.6176, 0.6371, 0.6931, 0.7942, 0.9539, 1.18, 1.478, 1.854, 2.313, 2.861",\
"0.7012, 0.7207, 0.7768, 0.8778, 1.037, 1.263, 1.561, 1.937, 2.396, 2.945",\
"0.805, 0.8247, 0.8806, 0.9816, 1.141, 1.366, 1.664, 2.04, 2.499, 3.047",\
"0.9214, 0.9409, 0.997, 1.098, 1.257, 1.482, 1.78, 2.156, 2.615, 3.163",\
"1.046, 1.066, 1.122, 1.223, 1.381, 1.606, 1.904, 2.279, 2.738, 3.286",\
"1.177, 1.197, 1.254, 1.354, 1.512, 1.736, 2.033, 2.409, 2.867, 3.415",\
"1.313, 1.334, 1.391, 1.491, 1.648, 1.873, 2.169, 2.545, 3.003, 3.55");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.05912, 0.08244, 0.1682, 0.3456, 0.6323, 1.034, 1.561, 2.232, 3.04, 4.012",\
"0.05908, 0.08236, 0.1677, 0.3458, 0.6323, 1.033, 1.561, 2.232, 3.042, 4.013",\
"0.05906, 0.08268, 0.1679, 0.3461, 0.6323, 1.034, 1.561, 2.231, 3.04, 4.012",\
"0.05888, 0.08276, 0.1682, 0.3456, 0.6312, 1.033, 1.564, 2.228, 3.041, 4.012",\
"0.0594, 0.083, 0.1682, 0.3458, 0.6312, 1.032, 1.564, 2.227, 3.041, 4.011",\
"0.0598, 0.0834, 0.1692, 0.3464, 0.6324, 1.034, 1.563, 2.228, 3.041, 4.012",\
"0.0612, 0.085, 0.1698, 0.3462, 0.6308, 1.033, 1.564, 2.23, 3.045, 4.011",\
"0.0634, 0.087, 0.1708, 0.347, 0.6316, 1.033, 1.563, 2.229, 3.043, 4.009",\
"0.065, 0.0882, 0.172, 0.347, 0.6312, 1.035, 1.561, 2.228, 3.043, 4.011",\
"0.0666, 0.0896, 0.173, 0.348, 0.6312, 1.033, 1.563, 2.227, 3.04, 4.018");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLK===1'b1 && D===1'b1" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "CLK&D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.5313, 0.5507, 0.6067, 0.7079, 0.8681, 1.094, 1.392, 1.768, 2.227, 2.775",\
"0.538, 0.5574, 0.6137, 0.7145, 0.8747, 1.1, 1.398, 1.774, 2.233, 2.781",\
"0.564, 0.5835, 0.6393, 0.7406, 0.9007, 1.126, 1.424, 1.8, 2.26, 2.807",\
"0.6176, 0.6371, 0.6931, 0.7943, 0.9541, 1.18, 1.478, 1.854, 2.313, 2.861",\
"0.7012, 0.7208, 0.7768, 0.8775, 1.038, 1.263, 1.561, 1.937, 2.396, 2.944",\
"0.805, 0.8246, 0.8805, 0.9814, 1.141, 1.366, 1.664, 2.04, 2.499, 3.047",\
"0.9212, 0.9414, 0.9971, 1.098, 1.257, 1.482, 1.78, 2.156, 2.615, 3.162",\
"1.046, 1.066, 1.122, 1.223, 1.382, 1.606, 1.904, 2.279, 2.738, 3.285",\
"1.177, 1.197, 1.254, 1.354, 1.512, 1.736, 2.034, 2.409, 2.867, 3.415",\
"1.314, 1.334, 1.391, 1.491, 1.648, 1.872, 2.169, 2.545, 3.003, 3.55");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.05908, 0.08232, 0.1684, 0.3458, 0.6319, 1.034, 1.564, 2.226, 3.041, 4.012",\
"0.05908, 0.08234, 0.1677, 0.3458, 0.6323, 1.034, 1.561, 2.232, 3.042, 4.01",\
"0.05902, 0.08256, 0.1684, 0.3459, 0.6323, 1.034, 1.564, 2.228, 3.042, 4.012",\
"0.05856, 0.08282, 0.1686, 0.3455, 0.6312, 1.033, 1.564, 2.228, 3.041, 4.012",\
"0.0594, 0.083, 0.1682, 0.346, 0.6324, 1.034, 1.564, 2.232, 3.042, 4.013",\
"0.0598, 0.0834, 0.1692, 0.3464, 0.6322, 1.034, 1.562, 2.229, 3.039, 4.011",\
"0.0616, 0.0852, 0.1698, 0.3466, 0.631, 1.033, 1.563, 2.232, 3.044, 4.01",\
"0.0632, 0.0866, 0.171, 0.3468, 0.6314, 1.033, 1.563, 2.227, 3.042, 4.011",\
"0.0648, 0.0882, 0.1718, 0.3474, 0.6314, 1.034, 1.561, 2.228, 3.046, 4.011",\
"0.0664, 0.0896, 0.173, 0.3478, 0.6322, 1.032, 1.563, 2.227, 3.04, 4.011");
}
}
timing() {
related_pin : "SETN" ;
timing_sense : negative_unate ;
timing_type : preset ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.5313, 0.5507, 0.6067, 0.7079, 0.8681, 1.094, 1.392, 1.768, 2.227, 2.775",\
"0.538, 0.5574, 0.6137, 0.7145, 0.8747, 1.1, 1.398, 1.774, 2.233, 2.781",\
"0.564, 0.5835, 0.6393, 0.7406, 0.9007, 1.126, 1.424, 1.8, 2.26, 2.807",\
"0.6176, 0.6371, 0.6931, 0.7943, 0.9541, 1.18, 1.478, 1.854, 2.313, 2.861",\
"0.7012, 0.7208, 0.7768, 0.8775, 1.038, 1.263, 1.561, 1.937, 2.396, 2.944",\
"0.805, 0.8246, 0.8805, 0.9814, 1.141, 1.366, 1.664, 2.04, 2.499, 3.047",\
"0.9212, 0.9414, 0.9971, 1.098, 1.257, 1.482, 1.78, 2.156, 2.615, 3.162",\
"1.046, 1.066, 1.122, 1.223, 1.382, 1.606, 1.904, 2.279, 2.738, 3.285",\
"1.177, 1.197, 1.254, 1.354, 1.512, 1.736, 2.034, 2.409, 2.867, 3.415",\
"1.314, 1.334, 1.391, 1.491, 1.648, 1.872, 2.169, 2.545, 3.003, 3.55");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.01106, 0.04639, 0.1138, 0.2189, 0.3664, 0.5604, 0.8048, 1.103, 1.459");
values("0.05912, 0.08244, 0.1682, 0.3456, 0.6323, 1.034, 1.561, 2.232, 3.04, 4.012",\
"0.05908, 0.08236, 0.1677, 0.3458, 0.6323, 1.033, 1.561, 2.232, 3.042, 4.013",\
"0.05906, 0.08268, 0.1679, 0.3461, 0.6323, 1.034, 1.561, 2.231, 3.04, 4.012",\
"0.05888, 0.08276, 0.1682, 0.3456, 0.6312, 1.033, 1.564, 2.228, 3.041, 4.012",\
"0.0594, 0.083, 0.1682, 0.3458, 0.6312, 1.032, 1.564, 2.227, 3.041, 4.011",\
"0.0598, 0.0834, 0.1692, 0.3464, 0.6324, 1.034, 1.563, 2.228, 3.041, 4.012",\
"0.0612, 0.085, 0.1698, 0.3462, 0.6308, 1.033, 1.564, 2.23, 3.045, 4.011",\
"0.0634, 0.087, 0.1708, 0.347, 0.6316, 1.033, 1.563, 2.229, 3.043, 4.009",\
"0.065, 0.0882, 0.172, 0.347, 0.6312, 1.035, 1.561, 2.228, 3.043, 4.011",\
"0.0666, 0.0896, 0.173, 0.348, 0.6312, 1.033, 1.563, 2.227, 3.04, 4.018");
}
}
}
pin(SETN) {
capacitance : 0.008568 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 4 ;
min_pulse_width_low : 0.407 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1807, 0.18, 0.1834, 0.1983, 0.2289, 0.2778, 0.3448, 0.4318, \
0.5396, 0.6691");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.03572, 0.03506, 0.03727, 0.0494, 0.07573, 0.1187, 0.1788, 0.258, \
0.3564, 0.4752");
}
}
internal_power() {
when : "!CLK&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.07073, 0.07081, 0.07045, 0.07055, 0.07031, 0.0705, 0.07035, \
0.07049, 0.07039, 0.07044");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.04945, -0.04941, -0.04932, -0.04926, -0.04935, -0.04931, -0.04962, \
-0.04958, -0.0495, -0.04962");
}
}
internal_power() {
when : "CLK&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.0708, 0.07079, 0.07041, 0.07035, 0.07045, 0.07046, 0.07053, \
0.0705, 0.07051, 0.07046");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.04943, -0.04947, -0.04935, -0.04935, -0.04935, -0.04937, -0.04947, \
-0.04956, -0.04956, -0.0496");
}
}
internal_power() {
when : "CLK&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.0708, 0.07055, 0.07041, 0.07041, 0.07038, 0.07038, 0.07037, \
0.07049, 0.07042, 0.07052");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.04942, -0.04947, -0.04935, -0.04935, -0.04935, -0.04935, -0.04947, \
-0.04956, -0.04956, -0.04959");
}
}
timing() {
related_pin : "CLK" ;
timing_type : recovery_rising ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.011, 0.006, -0.019, -0.048, -0.082, -0.104, -0.124, -0.131, -0.13, -0.11",\
"0.014, 0.014, -0.011, -0.043, -0.075, -0.105, -0.116, -0.125, -0.121, -0.104",\
"0.028, 0.028, 0.006, -0.032, -0.059, -0.088, -0.108, -0.113, -0.112, -0.091",\
"0.071, 0.061, 0.039, 0.008, -0.024, -0.048, -0.066, -0.074, -0.068, -0.055",\
"0.141, 0.136, 0.108, 0.075, 0.042, 0.017, -0.001, -0.004, -0.006, 0.018",\
"0.264, 0.262, 0.23, 0.199, 0.153, 0.124, 0.107, 0.102, 0.097, 0.118",\
"0.528, 0.509, 0.476, 0.442, 0.382, 0.332, 0.307, 0.285, 0.264, 0.279",\
"0.875, 0.877, 0.826, 0.784, 0.729, 0.669, 0.63, 0.605, 0.599, 0.585",\
"1.319, 1.32, 1.257, 1.206, 1.124, 1.092, 1.053, 1.015, 0.986, 1.019",\
"1.852, 1.848, 1.771, 1.723, 1.629, 1.604, 1.539, 1.51, 1.469, 1.501");
}
}
timing() {
related_pin : "CLK" ;
timing_type : removal_rising ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.143, 0.151, 0.164, 0.203, 0.243, 0.27, 0.296, 0.306, 0.309, 0.3",\
"0.137, 0.142, 0.165, 0.199, 0.232, 0.268, 0.291, 0.303, 0.31, 0.296",\
"0.126, 0.127, 0.146, 0.184, 0.223, 0.25, 0.274, 0.285, 0.29, 0.277",\
"0.079, 0.084, 0.106, 0.138, 0.171, 0.206, 0.226, 0.238, 0.246, 0.232",\
"0.019, 0.03, 0.046, 0.078, 0.112, 0.144, 0.16, 0.177, 0.18, 0.165",\
"-0.043, -0.036, -0.015, 0.013, 0.043, 0.07, 0.086, 0.103, 0.101, 0.087",\
"-0.107, -0.107, -0.09, -0.056, -0.023, -0, 0.016, 0.026, 0.015, 0.007",\
"-0.184, -0.178, -0.162, -0.133, -0.098, -0.079, -0.064, -0.061, -0.063, -0.077",\
"-0.262, -0.254, -0.241, -0.204, -0.18, -0.156, -0.145, -0.137, -0.148, -0.164",\
"-0.351, -0.346, -0.329, -0.297, -0.262, -0.242, -0.225, -0.22, -0.229, -0.252");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_NOT_CLK_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLK&!D" ;
fall_constraint(scalar) {
values("0.398");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_NOT_CLK_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLK&D" ;
fall_constraint(scalar) {
values("0.398");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_CLK_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLK&!D" ;
fall_constraint(scalar) {
values("0.407");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_CLK_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLK&D" ;
fall_constraint(scalar) {
values("0.407");
}
}
}
}