blob: 35dfe5774158e83287d5c32231973f40f0cecf75 [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffrnq_2) {
area : 95.961600 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "D" ;
clear : "(!RN)" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!RN" ;
value : "0.000156237" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&RN" ;
value : "0.000156237" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!RN" ;
value : "0.000156237" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&RN" ;
value : "0.000155268" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!RN" ;
value : "0.000168057" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&RN" ;
value : "0.000194571" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!RN" ;
value : "0.00016887" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&RN" ;
value : "0.000266946" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.000266946" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.004891 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
min_period : 1.706 ;
min_pulse_width_high : 0.825 ;
min_pulse_width_low : 0.755 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1374, 0.1365, 0.1354, 0.1369, 0.1416, 0.1494, 0.1605, 0.1754, \
0.1943, 0.2175");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1116, 0.111, 0.11, 0.1109, 0.1143, 0.121, 0.131, 0.1445, 0.1619, \
0.1831");
}
}
internal_power() {
when : "!D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1375, 0.1365, 0.1354, 0.1369, 0.1416, 0.1494, 0.1605, 0.1754, \
0.1943, 0.2175");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1115, 0.1111, 0.1101, 0.1109, 0.1143, 0.1209, 0.131, 0.1446, \
0.1619, 0.1831");
}
}
internal_power() {
when : "D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.2051, 0.2042, 0.203, 0.2044, 0.2094, 0.2178, 0.2294, 0.245, \
0.265, 0.2891");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.2198, 0.2192, 0.2179, 0.2186, 0.2225, 0.2298, 0.2408, 0.2558, \
0.2749, 0.2979");
}
}
internal_power() {
when : "D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.138, 0.1371, 0.1361, 0.1375, 0.1422, 0.15, 0.1611, 0.176, 0.195, \
0.218");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1086, 0.1081, 0.1072, 0.108, 0.1114, 0.1181, 0.128, 0.1418, \
0.1589, 0.1803");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&RN" ;
fall_constraint(scalar) {
values("0.687");
}
rise_constraint(scalar) {
values("0.648");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&RN" ;
fall_constraint(scalar) {
values("0.755");
}
rise_constraint(scalar) {
values("0.825");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_RN === 1'b1" ;
timing_type : minimum_period ;
when : "!D&RN" ;
rise_constraint(scalar) {
values("1.429");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_RN === 1'b1" ;
timing_type : minimum_period ;
when : "D&RN" ;
rise_constraint(scalar) {
values("1.706");
}
}
}
pin(D) {
capacitance : 0.003952 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1122, 0.1114, 0.1109, 0.1125, 0.1166, 0.123, 0.1321, 0.1442, \
0.1595, 0.1782");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.07421, 0.07369, 0.07342, 0.0744, 0.07713, 0.08218, 0.08986, \
0.1002, 0.1136, 0.1301");
}
}
internal_power() {
when : "!CLK&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1074, 0.1066, 0.1062, 0.1077, 0.1117, 0.1183, 0.1273, 0.1394, \
0.1547, 0.1734");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.07821, 0.07769, 0.07739, 0.07839, 0.08112, 0.0862, 0.09377, \
0.1041, 0.1175, 0.1339");
}
}
internal_power() {
when : "CLK&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.03168, 0.03031, 0.03046, 0.0329, 0.03751, 0.0445, 0.0542, 0.06678, \
0.08235, 0.1012");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.003237, 0.002458, 0.002039, 0.003634, 0.007422, 0.01367, 0.02232, \
0.03359, 0.04763, 0.06456");
}
}
internal_power() {
when : "CLK&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.03167, 0.03032, 0.03047, 0.03291, 0.0375, 0.04451, 0.05419, \
0.06672, 0.08236, 0.1012");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.003288, 0.002464, 0.002025, 0.003596, 0.007468, 0.01364, 0.02229, \
0.03361, 0.04763, 0.0645");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : hold_rising ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.086, 0.103, 0.186, 0.302, 0.394, 0.463, 0.511, 0.541, 0.535, 0.509",\
"0.055, 0.078, 0.157, 0.277, 0.376, 0.441, 0.488, 0.521, 0.515, 0.489",\
"-0.027, -0.006, 0.075, 0.184, 0.283, 0.361, 0.405, 0.434, 0.427, 0.404",\
"-0.159, -0.136, -0.063, 0.048, 0.146, 0.225, 0.271, 0.305, 0.297, 0.273",\
"-0.301, -0.28, -0.213, -0.113, -0.009, 0.062, 0.117, 0.151, 0.152, 0.131",\
"-0.449, -0.434, -0.369, -0.281, -0.197, -0.132, -0.067, -0.035, -0.035, -0.049",\
"-0.625, -0.609, -0.545, -0.476, -0.404, -0.349, -0.292, -0.262, -0.255, -0.261",\
"-0.822, -0.812, -0.753, -0.683, -0.628, -0.59, -0.552, -0.511, -0.511, -0.515",\
"-1.058, -1.048, -0.993, -0.929, -0.873, -0.846, -0.816, -0.783, -0.797, -0.79",\
"-1.323, -1.315, -1.263, -1.206, -1.148, -1.131, -1.098, -1.087, -1.097, -1.082");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.137, -0.113, -0.065, -0.017, 0.014, 0.026, 0.016, -0.012, -0.076, -0.164",\
"-0.16, -0.138, -0.082, -0.039, -0.009, 0.009, -0.004, -0.039, -0.096, -0.182",\
"-0.229, -0.212, -0.16, -0.108, -0.076, -0.06, -0.07, -0.102, -0.165, -0.248",\
"-0.323, -0.3, -0.241, -0.191, -0.16, -0.147, -0.158, -0.185, -0.244, -0.327",\
"-0.394, -0.367, -0.317, -0.26, -0.231, -0.218, -0.221, -0.25, -0.313, -0.401",\
"-0.442, -0.419, -0.361, -0.309, -0.275, -0.263, -0.267, -0.301, -0.36, -0.443",\
"-0.468, -0.445, -0.389, -0.329, -0.294, -0.28, -0.292, -0.325, -0.385, -0.474",\
"-0.458, -0.444, -0.378, -0.322, -0.294, -0.28, -0.287, -0.318, -0.38, -0.469",\
"-0.422, -0.401, -0.342, -0.292, -0.256, -0.243, -0.258, -0.285, -0.348, -0.44",\
"-0.341, -0.325, -0.265, -0.215, -0.185, -0.177, -0.182, -0.224, -0.285, -0.372");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : setup_rising ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.355, 0.328, 0.255, 0.159, 0.079, 0.017, -0.005, 0.49, 0.763, 1.059",\
"0.382, 0.354, 0.281, 0.185, 0.105, 0.044, 0.015, 0.515, 0.764, 1.084",\
"0.48, 0.452, 0.385, 0.279, 0.201, 0.141, 0.11, 0.589, 0.864, 1.157",\
"0.664, 0.634, 0.561, 0.468, 0.38, 0.315, 0.292, 0.701, 1.011, 1.326",\
"0.903, 0.877, 0.801, 0.692, 0.607, 0.548, 0.507, 0.507, 1.156, 1.488",\
"1.163, 1.136, 1.066, 0.961, 0.868, 0.803, 0.76, 0.752, 1.24, 1.682",\
"1.459, 1.43, 1.355, 1.25, 1.159, 1.094, 1.051, 1.037, 1.073, 1.87",\
"1.792, 1.772, 1.688, 1.586, 1.496, 1.432, 1.384, 1.37, 1.394, 2.031",\
"2.167, 2.141, 2.065, 1.961, 1.875, 1.808, 1.763, 1.745, 1.75, 1.818",\
"2.589, 2.562, 2.484, 2.379, 2.297, 2.236, 2.188, 2.168, 2.177, 2.238");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.481, 0.457, 0.359, 0.247, 0.18, 0.148, 0.14, 0.164, 0.214, 0.298",\
"0.504, 0.474, 0.384, 0.267, 0.201, 0.171, 0.163, 0.185, 0.236, 0.318",\
"0.578, 0.548, 0.46, 0.343, 0.272, 0.238, 0.231, 0.253, 0.303, 0.387",\
"0.68, 0.652, 0.568, 0.449, 0.373, 0.333, 0.324, 0.349, 0.396, 0.472",\
"0.773, 0.752, 0.659, 0.539, 0.461, 0.414, 0.404, 0.431, 0.471, 0.555",\
"0.849, 0.822, 0.734, 0.616, 0.532, 0.485, 0.474, 0.481, 0.529, 0.61",\
"0.899, 0.872, 0.788, 0.668, 0.587, 0.531, 0.51, 0.52, 0.568, 0.64",\
"0.928, 0.897, 0.817, 0.692, 0.609, 0.552, 0.526, 0.541, 0.581, 0.655",\
"0.925, 0.893, 0.811, 0.692, 0.604, 0.541, 0.517, 0.529, 0.565, 0.638",\
"0.886, 0.861, 0.778, 0.657, 0.57, 0.503, 0.478, 0.483, 0.524, 0.598");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 1.131 ;
max_transition : 11.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
when : "RN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.1964, 0.1961, 0.198, 0.1997, 0.2008, 0.2014, 0.2017, 0.202, 0.2021, 0.2022",\
"0.1963, 0.1962, 0.198, 0.1996, 0.2007, 0.2013, 0.2016, 0.2018, 0.2019, 0.2021",\
"0.1963, 0.1963, 0.198, 0.1997, 0.2007, 0.2014, 0.2017, 0.2019, 0.202, 0.2021",\
"0.1968, 0.1968, 0.1984, 0.2002, 0.2012, 0.2018, 0.2022, 0.2024, 0.2025, 0.2026",\
"0.1976, 0.1976, 0.1993, 0.2011, 0.2022, 0.2027, 0.2031, 0.2033, 0.2034, 0.2035",\
"0.1984, 0.1984, 0.2001, 0.2018, 0.2029, 0.2034, 0.2038, 0.204, 0.2041, 0.2042",\
"0.1991, 0.199, 0.2007, 0.2025, 0.2036, 0.2042, 0.2044, 0.2046, 0.2047, 0.2048",\
"0.1999, 0.2, 0.2016, 0.2034, 0.2044, 0.205, 0.2054, 0.2056, 0.2057, 0.2058",\
"0.2009, 0.2008, 0.2026, 0.2043, 0.2053, 0.2059, 0.2062, 0.2064, 0.2065, 0.2067",\
"0.2018, 0.2017, 0.2034, 0.2051, 0.2061, 0.2067, 0.207, 0.2073, 0.2074, 0.2075");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.2003, 0.1988, 0.1981, 0.1992, 0.2013, 0.2034, 0.2057, 0.2073, 0.2144, 0.2595",\
"0.2003, 0.1987, 0.1979, 0.1994, 0.2013, 0.2034, 0.2056, 0.2075, 0.2136, 0.2612",\
"0.2, 0.1986, 0.1977, 0.1991, 0.2012, 0.2034, 0.205, 0.2073, 0.2142, 0.2646",\
"0.1999, 0.1983, 0.1974, 0.1991, 0.2008, 0.2029, 0.2051, 0.2071, 0.2131, 0.2731",\
"0.1999, 0.1983, 0.1974, 0.1986, 0.2012, 0.2032, 0.2053, 0.2073, 0.2149, 0.2848",\
"0.2001, 0.1986, 0.1976, 0.199, 0.201, 0.2033, 0.2055, 0.2072, 0.217, 0.3052",\
"0.2002, 0.1987, 0.1978, 0.1984, 0.202, 0.2041, 0.2058, 0.2079, 0.222, 0.3387",\
"0.2003, 0.1987, 0.1977, 0.1988, 0.2006, 0.2045, 0.207, 0.2079, 0.2285, 0.3937",\
"0.201, 0.1993, 0.1985, 0.1999, 0.2011, 0.202, 0.2076, 0.2115, 0.2456, 0.4908",\
"0.2019, 0.2005, 0.1993, 0.2, 0.2021, 0.2034, 0.2045, 0.2143, 0.2838, 0.6681");
}
}
internal_power() {
related_pin : "RN" ;
when : "!CLK&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.2051, 0.2051, 0.207, 0.2086, 0.2096, 0.2102, 0.2106, 0.2106, 0.2108, 0.2108",\
"0.2047, 0.2048, 0.2067, 0.2083, 0.2093, 0.2099, 0.2102, 0.2104, 0.2105, 0.2105",\
"0.2037, 0.2036, 0.2053, 0.2069, 0.208, 0.2087, 0.209, 0.2093, 0.2094, 0.2095",\
"0.2059, 0.2049, 0.2051, 0.2065, 0.2076, 0.2084, 0.2089, 0.2092, 0.2094, 0.2095",\
"0.2134, 0.2109, 0.2094, 0.2097, 0.2105, 0.2112, 0.2117, 0.212, 0.2122, 0.2123",\
"0.2254, 0.221, 0.2178, 0.217, 0.2172, 0.2176, 0.2181, 0.2184, 0.2187, 0.2187",\
"0.2419, 0.2359, 0.2309, 0.2286, 0.2283, 0.2285, 0.2288, 0.229, 0.2292, 0.2293",\
"0.2627, 0.2555, 0.2481, 0.2447, 0.2433, 0.243, 0.2429, 0.2432, 0.2432, 0.2434",\
"0.2876, 0.2786, 0.2691, 0.264, 0.2619, 0.261, 0.2606, 0.2606, 0.2607, 0.2607",\
"0.3163, 0.306, 0.2936, 0.287, 0.2839, 0.2823, 0.2816, 0.2812, 0.2814, 0.2814");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.2051, 0.2051, 0.207, 0.2086, 0.2096, 0.2102, 0.2106, 0.2106, 0.2108, 0.2108",\
"0.2047, 0.2048, 0.2067, 0.2083, 0.2093, 0.2099, 0.2102, 0.2104, 0.2105, 0.2105",\
"0.2037, 0.2036, 0.2053, 0.2069, 0.208, 0.2087, 0.209, 0.2093, 0.2094, 0.2095",\
"0.2059, 0.2049, 0.2051, 0.2065, 0.2076, 0.2084, 0.2089, 0.2092, 0.2094, 0.2095",\
"0.2134, 0.2109, 0.2094, 0.2097, 0.2105, 0.2112, 0.2117, 0.212, 0.2122, 0.2123",\
"0.2254, 0.221, 0.2178, 0.217, 0.2172, 0.2176, 0.2181, 0.2184, 0.2187, 0.2187",\
"0.2419, 0.2359, 0.2309, 0.2286, 0.2283, 0.2285, 0.2288, 0.229, 0.2292, 0.2293",\
"0.2627, 0.2555, 0.2481, 0.2447, 0.2433, 0.243, 0.2429, 0.2432, 0.2432, 0.2434",\
"0.2876, 0.2786, 0.2691, 0.264, 0.2619, 0.261, 0.2606, 0.2606, 0.2607, 0.2607",\
"0.3163, 0.306, 0.2936, 0.287, 0.2839, 0.2823, 0.2816, 0.2812, 0.2814, 0.2814");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "!CLK&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.2119, 0.2121, 0.2139, 0.2156, 0.2165, 0.217, 0.2174, 0.2176, 0.2177, 0.2178",\
"0.2118, 0.2119, 0.2138, 0.2154, 0.2163, 0.2169, 0.2172, 0.2174, 0.2175, 0.2175",\
"0.2107, 0.2106, 0.2122, 0.2139, 0.215, 0.2156, 0.216, 0.2163, 0.2164, 0.2164",\
"0.2127, 0.2118, 0.2121, 0.2134, 0.2145, 0.2152, 0.2157, 0.2161, 0.2163, 0.2163",\
"0.2204, 0.2179, 0.2163, 0.2166, 0.2174, 0.2181, 0.2186, 0.2189, 0.2192, 0.2192",\
"0.2325, 0.2282, 0.225, 0.224, 0.2243, 0.2249, 0.2252, 0.2255, 0.2258, 0.2258",\
"0.2488, 0.243, 0.2377, 0.2356, 0.2353, 0.2354, 0.2357, 0.2359, 0.2361, 0.2363",\
"0.2699, 0.2624, 0.2549, 0.2514, 0.2503, 0.2499, 0.2501, 0.2501, 0.2501, 0.2503",\
"0.2946, 0.2856, 0.276, 0.2709, 0.2687, 0.2679, 0.2676, 0.2677, 0.2676, 0.2678",\
"0.3231, 0.3127, 0.3007, 0.2941, 0.2908, 0.2894, 0.2885, 0.2882, 0.2881, 0.2881");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.2119, 0.2121, 0.2139, 0.2156, 0.2165, 0.217, 0.2174, 0.2176, 0.2177, 0.2178",\
"0.2118, 0.2119, 0.2138, 0.2154, 0.2163, 0.2169, 0.2172, 0.2174, 0.2175, 0.2175",\
"0.2107, 0.2106, 0.2122, 0.2139, 0.215, 0.2156, 0.216, 0.2163, 0.2164, 0.2164",\
"0.2127, 0.2118, 0.2121, 0.2134, 0.2145, 0.2152, 0.2157, 0.2161, 0.2163, 0.2163",\
"0.2204, 0.2179, 0.2163, 0.2166, 0.2174, 0.2181, 0.2186, 0.2189, 0.2192, 0.2192",\
"0.2325, 0.2282, 0.225, 0.224, 0.2243, 0.2249, 0.2252, 0.2255, 0.2258, 0.2258",\
"0.2488, 0.243, 0.2377, 0.2356, 0.2353, 0.2354, 0.2357, 0.2359, 0.2361, 0.2363",\
"0.2699, 0.2624, 0.2549, 0.2514, 0.2503, 0.2499, 0.2501, 0.2501, 0.2501, 0.2503",\
"0.2946, 0.2856, 0.276, 0.2709, 0.2687, 0.2679, 0.2676, 0.2677, 0.2676, 0.2678",\
"0.3231, 0.3127, 0.3007, 0.2941, 0.2908, 0.2894, 0.2885, 0.2882, 0.2881, 0.2881");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "CLK&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.3, 0.3, 0.3017, 0.3034, 0.3042, 0.3047, 0.305, 0.3052, 0.3053, 0.3054",\
"0.2996, 0.2995, 0.3013, 0.3029, 0.3039, 0.3044, 0.3047, 0.3049, 0.305, 0.3051",\
"0.2983, 0.2982, 0.2998, 0.3014, 0.3024, 0.303, 0.3034, 0.3036, 0.3038, 0.3039",\
"0.3017, 0.3005, 0.3008, 0.3021, 0.3032, 0.3039, 0.3044, 0.3047, 0.3049, 0.305",\
"0.3117, 0.3088, 0.3072, 0.3076, 0.3084, 0.309, 0.3095, 0.3098, 0.31, 0.3101",\
"0.328, 0.3232, 0.3198, 0.319, 0.3192, 0.3195, 0.32, 0.3203, 0.3205, 0.3206",\
"0.3511, 0.345, 0.3394, 0.3374, 0.3369, 0.337, 0.3372, 0.3375, 0.3377, 0.3378",\
"0.3824, 0.3746, 0.367, 0.3636, 0.362, 0.3616, 0.3616, 0.3618, 0.3619, 0.362",\
"0.421, 0.4118, 0.4018, 0.3965, 0.3942, 0.3932, 0.3929, 0.3928, 0.3928, 0.3928",\
"0.4663, 0.4559, 0.4431, 0.4362, 0.4329, 0.4311, 0.4305, 0.43, 0.4299, 0.4299");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.3, 0.3, 0.3017, 0.3034, 0.3042, 0.3047, 0.305, 0.3052, 0.3053, 0.3054",\
"0.2996, 0.2995, 0.3013, 0.3029, 0.3039, 0.3044, 0.3047, 0.3049, 0.305, 0.3051",\
"0.2983, 0.2982, 0.2998, 0.3014, 0.3024, 0.303, 0.3034, 0.3036, 0.3038, 0.3039",\
"0.3017, 0.3005, 0.3008, 0.3021, 0.3032, 0.3039, 0.3044, 0.3047, 0.3049, 0.305",\
"0.3117, 0.3088, 0.3072, 0.3076, 0.3084, 0.309, 0.3095, 0.3098, 0.31, 0.3101",\
"0.328, 0.3232, 0.3198, 0.319, 0.3192, 0.3195, 0.32, 0.3203, 0.3205, 0.3206",\
"0.3511, 0.345, 0.3394, 0.3374, 0.3369, 0.337, 0.3372, 0.3375, 0.3377, 0.3378",\
"0.3824, 0.3746, 0.367, 0.3636, 0.362, 0.3616, 0.3616, 0.3618, 0.3619, 0.362",\
"0.421, 0.4118, 0.4018, 0.3965, 0.3942, 0.3932, 0.3929, 0.3928, 0.3928, 0.3928",\
"0.4663, 0.4559, 0.4431, 0.4362, 0.4329, 0.4311, 0.4305, 0.43, 0.4299, 0.4299");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "CLK&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.3001, 0.3001, 0.3019, 0.3035, 0.3043, 0.3049, 0.3052, 0.3054, 0.3056, 0.3056",\
"0.2996, 0.2995, 0.3013, 0.3029, 0.3039, 0.3043, 0.3047, 0.3048, 0.305, 0.3051",\
"0.2983, 0.2981, 0.2997, 0.3014, 0.3025, 0.303, 0.3034, 0.3036, 0.3038, 0.3039",\
"0.3017, 0.3006, 0.3008, 0.3021, 0.3032, 0.3039, 0.3044, 0.3047, 0.3049, 0.305",\
"0.3116, 0.3087, 0.3072, 0.3076, 0.3082, 0.3088, 0.3094, 0.3097, 0.3099, 0.3101",\
"0.3278, 0.3231, 0.3198, 0.3189, 0.3191, 0.3195, 0.32, 0.3203, 0.3205, 0.3206",\
"0.3511, 0.3451, 0.3393, 0.3374, 0.3369, 0.337, 0.3373, 0.3375, 0.3377, 0.3378",\
"0.3824, 0.3747, 0.3669, 0.3634, 0.362, 0.3616, 0.3617, 0.3618, 0.3619, 0.3619",\
"0.421, 0.4119, 0.4018, 0.3968, 0.3943, 0.3933, 0.393, 0.3927, 0.3928, 0.393",\
"0.4662, 0.4559, 0.4432, 0.4366, 0.433, 0.4312, 0.4304, 0.4301, 0.43, 0.4299");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.3001, 0.3001, 0.3019, 0.3035, 0.3043, 0.3049, 0.3052, 0.3054, 0.3056, 0.3056",\
"0.2996, 0.2995, 0.3013, 0.3029, 0.3039, 0.3043, 0.3047, 0.3048, 0.305, 0.3051",\
"0.2983, 0.2981, 0.2997, 0.3014, 0.3025, 0.303, 0.3034, 0.3036, 0.3038, 0.3039",\
"0.3017, 0.3006, 0.3008, 0.3021, 0.3032, 0.3039, 0.3044, 0.3047, 0.3049, 0.305",\
"0.3116, 0.3087, 0.3072, 0.3076, 0.3082, 0.3088, 0.3094, 0.3097, 0.3099, 0.3101",\
"0.3278, 0.3231, 0.3198, 0.3189, 0.3191, 0.3195, 0.32, 0.3203, 0.3205, 0.3206",\
"0.3511, 0.3451, 0.3393, 0.3374, 0.3369, 0.337, 0.3373, 0.3375, 0.3377, 0.3378",\
"0.3824, 0.3747, 0.3669, 0.3634, 0.362, 0.3616, 0.3617, 0.3618, 0.3619, 0.3619",\
"0.421, 0.4119, 0.4018, 0.3968, 0.3943, 0.3933, 0.393, 0.3927, 0.3928, 0.393",\
"0.4662, 0.4559, 0.4432, 0.4366, 0.433, 0.4312, 0.4304, 0.4301, 0.43, 0.4299");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("1.073, 1.128, 1.258, 1.446, 1.715, 2.088, 2.578, 3.194, 3.947, 4.844",\
"1.095, 1.151, 1.28, 1.468, 1.737, 2.11, 2.6, 3.217, 3.969, 4.866",\
"1.175, 1.23, 1.36, 1.548, 1.817, 2.19, 2.68, 3.296, 4.049, 4.946",\
"1.297, 1.353, 1.483, 1.67, 1.94, 2.312, 2.802, 3.419, 4.172, 5.068",\
"1.405, 1.46, 1.59, 1.778, 2.047, 2.42, 2.909, 3.526, 4.279, 5.176",\
"1.49, 1.546, 1.675, 1.863, 2.133, 2.505, 2.995, 3.612, 4.364, 5.261",\
"1.553, 1.609, 1.738, 1.926, 2.196, 2.569, 3.058, 3.674, 4.427, 5.324",\
"1.594, 1.649, 1.779, 1.967, 2.236, 2.609, 3.099, 3.715, 4.468, 5.364",\
"1.608, 1.663, 1.793, 1.981, 2.25, 2.623, 3.112, 3.729, 4.481, 5.378",\
"1.592, 1.647, 1.776, 1.964, 2.233, 2.606, 3.095, 3.712, 4.465, 5.362");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("1.248, 1.327, 1.526, 1.842, 2.316, 2.977, 3.847, 4.941, 6.278, 7.872",\
"1.271, 1.349, 1.548, 1.864, 2.338, 3, 3.869, 4.965, 6.301, 7.893",\
"1.349, 1.428, 1.627, 1.943, 2.417, 3.078, 3.948, 5.043, 6.378, 7.973",\
"1.469, 1.548, 1.747, 2.063, 2.537, 3.198, 4.067, 5.164, 6.5, 8.091",\
"1.576, 1.654, 1.853, 2.17, 2.644, 3.305, 4.174, 5.271, 6.607, 8.2",\
"1.662, 1.74, 1.938, 2.255, 2.729, 3.39, 4.26, 5.355, 6.689, 8.285",\
"1.725, 1.804, 2.003, 2.319, 2.793, 3.454, 4.324, 5.42, 6.756, 8.347",\
"1.768, 1.847, 2.046, 2.362, 2.836, 3.497, 4.367, 5.461, 6.797, 8.392",\
"1.786, 1.864, 2.063, 2.38, 2.854, 3.516, 4.385, 5.479, 6.814, 8.406",\
"1.777, 1.855, 2.053, 2.37, 2.844, 3.505, 4.375, 5.47, 6.805, 8.397");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.0986, 0.1492, 0.2846, 0.5356, 0.955, 1.565, 2.371, 3.384, 4.629, 6.106",\
"0.0984, 0.1492, 0.285, 0.5354, 0.955, 1.564, 2.369, 3.387, 4.631, 6.106",\
"0.0986, 0.1494, 0.2846, 0.5352, 0.956, 1.565, 2.369, 3.387, 4.625, 6.103",\
"0.0986, 0.1492, 0.2846, 0.536, 0.9546, 1.565, 2.368, 3.387, 4.629, 6.106",\
"0.0986, 0.1492, 0.285, 0.5358, 0.9554, 1.565, 2.371, 3.383, 4.629, 6.106",\
"0.0986, 0.1492, 0.2848, 0.5356, 0.9554, 1.563, 2.369, 3.389, 4.628, 6.104",\
"0.0988, 0.1496, 0.2846, 0.5354, 0.9552, 1.563, 2.366, 3.381, 4.625, 6.099",\
"0.0986, 0.1494, 0.2848, 0.536, 0.9552, 1.564, 2.368, 3.381, 4.617, 6.093",\
"0.0986, 0.1494, 0.2852, 0.5362, 0.9552, 1.564, 2.367, 3.381, 4.616, 6.092",\
"0.0986, 0.1492, 0.2846, 0.5364, 0.955, 1.565, 2.368, 3.382, 4.622, 6.092");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.1406, 0.2258, 0.472, 0.9528, 1.744, 2.872, 4.356, 6.225, 8.519, 11.23",\
"0.141, 0.2258, 0.4724, 0.9524, 1.746, 2.87, 4.352, 6.229, 8.511, 11.24",\
"0.1408, 0.2258, 0.4724, 0.9532, 1.744, 2.872, 4.355, 6.221, 8.519, 11.24",\
"0.1406, 0.2256, 0.4718, 0.9534, 1.746, 2.872, 4.354, 6.23, 8.506, 11.24",\
"0.1406, 0.2256, 0.4726, 0.9536, 1.744, 2.872, 4.354, 6.23, 8.505, 11.24",\
"0.1404, 0.2264, 0.4718, 0.9532, 1.743, 2.872, 4.356, 6.221, 8.516, 11.24",\
"0.1408, 0.226, 0.4716, 0.9532, 1.744, 2.867, 4.355, 6.229, 8.51, 11.24",\
"0.1408, 0.2258, 0.4724, 0.9524, 1.746, 2.868, 4.348, 6.227, 8.508, 11.24",\
"0.1412, 0.2258, 0.4726, 0.9528, 1.743, 2.87, 4.347, 6.215, 8.494, 11.22",\
"0.1406, 0.2258, 0.472, 0.9526, 1.744, 2.872, 4.35, 6.224, 8.494, 11.22");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b0 && D===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "!CLK&!D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.3449, 0.4009, 0.5301, 0.7212, 0.9918, 1.364, 1.853, 2.47, 3.222, 4.12",\
"0.372, 0.4279, 0.5572, 0.7483, 1.019, 1.391, 1.88, 2.497, 3.25, 4.148",\
"0.4713, 0.5271, 0.6561, 0.8473, 1.118, 1.49, 1.979, 2.596, 3.348, 4.247",\
"0.6522, 0.7102, 0.8414, 1.033, 1.304, 1.676, 2.165, 2.781, 3.535, 4.432",\
"0.8564, 0.9231, 1.068, 1.266, 1.536, 1.908, 2.397, 3.013, 3.767, 4.664",\
"1.07, 1.145, 1.306, 1.513, 1.783, 2.155, 2.644, 3.26, 4.013, 4.911",\
"1.302, 1.383, 1.56, 1.776, 2.047, 2.417, 2.906, 3.522, 4.274, 5.171",\
"1.553, 1.641, 1.831, 2.057, 2.328, 2.698, 3.185, 3.801, 4.554, 5.45",\
"1.822, 1.917, 2.121, 2.358, 2.628, 2.997, 3.484, 4.099, 4.851, 5.748",\
"2.112, 2.212, 2.43, 2.677, 2.946, 3.315, 3.8, 4.415, 5.168, 6.064");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.1004, 0.1494, 0.2867, 0.5418, 0.9536, 1.558, 2.363, 3.382, 4.621, 6.092",\
"0.1004, 0.1491, 0.2866, 0.5417, 0.9538, 1.557, 2.364, 3.382, 4.618, 6.094",\
"0.1003, 0.1492, 0.2867, 0.5416, 0.9534, 1.557, 2.364, 3.382, 4.622, 6.101",\
"0.1114, 0.159, 0.2916, 0.5428, 0.9532, 1.557, 2.364, 3.383, 4.617, 6.102",\
"0.1382, 0.1908, 0.318, 0.5538, 0.9548, 1.558, 2.364, 3.382, 4.625, 6.091",\
"0.1642, 0.2224, 0.352, 0.57, 0.9582, 1.558, 2.363, 3.377, 4.622, 6.094",\
"0.1886, 0.2522, 0.39, 0.587, 0.9612, 1.56, 2.362, 3.376, 4.618, 6.095",\
"0.214, 0.2804, 0.429, 0.6052, 0.9634, 1.56, 2.364, 3.378, 4.613, 6.092",\
"0.2398, 0.3098, 0.4678, 0.6234, 0.9662, 1.56, 2.364, 3.376, 4.615, 6.089",\
"0.2658, 0.3404, 0.5074, 0.6428, 0.9682, 1.56, 2.367, 3.38, 4.614, 6.09");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b0 && D===1'b1" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "!CLK&D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.3449, 0.4008, 0.53, 0.7212, 0.9918, 1.364, 1.853, 2.469, 3.223, 4.12",\
"0.372, 0.4279, 0.5572, 0.7483, 1.019, 1.391, 1.88, 2.497, 3.249, 4.146",\
"0.4712, 0.527, 0.6561, 0.8472, 1.118, 1.49, 1.979, 2.595, 3.349, 4.246",\
"0.652, 0.7101, 0.8413, 1.033, 1.303, 1.675, 2.165, 2.781, 3.534, 4.431",\
"0.8561, 0.9231, 1.068, 1.266, 1.536, 1.908, 2.397, 3.013, 3.765, 4.663",\
"1.071, 1.146, 1.306, 1.512, 1.784, 2.155, 2.644, 3.26, 4.013, 4.91",\
"1.301, 1.383, 1.56, 1.776, 2.046, 2.417, 2.906, 3.522, 4.274, 5.171",\
"1.552, 1.64, 1.831, 2.057, 2.328, 2.697, 3.185, 3.802, 4.554, 5.45",\
"1.822, 1.917, 2.121, 2.357, 2.628, 2.996, 3.484, 4.099, 4.851, 5.748",\
"2.112, 2.212, 2.431, 2.677, 2.947, 3.314, 3.801, 4.416, 5.168, 6.064");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.1003, 0.1491, 0.2867, 0.5417, 0.9538, 1.557, 2.362, 3.381, 4.622, 6.104",\
"0.1005, 0.1491, 0.2867, 0.5417, 0.9534, 1.558, 2.364, 3.382, 4.626, 6.09",\
"0.1003, 0.1498, 0.2867, 0.5416, 0.9532, 1.558, 2.363, 3.379, 4.626, 6.091",\
"0.1114, 0.159, 0.2916, 0.5428, 0.9536, 1.558, 2.364, 3.38, 4.619, 6.089",\
"0.1382, 0.1908, 0.3186, 0.554, 0.9546, 1.558, 2.361, 3.382, 4.624, 6.091",\
"0.1642, 0.2228, 0.3522, 0.57, 0.9586, 1.558, 2.363, 3.381, 4.625, 6.091",\
"0.1888, 0.2516, 0.39, 0.5872, 0.9606, 1.559, 2.362, 3.376, 4.616, 6.098",\
"0.2134, 0.2806, 0.4288, 0.6054, 0.9634, 1.559, 2.363, 3.379, 4.613, 6.094",\
"0.2394, 0.3102, 0.468, 0.6236, 0.9658, 1.561, 2.365, 3.376, 4.613, 6.088",\
"0.2652, 0.3394, 0.5074, 0.6428, 0.9682, 1.561, 2.365, 3.378, 4.616, 6.092");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b1 && D===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "CLK&!D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.3459, 0.4024, 0.5327, 0.7209, 0.9917, 1.37, 1.859, 2.475, 3.227, 4.124",\
"0.3731, 0.4294, 0.5597, 0.748, 1.019, 1.397, 1.886, 2.502, 3.254, 4.151",\
"0.4723, 0.5285, 0.6586, 0.847, 1.118, 1.496, 1.985, 2.602, 3.354, 4.251",\
"0.653, 0.7118, 0.8441, 1.033, 1.305, 1.682, 2.171, 2.787, 3.54, 4.437",\
"0.8567, 0.9247, 1.071, 1.268, 1.541, 1.917, 2.406, 3.022, 3.774, 4.671",\
"1.071, 1.147, 1.311, 1.517, 1.794, 2.17, 2.658, 3.274, 4.026, 4.923",\
"1.301, 1.385, 1.565, 1.782, 2.063, 2.438, 2.926, 3.542, 4.294, 5.19",\
"1.551, 1.642, 1.838, 2.067, 2.352, 2.728, 3.215, 3.831, 4.583, 5.479",\
"1.82, 1.918, 2.131, 2.373, 2.664, 3.041, 3.528, 4.143, 4.894, 5.79",\
"2.11, 2.214, 2.442, 2.702, 2.999, 3.379, 3.865, 4.48, 5.23, 6.127");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.1007, 0.151, 0.2856, 0.5372, 0.9653, 1.572, 2.365, 3.378, 4.617, 6.098",\
"0.1006, 0.1509, 0.2854, 0.537, 0.9654, 1.572, 2.365, 3.377, 4.618, 6.096",\
"0.1006, 0.1511, 0.2859, 0.5372, 0.9658, 1.572, 2.365, 3.378, 4.618, 6.092",\
"0.1118, 0.161, 0.2906, 0.5392, 0.9666, 1.57, 2.364, 3.378, 4.617, 6.098",\
"0.1388, 0.1944, 0.318, 0.5544, 0.9702, 1.568, 2.364, 3.379, 4.618, 6.094",\
"0.165, 0.2274, 0.3524, 0.5762, 0.9796, 1.569, 2.364, 3.379, 4.616, 6.091",\
"0.1894, 0.2584, 0.3908, 0.601, 0.99, 1.572, 2.363, 3.376, 4.617, 6.099",\
"0.2144, 0.2888, 0.4316, 0.6292, 1.003, 1.577, 2.366, 3.376, 4.612, 6.092",\
"0.2414, 0.322, 0.4754, 0.6624, 1.021, 1.584, 2.366, 3.379, 4.614, 6.09",\
"0.2678, 0.3556, 0.521, 0.7042, 1.043, 1.594, 2.37, 3.38, 4.614, 6.09");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b1 && D===1'b1" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "CLK&D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.346, 0.4024, 0.5327, 0.7209, 0.9917, 1.37, 1.859, 2.475, 3.228, 4.124",\
"0.373, 0.4295, 0.5597, 0.748, 1.019, 1.397, 1.886, 2.502, 3.255, 4.151",\
"0.4723, 0.5285, 0.6586, 0.847, 1.118, 1.496, 1.985, 2.602, 3.354, 4.25",\
"0.6529, 0.7117, 0.844, 1.033, 1.305, 1.682, 2.171, 2.787, 3.54, 4.437",\
"0.8566, 0.9247, 1.072, 1.268, 1.541, 1.917, 2.406, 3.022, 3.774, 4.671",\
"1.071, 1.147, 1.311, 1.517, 1.794, 2.17, 2.658, 3.274, 4.026, 4.922",\
"1.301, 1.385, 1.565, 1.782, 2.063, 2.438, 2.926, 3.542, 4.294, 5.19",\
"1.551, 1.642, 1.839, 2.067, 2.352, 2.728, 3.215, 3.831, 4.583, 5.479",\
"1.82, 1.918, 2.131, 2.373, 2.663, 3.041, 3.528, 4.143, 4.894, 5.79",\
"2.11, 2.214, 2.442, 2.702, 2.999, 3.379, 3.865, 4.48, 5.231, 6.126");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.1006, 0.151, 0.2855, 0.5372, 0.9652, 1.572, 2.365, 3.379, 4.614, 6.098",\
"0.1006, 0.1509, 0.2856, 0.537, 0.9655, 1.572, 2.365, 3.376, 4.62, 6.095",\
"0.1006, 0.1511, 0.2859, 0.5372, 0.9656, 1.571, 2.364, 3.376, 4.62, 6.098",\
"0.112, 0.1608, 0.2906, 0.5396, 0.9664, 1.569, 2.363, 3.377, 4.62, 6.092",\
"0.1388, 0.1942, 0.3178, 0.5544, 0.9702, 1.568, 2.362, 3.379, 4.618, 6.091",\
"0.165, 0.2274, 0.3522, 0.576, 0.9796, 1.569, 2.363, 3.379, 4.617, 6.099",\
"0.1892, 0.2586, 0.3906, 0.601, 0.99, 1.572, 2.363, 3.376, 4.617, 6.099",\
"0.2144, 0.2894, 0.4312, 0.6292, 1.003, 1.577, 2.366, 3.379, 4.613, 6.092",\
"0.241, 0.3222, 0.4752, 0.6626, 1.021, 1.584, 2.368, 3.377, 4.615, 6.09",\
"0.268, 0.355, 0.5212, 0.7044, 1.043, 1.595, 2.37, 3.38, 4.614, 6.088");
}
}
timing() {
related_pin : "RN" ;
timing_sense : positive_unate ;
timing_type : clear ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.3459, 0.4024, 0.5327, 0.7209, 0.9917, 1.37, 1.859, 2.475, 3.227, 4.124",\
"0.3731, 0.4294, 0.5597, 0.748, 1.019, 1.397, 1.886, 2.502, 3.254, 4.151",\
"0.4723, 0.5285, 0.6586, 0.847, 1.118, 1.496, 1.985, 2.602, 3.354, 4.251",\
"0.653, 0.7118, 0.8441, 1.033, 1.305, 1.682, 2.171, 2.787, 3.54, 4.437",\
"0.8567, 0.9247, 1.071, 1.268, 1.541, 1.917, 2.406, 3.022, 3.774, 4.671",\
"1.071, 1.147, 1.311, 1.517, 1.794, 2.17, 2.658, 3.274, 4.026, 4.923",\
"1.301, 1.385, 1.565, 1.782, 2.063, 2.438, 2.926, 3.542, 4.294, 5.19",\
"1.551, 1.642, 1.838, 2.067, 2.352, 2.728, 3.215, 3.831, 4.583, 5.479",\
"1.82, 1.918, 2.131, 2.373, 2.664, 3.041, 3.528, 4.143, 4.894, 5.79",\
"2.11, 2.214, 2.442, 2.702, 2.999, 3.379, 3.865, 4.48, 5.23, 6.127");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008801, 0.03618, 0.08847, 0.1699, 0.2842, 0.4347, 0.6241, 0.8555, 1.131");
values("0.1003, 0.1491, 0.2867, 0.5417, 0.9538, 1.557, 2.362, 3.381, 4.622, 6.104",\
"0.1005, 0.1491, 0.2867, 0.5417, 0.9534, 1.558, 2.364, 3.382, 4.626, 6.09",\
"0.1003, 0.1498, 0.2867, 0.5416, 0.9532, 1.558, 2.363, 3.379, 4.626, 6.091",\
"0.1114, 0.159, 0.2916, 0.5428, 0.9536, 1.558, 2.364, 3.38, 4.619, 6.089",\
"0.1382, 0.1908, 0.3186, 0.554, 0.9546, 1.558, 2.361, 3.382, 4.624, 6.091",\
"0.1642, 0.2228, 0.3522, 0.57, 0.9586, 1.558, 2.363, 3.381, 4.625, 6.091",\
"0.1888, 0.2516, 0.39, 0.5872, 0.9606, 1.559, 2.362, 3.376, 4.616, 6.098",\
"0.2134, 0.2806, 0.4288, 0.6054, 0.9634, 1.559, 2.363, 3.379, 4.613, 6.094",\
"0.2394, 0.3102, 0.468, 0.6236, 0.9658, 1.561, 2.365, 3.376, 4.613, 6.088",\
"0.2652, 0.3394, 0.5074, 0.6428, 0.9682, 1.561, 2.365, 3.378, 4.616, 6.092");
}
}
}
pin(RN) {
capacitance : 0.009449 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
min_pulse_width_low : 0.743 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.0308, 0.03047, 0.03037, 0.03036, 0.03044, 0.03046, 0.03046, \
0.03047, 0.03047, 0.03045");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.03045, -0.03039, -0.03036, -0.0303, -0.0304, -0.03042, -0.0304, \
-0.03047, -0.03041, -0.03039");
}
}
internal_power() {
when : "!CLK&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.03043, 0.02965, 0.02934, 0.02928, 0.02925, 0.02925, 0.02923, \
0.02921, 0.0292, 0.02919");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.02898, -0.02917, -0.02952, -0.02979, -0.02993, -0.03001, -0.03006, \
-0.03008, -0.03012, -0.03013");
}
}
internal_power() {
when : "CLK&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.03131, 0.03046, 0.03038, 0.03045, 0.03041, 0.03045, 0.03047, \
0.03046, 0.03046, 0.03047");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.03046, -0.0304, -0.03037, -0.03033, -0.03038, -0.0304, -0.0304, \
-0.03041, -0.0304, -0.0304");
}
}
internal_power() {
when : "CLK&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.03118, 0.03047, 0.03039, 0.03042, 0.03045, 0.03048, 0.03048, \
0.03046, 0.03046, 0.03045");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.03046, -0.0304, -0.03037, -0.03033, -0.03039, -0.0304, -0.03041, \
-0.0304, -0.0304, -0.03039");
}
}
timing() {
related_pin : "CLK" ;
timing_type : recovery_rising ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.389, -0.411, -0.499, -0.62, -0.718, -0.799, -0.851, -0.882, -0.879, -0.839",\
"-0.392, -0.422, -0.506, -0.628, -0.723, -0.814, -0.861, -0.889, -0.893, -0.854",\
"-0.414, -0.439, -0.517, -0.647, -0.747, -0.831, -0.881, -0.913, -0.918, -0.882",\
"-0.318, -0.343, -0.428, -0.553, -0.659, -0.747, -0.802, -0.838, -0.844, -0.816",\
"0.06, 0.03, -0.054, -0.193, -0.308, -0.398, -0.47, -0.514, -0.531, -0.501",\
"0.726, 0.703, 0.596, 0.44, 0.325, 0.208, 0.136, 0.079, 0.055, 0.075",\
"1.626, 1.6, 1.489, 1.308, 1.174, 1.051, 0.963, 0.905, 0.874, 0.899",\
"2.763, 2.723, 2.607, 2.411, 2.269, 2.116, 2.027, 1.962, 1.91, 1.938",\
"4.179, 4.098, 3.981, 3.748, 3.629, 3.42, 3.323, 3.266, 3.187, 3.232",\
"5.853, 5.746, 5.604, 5.361, 5.211, 5.024, 4.915, 4.806, 4.724, 4.755");
}
}
timing() {
related_pin : "CLK" ;
timing_type : removal_rising ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.67, 0.691, 0.774, 0.894, 1.005, 1.097, 1.163, 1.208, 1.233, 1.229",\
"0.672, 0.698, 0.774, 0.897, 1.002, 1.091, 1.162, 1.211, 1.235, 1.227",\
"0.708, 0.726, 0.807, 0.931, 1.035, 1.13, 1.193, 1.24, 1.265, 1.256",\
"0.796, 0.817, 0.898, 1.021, 1.125, 1.212, 1.282, 1.328, 1.34, 1.337",\
"0.949, 0.971, 1.045, 1.165, 1.273, 1.355, 1.416, 1.458, 1.478, 1.464",\
"1.139, 1.163, 1.242, 1.357, 1.461, 1.544, 1.606, 1.638, 1.652, 1.642",\
"1.373, 1.395, 1.471, 1.591, 1.689, 1.766, 1.827, 1.863, 1.878, 1.864",\
"1.65, 1.674, 1.747, 1.861, 1.957, 2.034, 2.096, 2.132, 2.138, 2.127",\
"1.964, 1.99, 2.064, 2.172, 2.268, 2.344, 2.403, 2.443, 2.45, 2.434",\
"2.324, 2.351, 2.425, 2.528, 2.621, 2.703, 2.757, 2.791, 2.8, 2.782");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_NOT_CLK_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLK&!D" ;
fall_constraint(scalar) {
values("0.456");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_NOT_CLK_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLK&D" ;
fall_constraint(scalar) {
values("0.456");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_CLK_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLK&!D" ;
fall_constraint(scalar) {
values("0.743");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_CLK_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLK&D" ;
fall_constraint(scalar) {
values("0.743");
}
}
}
}