blob: 160809c05295115d89dafb2f7a95087a00533f2d [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffrnq_1) {
area : 90.316800 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "D" ;
clear : "(!RN)" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!RN" ;
value : "0.000330093" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&RN" ;
value : "0.000330093" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!RN" ;
value : "0.000330093" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&RN" ;
value : "0.000394047" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!RN" ;
value : "0.000359676" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&RN" ;
value : "0.0003931965" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!RN" ;
value : "0.0003615255" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&RN" ;
value : "0.00050427" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.00050427" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.005004 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
min_period : 1.026 ;
min_pulse_width_high : 0.427 ;
min_pulse_width_low : 0.435 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.3148, 0.3134, 0.3149, 0.3281, 0.3561, 0.3993, 0.4593, 0.5371, \
0.6333, 0.7492");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2571, 0.2564, 0.2567, 0.2674, 0.2897, 0.3276, 0.3808, 0.4506, \
0.5377, 0.6425");
}
}
internal_power() {
when : "!D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.3148, 0.3134, 0.3147, 0.3282, 0.356, 0.3993, 0.4593, 0.537, \
0.6335, 0.749");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2571, 0.2564, 0.2568, 0.2673, 0.2899, 0.3275, 0.3806, 0.4505, \
0.5374, 0.6425");
}
}
internal_power() {
when : "D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.4767, 0.4753, 0.4765, 0.4908, 0.5218, 0.5688, 0.6342, 0.7181, \
0.8217, 0.9459");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.5293, 0.5285, 0.5289, 0.5416, 0.5687, 0.6119, 0.6727, 0.7522, \
0.8497, 0.9661");
}
}
internal_power() {
when : "D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.3161, 0.3147, 0.3163, 0.3295, 0.3575, 0.4006, 0.4606, 0.5382, \
0.6348, 0.7503");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.25, 0.2491, 0.2495, 0.2601, 0.2827, 0.3201, 0.3736, 0.4434, \
0.5306, 0.6352");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&RN" ;
fall_constraint(scalar) {
values("0.411");
}
rise_constraint(scalar) {
values("0.414");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&RN" ;
fall_constraint(scalar) {
values("0.435");
}
rise_constraint(scalar) {
values("0.427");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_RN === 1'b1" ;
timing_type : minimum_period ;
when : "!D&RN" ;
rise_constraint(scalar) {
values("0.955");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_RN === 1'b1" ;
timing_type : minimum_period ;
when : "D&RN" ;
rise_constraint(scalar) {
values("1.026");
}
}
}
pin(D) {
capacitance : 0.0041 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2653, 0.2646, 0.2665, 0.2776, 0.3, 0.3345, 0.3829, 0.4452, 0.5221, \
0.615");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1698, 0.169, 0.1707, 0.1793, 0.1969, 0.2256, 0.2664, 0.32, 0.387, \
0.4678");
}
}
internal_power() {
when : "!CLK&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2524, 0.2517, 0.2538, 0.2646, 0.2872, 0.3215, 0.3695, 0.4316, \
0.5085, 0.6007");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1789, 0.1782, 0.1798, 0.1884, 0.206, 0.2346, 0.2754, 0.329, \
0.3958, 0.4769");
}
}
internal_power() {
when : "CLK&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.07129, 0.06993, 0.0744, 0.08784, 0.1114, 0.146, 0.1923, 0.2513, \
0.3238, 0.4106");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.004862, 0.003864, 0.005682, 0.01564, 0.03534, 0.06547, 0.1063, \
0.1588, 0.2235, 0.3011");
}
}
internal_power() {
when : "CLK&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.07131, 0.06991, 0.07441, 0.08786, 0.1113, 0.1461, 0.1924, 0.2514, \
0.3238, 0.4105");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.004821, 0.003819, 0.005728, 0.01574, 0.03542, 0.06533, 0.1064, \
0.1588, 0.2235, 0.3011");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : hold_rising ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.074, 0.084, 0.118, 0.168, 0.211, 0.258, 0.287, 0.309, 0.326, 0.322",\
"0.069, 0.078, 0.107, 0.155, 0.206, 0.247, 0.279, 0.303, 0.317, 0.316",\
"0.031, 0.044, 0.07, 0.128, 0.172, 0.21, 0.242, 0.271, 0.28, 0.284",\
"-0.03, -0.023, 0.01, 0.062, 0.113, 0.154, 0.185, 0.212, 0.224, 0.223",\
"-0.105, -0.096, -0.068, -0.017, 0.034, 0.08, 0.11, 0.136, 0.15, 0.154",\
"-0.198, -0.185, -0.161, -0.108, -0.058, -0.014, 0.018, 0.045, 0.06, 0.065",\
"-0.292, -0.283, -0.259, -0.217, -0.167, -0.127, -0.09, -0.068, -0.053, -0.043",\
"-0.398, -0.392, -0.364, -0.321, -0.283, -0.248, -0.214, -0.19, -0.172, -0.167",\
"-0.514, -0.506, -0.485, -0.441, -0.407, -0.37, -0.346, -0.333, -0.314, -0.31",\
"-0.657, -0.646, -0.622, -0.582, -0.544, -0.511, -0.491, -0.468, -0.461, -0.457");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.08, -0.075, -0.049, -0.03, -0.006, 0.002, 0.007, 0.005, -0.01, -0.044",\
"-0.09, -0.084, -0.063, -0.033, -0.019, 0.001, -0, -0.004, -0.022, -0.053",\
"-0.118, -0.106, -0.089, -0.06, -0.043, -0.03, -0.023, -0.033, -0.049, -0.08",\
"-0.156, -0.148, -0.128, -0.099, -0.081, -0.062, -0.061, -0.063, -0.085, -0.115",\
"-0.191, -0.185, -0.161, -0.135, -0.113, -0.099, -0.091, -0.095, -0.12, -0.147",\
"-0.22, -0.208, -0.186, -0.155, -0.136, -0.123, -0.117, -0.128, -0.147, -0.173",\
"-0.233, -0.223, -0.201, -0.173, -0.153, -0.135, -0.135, -0.141, -0.162, -0.192",\
"-0.235, -0.22, -0.2, -0.171, -0.154, -0.139, -0.136, -0.148, -0.164, -0.196",\
"-0.217, -0.207, -0.182, -0.154, -0.138, -0.124, -0.121, -0.134, -0.152, -0.195",\
"-0.181, -0.174, -0.146, -0.12, -0.104, -0.09, -0.097, -0.107, -0.13, -0.169");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : setup_rising ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.195, 0.185, 0.162, 0.122, 0.082, 0.043, 0.02, 0.01, 0.288, 0.462",\
"0.2, 0.198, 0.176, 0.13, 0.092, 0.061, 0.028, 0.02, 0.312, 0.48",\
"0.24, 0.238, 0.206, 0.165, 0.13, 0.095, 0.069, 0.057, 0.327, 0.516",\
"0.321, 0.308, 0.29, 0.243, 0.205, 0.174, 0.143, 0.13, 0.383, 0.576",\
"0.424, 0.415, 0.393, 0.349, 0.312, 0.271, 0.242, 0.224, 0.237, 0.645",\
"0.559, 0.546, 0.525, 0.483, 0.437, 0.401, 0.37, 0.353, 0.355, 0.737",\
"0.706, 0.699, 0.673, 0.627, 0.584, 0.548, 0.517, 0.498, 0.497, 0.804",\
"0.88, 0.873, 0.847, 0.805, 0.763, 0.724, 0.692, 0.677, 0.671, 0.685",\
"1.077, 1.065, 1.039, 0.992, 0.951, 0.92, 0.886, 0.865, 0.859, 0.872",\
"1.287, 1.274, 1.25, 1.209, 1.166, 1.138, 1.104, 1.084, 1.072, 1.081");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.281, 0.268, 0.241, 0.182, 0.143, 0.118, 0.101, 0.099, 0.11, 0.134",\
"0.289, 0.276, 0.252, 0.193, 0.149, 0.122, 0.104, 0.11, 0.123, 0.143",\
"0.319, 0.304, 0.271, 0.221, 0.182, 0.153, 0.132, 0.135, 0.142, 0.172",\
"0.36, 0.35, 0.323, 0.264, 0.226, 0.193, 0.174, 0.172, 0.182, 0.204",\
"0.404, 0.394, 0.364, 0.314, 0.269, 0.232, 0.214, 0.206, 0.219, 0.241",\
"0.446, 0.431, 0.401, 0.351, 0.303, 0.265, 0.243, 0.238, 0.251, 0.276",\
"0.475, 0.464, 0.432, 0.375, 0.326, 0.295, 0.266, 0.262, 0.272, 0.297",\
"0.487, 0.476, 0.45, 0.396, 0.348, 0.306, 0.282, 0.275, 0.281, 0.314",\
"0.485, 0.477, 0.449, 0.397, 0.343, 0.305, 0.282, 0.276, 0.287, 0.305",\
"0.47, 0.459, 0.43, 0.382, 0.33, 0.288, 0.264, 0.253, 0.263, 0.287");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.4062 ;
max_transition : 5.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
when : "RN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.3672, 0.3632, 0.3579, 0.355, 0.3537, 0.353, 0.3526, 0.3523, 0.352, 0.3519",\
"0.3668, 0.3628, 0.3576, 0.3546, 0.3534, 0.3528, 0.3523, 0.3519, 0.3518, 0.3516",\
"0.3671, 0.3631, 0.3577, 0.355, 0.3537, 0.353, 0.3525, 0.3522, 0.352, 0.3518",\
"0.3684, 0.3645, 0.3591, 0.3564, 0.355, 0.3543, 0.3539, 0.3535, 0.3534, 0.3532",\
"0.3709, 0.3669, 0.3616, 0.3588, 0.3576, 0.3569, 0.3564, 0.3562, 0.3559, 0.3558",\
"0.3734, 0.3693, 0.3642, 0.3615, 0.3602, 0.3593, 0.3589, 0.3586, 0.3583, 0.3582",\
"0.3767, 0.3727, 0.3675, 0.3647, 0.3637, 0.363, 0.3627, 0.3624, 0.3621, 0.362",\
"0.3802, 0.376, 0.3709, 0.3681, 0.3668, 0.3661, 0.3656, 0.3653, 0.365, 0.3649",\
"0.3842, 0.3802, 0.375, 0.3722, 0.3708, 0.3702, 0.3697, 0.3694, 0.3692, 0.369",\
"0.3878, 0.3838, 0.3785, 0.3758, 0.3744, 0.3737, 0.3734, 0.373, 0.3728, 0.3727");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.3765, 0.3735, 0.3685, 0.3653, 0.3636, 0.3633, 0.3656, 0.366, 0.369, 0.3696",\
"0.3767, 0.3735, 0.3682, 0.3649, 0.3637, 0.3633, 0.3648, 0.3664, 0.3681, 0.3704",\
"0.3765, 0.3735, 0.3685, 0.3649, 0.3637, 0.3638, 0.3649, 0.3665, 0.369, 0.3696",\
"0.3772, 0.374, 0.3689, 0.3656, 0.3642, 0.3641, 0.3665, 0.3676, 0.3688, 0.3711",\
"0.3791, 0.3759, 0.3708, 0.3673, 0.3661, 0.3657, 0.3678, 0.3693, 0.3696, 0.372",\
"0.3815, 0.3783, 0.3733, 0.3702, 0.3685, 0.369, 0.3702, 0.3715, 0.3727, 0.375",\
"0.3847, 0.3815, 0.3761, 0.3739, 0.3733, 0.3724, 0.3734, 0.3743, 0.3768, 0.3782",\
"0.389, 0.3862, 0.3813, 0.377, 0.3759, 0.3776, 0.3787, 0.3792, 0.3799, 0.3835",\
"0.3941, 0.3907, 0.3857, 0.382, 0.3802, 0.3799, 0.3832, 0.386, 0.3872, 0.3872",\
"0.3998, 0.3965, 0.3915, 0.3883, 0.3869, 0.3869, 0.3875, 0.3912, 0.3928, 0.3955");
}
}
internal_power() {
related_pin : "RN" ;
when : "!CLK&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.369, 0.3649, 0.3594, 0.3558, 0.3541, 0.3531, 0.3525, 0.352, 0.3517, 0.3515",\
"0.3692, 0.3651, 0.3597, 0.3561, 0.3543, 0.3533, 0.3526, 0.3523, 0.3521, 0.3518",\
"0.3697, 0.3655, 0.36, 0.3564, 0.3548, 0.3538, 0.3532, 0.3527, 0.3525, 0.3524",\
"0.38, 0.3754, 0.3689, 0.3648, 0.3626, 0.3614, 0.3608, 0.3604, 0.3601, 0.3599",\
"0.4105, 0.4047, 0.3953, 0.3885, 0.3847, 0.3826, 0.3813, 0.3804, 0.38, 0.3796",\
"0.455, 0.4478, 0.4354, 0.4259, 0.4201, 0.4165, 0.4143, 0.413, 0.4121, 0.4115",\
"0.515, 0.5069, 0.4909, 0.4783, 0.47, 0.4646, 0.4612, 0.4591, 0.4576, 0.4567",\
"0.5882, 0.5786, 0.5593, 0.5434, 0.5321, 0.5248, 0.5199, 0.5167, 0.5145, 0.513",\
"0.6732, 0.6621, 0.6395, 0.6199, 0.6065, 0.5962, 0.5898, 0.5852, 0.5821, 0.5801",\
"0.7694, 0.7572, 0.7312, 0.708, 0.6905, 0.6786, 0.67, 0.6638, 0.6596, 0.657");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.369, 0.3649, 0.3594, 0.3558, 0.3541, 0.3531, 0.3525, 0.352, 0.3517, 0.3515",\
"0.3692, 0.3651, 0.3597, 0.3561, 0.3543, 0.3533, 0.3526, 0.3523, 0.3521, 0.3518",\
"0.3697, 0.3655, 0.36, 0.3564, 0.3548, 0.3538, 0.3532, 0.3527, 0.3525, 0.3524",\
"0.38, 0.3754, 0.3689, 0.3648, 0.3626, 0.3614, 0.3608, 0.3604, 0.3601, 0.3599",\
"0.4105, 0.4047, 0.3953, 0.3885, 0.3847, 0.3826, 0.3813, 0.3804, 0.38, 0.3796",\
"0.455, 0.4478, 0.4354, 0.4259, 0.4201, 0.4165, 0.4143, 0.413, 0.4121, 0.4115",\
"0.515, 0.5069, 0.4909, 0.4783, 0.47, 0.4646, 0.4612, 0.4591, 0.4576, 0.4567",\
"0.5882, 0.5786, 0.5593, 0.5434, 0.5321, 0.5248, 0.5199, 0.5167, 0.5145, 0.513",\
"0.6732, 0.6621, 0.6395, 0.6199, 0.6065, 0.5962, 0.5898, 0.5852, 0.5821, 0.5801",\
"0.7694, 0.7572, 0.7312, 0.708, 0.6905, 0.6786, 0.67, 0.6638, 0.6596, 0.657");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "!CLK&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.386, 0.382, 0.3764, 0.3729, 0.3712, 0.3703, 0.3697, 0.3693, 0.369, 0.3688",\
"0.3871, 0.3831, 0.3775, 0.3739, 0.3722, 0.3711, 0.3705, 0.3701, 0.3698, 0.3697",\
"0.3874, 0.3833, 0.3778, 0.3742, 0.3725, 0.3716, 0.371, 0.3706, 0.3704, 0.3701",\
"0.3978, 0.3933, 0.3867, 0.3826, 0.3804, 0.3793, 0.3785, 0.3781, 0.3778, 0.3776",\
"0.428, 0.4223, 0.4129, 0.406, 0.4023, 0.4001, 0.399, 0.3981, 0.3976, 0.3972",\
"0.4727, 0.4658, 0.4532, 0.4437, 0.4378, 0.4343, 0.4321, 0.4308, 0.4299, 0.4293",\
"0.5328, 0.5245, 0.5085, 0.4958, 0.4876, 0.4823, 0.4789, 0.4768, 0.4754, 0.4743",\
"0.6061, 0.5967, 0.5769, 0.5612, 0.55, 0.5428, 0.5379, 0.5348, 0.5325, 0.5308",\
"0.691, 0.68, 0.6572, 0.6375, 0.6237, 0.6138, 0.6073, 0.6032, 0.6001, 0.5976",\
"0.787, 0.7751, 0.7486, 0.7253, 0.7083, 0.6963, 0.6874, 0.6814, 0.6773, 0.6745");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.386, 0.382, 0.3764, 0.3729, 0.3712, 0.3703, 0.3697, 0.3693, 0.369, 0.3688",\
"0.3871, 0.3831, 0.3775, 0.3739, 0.3722, 0.3711, 0.3705, 0.3701, 0.3698, 0.3697",\
"0.3874, 0.3833, 0.3778, 0.3742, 0.3725, 0.3716, 0.371, 0.3706, 0.3704, 0.3701",\
"0.3978, 0.3933, 0.3867, 0.3826, 0.3804, 0.3793, 0.3785, 0.3781, 0.3778, 0.3776",\
"0.428, 0.4223, 0.4129, 0.406, 0.4023, 0.4001, 0.399, 0.3981, 0.3976, 0.3972",\
"0.4727, 0.4658, 0.4532, 0.4437, 0.4378, 0.4343, 0.4321, 0.4308, 0.4299, 0.4293",\
"0.5328, 0.5245, 0.5085, 0.4958, 0.4876, 0.4823, 0.4789, 0.4768, 0.4754, 0.4743",\
"0.6061, 0.5967, 0.5769, 0.5612, 0.55, 0.5428, 0.5379, 0.5348, 0.5325, 0.5308",\
"0.691, 0.68, 0.6572, 0.6375, 0.6237, 0.6138, 0.6073, 0.6032, 0.6001, 0.5976",\
"0.787, 0.7751, 0.7486, 0.7253, 0.7083, 0.6963, 0.6874, 0.6814, 0.6773, 0.6745");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "CLK&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.6111, 0.6067, 0.6006, 0.5971, 0.5952, 0.5939, 0.5932, 0.5927, 0.5924, 0.5922",\
"0.612, 0.6076, 0.6016, 0.5981, 0.5961, 0.5949, 0.5943, 0.5938, 0.5935, 0.5932",\
"0.6118, 0.6075, 0.6012, 0.5978, 0.5958, 0.5946, 0.5941, 0.5936, 0.5933, 0.5931",\
"0.626, 0.6212, 0.6143, 0.6101, 0.6076, 0.6062, 0.6055, 0.605, 0.6047, 0.6045",\
"0.6714, 0.6654, 0.6554, 0.6485, 0.6445, 0.6421, 0.6407, 0.6398, 0.6392, 0.6388",\
"0.744, 0.7367, 0.7234, 0.7136, 0.7075, 0.7037, 0.7012, 0.7, 0.6988, 0.6982",\
"0.8462, 0.8376, 0.8209, 0.8077, 0.7992, 0.7936, 0.7901, 0.7877, 0.7861, 0.785",\
"0.9735, 0.9634, 0.9434, 0.9268, 0.9153, 0.9077, 0.9025, 0.8989, 0.8966, 0.895",\
"1.122, 1.111, 1.087, 1.068, 1.053, 1.043, 1.036, 1.031, 1.028, 1.026",\
"1.29, 1.278, 1.252, 1.229, 1.211, 1.199, 1.189, 1.183, 1.179, 1.176");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.6111, 0.6067, 0.6006, 0.5971, 0.5952, 0.5939, 0.5932, 0.5927, 0.5924, 0.5922",\
"0.612, 0.6076, 0.6016, 0.5981, 0.5961, 0.5949, 0.5943, 0.5938, 0.5935, 0.5932",\
"0.6118, 0.6075, 0.6012, 0.5978, 0.5958, 0.5946, 0.5941, 0.5936, 0.5933, 0.5931",\
"0.626, 0.6212, 0.6143, 0.6101, 0.6076, 0.6062, 0.6055, 0.605, 0.6047, 0.6045",\
"0.6714, 0.6654, 0.6554, 0.6485, 0.6445, 0.6421, 0.6407, 0.6398, 0.6392, 0.6388",\
"0.744, 0.7367, 0.7234, 0.7136, 0.7075, 0.7037, 0.7012, 0.7, 0.6988, 0.6982",\
"0.8462, 0.8376, 0.8209, 0.8077, 0.7992, 0.7936, 0.7901, 0.7877, 0.7861, 0.785",\
"0.9735, 0.9634, 0.9434, 0.9268, 0.9153, 0.9077, 0.9025, 0.8989, 0.8966, 0.895",\
"1.122, 1.111, 1.087, 1.068, 1.053, 1.043, 1.036, 1.031, 1.028, 1.026",\
"1.29, 1.278, 1.252, 1.229, 1.211, 1.199, 1.189, 1.183, 1.179, 1.176");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "CLK&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.6112, 0.6068, 0.6007, 0.5973, 0.5954, 0.5941, 0.5933, 0.5928, 0.5925, 0.5923",\
"0.612, 0.6076, 0.6016, 0.5981, 0.5961, 0.5949, 0.5943, 0.5938, 0.5935, 0.5932",\
"0.6118, 0.6075, 0.6012, 0.5978, 0.5958, 0.5946, 0.594, 0.5935, 0.5932, 0.5931",\
"0.626, 0.6211, 0.6143, 0.6101, 0.6075, 0.6062, 0.6055, 0.605, 0.6047, 0.6044",\
"0.6714, 0.6654, 0.6554, 0.6485, 0.6444, 0.6421, 0.6407, 0.6398, 0.6392, 0.6388",\
"0.744, 0.7368, 0.7235, 0.7136, 0.7075, 0.7036, 0.7013, 0.6999, 0.699, 0.6982",\
"0.8463, 0.8376, 0.8211, 0.8078, 0.7991, 0.7937, 0.7901, 0.7877, 0.786, 0.785",\
"0.9733, 0.9635, 0.9431, 0.9269, 0.9154, 0.9076, 0.9025, 0.8991, 0.8967, 0.8949",\
"1.122, 1.111, 1.087, 1.068, 1.053, 1.043, 1.036, 1.031, 1.027, 1.025",\
"1.29, 1.278, 1.252, 1.229, 1.211, 1.198, 1.189, 1.183, 1.179, 1.176");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.6112, 0.6068, 0.6007, 0.5973, 0.5954, 0.5941, 0.5933, 0.5928, 0.5925, 0.5923",\
"0.612, 0.6076, 0.6016, 0.5981, 0.5961, 0.5949, 0.5943, 0.5938, 0.5935, 0.5932",\
"0.6118, 0.6075, 0.6012, 0.5978, 0.5958, 0.5946, 0.594, 0.5935, 0.5932, 0.5931",\
"0.626, 0.6211, 0.6143, 0.6101, 0.6075, 0.6062, 0.6055, 0.605, 0.6047, 0.6044",\
"0.6714, 0.6654, 0.6554, 0.6485, 0.6444, 0.6421, 0.6407, 0.6398, 0.6392, 0.6388",\
"0.744, 0.7368, 0.7235, 0.7136, 0.7075, 0.7036, 0.7013, 0.6999, 0.699, 0.6982",\
"0.8463, 0.8376, 0.8211, 0.8078, 0.7991, 0.7937, 0.7901, 0.7877, 0.786, 0.785",\
"0.9733, 0.9635, 0.9431, 0.9269, 0.9154, 0.9076, 0.9025, 0.8991, 0.8967, 0.8949",\
"1.122, 1.111, 1.087, 1.068, 1.053, 1.043, 1.036, 1.031, 1.027, 1.025",\
"1.29, 1.278, 1.252, 1.229, 1.211, 1.198, 1.189, 1.183, 1.179, 1.176");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.7069, 0.732, 0.8019, 0.9056, 1.047, 1.237, 1.485, 1.797, 2.178, 2.633",\
"0.7145, 0.7395, 0.8094, 0.9133, 1.054, 1.244, 1.492, 1.804, 2.186, 2.64",\
"0.7435, 0.7686, 0.8386, 0.9424, 1.084, 1.273, 1.521, 1.834, 2.215, 2.669",\
"0.7965, 0.8215, 0.8913, 0.9952, 1.136, 1.326, 1.574, 1.886, 2.268, 2.722",\
"0.849, 0.874, 0.9439, 1.048, 1.189, 1.379, 1.627, 1.939, 2.32, 2.775",\
"0.8957, 0.9208, 0.9906, 1.094, 1.236, 1.425, 1.673, 1.986, 2.367, 2.822",\
"0.9355, 0.9605, 1.03, 1.134, 1.276, 1.466, 1.714, 2.026, 2.407, 2.862",\
"0.966, 0.9912, 1.061, 1.165, 1.306, 1.496, 1.744, 2.056, 2.437, 2.892",\
"0.9854, 1.011, 1.08, 1.184, 1.325, 1.515, 1.763, 2.075, 2.457, 2.911",\
"0.9914, 1.016, 1.086, 1.19, 1.331, 1.521, 1.769, 2.081, 2.463, 2.917");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.7019, 0.7298, 0.8143, 0.9572, 1.173, 1.475, 1.872, 2.373, 2.985, 3.713",\
"0.7095, 0.7373, 0.8218, 0.9647, 1.18, 1.482, 1.879, 2.38, 2.992, 3.721",\
"0.7382, 0.7662, 0.8506, 0.9936, 1.209, 1.511, 1.908, 2.409, 3.021, 3.75",\
"0.7909, 0.8188, 0.9033, 1.046, 1.262, 1.564, 1.961, 2.462, 3.073, 3.802",\
"0.8445, 0.8723, 0.9567, 1.1, 1.315, 1.617, 2.015, 2.515, 3.127, 3.854",\
"0.8927, 0.9205, 1.005, 1.148, 1.363, 1.665, 2.063, 2.564, 3.176, 3.903",\
"0.9344, 0.9622, 1.047, 1.19, 1.405, 1.707, 2.104, 2.605, 3.217, 3.945",\
"0.9674, 0.9954, 1.08, 1.223, 1.438, 1.74, 2.137, 2.638, 3.249, 3.979",\
"0.9899, 1.018, 1.102, 1.245, 1.461, 1.762, 2.16, 2.66, 3.271, 3.999",\
"1, 1.028, 1.112, 1.255, 1.471, 1.773, 2.17, 2.671, 3.281, 4.01");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.09096, 0.1163, 0.1916, 0.3132, 0.5126, 0.8092, 1.212, 1.721, 2.342, 3.089",\
"0.0913, 0.1166, 0.1916, 0.3131, 0.513, 0.8096, 1.211, 1.72, 2.345, 3.087",\
"0.0914, 0.1167, 0.1916, 0.3136, 0.5126, 0.8094, 1.211, 1.721, 2.344, 3.086",\
"0.0914, 0.1166, 0.1916, 0.3138, 0.5124, 0.8094, 1.211, 1.72, 2.343, 3.088",\
"0.0912, 0.1166, 0.1916, 0.313, 0.5122, 0.8092, 1.211, 1.72, 2.344, 3.085",\
"0.091, 0.1164, 0.1914, 0.3134, 0.5124, 0.8094, 1.211, 1.721, 2.341, 3.089",\
"0.0908, 0.1164, 0.1916, 0.3132, 0.5126, 0.8096, 1.211, 1.721, 2.341, 3.087",\
"0.091, 0.1164, 0.1916, 0.3138, 0.5126, 0.8094, 1.211, 1.719, 2.342, 3.084",\
"0.0908, 0.1162, 0.1914, 0.314, 0.5124, 0.8096, 1.211, 1.719, 2.341, 3.082",\
"0.0912, 0.1164, 0.1916, 0.3138, 0.5122, 0.8098, 1.212, 1.72, 2.342, 3.083");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.1, 0.136, 0.2544, 0.4747, 0.8365, 1.357, 2.039, 2.903, 3.958, 5.207",\
"0.1003, 0.1358, 0.2543, 0.4747, 0.8366, 1.357, 2.04, 2.901, 3.956, 5.208",\
"0.1006, 0.1358, 0.2542, 0.4748, 0.8368, 1.354, 2.04, 2.901, 3.957, 5.208",\
"0.1006, 0.1358, 0.2544, 0.4748, 0.8368, 1.357, 2.04, 2.899, 3.953, 5.214",\
"0.1006, 0.1358, 0.2542, 0.4746, 0.8376, 1.357, 2.037, 2.905, 3.96, 5.204",\
"0.0998, 0.1358, 0.2544, 0.4748, 0.8368, 1.355, 2.039, 2.905, 3.96, 5.204",\
"0.1002, 0.1358, 0.2542, 0.475, 0.8366, 1.355, 2.038, 2.905, 3.953, 5.208",\
"0.1002, 0.1358, 0.2542, 0.4752, 0.8368, 1.354, 2.036, 2.901, 3.95, 5.212",\
"0.1004, 0.1356, 0.2544, 0.4744, 0.8364, 1.355, 2.036, 2.897, 3.955, 5.211",\
"0.1006, 0.136, 0.2542, 0.4746, 0.8376, 1.357, 2.038, 2.899, 3.948, 5.201");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b0 && D===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "!CLK&!D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.2869, 0.3128, 0.383, 0.4878, 0.6283, 0.8168, 1.064, 1.376, 1.757, 2.212",\
"0.2957, 0.3218, 0.3919, 0.4967, 0.6372, 0.8257, 1.073, 1.385, 1.766, 2.22",\
"0.3329, 0.3588, 0.4288, 0.5336, 0.674, 0.8626, 1.11, 1.422, 1.803, 2.257",\
"0.4113, 0.4369, 0.5066, 0.6111, 0.7514, 0.9398, 1.187, 1.499, 1.88, 2.335",\
"0.5224, 0.55, 0.6216, 0.7271, 0.8669, 1.055, 1.302, 1.614, 1.995, 2.449",\
"0.652, 0.6813, 0.7572, 0.866, 1.006, 1.193, 1.44, 1.751, 2.132, 2.586",\
"0.8021, 0.8337, 0.9135, 1.025, 1.166, 1.352, 1.598, 1.909, 2.289, 2.744",\
"0.9717, 1.005, 1.09, 1.205, 1.345, 1.531, 1.776, 2.086, 2.466, 2.92",\
"1.16, 1.197, 1.286, 1.405, 1.545, 1.729, 1.974, 2.283, 2.663, 3.116",\
"1.37, 1.408, 1.503, 1.625, 1.765, 1.949, 2.191, 2.5, 2.879, 3.332");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.09656, 0.1211, 0.1936, 0.3147, 0.5087, 0.8044, 1.207, 1.718, 2.339, 3.081",\
"0.09656, 0.1208, 0.1936, 0.3148, 0.5088, 0.8047, 1.206, 1.717, 2.343, 3.08",\
"0.09668, 0.121, 0.1937, 0.3148, 0.5086, 0.8042, 1.208, 1.716, 2.342, 3.086",\
"0.09756, 0.1219, 0.1942, 0.3151, 0.5089, 0.8042, 1.207, 1.718, 2.34, 3.082",\
"0.1108, 0.1342, 0.204, 0.3196, 0.5096, 0.8044, 1.208, 1.716, 2.342, 3.082",\
"0.125, 0.1508, 0.2192, 0.3308, 0.5134, 0.8052, 1.208, 1.718, 2.339, 3.083",\
"0.1408, 0.1668, 0.2354, 0.3414, 0.5172, 0.8062, 1.207, 1.718, 2.339, 3.082",\
"0.1594, 0.187, 0.2542, 0.3532, 0.5206, 0.8078, 1.208, 1.717, 2.338, 3.082",\
"0.1762, 0.205, 0.2754, 0.366, 0.525, 0.8082, 1.208, 1.717, 2.339, 3.08",\
"0.1948, 0.2256, 0.2974, 0.3808, 0.5292, 0.8086, 1.208, 1.717, 2.339, 3.08");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b0 && D===1'b1" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "!CLK&D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.287, 0.3129, 0.383, 0.4878, 0.6283, 0.8168, 1.064, 1.376, 1.757, 2.212",\
"0.2958, 0.3218, 0.3919, 0.4967, 0.6371, 0.8257, 1.073, 1.385, 1.766, 2.221",\
"0.3329, 0.3587, 0.4288, 0.5336, 0.674, 0.8626, 1.11, 1.422, 1.803, 2.257",\
"0.4112, 0.4369, 0.5065, 0.611, 0.7513, 0.9397, 1.187, 1.499, 1.88, 2.335",\
"0.5226, 0.5499, 0.6217, 0.7272, 0.867, 1.055, 1.302, 1.614, 1.994, 2.449",\
"0.6519, 0.6814, 0.7573, 0.8661, 1.006, 1.194, 1.44, 1.751, 2.132, 2.586",\
"0.8022, 0.8334, 0.9132, 1.025, 1.165, 1.352, 1.598, 1.909, 2.289, 2.743",\
"0.9714, 1.005, 1.09, 1.205, 1.345, 1.531, 1.776, 2.086, 2.466, 2.92",\
"1.16, 1.197, 1.286, 1.405, 1.545, 1.729, 1.973, 2.283, 2.663, 3.116",\
"1.37, 1.408, 1.503, 1.625, 1.766, 1.948, 2.192, 2.501, 2.879, 3.332");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.0967, 0.121, 0.1935, 0.3148, 0.5088, 0.8047, 1.206, 1.716, 2.343, 3.08",\
"0.09656, 0.1211, 0.1935, 0.3147, 0.5086, 0.8042, 1.208, 1.716, 2.342, 3.086",\
"0.09676, 0.1207, 0.1937, 0.3147, 0.5087, 0.8046, 1.206, 1.716, 2.342, 3.079",\
"0.09768, 0.1219, 0.1945, 0.3152, 0.5088, 0.8048, 1.207, 1.718, 2.34, 3.083",\
"0.111, 0.1343, 0.204, 0.3196, 0.5098, 0.805, 1.208, 1.718, 2.339, 3.082",\
"0.1256, 0.1504, 0.219, 0.3306, 0.5134, 0.8056, 1.208, 1.718, 2.339, 3.08",\
"0.141, 0.1674, 0.2354, 0.3414, 0.5174, 0.8064, 1.207, 1.717, 2.341, 3.08",\
"0.159, 0.1866, 0.2542, 0.3532, 0.5208, 0.8076, 1.207, 1.717, 2.338, 3.084",\
"0.1766, 0.2056, 0.2748, 0.366, 0.5252, 0.808, 1.208, 1.717, 2.339, 3.08",\
"0.195, 0.225, 0.2976, 0.3802, 0.5294, 0.809, 1.208, 1.717, 2.339, 3.08");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b1 && D===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "CLK&!D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.2872, 0.3136, 0.386, 0.4915, 0.6339, 0.8257, 1.074, 1.385, 1.766, 2.221",\
"0.2962, 0.3226, 0.3949, 0.5004, 0.6428, 0.8346, 1.082, 1.394, 1.775, 2.229",\
"0.3331, 0.3595, 0.4318, 0.5373, 0.6797, 0.8714, 1.119, 1.431, 1.812, 2.266",\
"0.4115, 0.4377, 0.5096, 0.6149, 0.7573, 0.9483, 1.196, 1.508, 1.889, 2.343",\
"0.5231, 0.5512, 0.6255, 0.7319, 0.8739, 1.064, 1.311, 1.622, 2.003, 2.457",\
"0.6531, 0.6832, 0.7619, 0.8723, 1.015, 1.203, 1.45, 1.761, 2.142, 2.596",\
"0.8037, 0.8363, 0.9196, 1.034, 1.176, 1.364, 1.61, 1.921, 2.301, 2.755",\
"0.9743, 1.009, 1.098, 1.215, 1.358, 1.544, 1.789, 2.1, 2.48, 2.933",\
"1.164, 1.201, 1.296, 1.417, 1.56, 1.745, 1.989, 2.298, 2.678, 3.131",\
"1.374, 1.414, 1.514, 1.639, 1.781, 1.965, 2.208, 2.517, 2.896, 3.349");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.09736, 0.1234, 0.1976, 0.3177, 0.518, 0.8132, 1.209, 1.716, 2.342, 3.084",\
"0.09706, 0.1234, 0.1976, 0.3175, 0.518, 0.8131, 1.209, 1.716, 2.338, 3.083",\
"0.09734, 0.1235, 0.1976, 0.3178, 0.518, 0.8126, 1.209, 1.717, 2.338, 3.08",\
"0.09858, 0.1247, 0.1986, 0.3184, 0.5176, 0.8112, 1.209, 1.716, 2.338, 3.08",\
"0.1127, 0.1379, 0.2086, 0.324, 0.5174, 0.8094, 1.208, 1.716, 2.338, 3.084",\
"0.1272, 0.1546, 0.2246, 0.3368, 0.5208, 0.8086, 1.207, 1.716, 2.339, 3.085",\
"0.144, 0.1724, 0.2424, 0.349, 0.5244, 0.809, 1.207, 1.716, 2.339, 3.084",\
"0.1618, 0.193, 0.2632, 0.3614, 0.5274, 0.8094, 1.209, 1.717, 2.338, 3.082",\
"0.181, 0.213, 0.286, 0.3748, 0.5304, 0.8096, 1.208, 1.717, 2.339, 3.08",\
"0.1994, 0.234, 0.3088, 0.389, 0.5344, 0.8104, 1.208, 1.717, 2.339, 3.08");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b1 && D===1'b1" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "CLK&D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.2872, 0.3136, 0.386, 0.4915, 0.6339, 0.8257, 1.074, 1.385, 1.766, 2.221",\
"0.2962, 0.3226, 0.3949, 0.5004, 0.6428, 0.8346, 1.082, 1.394, 1.775, 2.23",\
"0.3332, 0.3595, 0.4318, 0.5373, 0.6797, 0.8714, 1.119, 1.431, 1.812, 2.266",\
"0.4115, 0.4377, 0.5096, 0.6149, 0.7573, 0.9483, 1.196, 1.508, 1.889, 2.343",\
"0.5231, 0.5512, 0.6255, 0.7319, 0.8739, 1.064, 1.311, 1.622, 2.003, 2.457",\
"0.6529, 0.6832, 0.7619, 0.8723, 1.015, 1.204, 1.45, 1.761, 2.142, 2.596",\
"0.8037, 0.8362, 0.9197, 1.033, 1.176, 1.364, 1.61, 1.92, 2.301, 2.755",\
"0.9745, 1.009, 1.098, 1.215, 1.358, 1.544, 1.79, 2.1, 2.48, 2.933",\
"1.164, 1.201, 1.295, 1.417, 1.559, 1.745, 1.989, 2.298, 2.678, 3.131",\
"1.375, 1.414, 1.514, 1.639, 1.781, 1.965, 2.208, 2.517, 2.896, 3.349");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.0974, 0.1234, 0.1976, 0.3177, 0.518, 0.8132, 1.209, 1.717, 2.338, 3.08",\
"0.09706, 0.1234, 0.1976, 0.3175, 0.518, 0.8131, 1.209, 1.716, 2.338, 3.08",\
"0.09734, 0.1235, 0.1976, 0.3178, 0.518, 0.8126, 1.209, 1.717, 2.338, 3.08",\
"0.09856, 0.1247, 0.1986, 0.3184, 0.5176, 0.8112, 1.209, 1.716, 2.338, 3.08",\
"0.1127, 0.1379, 0.2086, 0.324, 0.5174, 0.809, 1.207, 1.716, 2.339, 3.084",\
"0.1278, 0.1546, 0.225, 0.3366, 0.5208, 0.8084, 1.207, 1.716, 2.339, 3.084",\
"0.1438, 0.1726, 0.2424, 0.349, 0.524, 0.809, 1.207, 1.716, 2.34, 3.085",\
"0.1618, 0.1928, 0.2632, 0.3614, 0.5272, 0.81, 1.208, 1.717, 2.339, 3.08",\
"0.1806, 0.2132, 0.2854, 0.3748, 0.5306, 0.8098, 1.208, 1.718, 2.339, 3.081",\
"0.1998, 0.2334, 0.3092, 0.3892, 0.5344, 0.8104, 1.209, 1.717, 2.339, 3.081");
}
}
timing() {
related_pin : "RN" ;
timing_sense : positive_unate ;
timing_type : clear ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.2872, 0.3136, 0.386, 0.4915, 0.6339, 0.8257, 1.074, 1.385, 1.766, 2.221",\
"0.2962, 0.3226, 0.3949, 0.5004, 0.6428, 0.8346, 1.082, 1.394, 1.775, 2.23",\
"0.3332, 0.3595, 0.4318, 0.5373, 0.6797, 0.8714, 1.119, 1.431, 1.812, 2.266",\
"0.4115, 0.4377, 0.5096, 0.6149, 0.7573, 0.9483, 1.196, 1.508, 1.889, 2.343",\
"0.5231, 0.5512, 0.6255, 0.7319, 0.8739, 1.064, 1.311, 1.622, 2.003, 2.457",\
"0.6529, 0.6832, 0.7619, 0.8723, 1.015, 1.204, 1.45, 1.761, 2.142, 2.596",\
"0.8037, 0.8362, 0.9197, 1.033, 1.176, 1.364, 1.61, 1.92, 2.301, 2.755",\
"0.9745, 1.009, 1.098, 1.215, 1.358, 1.544, 1.79, 2.1, 2.48, 2.933",\
"1.164, 1.201, 1.295, 1.417, 1.559, 1.745, 1.989, 2.298, 2.678, 3.131",\
"1.375, 1.414, 1.514, 1.639, 1.781, 1.965, 2.208, 2.517, 2.896, 3.349");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003797, 0.01362, 0.03236, 0.06157, 0.1026, 0.1565, 0.2244, 0.3074, 0.4062");
values("0.09656, 0.1211, 0.1936, 0.3147, 0.5087, 0.8044, 1.207, 1.718, 2.339, 3.081",\
"0.09656, 0.1208, 0.1936, 0.3148, 0.5088, 0.8047, 1.206, 1.717, 2.343, 3.08",\
"0.09668, 0.121, 0.1937, 0.3148, 0.5086, 0.8042, 1.208, 1.716, 2.342, 3.086",\
"0.09756, 0.1219, 0.1942, 0.3151, 0.5089, 0.8042, 1.207, 1.718, 2.34, 3.082",\
"0.1108, 0.1342, 0.204, 0.3196, 0.5096, 0.8044, 1.208, 1.716, 2.342, 3.082",\
"0.125, 0.1508, 0.2192, 0.3308, 0.5134, 0.8052, 1.208, 1.718, 2.339, 3.083",\
"0.1408, 0.1668, 0.2354, 0.3414, 0.5172, 0.8062, 1.207, 1.718, 2.339, 3.082",\
"0.1594, 0.187, 0.2542, 0.3532, 0.5206, 0.8078, 1.208, 1.717, 2.338, 3.082",\
"0.1762, 0.205, 0.2754, 0.366, 0.525, 0.8082, 1.208, 1.717, 2.339, 3.08",\
"0.1948, 0.2256, 0.2974, 0.3808, 0.5292, 0.8086, 1.208, 1.717, 2.339, 3.08");
}
}
}
pin(RN) {
capacitance : 0.008436 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
min_pulse_width_low : 0.459 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.05204, 0.05023, 0.04985, 0.04977, 0.04973, 0.04994, 0.04991, \
0.04996, 0.04993, 0.0499");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.04992, -0.04985, -0.0497, -0.04965, -0.04967, -0.04964, -0.04978, \
-0.04981, -0.04982, -0.04985");
}
}
internal_power() {
when : "!CLK&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.05099, 0.0485, 0.04752, 0.04726, 0.04722, 0.04711, 0.04712, \
0.04711, 0.04703, 0.04705");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.04646, -0.04701, -0.04782, -0.04837, -0.04876, -0.04883, -0.04907, \
-0.04921, -0.04922, -0.04927");
}
}
internal_power() {
when : "CLK&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.05357, 0.05032, 0.04986, 0.04978, 0.04981, 0.04987, 0.04991, \
0.04992, 0.04995, 0.04991");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.04992, -0.04987, -0.04975, -0.04968, -0.0497, -0.04965, -0.04978, \
-0.04983, -0.04978, -0.04983");
}
}
internal_power() {
when : "CLK&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.05341, 0.05029, 0.04988, 0.04978, 0.04985, 0.0499, 0.04989, \
0.04996, 0.04995, 0.04994");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.04992, -0.04987, -0.04975, -0.04968, -0.0497, -0.04962, -0.04979, \
-0.04982, -0.04978, -0.04982");
}
}
timing() {
related_pin : "CLK" ;
timing_type : recovery_rising ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.275, -0.282, -0.31, -0.367, -0.419, -0.461, -0.501, -0.524, -0.543, -0.535",\
"-0.274, -0.282, -0.314, -0.363, -0.415, -0.462, -0.507, -0.535, -0.536, -0.536",\
"-0.276, -0.289, -0.318, -0.372, -0.423, -0.467, -0.512, -0.535, -0.552, -0.543",\
"-0.249, -0.256, -0.295, -0.343, -0.391, -0.446, -0.482, -0.513, -0.527, -0.527",\
"-0.123, -0.134, -0.165, -0.22, -0.27, -0.331, -0.376, -0.403, -0.419, -0.412",\
"0.093, 0.078, 0.045, -0.006, -0.065, -0.129, -0.177, -0.212, -0.228, -0.225",\
"0.389, 0.377, 0.351, 0.287, 0.211, 0.136, 0.076, 0.045, 0.032, 0.043",\
"0.769, 0.746, 0.722, 0.655, 0.579, 0.484, 0.433, 0.402, 0.364, 0.363",\
"1.256, 1.235, 1.184, 1.118, 1.044, 0.914, 0.842, 0.815, 0.792, 0.79",\
"1.801, 1.766, 1.747, 1.658, 1.563, 1.456, 1.341, 1.319, 1.271, 1.281");
}
}
timing() {
related_pin : "CLK" ;
timing_type : removal_rising ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.447, 0.455, 0.485, 0.539, 0.591, 0.641, 0.684, 0.724, 0.752, 0.766",\
"0.447, 0.453, 0.483, 0.537, 0.593, 0.646, 0.688, 0.723, 0.756, 0.763",\
"0.46, 0.468, 0.494, 0.55, 0.602, 0.657, 0.702, 0.738, 0.762, 0.774",\
"0.493, 0.506, 0.53, 0.586, 0.64, 0.689, 0.735, 0.772, 0.793, 0.809",\
"0.563, 0.568, 0.595, 0.649, 0.703, 0.754, 0.8, 0.837, 0.858, 0.873",\
"0.659, 0.668, 0.691, 0.747, 0.794, 0.843, 0.893, 0.923, 0.946, 0.963",\
"0.772, 0.781, 0.811, 0.863, 0.918, 0.967, 1.009, 1.042, 1.068, 1.087",\
"0.914, 0.919, 0.945, 0.998, 1.054, 1.1, 1.143, 1.178, 1.213, 1.221",\
"1.069, 1.081, 1.102, 1.152, 1.209, 1.254, 1.298, 1.342, 1.374, 1.386",\
"1.246, 1.256, 1.283, 1.328, 1.386, 1.429, 1.479, 1.516, 1.544, 1.562");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_NOT_CLK_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLK&!D" ;
fall_constraint(scalar) {
values("0.408");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_NOT_CLK_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLK&D" ;
fall_constraint(scalar) {
values("0.408");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_CLK_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLK&!D" ;
fall_constraint(scalar) {
values("0.459");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_CLK_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLK&D" ;
fall_constraint(scalar) {
values("0.459");
}
}
}
}