blob: 8ecb420be81280954b7d895a1c37f5a872b8dee1 [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffrnq_1) {
area : 90.316800 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "D" ;
clear : "(!RN)" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!RN" ;
value : "0.000146667" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&RN" ;
value : "0.000146667" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!RN" ;
value : "0.000146667" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&RN" ;
value : "0.000145701" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!RN" ;
value : "0.00015849" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&RN" ;
value : "0.000185001" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!RN" ;
value : "0.0001593" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&RN" ;
value : "0.000257595" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.000257595" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.004728 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
min_period : 1.621 ;
min_pulse_width_high : 0.682 ;
min_pulse_width_low : 0.73 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1361, 0.1351, 0.1341, 0.1356, 0.1403, 0.148, 0.1592, 0.1742, \
0.193, 0.2162");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1119, 0.1114, 0.1104, 0.1113, 0.1147, 0.1214, 0.1314, 0.145, \
0.1623, 0.1835");
}
}
internal_power() {
when : "!D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1361, 0.1351, 0.134, 0.1355, 0.1402, 0.1481, 0.1591, 0.1741, \
0.193, 0.2161");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1119, 0.1114, 0.1104, 0.1113, 0.1146, 0.1213, 0.1314, 0.145, \
0.1623, 0.1836");
}
}
internal_power() {
when : "D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.2038, 0.2029, 0.2017, 0.2031, 0.2081, 0.2164, 0.2283, 0.2437, \
0.2637, 0.2881");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.2209, 0.2204, 0.219, 0.2197, 0.2235, 0.2309, 0.242, 0.2571, \
0.276, 0.2992");
}
}
internal_power() {
when : "D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1366, 0.1357, 0.1347, 0.1362, 0.1408, 0.1487, 0.1598, 0.1747, \
0.1937, 0.2168");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.109, 0.1085, 0.1075, 0.1084, 0.1118, 0.1184, 0.1285, 0.1421, \
0.1594, 0.1807");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&RN" ;
fall_constraint(scalar) {
values("0.67");
}
rise_constraint(scalar) {
values("0.682");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&RN" ;
fall_constraint(scalar) {
values("0.73");
}
rise_constraint(scalar) {
values("0.675");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_RN === 1'b1" ;
timing_type : minimum_period ;
when : "!D&RN" ;
rise_constraint(scalar) {
values("1.491");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_RN === 1'b1" ;
timing_type : minimum_period ;
when : "D&RN" ;
rise_constraint(scalar) {
values("1.621");
}
}
}
pin(D) {
capacitance : 0.003901 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1114, 0.1107, 0.1102, 0.1118, 0.1158, 0.1224, 0.1314, 0.1435, \
0.1587, 0.1775");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.07415, 0.07364, 0.07335, 0.07435, 0.07706, 0.08215, 0.08982, \
0.1002, 0.1136, 0.13");
}
}
internal_power() {
when : "!CLK&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.107, 0.1061, 0.1057, 0.1073, 0.1113, 0.1178, 0.1269, 0.1389, \
0.1542, 0.173");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.07792, 0.07738, 0.07712, 0.0781, 0.08083, 0.0859, 0.09348, 0.1039, \
0.1173, 0.1337");
}
}
internal_power() {
when : "CLK&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.03169, 0.03033, 0.03046, 0.03291, 0.03751, 0.04454, 0.05421, \
0.0668, 0.08237, 0.1011");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.003234, 0.00246, 0.002035, 0.003628, 0.007423, 0.01367, 0.02231, \
0.03359, 0.04763, 0.06459");
}
}
internal_power() {
when : "CLK&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.03168, 0.03033, 0.03046, 0.03291, 0.03754, 0.04452, 0.05427, \
0.06678, 0.08235, 0.1012");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.003301, 0.002464, 0.002018, 0.003627, 0.007466, 0.01364, 0.02231, \
0.03358, 0.04762, 0.06456");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : hold_rising ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.069, 0.095, 0.174, 0.285, 0.384, 0.449, 0.5, 0.519, 0.515, 0.489",\
"0.048, 0.069, 0.15, 0.26, 0.357, 0.427, 0.478, 0.498, 0.495, 0.468",\
"-0.039, -0.02, 0.056, 0.17, 0.267, 0.337, 0.388, 0.418, 0.411, 0.383",\
"-0.175, -0.154, -0.077, 0.029, 0.126, 0.207, 0.252, 0.279, 0.28, 0.252",\
"-0.32, -0.3, -0.235, -0.133, -0.043, 0.034, 0.095, 0.122, 0.127, 0.1",\
"-0.485, -0.463, -0.402, -0.318, -0.236, -0.159, -0.102, -0.068, -0.062, -0.083",\
"-0.668, -0.647, -0.586, -0.521, -0.455, -0.384, -0.328, -0.3, -0.286, -0.298",\
"-0.875, -0.858, -0.793, -0.743, -0.695, -0.644, -0.59, -0.562, -0.546, -0.562",\
"-1.12, -1.1, -1.041, -0.99, -0.953, -0.921, -0.877, -0.862, -0.842, -0.854",\
"-1.397, -1.376, -1.326, -1.279, -1.249, -1.206, -1.181, -1.18, -1.167, -1.175");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.143, -0.12, -0.071, -0.022, 0.014, 0.019, 0.01, -0.02, -0.086, -0.17",\
"-0.16, -0.138, -0.089, -0.044, -0.009, 0.001, -0.01, -0.047, -0.106, -0.189",\
"-0.235, -0.219, -0.16, -0.115, -0.082, -0.068, -0.081, -0.11, -0.17, -0.255",\
"-0.329, -0.306, -0.248, -0.2, -0.167, -0.156, -0.164, -0.194, -0.255, -0.342",\
"-0.403, -0.377, -0.322, -0.267, -0.231, -0.224, -0.229, -0.259, -0.325, -0.409",\
"-0.449, -0.426, -0.369, -0.309, -0.281, -0.27, -0.275, -0.307, -0.366, -0.451",\
"-0.479, -0.456, -0.394, -0.342, -0.308, -0.289, -0.297, -0.331, -0.393, -0.474",\
"-0.473, -0.452, -0.394, -0.33, -0.304, -0.291, -0.293, -0.326, -0.389, -0.479",\
"-0.438, -0.412, -0.353, -0.297, -0.268, -0.257, -0.265, -0.293, -0.358, -0.445",\
"-0.361, -0.339, -0.279, -0.23, -0.2, -0.185, -0.192, -0.234, -0.296, -0.385");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : setup_rising ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.344, 0.321, 0.249, 0.148, 0.069, 0.003, -0.038, -0.048, 0.701, 0.992",\
"0.368, 0.345, 0.274, 0.174, 0.095, 0.029, -0.012, -0.022, 0.717, 0.996",\
"0.462, 0.445, 0.375, 0.272, 0.19, 0.125, 0.086, 0.078, 0.816, 1.108",\
"0.647, 0.628, 0.554, 0.458, 0.373, 0.305, 0.266, 0.254, 0.932, 1.24",\
"0.885, 0.867, 0.79, 0.686, 0.598, 0.531, 0.492, 0.478, 0.545, 1.38",\
"1.148, 1.128, 1.049, 0.952, 0.857, 0.796, 0.751, 0.725, 0.766, 1.565",\
"1.443, 1.425, 1.344, 1.244, 1.152, 1.086, 1.036, 1.018, 1.042, 1.749",\
"1.777, 1.756, 1.68, 1.577, 1.487, 1.421, 1.371, 1.347, 1.368, 1.426",\
"2.157, 2.13, 2.055, 1.949, 1.863, 1.801, 1.756, 1.727, 1.739, 1.789",\
"2.575, 2.548, 2.477, 2.372, 2.289, 2.228, 2.179, 2.153, 2.166, 2.205");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.469, 0.45, 0.359, 0.247, 0.18, 0.148, 0.146, 0.171, 0.224, 0.312",\
"0.497, 0.474, 0.377, 0.267, 0.201, 0.171, 0.168, 0.193, 0.246, 0.332",\
"0.572, 0.541, 0.46, 0.343, 0.272, 0.238, 0.237, 0.261, 0.314, 0.401",\
"0.669, 0.646, 0.561, 0.449, 0.373, 0.333, 0.33, 0.349, 0.402, 0.487",\
"0.764, 0.742, 0.654, 0.539, 0.461, 0.419, 0.411, 0.431, 0.483, 0.563",\
"0.842, 0.815, 0.734, 0.616, 0.532, 0.485, 0.474, 0.486, 0.542, 0.619",\
"0.893, 0.866, 0.782, 0.661, 0.579, 0.531, 0.51, 0.526, 0.576, 0.659",\
"0.92, 0.889, 0.809, 0.692, 0.599, 0.546, 0.533, 0.541, 0.59, 0.665",\
"0.915, 0.888, 0.801, 0.686, 0.592, 0.541, 0.517, 0.529, 0.57, 0.65",\
"0.873, 0.848, 0.764, 0.65, 0.555, 0.495, 0.469, 0.483, 0.524, 0.598");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.5641 ;
max_transition : 11.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
when : "RN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1438, 0.1439, 0.1444, 0.1451, 0.1456, 0.1459, 0.1461, 0.1462, 0.1462, 0.1463",\
"0.1439, 0.1438, 0.1443, 0.1451, 0.1456, 0.1458, 0.146, 0.1461, 0.1462, 0.1462",\
"0.1439, 0.1439, 0.1444, 0.1451, 0.1455, 0.1458, 0.146, 0.1461, 0.1462, 0.1462",\
"0.1444, 0.1443, 0.1448, 0.1455, 0.1461, 0.1463, 0.1465, 0.1466, 0.1466, 0.1467",\
"0.1454, 0.1453, 0.1459, 0.1467, 0.1471, 0.1474, 0.1475, 0.1476, 0.1477, 0.1477",\
"0.146, 0.1459, 0.1464, 0.1472, 0.1476, 0.1479, 0.1481, 0.1482, 0.1483, 0.1483",\
"0.1468, 0.1467, 0.1472, 0.1479, 0.1484, 0.1487, 0.1489, 0.1489, 0.149, 0.149",\
"0.1476, 0.1475, 0.1479, 0.1487, 0.1492, 0.1495, 0.1497, 0.1498, 0.1498, 0.1499",\
"0.1484, 0.1484, 0.1488, 0.1496, 0.1501, 0.1504, 0.1505, 0.1506, 0.1507, 0.1507",\
"0.1494, 0.1492, 0.1498, 0.1505, 0.151, 0.1513, 0.1514, 0.1515, 0.1516, 0.1516");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1469, 0.1466, 0.1468, 0.1476, 0.149, 0.1501, 0.151, 0.1519, 0.1544, 0.176",\
"0.1468, 0.1465, 0.1467, 0.1477, 0.149, 0.1502, 0.1511, 0.1522, 0.1547, 0.178",\
"0.1466, 0.1464, 0.1465, 0.1474, 0.1487, 0.1499, 0.1508, 0.1517, 0.1547, 0.1791",\
"0.1466, 0.1463, 0.1464, 0.1475, 0.1486, 0.15, 0.1508, 0.1517, 0.1549, 0.1833",\
"0.1467, 0.1464, 0.1465, 0.1474, 0.1488, 0.1499, 0.1509, 0.1523, 0.1554, 0.1886",\
"0.1469, 0.1467, 0.1468, 0.148, 0.1491, 0.1503, 0.1512, 0.1524, 0.1566, 0.1986",\
"0.1472, 0.1467, 0.1468, 0.1478, 0.1494, 0.1509, 0.1515, 0.153, 0.1584, 0.2147",\
"0.1476, 0.1472, 0.1474, 0.1484, 0.149, 0.1511, 0.1529, 0.1529, 0.1621, 0.2394",\
"0.1483, 0.148, 0.148, 0.149, 0.15, 0.1507, 0.1525, 0.1551, 0.17, 0.2846",\
"0.1487, 0.1485, 0.1486, 0.1493, 0.1506, 0.1509, 0.1519, 0.1561, 0.1878, 0.3687");
}
}
internal_power() {
related_pin : "RN" ;
when : "!CLK&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1481, 0.148, 0.1485, 0.1491, 0.1496, 0.1498, 0.15, 0.1501, 0.1501, 0.1501",\
"0.1481, 0.1479, 0.1485, 0.149, 0.1495, 0.1498, 0.1499, 0.15, 0.1501, 0.1501",\
"0.1472, 0.1471, 0.1475, 0.1481, 0.1486, 0.1489, 0.1491, 0.1492, 0.1493, 0.1493",\
"0.1481, 0.1477, 0.1477, 0.1482, 0.1487, 0.149, 0.1493, 0.1494, 0.1495, 0.1495",\
"0.153, 0.152, 0.1511, 0.151, 0.1513, 0.1516, 0.1518, 0.152, 0.1521, 0.1521",\
"0.1609, 0.1595, 0.1576, 0.1571, 0.157, 0.1571, 0.1573, 0.1574, 0.1575, 0.1575",\
"0.1718, 0.1697, 0.1671, 0.1658, 0.1654, 0.1653, 0.1654, 0.1655, 0.1656, 0.1656",\
"0.1857, 0.1829, 0.1795, 0.1776, 0.1768, 0.1766, 0.1765, 0.1765, 0.1765, 0.1766",\
"0.2025, 0.1993, 0.1949, 0.1924, 0.191, 0.1906, 0.1903, 0.1902, 0.1903, 0.1902",\
"0.2222, 0.2184, 0.2132, 0.2098, 0.2081, 0.2072, 0.2066, 0.2065, 0.2064, 0.2064");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1481, 0.148, 0.1485, 0.1491, 0.1496, 0.1498, 0.15, 0.1501, 0.1501, 0.1501",\
"0.1481, 0.1479, 0.1485, 0.149, 0.1495, 0.1498, 0.1499, 0.15, 0.1501, 0.1501",\
"0.1472, 0.1471, 0.1475, 0.1481, 0.1486, 0.1489, 0.1491, 0.1492, 0.1493, 0.1493",\
"0.1481, 0.1477, 0.1477, 0.1482, 0.1487, 0.149, 0.1493, 0.1494, 0.1495, 0.1495",\
"0.153, 0.152, 0.1511, 0.151, 0.1513, 0.1516, 0.1518, 0.152, 0.1521, 0.1521",\
"0.1609, 0.1595, 0.1576, 0.1571, 0.157, 0.1571, 0.1573, 0.1574, 0.1575, 0.1575",\
"0.1718, 0.1697, 0.1671, 0.1658, 0.1654, 0.1653, 0.1654, 0.1655, 0.1656, 0.1656",\
"0.1857, 0.1829, 0.1795, 0.1776, 0.1768, 0.1766, 0.1765, 0.1765, 0.1765, 0.1766",\
"0.2025, 0.1993, 0.1949, 0.1924, 0.191, 0.1906, 0.1903, 0.1902, 0.1903, 0.1902",\
"0.2222, 0.2184, 0.2132, 0.2098, 0.2081, 0.2072, 0.2066, 0.2065, 0.2064, 0.2064");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "!CLK&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1551, 0.1549, 0.1554, 0.156, 0.1565, 0.1568, 0.1569, 0.1571, 0.1571, 0.1571",\
"0.1551, 0.1549, 0.1554, 0.156, 0.1565, 0.1567, 0.1569, 0.157, 0.1571, 0.1571",\
"0.1542, 0.154, 0.1545, 0.1551, 0.1555, 0.1558, 0.1561, 0.1562, 0.1562, 0.1563",\
"0.155, 0.1547, 0.1547, 0.1551, 0.1556, 0.156, 0.1562, 0.1564, 0.1565, 0.1565",\
"0.16, 0.159, 0.1582, 0.158, 0.1583, 0.1586, 0.1588, 0.1589, 0.159, 0.1591",\
"0.168, 0.1663, 0.1646, 0.1641, 0.164, 0.1641, 0.1642, 0.1644, 0.1645, 0.1645",\
"0.1789, 0.1766, 0.1741, 0.1728, 0.1724, 0.1723, 0.1724, 0.1724, 0.1726, 0.1727",\
"0.1926, 0.1899, 0.1864, 0.1845, 0.1838, 0.1835, 0.1834, 0.1834, 0.1834, 0.1835",\
"0.2095, 0.2062, 0.2019, 0.1992, 0.198, 0.1974, 0.1973, 0.1971, 0.1971, 0.1971",\
"0.2292, 0.2254, 0.22, 0.2167, 0.215, 0.214, 0.2137, 0.2134, 0.2134, 0.2132");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1551, 0.1549, 0.1554, 0.156, 0.1565, 0.1568, 0.1569, 0.1571, 0.1571, 0.1571",\
"0.1551, 0.1549, 0.1554, 0.156, 0.1565, 0.1567, 0.1569, 0.157, 0.1571, 0.1571",\
"0.1542, 0.154, 0.1545, 0.1551, 0.1555, 0.1558, 0.1561, 0.1562, 0.1562, 0.1563",\
"0.155, 0.1547, 0.1547, 0.1551, 0.1556, 0.156, 0.1562, 0.1564, 0.1565, 0.1565",\
"0.16, 0.159, 0.1582, 0.158, 0.1583, 0.1586, 0.1588, 0.1589, 0.159, 0.1591",\
"0.168, 0.1663, 0.1646, 0.1641, 0.164, 0.1641, 0.1642, 0.1644, 0.1645, 0.1645",\
"0.1789, 0.1766, 0.1741, 0.1728, 0.1724, 0.1723, 0.1724, 0.1724, 0.1726, 0.1727",\
"0.1926, 0.1899, 0.1864, 0.1845, 0.1838, 0.1835, 0.1834, 0.1834, 0.1834, 0.1835",\
"0.2095, 0.2062, 0.2019, 0.1992, 0.198, 0.1974, 0.1973, 0.1971, 0.1971, 0.1971",\
"0.2292, 0.2254, 0.22, 0.2167, 0.215, 0.214, 0.2137, 0.2134, 0.2134, 0.2132");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "CLK&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.2464, 0.2463, 0.2467, 0.2473, 0.2478, 0.248, 0.2482, 0.2483, 0.2483, 0.2483",\
"0.2461, 0.246, 0.2463, 0.247, 0.2474, 0.2477, 0.2478, 0.2479, 0.248, 0.248",\
"0.2449, 0.2448, 0.245, 0.2456, 0.2461, 0.2464, 0.2465, 0.2467, 0.2467, 0.2468",\
"0.2462, 0.2458, 0.2458, 0.2462, 0.2467, 0.247, 0.2473, 0.2474, 0.2475, 0.2476",\
"0.2532, 0.2522, 0.2513, 0.2512, 0.2514, 0.2516, 0.2518, 0.252, 0.2521, 0.2521",\
"0.2653, 0.2636, 0.2618, 0.2611, 0.2609, 0.2609, 0.2611, 0.2612, 0.2613, 0.2614",\
"0.2833, 0.2809, 0.2781, 0.2766, 0.2763, 0.2762, 0.2762, 0.2762, 0.2763, 0.2763",\
"0.3072, 0.3043, 0.3006, 0.2984, 0.2977, 0.2973, 0.2972, 0.2972, 0.2972, 0.2972",\
"0.3371, 0.3336, 0.3289, 0.3262, 0.3247, 0.324, 0.3239, 0.3237, 0.3236, 0.3236",\
"0.3721, 0.368, 0.3624, 0.3589, 0.3569, 0.3559, 0.3554, 0.3551, 0.3551, 0.3549");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.2464, 0.2463, 0.2467, 0.2473, 0.2478, 0.248, 0.2482, 0.2483, 0.2483, 0.2483",\
"0.2461, 0.246, 0.2463, 0.247, 0.2474, 0.2477, 0.2478, 0.2479, 0.248, 0.248",\
"0.2449, 0.2448, 0.245, 0.2456, 0.2461, 0.2464, 0.2465, 0.2467, 0.2467, 0.2468",\
"0.2462, 0.2458, 0.2458, 0.2462, 0.2467, 0.247, 0.2473, 0.2474, 0.2475, 0.2476",\
"0.2532, 0.2522, 0.2513, 0.2512, 0.2514, 0.2516, 0.2518, 0.252, 0.2521, 0.2521",\
"0.2653, 0.2636, 0.2618, 0.2611, 0.2609, 0.2609, 0.2611, 0.2612, 0.2613, 0.2614",\
"0.2833, 0.2809, 0.2781, 0.2766, 0.2763, 0.2762, 0.2762, 0.2762, 0.2763, 0.2763",\
"0.3072, 0.3043, 0.3006, 0.2984, 0.2977, 0.2973, 0.2972, 0.2972, 0.2972, 0.2972",\
"0.3371, 0.3336, 0.3289, 0.3262, 0.3247, 0.324, 0.3239, 0.3237, 0.3236, 0.3236",\
"0.3721, 0.368, 0.3624, 0.3589, 0.3569, 0.3559, 0.3554, 0.3551, 0.3551, 0.3549");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "CLK&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.2466, 0.2464, 0.2468, 0.2474, 0.2479, 0.2481, 0.2482, 0.2483, 0.2484, 0.2485",\
"0.2461, 0.2459, 0.2463, 0.247, 0.2474, 0.2477, 0.2478, 0.2479, 0.2479, 0.248",\
"0.2448, 0.2446, 0.2449, 0.2456, 0.246, 0.2463, 0.2465, 0.2466, 0.2467, 0.2467",\
"0.2462, 0.2458, 0.2457, 0.2462, 0.2467, 0.247, 0.2473, 0.2474, 0.2475, 0.2476",\
"0.2532, 0.2522, 0.2512, 0.2511, 0.2514, 0.2516, 0.2518, 0.252, 0.2521, 0.2521",\
"0.2654, 0.2637, 0.2617, 0.2609, 0.2609, 0.261, 0.2611, 0.2613, 0.2613, 0.2614",\
"0.2833, 0.2809, 0.2782, 0.2767, 0.2763, 0.2762, 0.2762, 0.2763, 0.2763, 0.2763",\
"0.3075, 0.3042, 0.3005, 0.2985, 0.2977, 0.2973, 0.2971, 0.2971, 0.2971, 0.2972",\
"0.3372, 0.3335, 0.3289, 0.3261, 0.3247, 0.3242, 0.3238, 0.3237, 0.3236, 0.3236",\
"0.3722, 0.368, 0.3625, 0.359, 0.3569, 0.356, 0.3555, 0.3552, 0.355, 0.355");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.2466, 0.2464, 0.2468, 0.2474, 0.2479, 0.2481, 0.2482, 0.2483, 0.2484, 0.2485",\
"0.2461, 0.2459, 0.2463, 0.247, 0.2474, 0.2477, 0.2478, 0.2479, 0.2479, 0.248",\
"0.2448, 0.2446, 0.2449, 0.2456, 0.246, 0.2463, 0.2465, 0.2466, 0.2467, 0.2467",\
"0.2462, 0.2458, 0.2457, 0.2462, 0.2467, 0.247, 0.2473, 0.2474, 0.2475, 0.2476",\
"0.2532, 0.2522, 0.2512, 0.2511, 0.2514, 0.2516, 0.2518, 0.252, 0.2521, 0.2521",\
"0.2654, 0.2637, 0.2617, 0.2609, 0.2609, 0.261, 0.2611, 0.2613, 0.2613, 0.2614",\
"0.2833, 0.2809, 0.2782, 0.2767, 0.2763, 0.2762, 0.2762, 0.2763, 0.2763, 0.2763",\
"0.3075, 0.3042, 0.3005, 0.2985, 0.2977, 0.2973, 0.2971, 0.2971, 0.2971, 0.2972",\
"0.3372, 0.3335, 0.3289, 0.3261, 0.3247, 0.3242, 0.3238, 0.3237, 0.3236, 0.3236",\
"0.3722, 0.368, 0.3625, 0.359, 0.3569, 0.356, 0.3555, 0.3552, 0.355, 0.355");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("1.115, 1.167, 1.299, 1.485, 1.743, 2.096, 2.558, 3.139, 3.849, 4.696",\
"1.137, 1.189, 1.321, 1.507, 1.766, 2.118, 2.58, 3.161, 3.871, 4.717",\
"1.217, 1.269, 1.401, 1.587, 1.845, 2.198, 2.66, 3.241, 3.951, 4.798",\
"1.338, 1.391, 1.522, 1.709, 1.967, 2.319, 2.781, 3.363, 4.073, 4.919",\
"1.445, 1.497, 1.628, 1.815, 2.073, 2.425, 2.887, 3.469, 4.179, 5.025",\
"1.528, 1.581, 1.712, 1.899, 2.157, 2.509, 2.971, 3.553, 4.263, 5.109",\
"1.591, 1.643, 1.774, 1.961, 2.219, 2.571, 3.033, 3.615, 4.324, 5.17",\
"1.63, 1.683, 1.814, 2.001, 2.259, 2.611, 3.073, 3.654, 4.364, 5.21",\
"1.642, 1.694, 1.826, 2.012, 2.271, 2.623, 3.085, 3.666, 4.376, 5.222",\
"1.624, 1.676, 1.808, 1.994, 2.252, 2.605, 3.067, 3.649, 4.359, 5.204");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("1.101, 1.166, 1.348, 1.657, 2.128, 2.787, 3.654, 4.746, 6.078, 7.664",\
"1.123, 1.188, 1.37, 1.679, 2.15, 2.81, 3.677, 4.768, 6.1, 7.683",\
"1.202, 1.267, 1.449, 1.758, 2.229, 2.889, 3.755, 4.847, 6.177, 7.766",\
"1.323, 1.387, 1.569, 1.878, 2.35, 3.009, 3.876, 4.967, 6.299, 7.883",\
"1.429, 1.493, 1.676, 1.984, 2.456, 3.115, 3.982, 5.072, 6.405, 7.992",\
"1.514, 1.579, 1.761, 2.07, 2.541, 3.201, 4.066, 5.158, 6.49, 8.077",\
"1.577, 1.642, 1.824, 2.133, 2.605, 3.264, 4.13, 5.221, 6.554, 8.138",\
"1.619, 1.683, 1.866, 2.175, 2.646, 3.306, 4.171, 5.262, 6.594, 8.182",\
"1.635, 1.7, 1.882, 2.19, 2.662, 3.321, 4.188, 5.278, 6.608, 8.194",\
"1.622, 1.687, 1.869, 2.178, 2.649, 3.309, 4.175, 5.265, 6.596, 8.182");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1356, 0.1844, 0.314, 0.5434, 0.9292, 1.496, 2.254, 3.213, 4.378, 5.781",\
"0.1354, 0.1844, 0.3144, 0.5432, 0.9278, 1.496, 2.254, 3.213, 4.383, 5.774",\
"0.1354, 0.1844, 0.3142, 0.5432, 0.929, 1.496, 2.254, 3.213, 4.378, 5.781",\
"0.1356, 0.1842, 0.3144, 0.5434, 0.9298, 1.496, 2.254, 3.213, 4.383, 5.774",\
"0.1356, 0.1844, 0.3142, 0.544, 0.929, 1.495, 2.253, 3.211, 4.383, 5.778",\
"0.1356, 0.1846, 0.3144, 0.5432, 0.9282, 1.495, 2.254, 3.213, 4.38, 5.78",\
"0.1358, 0.1844, 0.3142, 0.543, 0.9284, 1.495, 2.251, 3.207, 4.376, 5.777",\
"0.1358, 0.1844, 0.3138, 0.5436, 0.9276, 1.495, 2.251, 3.207, 4.374, 5.764",\
"0.1356, 0.1844, 0.314, 0.5436, 0.928, 1.494, 2.251, 3.206, 4.373, 5.763",\
"0.1356, 0.1846, 0.3144, 0.5434, 0.9278, 1.496, 2.251, 3.21, 4.378, 5.764");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1618, 0.2354, 0.481, 0.9714, 1.766, 2.891, 4.371, 6.238, 8.505, 11.22",\
"0.1618, 0.2356, 0.481, 0.9704, 1.766, 2.891, 4.371, 6.238, 8.506, 11.22",\
"0.1618, 0.236, 0.48, 0.9714, 1.767, 2.889, 4.365, 6.23, 8.516, 11.22",\
"0.1618, 0.236, 0.481, 0.9706, 1.765, 2.891, 4.372, 6.238, 8.508, 11.22",\
"0.1616, 0.236, 0.481, 0.9704, 1.767, 2.89, 4.371, 6.238, 8.517, 11.22",\
"0.1618, 0.2356, 0.4804, 0.9702, 1.767, 2.89, 4.365, 6.239, 8.515, 11.22",\
"0.1618, 0.2356, 0.4808, 0.9706, 1.768, 2.885, 4.366, 6.235, 8.511, 11.22",\
"0.162, 0.2356, 0.4804, 0.9698, 1.767, 2.885, 4.361, 6.228, 8.506, 11.23",\
"0.162, 0.2356, 0.4802, 0.9708, 1.765, 2.886, 4.369, 6.221, 8.502, 11.21",\
"0.162, 0.2356, 0.4804, 0.9706, 1.767, 2.886, 4.364, 6.224, 8.492, 11.2");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b0 && D===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "!CLK&!D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.4553, 0.5081, 0.6393, 0.829, 1.086, 1.437, 1.898, 2.479, 3.189, 4.036",\
"0.4806, 0.5333, 0.6646, 0.8544, 1.111, 1.462, 1.923, 2.505, 3.214, 4.061",\
"0.578, 0.6307, 0.7619, 0.9517, 1.209, 1.559, 2.021, 2.602, 3.312, 4.159",\
"0.7694, 0.8224, 0.9539, 1.144, 1.4, 1.751, 2.213, 2.794, 3.505, 4.351",\
"1.014, 1.074, 1.216, 1.411, 1.667, 2.018, 2.479, 3.061, 3.77, 4.617",\
"1.279, 1.345, 1.503, 1.707, 1.964, 2.315, 2.776, 3.357, 4.067, 4.914",\
"1.57, 1.643, 1.816, 2.029, 2.287, 2.637, 3.098, 3.679, 4.388, 5.234",\
"1.898, 1.976, 2.161, 2.384, 2.643, 2.992, 3.452, 4.033, 4.742, 5.588",\
"2.266, 2.349, 2.545, 2.778, 3.036, 3.385, 3.845, 4.425, 5.135, 5.98",\
"2.676, 2.762, 2.97, 3.21, 3.47, 3.817, 4.276, 4.856, 5.565, 6.41");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1393, 0.1855, 0.3186, 0.5457, 0.9203, 1.487, 2.246, 3.206, 4.381, 5.761",\
"0.1396, 0.1854, 0.3184, 0.5459, 0.9203, 1.488, 2.248, 3.208, 4.377, 5.761",\
"0.1395, 0.1855, 0.3187, 0.5456, 0.9206, 1.487, 2.247, 3.207, 4.38, 5.761",\
"0.1434, 0.189, 0.3204, 0.5464, 0.9206, 1.488, 2.245, 3.203, 4.38, 5.761",\
"0.1716, 0.2192, 0.3446, 0.5548, 0.9214, 1.489, 2.248, 3.208, 4.377, 5.76",\
"0.2, 0.2526, 0.3818, 0.5736, 0.9254, 1.487, 2.247, 3.205, 4.379, 5.763",\
"0.2268, 0.2832, 0.4204, 0.5916, 0.9298, 1.489, 2.246, 3.2, 4.372, 5.768",\
"0.2502, 0.3104, 0.4586, 0.6094, 0.9328, 1.49, 2.246, 3.202, 4.367, 5.764",\
"0.2738, 0.3362, 0.4926, 0.6262, 0.9364, 1.49, 2.247, 3.203, 4.37, 5.758",\
"0.2984, 0.3636, 0.5252, 0.6428, 0.9384, 1.49, 2.248, 3.204, 4.372, 5.762");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b0 && D===1'b1" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "!CLK&D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.4553, 0.508, 0.6393, 0.8291, 1.086, 1.437, 1.898, 2.479, 3.189, 4.036",\
"0.4806, 0.5333, 0.6646, 0.8544, 1.111, 1.462, 1.923, 2.504, 3.215, 4.062",\
"0.578, 0.6307, 0.7618, 0.9516, 1.209, 1.559, 2.021, 2.602, 3.312, 4.16",\
"0.7696, 0.8224, 0.9539, 1.144, 1.4, 1.751, 2.213, 2.794, 3.504, 4.351",\
"1.014, 1.074, 1.216, 1.411, 1.667, 2.018, 2.479, 3.061, 3.77, 4.618",\
"1.279, 1.345, 1.503, 1.707, 1.965, 2.315, 2.775, 3.357, 4.067, 4.913",\
"1.571, 1.643, 1.816, 2.029, 2.287, 2.637, 3.098, 3.679, 4.388, 5.234",\
"1.898, 1.976, 2.161, 2.385, 2.643, 2.992, 3.453, 4.033, 4.743, 5.589",\
"2.267, 2.348, 2.546, 2.778, 3.037, 3.385, 3.845, 4.426, 5.134, 5.98",\
"2.676, 2.763, 2.97, 3.21, 3.469, 3.817, 4.276, 4.856, 5.566, 6.411");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1396, 0.1853, 0.3184, 0.5457, 0.9198, 1.488, 2.248, 3.208, 4.38, 5.762",\
"0.1393, 0.1855, 0.3184, 0.5462, 0.9205, 1.488, 2.246, 3.205, 4.375, 5.773",\
"0.1394, 0.1856, 0.3185, 0.5458, 0.9204, 1.487, 2.245, 3.205, 4.377, 5.769",\
"0.143, 0.1888, 0.3204, 0.5462, 0.9204, 1.487, 2.248, 3.207, 4.376, 5.762",\
"0.1716, 0.2186, 0.3448, 0.5546, 0.9212, 1.488, 2.247, 3.208, 4.376, 5.771",\
"0.2002, 0.2524, 0.3816, 0.5734, 0.9254, 1.487, 2.246, 3.207, 4.38, 5.762",\
"0.2268, 0.2836, 0.4204, 0.5914, 0.9298, 1.49, 2.246, 3.2, 4.369, 5.763",\
"0.2502, 0.31, 0.4586, 0.6094, 0.9324, 1.489, 2.247, 3.202, 4.367, 5.759",\
"0.2736, 0.3372, 0.493, 0.6264, 0.9358, 1.49, 2.249, 3.204, 4.37, 5.759",\
"0.2984, 0.3634, 0.5258, 0.6426, 0.9394, 1.49, 2.246, 3.206, 4.37, 5.76");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b1 && D===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "CLK&!D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.457, 0.511, 0.6446, 0.8328, 1.094, 1.45, 1.911, 2.492, 3.202, 4.047",\
"0.4821, 0.5363, 0.6699, 0.8581, 1.119, 1.475, 1.937, 2.518, 3.227, 4.073",\
"0.5796, 0.6336, 0.7671, 0.9555, 1.217, 1.573, 2.034, 2.615, 3.324, 4.17",\
"0.771, 0.8253, 0.9593, 1.148, 1.41, 1.764, 2.225, 2.806, 3.516, 4.361",\
"1.016, 1.078, 1.223, 1.418, 1.681, 2.033, 2.494, 3.074, 3.784, 4.63",\
"1.281, 1.35, 1.513, 1.719, 1.982, 2.333, 2.794, 3.375, 4.084, 4.93",\
"1.575, 1.649, 1.828, 2.045, 2.308, 2.658, 3.119, 3.699, 4.409, 5.254",\
"1.903, 1.984, 2.177, 2.403, 2.665, 3.014, 3.474, 4.055, 4.765, 5.61",\
"2.273, 2.359, 2.563, 2.797, 3.058, 3.407, 3.867, 4.447, 5.157, 6.002",\
"2.684, 2.774, 2.99, 3.231, 3.491, 3.839, 4.298, 4.878, 5.588, 6.433");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1419, 0.1904, 0.3182, 0.548, 0.94, 1.499, 2.247, 3.201, 4.375, 5.769",\
"0.1422, 0.1904, 0.3183, 0.548, 0.9398, 1.499, 2.247, 3.204, 4.369, 5.762",\
"0.142, 0.1904, 0.3183, 0.5484, 0.9396, 1.498, 2.246, 3.204, 4.375, 5.77",\
"0.1462, 0.1944, 0.321, 0.55, 0.9378, 1.495, 2.246, 3.204, 4.375, 5.77",\
"0.1756, 0.2262, 0.3462, 0.5634, 0.9364, 1.491, 2.244, 3.202, 4.375, 5.77",\
"0.2066, 0.263, 0.3852, 0.5874, 0.938, 1.49, 2.247, 3.205, 4.376, 5.771",\
"0.234, 0.2958, 0.4264, 0.6064, 0.938, 1.49, 2.246, 3.201, 4.371, 5.77",\
"0.259, 0.3248, 0.4664, 0.6216, 0.9384, 1.49, 2.247, 3.202, 4.367, 5.764",\
"0.284, 0.3532, 0.5024, 0.634, 0.9392, 1.491, 2.247, 3.204, 4.371, 5.758",\
"0.3098, 0.3818, 0.5352, 0.6436, 0.9406, 1.49, 2.246, 3.204, 4.37, 5.76");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLK===1'b1 && D===1'b1" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "CLK&D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.457, 0.511, 0.6446, 0.8328, 1.094, 1.45, 1.911, 2.492, 3.202, 4.047",\
"0.4821, 0.5363, 0.6699, 0.8581, 1.119, 1.475, 1.937, 2.518, 3.227, 4.073",\
"0.5797, 0.6336, 0.7671, 0.9554, 1.217, 1.573, 2.034, 2.615, 3.324, 4.17",\
"0.771, 0.8253, 0.9594, 1.148, 1.41, 1.764, 2.225, 2.806, 3.516, 4.362",\
"1.016, 1.078, 1.223, 1.418, 1.681, 2.033, 2.494, 3.075, 3.784, 4.63",\
"1.282, 1.35, 1.513, 1.719, 1.982, 2.333, 2.794, 3.375, 4.084, 4.93",\
"1.574, 1.65, 1.828, 2.045, 2.308, 2.658, 3.119, 3.7, 4.409, 5.254",\
"1.904, 1.984, 2.177, 2.403, 2.665, 3.014, 3.475, 4.055, 4.765, 5.61",\
"2.274, 2.358, 2.563, 2.798, 3.058, 3.407, 3.867, 4.447, 5.156, 6.003",\
"2.684, 2.774, 2.99, 3.231, 3.49, 3.839, 4.298, 4.878, 5.588, 6.433");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1419, 0.1904, 0.3182, 0.548, 0.9399, 1.5, 2.246, 3.203, 4.373, 5.766",\
"0.1422, 0.1904, 0.3183, 0.548, 0.9397, 1.499, 2.247, 3.204, 4.369, 5.763",\
"0.1421, 0.1905, 0.3186, 0.5482, 0.9392, 1.498, 2.247, 3.205, 4.373, 5.768",\
"0.1464, 0.1942, 0.321, 0.5502, 0.9378, 1.495, 2.246, 3.203, 4.372, 5.761",\
"0.176, 0.2262, 0.3462, 0.5634, 0.9362, 1.491, 2.247, 3.205, 4.375, 5.77",\
"0.2066, 0.2628, 0.3852, 0.5874, 0.9378, 1.49, 2.247, 3.205, 4.376, 5.77",\
"0.2346, 0.2954, 0.4264, 0.6062, 0.938, 1.49, 2.246, 3.2, 4.371, 5.77",\
"0.2588, 0.3248, 0.4666, 0.6216, 0.9378, 1.49, 2.247, 3.201, 4.368, 5.763",\
"0.2838, 0.3536, 0.5022, 0.6334, 0.939, 1.491, 2.248, 3.205, 4.37, 5.76",\
"0.3098, 0.382, 0.535, 0.644, 0.939, 1.492, 2.246, 3.204, 4.37, 5.76");
}
}
timing() {
related_pin : "RN" ;
timing_sense : positive_unate ;
timing_type : clear ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.457, 0.511, 0.6446, 0.8328, 1.094, 1.45, 1.911, 2.492, 3.202, 4.047",\
"0.4821, 0.5363, 0.6699, 0.8581, 1.119, 1.475, 1.937, 2.518, 3.227, 4.073",\
"0.5797, 0.6336, 0.7671, 0.9554, 1.217, 1.573, 2.034, 2.615, 3.324, 4.17",\
"0.771, 0.8253, 0.9594, 1.148, 1.41, 1.764, 2.225, 2.806, 3.516, 4.362",\
"1.016, 1.078, 1.223, 1.418, 1.681, 2.033, 2.494, 3.075, 3.784, 4.63",\
"1.282, 1.35, 1.513, 1.719, 1.982, 2.333, 2.794, 3.375, 4.084, 4.93",\
"1.574, 1.65, 1.828, 2.045, 2.308, 2.658, 3.119, 3.7, 4.409, 5.254",\
"1.904, 1.984, 2.177, 2.403, 2.665, 3.014, 3.475, 4.055, 4.765, 5.61",\
"2.274, 2.358, 2.563, 2.798, 3.058, 3.407, 3.867, 4.447, 5.156, 6.003",\
"2.684, 2.774, 2.99, 3.231, 3.49, 3.839, 4.298, 4.878, 5.588, 6.433");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004887, 0.01853, 0.04459, 0.08518, 0.1421, 0.2171, 0.3115, 0.4268, 0.5641");
values("0.1396, 0.1853, 0.3184, 0.5457, 0.9198, 1.488, 2.248, 3.208, 4.38, 5.762",\
"0.1393, 0.1855, 0.3184, 0.5462, 0.9205, 1.488, 2.246, 3.205, 4.375, 5.773",\
"0.1394, 0.1856, 0.3185, 0.5458, 0.9204, 1.487, 2.245, 3.205, 4.377, 5.769",\
"0.143, 0.1888, 0.3204, 0.5462, 0.9204, 1.487, 2.248, 3.207, 4.376, 5.762",\
"0.1716, 0.2186, 0.3448, 0.5546, 0.9212, 1.488, 2.247, 3.208, 4.376, 5.771",\
"0.2002, 0.2524, 0.3816, 0.5734, 0.9254, 1.487, 2.246, 3.207, 4.38, 5.762",\
"0.2268, 0.2836, 0.4204, 0.5914, 0.9298, 1.49, 2.246, 3.2, 4.369, 5.763",\
"0.2502, 0.31, 0.4586, 0.6094, 0.9324, 1.489, 2.247, 3.202, 4.367, 5.759",\
"0.2736, 0.3372, 0.493, 0.6264, 0.9358, 1.49, 2.249, 3.204, 4.37, 5.759",\
"0.2984, 0.3634, 0.5258, 0.6426, 0.9394, 1.49, 2.246, 3.206, 4.37, 5.76");
}
}
}
pin(RN) {
capacitance : 0.008147 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
min_pulse_width_low : 0.736 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.02136, 0.02073, 0.02069, 0.02069, 0.02074, 0.02075, 0.02075, \
0.02074, 0.02073, 0.02074");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.02073, -0.02069, -0.02063, -0.02065, -0.0207, -0.02069, -0.0207, \
-0.0207, -0.02072, -0.02071");
}
}
internal_power() {
when : "!CLK&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.02091, 0.01993, 0.01962, 0.01954, 0.01956, 0.01951, 0.0195, \
0.01947, 0.01948, 0.01946");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.01926, -0.01948, -0.01983, -0.02009, -0.02025, -0.0203, -0.02034, \
-0.02038, -0.0204, -0.02042");
}
}
internal_power() {
when : "CLK&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.02176, 0.02074, 0.02068, 0.0207, 0.02074, 0.02075, 0.02073, \
0.02072, 0.02073, 0.02073");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.02074, -0.0207, -0.02065, -0.02065, -0.02071, -0.0207, -0.0207, \
-0.0207, -0.0207, -0.0207");
}
}
internal_power() {
when : "CLK&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.02164, 0.02074, 0.02069, 0.0207, 0.02074, 0.02073, 0.02074, \
0.02074, 0.02074, 0.02073");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.02074, -0.0207, -0.02065, -0.02065, -0.02071, -0.0207, -0.0207, \
-0.0207, -0.02072, -0.0207");
}
}
timing() {
related_pin : "CLK" ;
timing_type : recovery_rising ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.418, -0.439, -0.523, -0.647, -0.746, -0.828, -0.878, -0.905, -0.9, -0.866",\
"-0.421, -0.439, -0.527, -0.651, -0.752, -0.829, -0.888, -0.92, -0.919, -0.881",\
"-0.42, -0.445, -0.526, -0.654, -0.763, -0.847, -0.898, -0.929, -0.934, -0.896",\
"-0.307, -0.331, -0.414, -0.544, -0.659, -0.737, -0.796, -0.829, -0.833, -0.808",\
"0.051, 0.011, -0.076, -0.22, -0.342, -0.432, -0.499, -0.552, -0.555, -0.524",\
"0.624, 0.576, 0.483, 0.319, 0.174, 0.076, 0.006, -0.057, -0.074, -0.059",\
"1.389, 1.343, 1.222, 1.039, 0.888, 0.765, 0.682, 0.596, 0.591, 0.603",\
"2.361, 2.309, 2.175, 1.964, 1.811, 1.644, 1.573, 1.466, 1.447, 1.426",\
"3.558, 3.482, 3.33, 3.083, 2.908, 2.729, 2.658, 2.514, 2.491, 2.49",\
"4.951, 4.879, 4.716, 4.471, 4.255, 4.003, 3.962, 3.792, 3.772, 3.759");
}
}
timing() {
related_pin : "CLK" ;
timing_type : removal_rising ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.687, 0.705, 0.786, 0.905, 1.014, 1.104, 1.173, 1.224, 1.243, 1.236",\
"0.687, 0.707, 0.787, 0.909, 1.021, 1.106, 1.173, 1.219, 1.24, 1.234",\
"0.72, 0.74, 0.817, 0.939, 1.046, 1.139, 1.205, 1.248, 1.27, 1.263",\
"0.812, 0.834, 0.912, 1.031, 1.139, 1.231, 1.288, 1.336, 1.352, 1.344",\
"0.968, 0.99, 1.062, 1.185, 1.291, 1.372, 1.431, 1.477, 1.49, 1.48",\
"1.161, 1.186, 1.266, 1.376, 1.478, 1.558, 1.623, 1.66, 1.672, 1.659",\
"1.4, 1.422, 1.5, 1.61, 1.711, 1.792, 1.848, 1.882, 1.893, 1.883",\
"1.673, 1.697, 1.771, 1.887, 1.986, 2.056, 2.115, 2.154, 2.164, 2.148",\
"1.99, 2.011, 2.091, 2.201, 2.292, 2.371, 2.426, 2.461, 2.471, 2.458",\
"2.351, 2.371, 2.446, 2.557, 2.652, 2.728, 2.775, 2.811, 2.823, 2.802");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_NOT_CLK_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLK&!D" ;
fall_constraint(scalar) {
values("0.634");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_NOT_CLK_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLK&D" ;
fall_constraint(scalar) {
values("0.634");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_CLK_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLK&!D" ;
fall_constraint(scalar) {
values("0.736");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_CLK_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLK&D" ;
fall_constraint(scalar) {
values("0.736");
}
}
}
}