blob: 54c77e59c53d23c28cb89968a81708ce20ff6caf [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffq_4) {
area : 98.784000 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "D" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D" ;
value : "9.04914e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D" ;
value : "7.83594e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D" ;
value : "0.0001077102" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D" ;
value : "0.000117027" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.000117027" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.004391 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 12 ;
min_period : 3.486 ;
min_pulse_width_high : 1.753 ;
min_pulse_width_low : 1.593 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.04872, 0.04851, 0.04806, 0.04767, 0.04745, 0.04746, 0.04764, \
0.04798, 0.04854, 0.04921");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.03193, 0.03183, 0.03152, 0.03109, 0.03079, 0.03069, 0.03079, \
0.0311, 0.03163, 0.0323");
}
}
internal_power() {
when : "D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.04842, 0.04823, 0.04775, 0.04736, 0.04716, 0.04716, 0.04734, \
0.04769, 0.04822, 0.04893");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.03203, 0.03193, 0.03159, 0.03116, 0.03084, 0.03076, 0.03087, \
0.0312, 0.03168, 0.03238");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D" ;
fall_constraint(scalar) {
values("1.593");
}
rise_constraint(scalar) {
values("1.108");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "D" ;
fall_constraint(scalar) {
values("1.264");
}
rise_constraint(scalar) {
values("1.753");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : minimum_period ;
when : "!D" ;
rise_constraint(scalar) {
values("3.197");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : minimum_period ;
when : "D" ;
rise_constraint(scalar) {
values("3.486");
}
}
}
pin(D) {
capacitance : 0.003354 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 12 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.02633, 0.02621, 0.02578, 0.02547, 0.02532, 0.02536, 0.02554, \
0.02585, 0.02629, 0.02686");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.01872, 0.01874, 0.01857, 0.01828, 0.01804, 0.01796, 0.01805, \
0.01831, 0.01869, 0.01923");
}
}
internal_power() {
when : "CLK" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.003036, 0.003041, 0.003044, 0.003047, 0.003039, 0.003045, 0.003039, \
0.003033, 0.003019, 0.002999");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.002448, -0.002463, -0.002497, -0.002524, -0.00254, -0.002565, \
-0.002576, -0.002588, -0.002601, -0.002621");
}
}
timing() {
related_pin : "CLK" ;
timing_type : hold_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.321, 0.351, 0.454, 0.63, 0.831, 1.014, 1.178, 1.315, 1.423, 1.503",\
"0.289, 0.317, 0.421, 0.601, 0.794, 0.979, 1.141, 1.278, 1.387, 1.465",\
"0.22, 0.242, 0.345, 0.526, 0.719, 0.894, 1.051, 1.189, 1.29, 1.367",\
"0.104, 0.126, 0.227, 0.4, 0.589, 0.767, 0.918, 1.051, 1.15, 1.229",\
"-0.055, -0.033, 0.068, 0.234, 0.428, 0.598, 0.752, 0.88, 0.979, 1.056",\
"-0.238, -0.217, -0.118, 0.047, 0.227, 0.4, 0.549, 0.677, 0.775, 0.843",\
"-0.448, -0.429, -0.335, -0.181, 0.008, 0.173, 0.317, 0.441, 0.537, 0.611",\
"-0.676, -0.655, -0.575, -0.427, -0.248, -0.084, 0.057, 0.19, 0.276, 0.34",\
"-0.94, -0.923, -0.84, -0.698, -0.528, -0.369, -0.227, -0.109, -0.022, 0.055",\
"-1.229, -1.219, -1.137, -1.005, -0.832, -0.682, -0.551, -0.434, -0.342, -0.284");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.046, -0.013, 0.073, 0.18, 0.281, 0.355, 0.408, 0.441, 0.446, 0.423",\
"-0.068, -0.036, 0.05, 0.157, 0.253, 0.328, 0.387, 0.417, 0.424, 0.4",\
"-0.162, -0.129, -0.03, 0.082, 0.175, 0.256, 0.316, 0.352, 0.36, 0.343",\
"-0.266, -0.237, -0.142, -0.03, 0.072, 0.152, 0.215, 0.252, 0.264, 0.246",\
"-0.359, -0.334, -0.244, -0.128, -0.027, 0.049, 0.109, 0.146, 0.162, 0.149",\
"-0.44, -0.407, -0.316, -0.211, -0.114, -0.037, 0.021, 0.055, 0.072, 0.055",\
"-0.489, -0.458, -0.372, -0.263, -0.172, -0.095, -0.045, -0.017, -0.003, -0.022",\
"-0.506, -0.475, -0.396, -0.29, -0.202, -0.137, -0.084, -0.056, -0.042, -0.07",\
"-0.501, -0.469, -0.389, -0.282, -0.208, -0.144, -0.096, -0.071, -0.065, -0.089",\
"-0.459, -0.429, -0.351, -0.246, -0.173, -0.117, -0.067, -0.052, -0.042, -0.071");
}
}
timing() {
related_pin : "CLK" ;
timing_type : setup_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.658, 0.624, 0.525, 0.329, 0.112, -0.122, -0.311, -0.49, -0.611, -0.725",\
"0.678, 0.644, 0.549, 0.355, 0.13, -0.097, -0.289, -0.467, -0.585, -0.697",\
"0.766, 0.735, 0.64, 0.445, 0.219, -0.006, -0.199, -0.378, -0.498, -0.61",\
"0.971, 0.94, 0.842, 0.643, 0.425, 0.196, 0.004, -0.17, -0.294, -0.408",\
"1.303, 1.274, 1.17, 0.979, 0.756, 0.526, 0.338, 0.155, 0.034, -0.074",\
"1.727, 1.694, 1.593, 1.402, 1.178, 0.947, 0.766, 0.578, 0.457, 0.348",\
"2.183, 2.152, 2.053, 1.861, 1.639, 1.411, 1.219, 1.035, 0.918, 0.804",\
"2.668, 2.638, 2.537, 2.347, 2.119, 1.891, 1.702, 1.52, 1.398, 1.282",\
"3.184, 3.153, 3.052, 2.863, 2.639, 2.412, 2.222, 2.045, 1.916, 1.802",\
"3.734, 3.704, 3.607, 3.413, 3.192, 2.966, 2.777, 2.592, 2.474, 2.353");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.693, 0.66, 0.563, 0.364, 0.201, 0.057, -0.029, -0.117, -0.123, -0.106",\
"0.722, 0.688, 0.592, 0.391, 0.233, 0.085, -0.003, -0.09, -0.104, -0.089",\
"0.81, 0.777, 0.68, 0.483, 0.323, 0.167, 0.085, -0.011, -0.018, -0.009",\
"0.977, 0.94, 0.842, 0.648, 0.489, 0.335, 0.216, 0.143, 0.122, 0.133",\
"1.175, 1.136, 1.037, 0.851, 0.684, 0.52, 0.408, 0.323, 0.302, 0.306",\
"1.355, 1.321, 1.222, 1.035, 0.867, 0.703, 0.581, 0.491, 0.471, 0.474",\
"1.494, 1.462, 1.367, 1.171, 1.011, 0.846, 0.746, 0.624, 0.608, 0.606",\
"1.615, 1.581, 1.488, 1.29, 1.125, 0.956, 0.866, 0.736, 0.715, 0.712",\
"1.703, 1.675, 1.575, 1.385, 1.221, 1.05, 0.954, 0.828, 0.804, 0.796",\
"1.769, 1.733, 1.641, 1.454, 1.287, 1.112, 1.016, 0.882, 0.855, 0.853");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 1.263 ;
max_transition : 12 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009713, 0.0403, 0.0987, 0.1897, 0.3174, 0.4853, 0.697, 0.9553, 1.263");
values("0.1016, 0.1022, 0.1038, 0.1053, 0.1063, 0.1069, 0.1073, 0.1075, 0.1076, 0.1077",\
"0.1015, 0.1022, 0.1038, 0.1053, 0.1063, 0.1069, 0.1073, 0.1075, 0.1077, 0.1077",\
"0.1015, 0.1021, 0.1037, 0.1053, 0.1063, 0.1069, 0.1073, 0.1075, 0.1076, 0.1077",\
"0.1015, 0.1022, 0.1038, 0.1053, 0.1064, 0.1069, 0.1073, 0.1075, 0.1077, 0.1077",\
"0.1016, 0.1023, 0.1039, 0.1055, 0.1065, 0.1071, 0.1074, 0.1077, 0.1078, 0.1079",\
"0.1018, 0.1024, 0.104, 0.1056, 0.1066, 0.1072, 0.1076, 0.1078, 0.1079, 0.108",\
"0.1019, 0.1026, 0.1041, 0.1057, 0.1067, 0.1073, 0.1077, 0.1079, 0.108, 0.1081",\
"0.102, 0.1027, 0.1043, 0.1058, 0.1069, 0.1075, 0.1078, 0.108, 0.1082, 0.1082",\
"0.1021, 0.1028, 0.1044, 0.1059, 0.107, 0.1076, 0.1079, 0.1081, 0.1083, 0.1083",\
"0.1024, 0.103, 0.1045, 0.1061, 0.1071, 0.1077, 0.1081, 0.1083, 0.1084, 0.1085");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009713, 0.0403, 0.0987, 0.1897, 0.3174, 0.4853, 0.697, 0.9553, 1.263");
values("0.09452, 0.09498, 0.09617, 0.09769, 0.09966, 0.1011, 0.1021, 0.1041, 0.1084, 0.1456",\
"0.09449, 0.0949, 0.09607, 0.09777, 0.09946, 0.101, 0.1022, 0.1044, 0.1079, 0.1451",\
"0.09442, 0.09488, 0.09607, 0.0977, 0.09943, 0.1011, 0.102, 0.1042, 0.1088, 0.1495",\
"0.09431, 0.09478, 0.09588, 0.09773, 0.09942, 0.1009, 0.1019, 0.103, 0.1096, 0.1566",\
"0.09412, 0.09471, 0.09575, 0.09752, 0.09916, 0.1008, 0.102, 0.1036, 0.1111, 0.1695",\
"0.09409, 0.09452, 0.09571, 0.09738, 0.0992, 0.1008, 0.1016, 0.1034, 0.1132, 0.1874",\
"0.09389, 0.09439, 0.09558, 0.09732, 0.09883, 0.1002, 0.1016, 0.104, 0.1191, 0.2204",\
"0.09383, 0.09427, 0.09549, 0.09699, 0.09872, 0.1008, 0.1015, 0.104, 0.1282, 0.275",\
"0.09381, 0.09422, 0.09531, 0.09691, 0.09842, 0.1001, 0.102, 0.1061, 0.1496, 0.3651",\
"0.09371, 0.09418, 0.09536, 0.09699, 0.09842, 0.09944, 0.101, 0.1138, 0.1997, 0.5154");
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009713, 0.0403, 0.0987, 0.1897, 0.3174, 0.4853, 0.697, 0.9553, 1.263");
values("2.041, 2.091, 2.21, 2.375, 2.593, 2.88, 3.251, 3.717, 4.286, 4.964",\
"2.071, 2.12, 2.239, 2.405, 2.622, 2.91, 3.281, 3.748, 4.316, 4.994",\
"2.176, 2.226, 2.345, 2.51, 2.728, 3.015, 3.386, 3.853, 4.421, 5.098",\
"2.368, 2.417, 2.537, 2.701, 2.919, 3.207, 3.578, 4.044, 4.613, 5.291",\
"2.588, 2.638, 2.757, 2.922, 3.14, 3.427, 3.799, 4.265, 4.834, 5.511",\
"2.799, 2.848, 2.967, 3.132, 3.35, 3.638, 4.009, 4.475, 5.044, 5.72",\
"2.992, 3.041, 3.161, 3.326, 3.544, 3.831, 4.202, 4.668, 5.237, 5.915",\
"3.165, 3.214, 3.333, 3.498, 3.716, 4.004, 4.375, 4.842, 5.409, 6.086",\
"3.309, 3.358, 3.477, 3.642, 3.86, 4.148, 4.519, 4.985, 5.554, 6.231",\
"3.425, 3.474, 3.593, 3.758, 3.976, 4.263, 4.635, 5.101, 5.67, 6.347");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009713, 0.0403, 0.0987, 0.1897, 0.3174, 0.4853, 0.697, 0.9553, 1.263");
values("2.25, 2.316, 2.502, 2.83, 3.335, 4.039, 4.964, 6.128, 7.548, 9.242",\
"2.28, 2.346, 2.532, 2.86, 3.365, 4.069, 4.994, 6.158, 7.579, 9.27",\
"2.384, 2.45, 2.636, 2.964, 3.469, 4.174, 5.099, 6.262, 7.683, 9.375",\
"2.571, 2.638, 2.823, 3.151, 3.656, 4.36, 5.285, 6.45, 7.869, 9.563",\
"2.784, 2.85, 3.036, 3.364, 3.869, 4.573, 5.499, 6.662, 8.082, 9.775",\
"2.988, 3.054, 3.24, 3.568, 4.073, 4.777, 5.702, 6.866, 8.287, 9.979",\
"3.174, 3.241, 3.427, 3.755, 4.259, 4.964, 5.889, 7.053, 8.472, 10.17",\
"3.34, 3.407, 3.593, 3.92, 4.425, 5.13, 6.055, 7.219, 8.639, 10.33",\
"3.481, 3.547, 3.733, 4.061, 4.566, 5.271, 6.196, 7.359, 8.779, 10.47",\
"3.594, 3.66, 3.846, 4.174, 4.679, 5.384, 6.309, 7.473, 8.892, 10.58");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009713, 0.0403, 0.0987, 0.1897, 0.3174, 0.4853, 0.697, 0.9553, 1.263");
values("0.1642, 0.2018, 0.3114, 0.5076, 0.8188, 1.279, 1.898, 2.692, 3.659, 4.818",\
"0.164, 0.2014, 0.3114, 0.5078, 0.8188, 1.279, 1.898, 2.692, 3.658, 4.822",\
"0.164, 0.2014, 0.3114, 0.507, 0.8192, 1.277, 1.901, 2.692, 3.662, 4.821",\
"0.164, 0.2016, 0.3118, 0.5084, 0.8202, 1.279, 1.9, 2.689, 3.663, 4.818",\
"0.164, 0.202, 0.3114, 0.5072, 0.8208, 1.279, 1.899, 2.69, 3.662, 4.815",\
"0.1642, 0.2014, 0.3118, 0.5092, 0.8186, 1.277, 1.901, 2.692, 3.664, 4.822",\
"0.1638, 0.2018, 0.312, 0.5084, 0.819, 1.277, 1.897, 2.689, 3.662, 4.815",\
"0.1642, 0.2016, 0.3128, 0.5068, 0.82, 1.277, 1.902, 2.688, 3.655, 4.817",\
"0.164, 0.2016, 0.3118, 0.5084, 0.8204, 1.278, 1.898, 2.694, 3.658, 4.808",\
"0.164, 0.202, 0.312, 0.51, 0.818, 1.28, 1.898, 2.694, 3.662, 4.816");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009713, 0.0403, 0.0987, 0.1897, 0.3174, 0.4853, 0.697, 0.9553, 1.263");
values("0.1968, 0.2628, 0.5166, 1.054, 1.908, 3.106, 4.679, 6.673, 9.109, 12.01",\
"0.1968, 0.2622, 0.5166, 1.052, 1.908, 3.106, 4.68, 6.676, 9.101, 12",\
"0.1972, 0.263, 0.5164, 1.053, 1.908, 3.106, 4.678, 6.671, 9.108, 12",\
"0.197, 0.2628, 0.516, 1.053, 1.906, 3.106, 4.679, 6.674, 9.109, 12.01",\
"0.1974, 0.2632, 0.5166, 1.054, 1.907, 3.106, 4.684, 6.67, 9.11, 12.01",\
"0.197, 0.2626, 0.5168, 1.054, 1.907, 3.106, 4.681, 6.668, 9.1, 12",\
"0.197, 0.2624, 0.5162, 1.052, 1.906, 3.105, 4.68, 6.676, 9.11, 12.01",\
"0.197, 0.263, 0.5166, 1.054, 1.906, 3.102, 4.681, 6.676, 9.104, 12.01",\
"0.1972, 0.2624, 0.5166, 1.054, 1.905, 3.104, 4.678, 6.668, 9.104, 12.01",\
"0.198, 0.264, 0.516, 1.054, 1.904, 3.104, 4.682, 6.67, 9.09, 12");
}
}
}
}