blob: ff06aa9df466b815b5c69ee76fb329e27fe84bc6 [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffq_4) {
area : 98.784000 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "D" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D" ;
value : "0.000360621" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D" ;
value : "0.000385983" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D" ;
value : "0.000442278" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D" ;
value : "0.000502605" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.000502605" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.005048 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
min_period : 1.069 ;
min_pulse_width_high : 0.535 ;
min_pulse_width_low : 0.498 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.3168, 0.3154, 0.3168, 0.3307, 0.3591, 0.4028, 0.4635, 0.542, \
0.6386, 0.7544");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2112, 0.2102, 0.2105, 0.2215, 0.2441, 0.282, 0.3355, 0.4055, \
0.4928, 0.5978");
}
}
internal_power() {
when : "D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.3266, 0.3249, 0.3264, 0.3402, 0.3684, 0.4121, 0.4724, 0.5509, \
0.6473, 0.7629");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.211, 0.21, 0.2106, 0.221, 0.244, 0.2818, 0.3353, 0.4055, 0.4929, \
0.5977");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D" ;
fall_constraint(scalar) {
values("0.498");
}
rise_constraint(scalar) {
values("0.408");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "D" ;
fall_constraint(scalar) {
values("0.371");
}
rise_constraint(scalar) {
values("0.535");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : minimum_period ;
when : "!D" ;
rise_constraint(scalar) {
values("0.998");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : minimum_period ;
when : "D" ;
rise_constraint(scalar) {
values("1.069");
}
}
}
pin(D) {
capacitance : 0.00379 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1768, 0.1754, 0.1749, 0.1823, 0.1985, 0.2238, 0.2592, 0.3045, \
0.3604, 0.4274");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1199, 0.1199, 0.1202, 0.1261, 0.1389, 0.1607, 0.1916, 0.2318, \
0.2817, 0.3414");
}
}
internal_power() {
when : "CLK" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.01836, 0.01836, 0.01838, 0.0184, 0.01838, 0.01844, 0.01844, \
0.01842, 0.01843, 0.01843");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01923, -0.0192, -0.01919, -0.01919, -0.01932, -0.01942, -0.01944, \
-0.0195, -0.01952, -0.01953");
}
}
timing() {
related_pin : "CLK" ;
timing_type : hold_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.155, 0.168, 0.196, 0.241, 0.282, 0.326, 0.351, 0.376, 0.376, 0.374",\
"0.153, 0.156, 0.182, 0.231, 0.279, 0.316, 0.344, 0.363, 0.377, 0.368",\
"0.127, 0.139, 0.159, 0.209, 0.253, 0.293, 0.322, 0.341, 0.346, 0.344",\
"0.089, 0.096, 0.124, 0.171, 0.21, 0.248, 0.28, 0.296, 0.305, 0.299",\
"0.046, 0.055, 0.077, 0.122, 0.165, 0.197, 0.232, 0.246, 0.243, 0.242",\
"-0.002, -0, 0.029, 0.071, 0.109, 0.143, 0.169, 0.181, 0.183, 0.176",\
"-0.066, -0.059, -0.04, 0.004, 0.041, 0.075, 0.101, 0.113, 0.107, 0.097",\
"-0.131, -0.123, -0.107, -0.07, -0.034, -0.003, 0.027, 0.029, 0.027, 0.019",\
"-0.207, -0.207, -0.197, -0.159, -0.12, -0.092, -0.063, -0.059, -0.076, -0.079",\
"-0.303, -0.303, -0.292, -0.258, -0.221, -0.193, -0.167, -0.165, -0.185, -0.194");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.011, 0.021, 0.039, 0.069, 0.09, 0.1, 0.109, 0.102, 0.089, 0.052",\
"0.005, 0.015, 0.041, 0.064, 0.081, 0.101, 0.104, 0.094, 0.078, 0.051",\
"-0.022, -0.012, 0.017, 0.046, 0.067, 0.086, 0.086, 0.084, 0.069, 0.039",\
"-0.043, -0.03, -0.006, 0.025, 0.044, 0.06, 0.065, 0.062, 0.045, 0.023",\
"-0.06, -0.055, -0.027, 0.004, 0.026, 0.041, 0.045, 0.043, 0.021, -0.007",\
"-0.078, -0.07, -0.045, -0.014, 0.003, 0.013, 0.018, 0.013, -0.005, -0.03",\
"-0.087, -0.081, -0.058, -0.028, -0.009, -0.001, -0, -0.008, -0.031, -0.06",\
"-0.079, -0.07, -0.044, -0.02, -0.007, -0.003, -0.003, -0.014, -0.039, -0.079",\
"-0.049, -0.037, -0.017, 0.003, 0.014, 0.018, 0.01, -0.01, -0.038, -0.079",\
"-0.001, 0.001, 0.026, 0.045, 0.05, 0.054, 0.043, 0.02, -0.009, -0.057");
}
}
timing() {
related_pin : "CLK" ;
timing_type : setup_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.166, 0.159, 0.127, 0.076, 0.026, -0.018, -0.055, -0.079, -0.098, -0.091",\
"0.168, 0.163, 0.139, 0.082, 0.029, -0.016, -0.048, -0.078, -0.087, -0.09",\
"0.196, 0.191, 0.159, 0.108, 0.06, 0.013, -0.023, -0.044, -0.064, -0.06",\
"0.268, 0.259, 0.233, 0.181, 0.129, 0.09, 0.048, 0.021, 0.009, 0.011",\
"0.368, 0.358, 0.335, 0.28, 0.234, 0.187, 0.149, 0.123, 0.108, 0.109",\
"0.491, 0.485, 0.459, 0.408, 0.358, 0.313, 0.277, 0.243, 0.232, 0.228",\
"0.641, 0.633, 0.604, 0.552, 0.505, 0.464, 0.422, 0.395, 0.374, 0.376",\
"0.806, 0.798, 0.777, 0.722, 0.671, 0.625, 0.589, 0.558, 0.538, 0.538",\
"0.999, 0.986, 0.958, 0.907, 0.858, 0.81, 0.776, 0.749, 0.725, 0.718",\
"1.197, 1.19, 1.164, 1.113, 1.064, 1.019, 0.982, 0.947, 0.924, 0.918");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.206, 0.197, 0.171, 0.129, 0.092, 0.065, 0.052, 0.047, 0.06, 0.089",\
"0.219, 0.205, 0.176, 0.137, 0.097, 0.076, 0.055, 0.057, 0.063, 0.098",\
"0.24, 0.229, 0.2, 0.165, 0.124, 0.095, 0.08, 0.08, 0.085, 0.112",\
"0.281, 0.273, 0.25, 0.202, 0.164, 0.137, 0.118, 0.113, 0.117, 0.142",\
"0.323, 0.311, 0.288, 0.245, 0.208, 0.176, 0.156, 0.15, 0.155, 0.182",\
"0.356, 0.347, 0.318, 0.276, 0.236, 0.204, 0.185, 0.185, 0.186, 0.213",\
"0.383, 0.371, 0.34, 0.305, 0.268, 0.237, 0.216, 0.214, 0.214, 0.244",\
"0.398, 0.386, 0.364, 0.321, 0.283, 0.249, 0.233, 0.233, 0.239, 0.265",\
"0.396, 0.387, 0.362, 0.322, 0.284, 0.254, 0.231, 0.234, 0.239, 0.272",\
"0.38, 0.374, 0.35, 0.306, 0.271, 0.24, 0.22, 0.224, 0.23, 0.268");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 1.633 ;
max_transition : 5.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01226, 0.05179, 0.1273, 0.2449, 0.4099, 0.627, 0.9006, 1.235, 1.633");
values("0.829, 0.811, 0.7942, 0.788, 0.7864, 0.7856, 0.7853, 0.7854, 0.7854, 0.7854",\
"0.8288, 0.8108, 0.7939, 0.7877, 0.7858, 0.7853, 0.7855, 0.7852, 0.7852, 0.7854",\
"0.8294, 0.8116, 0.7942, 0.7881, 0.7866, 0.7859, 0.7858, 0.7856, 0.7856, 0.7856",\
"0.8302, 0.8123, 0.7955, 0.7891, 0.7876, 0.7869, 0.7865, 0.7866, 0.7866, 0.7866",\
"0.8326, 0.8146, 0.7974, 0.7915, 0.79, 0.7893, 0.789, 0.7889, 0.789, 0.789",\
"0.8348, 0.8165, 0.8001, 0.7936, 0.792, 0.791, 0.7911, 0.7908, 0.7909, 0.791",\
"0.8374, 0.8196, 0.802, 0.7962, 0.7945, 0.7939, 0.7939, 0.7936, 0.7936, 0.7938",\
"0.8408, 0.8228, 0.8052, 0.7996, 0.7979, 0.7969, 0.797, 0.7973, 0.797, 0.7969",\
"0.8439, 0.826, 0.809, 0.8029, 0.8011, 0.8005, 0.8002, 0.8001, 0.8003, 0.8002",\
"0.8475, 0.8294, 0.8124, 0.8062, 0.8049, 0.8037, 0.8037, 0.8034, 0.8037, 0.8038");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01226, 0.05179, 0.1273, 0.2449, 0.4099, 0.627, 0.9006, 1.235, 1.633");
values("0.816, 0.8028, 0.7843, 0.7738, 0.7736, 0.7751, 0.7823, 0.7905, 0.7954, 0.7983",\
"0.8161, 0.8028, 0.785, 0.7751, 0.7737, 0.7752, 0.7842, 0.7875, 0.7982, 0.8015",\
"0.8163, 0.8032, 0.7838, 0.7743, 0.7727, 0.7774, 0.7859, 0.7878, 0.7963, 0.8015",\
"0.8161, 0.803, 0.7837, 0.7747, 0.7739, 0.7772, 0.7844, 0.7877, 0.7935, 0.8013",\
"0.8174, 0.8044, 0.7852, 0.7756, 0.7748, 0.7808, 0.784, 0.7881, 0.7939, 0.8028",\
"0.8185, 0.8048, 0.786, 0.7774, 0.7748, 0.7786, 0.7858, 0.7899, 0.7953, 0.8037",\
"0.8203, 0.8068, 0.7885, 0.7775, 0.7812, 0.7809, 0.7885, 0.7918, 0.7998, 0.8037",\
"0.8242, 0.8108, 0.7927, 0.7821, 0.778, 0.789, 0.7943, 0.7958, 0.8016, 0.8064",\
"0.8295, 0.816, 0.7983, 0.7852, 0.7855, 0.7898, 0.8033, 0.8079, 0.8101, 0.8203",\
"0.8357, 0.8217, 0.8034, 0.7918, 0.7896, 0.7907, 0.797, 0.8147, 0.8227, 0.8284");
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01226, 0.05179, 0.1273, 0.2449, 0.4099, 0.627, 0.9006, 1.235, 1.633");
values("0.7486, 0.7738, 0.8383, 0.9352, 1.073, 1.264, 1.514, 1.829, 2.213, 2.671",\
"0.756, 0.7813, 0.8458, 0.9427, 1.081, 1.271, 1.521, 1.836, 2.22, 2.679",\
"0.7852, 0.8103, 0.8749, 0.9719, 1.11, 1.3, 1.55, 1.865, 2.25, 2.708",\
"0.8379, 0.8631, 0.9276, 1.024, 1.162, 1.353, 1.603, 1.918, 2.302, 2.76",\
"0.8899, 0.9152, 0.9797, 1.077, 1.215, 1.405, 1.655, 1.97, 2.354, 2.812",\
"0.9366, 0.9619, 1.026, 1.123, 1.261, 1.452, 1.702, 2.017, 2.401, 2.859",\
"0.9764, 1.002, 1.066, 1.163, 1.301, 1.491, 1.741, 2.056, 2.441, 2.899",\
"1.007, 1.032, 1.097, 1.194, 1.332, 1.522, 1.772, 2.087, 2.471, 2.929",\
"1.026, 1.051, 1.116, 1.213, 1.351, 1.541, 1.791, 2.106, 2.49, 2.949",\
"1.032, 1.058, 1.122, 1.219, 1.357, 1.547, 1.797, 2.112, 2.497, 2.955");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01226, 0.05179, 0.1273, 0.2449, 0.4099, 0.627, 0.9006, 1.235, 1.633");
values("0.7304, 0.7572, 0.8333, 0.9705, 1.187, 1.491, 1.892, 2.396, 3.012, 3.746",\
"0.7378, 0.7647, 0.8406, 0.9781, 1.195, 1.499, 1.899, 2.404, 3.019, 3.753",\
"0.7666, 0.7934, 0.8694, 1.007, 1.223, 1.527, 1.928, 2.432, 3.048, 3.782",\
"0.8175, 0.8443, 0.9203, 1.058, 1.274, 1.578, 1.979, 2.483, 3.099, 3.832",\
"0.8677, 0.8945, 0.9705, 1.108, 1.324, 1.629, 2.029, 2.533, 3.149, 3.883",\
"0.912, 0.9389, 1.015, 1.152, 1.369, 1.673, 2.073, 2.578, 3.193, 3.928",\
"0.9499, 0.9767, 1.053, 1.19, 1.407, 1.711, 2.111, 2.615, 3.231, 3.966",\
"0.9798, 1.006, 1.083, 1.22, 1.436, 1.74, 2.141, 2.645, 3.261, 3.996",\
"0.9991, 1.026, 1.102, 1.239, 1.456, 1.76, 2.16, 2.664, 3.28, 4.014",\
"1.006, 1.033, 1.109, 1.247, 1.463, 1.767, 2.167, 2.672, 3.287, 4.021");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01226, 0.05179, 0.1273, 0.2449, 0.4099, 0.627, 0.9006, 1.235, 1.633");
values("0.06346, 0.08758, 0.1577, 0.2836, 0.4942, 0.8002, 1.207, 1.722, 2.351, 3.096",\
"0.06346, 0.08768, 0.1577, 0.2833, 0.4934, 0.7998, 1.207, 1.722, 2.348, 3.099",\
"0.06334, 0.08764, 0.1576, 0.2832, 0.4932, 0.8004, 1.208, 1.722, 2.351, 3.096",\
"0.0634, 0.0878, 0.1578, 0.2834, 0.494, 0.8004, 1.207, 1.722, 2.35, 3.096",\
"0.0634, 0.0878, 0.1578, 0.2836, 0.494, 0.8002, 1.208, 1.722, 2.351, 3.096",\
"0.0634, 0.0876, 0.1578, 0.2834, 0.4938, 0.8002, 1.207, 1.72, 2.348, 3.1",\
"0.0634, 0.0876, 0.1576, 0.2836, 0.493, 0.7992, 1.207, 1.721, 2.348, 3.096",\
"0.0632, 0.0876, 0.1576, 0.2832, 0.493, 0.7994, 1.206, 1.721, 2.349, 3.098",\
"0.0634, 0.0876, 0.1578, 0.2834, 0.4932, 0.7996, 1.207, 1.721, 2.347, 3.095",\
"0.0634, 0.0876, 0.1576, 0.2836, 0.4934, 0.7992, 1.208, 1.72, 2.348, 3.095");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.01226, 0.05179, 0.1273, 0.2449, 0.4099, 0.627, 0.9006, 1.235, 1.633");
values("0.0661, 0.09854, 0.2095, 0.4435, 0.8168, 1.339, 2.027, 2.899, 3.954, 5.229",\
"0.06644, 0.09896, 0.2096, 0.4438, 0.8166, 1.339, 2.03, 2.899, 3.955, 5.22",\
"0.06646, 0.09896, 0.2095, 0.4438, 0.8168, 1.339, 2.03, 2.899, 3.955, 5.22",\
"0.0664, 0.0988, 0.2094, 0.444, 0.8166, 1.339, 2.03, 2.894, 3.953, 5.22",\
"0.0666, 0.0986, 0.2094, 0.4438, 0.8164, 1.341, 2.028, 2.897, 3.962, 5.217",\
"0.0666, 0.0988, 0.2094, 0.444, 0.8172, 1.34, 2.028, 2.897, 3.962, 5.224",\
"0.066, 0.0986, 0.2098, 0.4436, 0.8158, 1.338, 2.029, 2.897, 3.955, 5.225",\
"0.066, 0.0986, 0.2096, 0.4438, 0.8166, 1.339, 2.026, 2.896, 3.961, 5.225",\
"0.066, 0.0986, 0.21, 0.4438, 0.8164, 1.339, 2.027, 2.895, 3.959, 5.217",\
"0.0664, 0.0988, 0.2098, 0.444, 0.8168, 1.338, 2.029, 2.896, 3.952, 5.218");
}
}
}
}