blob: 55586a12e46bb968336c422ededbd6039c94b239 [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffq_4) {
area : 98.784000 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "D" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D" ;
value : "0.00078072" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D" ;
value : "0.00083997" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D" ;
value : "0.00088794" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D" ;
value : "0.00094491" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.00094491" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.004933 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 13.2 ;
min_period : 2.556 ;
min_pulse_width_high : 1.254 ;
min_pulse_width_low : 1.194 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("0.1415, 0.1407, 0.1402, 0.1436, 0.1518, 0.1653, 0.1842, 0.2092, \
0.2406, 0.2788");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("0.09512, 0.09464, 0.09423, 0.09668, 0.1032, 0.1146, 0.1315, 0.1541, \
0.1826, 0.2171");
}
}
internal_power() {
when : "D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("0.1461, 0.1452, 0.1447, 0.1481, 0.1563, 0.1697, 0.1886, 0.2135, \
0.2449, 0.283");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("0.0952, 0.09471, 0.09426, 0.0967, 0.1033, 0.1147, 0.1317, 0.1543, \
0.1828, 0.2173");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D" ;
fall_constraint(scalar) {
values("1.194");
}
rise_constraint(scalar) {
values("0.908");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "D" ;
fall_constraint(scalar) {
values("0.983");
}
rise_constraint(scalar) {
values("1.254");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : minimum_period ;
when : "!D" ;
rise_constraint(scalar) {
values("2.402");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : minimum_period ;
when : "D" ;
rise_constraint(scalar) {
values("2.556");
}
}
}
pin(D) {
capacitance : 0.003712 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 13.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("0.07968, 0.07913, 0.07831, 0.07997, 0.08484, 0.09269, 0.1037, \
0.1182, 0.1363, 0.1582");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("0.05496, 0.05504, 0.05491, 0.05625, 0.05985, 0.06642, 0.07606, \
0.08886, 0.1049, 0.1244");
}
}
internal_power() {
when : "CLK" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("0.008351, 0.008346, 0.008342, 0.008351, 0.008358, 0.008356, 0.00834, \
0.008324, 0.008294, 0.008236");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("-0.008034, -0.008029, -0.008026, -0.008053, -0.008101, -0.008141, \
-0.00817, -0.008199, -0.008233, -0.00829");
}
}
timing() {
related_pin : "CLK" ;
timing_type : hold_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
index_2("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("0.286, 0.307, 0.393, 0.516, 0.609, 0.683, 0.724, 0.732, 0.707, 0.639",\
"0.265, 0.283, 0.371, 0.488, 0.591, 0.661, 0.706, 0.709, 0.685, 0.615",\
"0.198, 0.218, 0.301, 0.422, 0.524, 0.59, 0.637, 0.649, 0.615, 0.548",\
"0.099, 0.122, 0.205, 0.319, 0.418, 0.48, 0.52, 0.529, 0.496, 0.432",\
"-0.017, 0.002, 0.081, 0.192, 0.289, 0.353, 0.395, 0.395, 0.358, 0.29",\
"-0.15, -0.142, -0.063, 0.046, 0.136, 0.202, 0.237, 0.239, 0.199, 0.13",\
"-0.315, -0.304, -0.231, -0.124, -0.034, 0.027, 0.061, 0.062, 0.015, -0.052",\
"-0.493, -0.486, -0.424, -0.328, -0.239, -0.176, -0.144, -0.148, -0.189, -0.259",\
"-0.701, -0.703, -0.646, -0.562, -0.48, -0.414, -0.384, -0.381, -0.433, -0.496",\
"-0.947, -0.949, -0.898, -0.823, -0.743, -0.681, -0.655, -0.653, -0.713, -0.766");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
index_2("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("-0, 0.024, 0.091, 0.156, 0.193, 0.201, 0.177, 0.12, 0.033, -0.088",\
"-0.025, -0, 0.076, 0.136, 0.175, 0.184, 0.163, 0.108, 0.023, -0.101",\
"-0.084, -0.061, 0.016, 0.08, 0.121, 0.138, 0.116, 0.069, -0.017, -0.136",\
"-0.137, -0.11, -0.04, 0.027, 0.07, 0.079, 0.064, 0.005, -0.073, -0.197",\
"-0.172, -0.147, -0.07, -0.008, 0.03, 0.033, 0.009, -0.046, -0.129, -0.253",\
"-0.193, -0.176, -0.101, -0.041, -0.007, -0.008, -0.032, -0.092, -0.175, -0.303",\
"-0.183, -0.163, -0.089, -0.041, -0.017, -0.024, -0.055, -0.123, -0.218, -0.345",\
"-0.129, -0.108, -0.041, 0.004, 0.018, 0.002, -0.041, -0.113, -0.215, -0.356",\
"-0.021, 0.001, 0.067, 0.1, 0.101, 0.079, 0.03, -0.058, -0.166, -0.318",\
"0.136, 0.157, 0.217, 0.248, 0.235, 0.205, 0.144, 0.052, -0.067, -0.226");
}
}
timing() {
related_pin : "CLK" ;
timing_type : setup_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
index_2("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("0.475, 0.451, 0.359, 0.217, 0.1, 0.01, -0.051, -0.076, -0.063, -0.006",\
"0.492, 0.466, 0.37, 0.229, 0.119, 0.032, -0.035, -0.055, -0.042, 0.021",\
"0.567, 0.545, 0.454, 0.31, 0.194, 0.107, 0.046, 0.025, 0.036, 0.095",\
"0.759, 0.734, 0.642, 0.5, 0.386, 0.301, 0.235, 0.211, 0.226, 0.282",\
"1.025, 1.001, 0.908, 0.773, 0.657, 0.568, 0.507, 0.477, 0.488, 0.552",\
"1.343, 1.32, 1.231, 1.093, 0.974, 0.891, 0.824, 0.799, 0.803, 0.864",\
"1.717, 1.697, 1.605, 1.47, 1.358, 1.263, 1.197, 1.166, 1.179, 1.225",\
"2.152, 2.128, 2.033, 1.898, 1.782, 1.692, 1.624, 1.6, 1.597, 1.645",\
"2.633, 2.615, 2.524, 2.381, 2.266, 2.179, 2.117, 2.071, 2.075, 2.118",\
"3.175, 3.151, 3.056, 2.923, 2.808, 2.717, 2.643, 2.605, 2.602, 2.641");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
index_2("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
values("0.573, 0.548, 0.446, 0.335, 0.26, 0.23, 0.225, 0.27, 0.346, 0.468",\
"0.596, 0.566, 0.468, 0.355, 0.285, 0.249, 0.246, 0.287, 0.365, 0.491",\
"0.668, 0.643, 0.544, 0.432, 0.358, 0.323, 0.317, 0.352, 0.424, 0.543",\
"0.784, 0.754, 0.658, 0.55, 0.478, 0.431, 0.422, 0.453, 0.523, 0.639",\
"0.887, 0.862, 0.769, 0.657, 0.577, 0.529, 0.524, 0.555, 0.624, 0.742",\
"0.954, 0.93, 0.835, 0.724, 0.648, 0.599, 0.59, 0.627, 0.692, 0.805",\
"1.007, 0.981, 0.888, 0.782, 0.696, 0.648, 0.641, 0.67, 0.748, 0.861",\
"1.034, 1.006, 0.911, 0.796, 0.72, 0.673, 0.662, 0.704, 0.775, 0.897",\
"1.014, 0.988, 0.89, 0.78, 0.703, 0.66, 0.653, 0.689, 0.765, 0.895",\
"0.955, 0.925, 0.825, 0.721, 0.643, 0.602, 0.595, 0.629, 0.713, 0.844");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 1.846 ;
max_transition : 13.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
index_2("0.001, 0.01374, 0.05845, 0.1438, 0.2769, 0.4635, 0.7091, 1.019, 1.396, 1.846");
values("0.343, 0.3382, 0.3357, 0.3361, 0.3372, 0.3378, 0.3382, 0.3384, 0.3385, 0.3386",\
"0.3429, 0.3383, 0.3357, 0.3364, 0.3372, 0.3378, 0.3381, 0.3383, 0.3386, 0.3386",\
"0.3429, 0.3382, 0.3356, 0.3361, 0.3371, 0.3378, 0.3381, 0.3383, 0.3385, 0.3386",\
"0.3432, 0.3386, 0.336, 0.3365, 0.3375, 0.3381, 0.3385, 0.3388, 0.3389, 0.339",\
"0.3439, 0.3394, 0.3368, 0.3373, 0.3382, 0.3389, 0.3391, 0.3394, 0.3395, 0.3396",\
"0.3447, 0.3401, 0.3376, 0.3382, 0.3389, 0.3396, 0.3401, 0.3403, 0.3404, 0.3404",\
"0.3456, 0.3409, 0.3384, 0.3391, 0.3399, 0.3405, 0.3409, 0.3411, 0.3412, 0.3413",\
"0.3468, 0.342, 0.3396, 0.3399, 0.341, 0.3417, 0.342, 0.3422, 0.3423, 0.3424",\
"0.3477, 0.343, 0.3406, 0.341, 0.3419, 0.3425, 0.3429, 0.3429, 0.3432, 0.3433",\
"0.3488, 0.344, 0.3417, 0.3421, 0.343, 0.3438, 0.3441, 0.3444, 0.3444, 0.3444");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
index_2("0.001, 0.01374, 0.05845, 0.1438, 0.2769, 0.4635, 0.7091, 1.019, 1.396, 1.846");
values("0.3367, 0.3332, 0.3294, 0.3304, 0.333, 0.3373, 0.3411, 0.3479, 0.4043, 0.7426",\
"0.3367, 0.3332, 0.3294, 0.3305, 0.3332, 0.3375, 0.3422, 0.3463, 0.4069, 0.7491",\
"0.3365, 0.3329, 0.3292, 0.3304, 0.3331, 0.3374, 0.3408, 0.3477, 0.4108, 0.7747",\
"0.3362, 0.333, 0.3291, 0.3301, 0.3336, 0.337, 0.3411, 0.3486, 0.4213, 0.8214",\
"0.3363, 0.333, 0.329, 0.3301, 0.3337, 0.3371, 0.3405, 0.3499, 0.4368, 0.8956",\
"0.3364, 0.3333, 0.3292, 0.3303, 0.333, 0.337, 0.3415, 0.3514, 0.4635, 1.008",\
"0.3367, 0.333, 0.3293, 0.3294, 0.3345, 0.338, 0.3411, 0.3583, 0.5092, 1.178",\
"0.3378, 0.3341, 0.3301, 0.3307, 0.3331, 0.3394, 0.3446, 0.3706, 0.5882, 1.441",\
"0.339, 0.3355, 0.3316, 0.3319, 0.3342, 0.338, 0.345, 0.3977, 0.7321, 1.836",\
"0.341, 0.3371, 0.3333, 0.3334, 0.3356, 0.3376, 0.348, 0.4503, 0.9996, 2.424");
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
index_2("0.001, 0.01374, 0.05845, 0.1438, 0.2769, 0.4635, 0.7091, 1.019, 1.396, 1.846");
values("1.655, 1.714, 1.857, 2.06, 2.339, 2.717, 3.211, 3.833, 4.592, 5.497",\
"1.679, 1.738, 1.881, 2.085, 2.364, 2.742, 3.235, 3.857, 4.616, 5.521",\
"1.768, 1.826, 1.969, 2.173, 2.452, 2.83, 3.324, 3.945, 4.704, 5.608",\
"1.906, 1.965, 2.108, 2.311, 2.59, 2.968, 3.462, 4.084, 4.843, 5.747",\
"2.027, 2.086, 2.229, 2.432, 2.711, 3.089, 3.583, 4.204, 4.964, 5.868",\
"2.121, 2.18, 2.324, 2.527, 2.806, 3.184, 3.678, 4.3, 5.059, 5.964",\
"2.19, 2.249, 2.392, 2.596, 2.875, 3.253, 3.747, 4.368, 5.127, 6.033",\
"2.227, 2.286, 2.429, 2.633, 2.912, 3.29, 3.783, 4.405, 5.163, 6.067",\
"2.228, 2.286, 2.429, 2.633, 2.912, 3.29, 3.784, 4.405, 5.164, 6.068",\
"2.188, 2.246, 2.389, 2.593, 2.872, 3.25, 3.744, 4.366, 5.124, 6.029");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
index_2("0.001, 0.01374, 0.05845, 0.1438, 0.2769, 0.4635, 0.7091, 1.019, 1.396, 1.846");
values("1.667, 1.738, 1.933, 2.279, 2.817, 3.57, 4.559, 5.805, 7.328, 9.139",\
"1.692, 1.763, 1.957, 2.303, 2.842, 3.594, 4.584, 5.831, 7.351, 9.167",\
"1.778, 1.85, 2.044, 2.39, 2.929, 3.681, 4.671, 5.918, 7.439, 9.253",\
"1.911, 1.983, 2.177, 2.523, 3.061, 3.814, 4.804, 6.051, 7.572, 9.386",\
"2.027, 2.098, 2.293, 2.639, 3.177, 3.93, 4.919, 6.166, 7.687, 9.502",\
"2.117, 2.188, 2.383, 2.729, 3.266, 4.02, 5.01, 6.256, 7.777, 9.592",\
"2.182, 2.253, 2.448, 2.794, 3.332, 4.084, 5.074, 6.32, 7.842, 9.657",\
"2.218, 2.289, 2.484, 2.83, 3.368, 4.121, 5.111, 6.356, 7.878, 9.693",\
"2.221, 2.292, 2.487, 2.833, 3.371, 4.124, 5.114, 6.359, 7.88, 9.693",\
"2.186, 2.257, 2.452, 2.798, 3.336, 4.089, 5.079, 6.325, 7.845, 9.658");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
index_2("0.001, 0.01374, 0.05845, 0.1438, 0.2769, 0.4635, 0.7091, 1.019, 1.396, 1.846");
values("0.1444, 0.1984, 0.3452, 0.6044, 1.03, 1.652, 2.483, 3.538, 4.832, 6.364",\
"0.1442, 0.1984, 0.3452, 0.6046, 1.029, 1.651, 2.486, 3.539, 4.831, 6.367",\
"0.1444, 0.1984, 0.346, 0.6044, 1.028, 1.65, 2.485, 3.543, 4.828, 6.375",\
"0.1446, 0.198, 0.3462, 0.604, 1.03, 1.651, 2.483, 3.542, 4.834, 6.376",\
"0.1444, 0.1986, 0.346, 0.606, 1.029, 1.65, 2.485, 3.542, 4.835, 6.373",\
"0.1444, 0.198, 0.3452, 0.6048, 1.028, 1.652, 2.484, 3.541, 4.834, 6.366",\
"0.1444, 0.198, 0.3454, 0.6046, 1.03, 1.652, 2.483, 3.536, 4.826, 6.367",\
"0.1444, 0.1986, 0.3458, 0.604, 1.028, 1.651, 2.483, 3.54, 4.825, 6.359",\
"0.1444, 0.1978, 0.3458, 0.6048, 1.029, 1.651, 2.483, 3.539, 4.828, 6.358",\
"0.144, 0.2, 0.346, 0.606, 1.03, 1.652, 2.484, 3.542, 4.828, 6.362");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.111, 0.4303, 1.04, 1.99, 3.323, 5.077, 7.287, 9.985, 13.2");
index_2("0.001, 0.01374, 0.05845, 0.1438, 0.2769, 0.4635, 0.7091, 1.019, 1.396, 1.846");
values("0.1622, 0.2462, 0.5266, 1.119, 2.063, 3.389, 5.133, 7.319, 10.01, 13.2",\
"0.1624, 0.2464, 0.5268, 1.12, 2.066, 3.386, 5.126, 7.335, 10.01, 13.24",\
"0.163, 0.2464, 0.527, 1.12, 2.065, 3.388, 5.134, 7.322, 10.01, 13.22",\
"0.1628, 0.2464, 0.5268, 1.121, 2.062, 3.385, 5.128, 7.32, 10.01, 13.21",\
"0.1624, 0.2464, 0.527, 1.121, 2.063, 3.388, 5.134, 7.321, 10.01, 13.22",\
"0.1636, 0.2462, 0.527, 1.119, 2.065, 3.385, 5.127, 7.336, 10.01, 13.23",\
"0.1624, 0.2456, 0.527, 1.12, 2.061, 3.385, 5.13, 7.326, 10.01, 13.2",\
"0.1624, 0.2464, 0.5278, 1.12, 2.06, 3.385, 5.127, 7.324, 10.01, 13.22",\
"0.1628, 0.2464, 0.527, 1.121, 2.063, 3.389, 5.132, 7.322, 10.01, 13.2",\
"0.164, 0.246, 0.528, 1.12, 2.064, 3.384, 5.128, 7.324, 10, 13.2");
}
}
}
}