blob: 64a395ca9a3aef1eab64c6085425367a43ab04ad [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffq_1) {
area : 79.027200 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "D" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D" ;
value : "0.000121074" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D" ;
value : "0.00014313" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D" ;
value : "0.00015393" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D" ;
value : "0.000166608" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.000166608" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.004807 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
min_period : 1.606 ;
min_pulse_width_high : 0.6 ;
min_pulse_width_low : 0.798 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1365, 0.1355, 0.1345, 0.1361, 0.1409, 0.1488, 0.1602, 0.1751, \
0.1941, 0.2174");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09203, 0.09148, 0.0905, 0.0913, 0.09486, 0.1017, 0.1117, 0.1254, \
0.1427, 0.1641");
}
}
internal_power() {
when : "D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1403, 0.1393, 0.1382, 0.1398, 0.1446, 0.1525, 0.1638, 0.1788, \
0.1978, 0.2212");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09224, 0.09167, 0.09059, 0.09146, 0.095, 0.1018, 0.1118, 0.1256, \
0.143, 0.1644");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D" ;
fall_constraint(scalar) {
values("0.798");
}
rise_constraint(scalar) {
values("0.504");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "D" ;
fall_constraint(scalar) {
values("0.678");
}
rise_constraint(scalar) {
values("0.6");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : minimum_period ;
when : "!D" ;
rise_constraint(scalar) {
values("1.606");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : minimum_period ;
when : "D" ;
rise_constraint(scalar) {
values("1.452");
}
}
}
pin(D) {
capacitance : 0.003593 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.07463, 0.0738, 0.07274, 0.07364, 0.07666, 0.0816, 0.0886, 0.0979, \
0.1095, 0.1238");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.05247, 0.05243, 0.0518, 0.05219, 0.05424, 0.05835, 0.06455, \
0.07293, 0.08345, 0.09632");
}
}
internal_power() {
when : "CLK" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.008329, 0.008335, 0.008335, 0.008344, 0.008341, 0.008348, 0.008344, \
0.008333, 0.008322, 0.008298");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.0079, -0.007913, -0.007962, -0.008051, -0.008088, -0.008137, \
-0.008151, -0.008163, -0.008182, -0.008206");
}
}
timing() {
related_pin : "CLK" ;
timing_type : hold_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.2, 0.225, 0.303, 0.406, 0.495, 0.558, 0.597, 0.617, 0.607, 0.57",\
"0.185, 0.207, 0.281, 0.388, 0.473, 0.538, 0.582, 0.598, 0.588, 0.55",\
"0.126, 0.144, 0.225, 0.326, 0.409, 0.475, 0.515, 0.537, 0.523, 0.487",\
"0.039, 0.057, 0.136, 0.239, 0.32, 0.384, 0.418, 0.443, 0.427, 0.389",\
"-0.06, -0.049, 0.027, 0.126, 0.205, 0.264, 0.308, 0.332, 0.311, 0.277",\
"-0.187, -0.18, -0.102, -0.002, 0.078, 0.138, 0.176, 0.193, 0.182, 0.152",\
"-0.334, -0.33, -0.25, -0.15, -0.081, -0.02, 0.02, 0.047, 0.028, 0.007",\
"-0.503, -0.497, -0.426, -0.33, -0.256, -0.198, -0.155, -0.126, -0.144, -0.164",\
"-0.705, -0.707, -0.63, -0.54, -0.47, -0.413, -0.365, -0.328, -0.348, -0.358",\
"-0.926, -0.935, -0.861, -0.772, -0.709, -0.654, -0.598, -0.565, -0.577, -0.584");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.034, -0.012, 0.051, 0.104, 0.143, 0.158, 0.145, 0.117, 0.058, -0.029",\
"-0.052, -0.026, 0.034, 0.09, 0.126, 0.135, 0.133, 0.099, 0.044, -0.04",\
"-0.113, -0.089, -0.028, 0.034, 0.071, 0.094, 0.093, 0.066, 0.011, -0.068",\
"-0.175, -0.154, -0.084, -0.019, 0.02, 0.039, 0.041, 0.013, -0.035, -0.118",\
"-0.218, -0.194, -0.126, -0.06, -0.026, -0, -0.001, -0.021, -0.081, -0.155",\
"-0.238, -0.217, -0.151, -0.086, -0.051, -0.028, -0.032, -0.057, -0.109, -0.192",\
"-0.248, -0.226, -0.157, -0.092, -0.059, -0.037, -0.042, -0.073, -0.125, -0.206",\
"-0.223, -0.198, -0.13, -0.073, -0.037, -0.03, -0.035, -0.067, -0.126, -0.21",\
"-0.166, -0.142, -0.081, -0.021, 0.007, 0.014, 0.01, -0.031, -0.091, -0.183",\
"-0.078, -0.055, 0.012, 0.067, 0.093, 0.1, 0.086, 0.045, -0.022, -0.113");
}
}
timing() {
related_pin : "CLK" ;
timing_type : setup_rising ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.275, 0.249, 0.169, 0.05, -0.051, -0.136, -0.194, -0.23, -0.232, -0.206",\
"0.289, 0.267, 0.185, 0.062, -0.04, -0.12, -0.177, -0.206, -0.215, -0.183",\
"0.364, 0.342, 0.263, 0.143, 0.037, -0.046, -0.099, -0.13, -0.139, -0.105",\
"0.532, 0.511, 0.433, 0.315, 0.206, 0.128, 0.068, 0.031, 0.03, 0.06",\
"0.755, 0.733, 0.648, 0.526, 0.427, 0.346, 0.286, 0.249, 0.245, 0.278",\
"0.995, 0.979, 0.896, 0.775, 0.672, 0.589, 0.535, 0.497, 0.488, 0.518",\
"1.276, 1.255, 1.176, 1.058, 0.954, 0.878, 0.812, 0.778, 0.767, 0.797",\
"1.603, 1.58, 1.504, 1.379, 1.277, 1.198, 1.138, 1.103, 1.097, 1.115",\
"1.967, 1.939, 1.863, 1.741, 1.643, 1.564, 1.503, 1.465, 1.452, 1.474",\
"2.367, 2.345, 2.262, 2.148, 2.042, 1.968, 1.901, 1.863, 1.85, 1.873");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.384, 0.357, 0.285, 0.181, 0.116, 0.083, 0.076, 0.096, 0.147, 0.238",\
"0.411, 0.38, 0.302, 0.203, 0.134, 0.104, 0.092, 0.116, 0.168, 0.257",\
"0.474, 0.452, 0.375, 0.272, 0.206, 0.165, 0.156, 0.174, 0.223, 0.304",\
"0.576, 0.552, 0.469, 0.372, 0.3, 0.259, 0.247, 0.263, 0.306, 0.386",\
"0.662, 0.636, 0.556, 0.453, 0.384, 0.335, 0.323, 0.335, 0.38, 0.455",\
"0.711, 0.688, 0.612, 0.514, 0.437, 0.388, 0.379, 0.383, 0.434, 0.51",\
"0.748, 0.724, 0.643, 0.546, 0.476, 0.427, 0.406, 0.419, 0.461, 0.539",\
"0.769, 0.736, 0.665, 0.563, 0.485, 0.438, 0.432, 0.437, 0.485, 0.557",\
"0.751, 0.728, 0.646, 0.551, 0.475, 0.433, 0.418, 0.433, 0.474, 0.551",\
"0.711, 0.685, 0.605, 0.505, 0.431, 0.386, 0.376, 0.384, 0.437, 0.518");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.5646 ;
max_transition : 11.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.00489, 0.01854, 0.04462, 0.08524, 0.1422, 0.2172, 0.3117, 0.4271, 0.5646");
values("0.1259, 0.1259, 0.1267, 0.1275, 0.128, 0.1284, 0.1285, 0.1287, 0.1287, 0.1288",\
"0.1258, 0.1259, 0.1266, 0.1275, 0.128, 0.1283, 0.1285, 0.1286, 0.1286, 0.1287",\
"0.1257, 0.1258, 0.1265, 0.1274, 0.1279, 0.1282, 0.1284, 0.1285, 0.1286, 0.1287",\
"0.1263, 0.1265, 0.1272, 0.128, 0.1285, 0.1289, 0.129, 0.1291, 0.1292, 0.1293",\
"0.1269, 0.1271, 0.1279, 0.1287, 0.1292, 0.1295, 0.1297, 0.1298, 0.1298, 0.1299",\
"0.1276, 0.1277, 0.1285, 0.1294, 0.1299, 0.1302, 0.1303, 0.1305, 0.1305, 0.1306",\
"0.1283, 0.1285, 0.1293, 0.1301, 0.1306, 0.1309, 0.131, 0.1311, 0.1312, 0.1313",\
"0.1291, 0.1292, 0.13, 0.1308, 0.1314, 0.1317, 0.1318, 0.1319, 0.132, 0.132",\
"0.1299, 0.1301, 0.1309, 0.1317, 0.1323, 0.1325, 0.1327, 0.1328, 0.1329, 0.1329",\
"0.1306, 0.1308, 0.1316, 0.1324, 0.1329, 0.1332, 0.1333, 0.1335, 0.1335, 0.1336");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.00489, 0.01854, 0.04462, 0.08524, 0.1422, 0.2172, 0.3117, 0.4271, 0.5646");
values("0.1123, 0.1124, 0.113, 0.1143, 0.1157, 0.1169, 0.1178, 0.1188, 0.1209, 0.1416",\
"0.1122, 0.1123, 0.1129, 0.1143, 0.1157, 0.1167, 0.1178, 0.1188, 0.1218, 0.1447",\
"0.1121, 0.1123, 0.1129, 0.1144, 0.1156, 0.1167, 0.118, 0.1196, 0.1209, 0.1447",\
"0.112, 0.112, 0.1127, 0.114, 0.1154, 0.1166, 0.1173, 0.1184, 0.1212, 0.1494",\
"0.112, 0.112, 0.1128, 0.114, 0.1156, 0.1167, 0.1178, 0.1193, 0.1216, 0.1535",\
"0.1121, 0.112, 0.1127, 0.1142, 0.1155, 0.1165, 0.1175, 0.1184, 0.1235, 0.1637",\
"0.1124, 0.1124, 0.1132, 0.1142, 0.1156, 0.1175, 0.1177, 0.1188, 0.1257, 0.1756",\
"0.1127, 0.1126, 0.1134, 0.1149, 0.1161, 0.1169, 0.1194, 0.1194, 0.1287, 0.2016",\
"0.1134, 0.1134, 0.114, 0.1154, 0.1161, 0.1172, 0.119, 0.1211, 0.1365, 0.2442",\
"0.1139, 0.1139, 0.1145, 0.1156, 0.1169, 0.1169, 0.1186, 0.1221, 0.1515, 0.322");
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.00489, 0.01854, 0.04462, 0.08524, 0.1422, 0.2172, 0.3117, 0.4271, 0.5646");
values("1.053, 1.1, 1.218, 1.394, 1.649, 2.001, 2.462, 3.044, 3.755, 4.601",\
"1.075, 1.122, 1.24, 1.417, 1.671, 2.023, 2.485, 3.067, 3.777, 4.623",\
"1.155, 1.202, 1.319, 1.496, 1.751, 2.102, 2.564, 3.146, 3.857, 4.703",\
"1.276, 1.323, 1.44, 1.617, 1.871, 2.223, 2.685, 3.267, 3.977, 4.823",\
"1.38, 1.427, 1.545, 1.721, 1.976, 2.328, 2.789, 3.371, 4.082, 4.928",\
"1.463, 1.51, 1.628, 1.804, 2.059, 2.41, 2.872, 3.455, 4.164, 5.011",\
"1.524, 1.571, 1.688, 1.865, 2.119, 2.471, 2.933, 3.515, 4.225, 5.071",\
"1.561, 1.608, 1.726, 1.903, 2.157, 2.509, 2.971, 3.553, 4.263, 5.109",\
"1.572, 1.618, 1.736, 1.913, 2.167, 2.519, 2.982, 3.563, 4.273, 5.119",\
"1.552, 1.599, 1.716, 1.893, 2.148, 2.499, 2.961, 3.543, 4.253, 5.1");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.00489, 0.01854, 0.04462, 0.08524, 0.1422, 0.2172, 0.3117, 0.4271, 0.5646");
values("0.9517, 1.005, 1.169, 1.473, 1.944, 2.604, 3.471, 4.563, 5.896, 7.483",\
"0.9737, 1.027, 1.191, 1.495, 1.966, 2.626, 3.492, 4.585, 5.917, 7.504",\
"1.052, 1.105, 1.269, 1.573, 2.044, 2.704, 3.571, 4.662, 5.995, 7.584",\
"1.168, 1.221, 1.385, 1.689, 2.16, 2.82, 3.687, 4.779, 6.112, 7.697",\
"1.268, 1.321, 1.485, 1.789, 2.261, 2.92, 3.788, 4.878, 6.212, 7.8",\
"1.347, 1.4, 1.564, 1.868, 2.34, 2.999, 3.866, 4.959, 6.29, 7.877",\
"1.405, 1.459, 1.622, 1.927, 2.398, 3.057, 3.924, 5.015, 6.348, 7.937",\
"1.442, 1.495, 1.659, 1.963, 2.434, 3.094, 3.96, 5.052, 6.383, 7.971",\
"1.452, 1.506, 1.669, 1.974, 2.445, 3.105, 3.971, 5.062, 6.394, 7.981",\
"1.433, 1.487, 1.65, 1.955, 2.426, 3.085, 3.952, 5.043, 6.375, 7.962");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.00489, 0.01854, 0.04462, 0.08524, 0.1422, 0.2172, 0.3117, 0.4271, 0.5646");
values("0.1194, 0.161, 0.286, 0.5236, 0.918, 1.493, 2.253, 3.208, 4.385, 5.773",\
"0.1196, 0.161, 0.2854, 0.5238, 0.9184, 1.493, 2.252, 3.21, 4.385, 5.771",\
"0.1192, 0.161, 0.2848, 0.5238, 0.918, 1.493, 2.253, 3.208, 4.384, 5.773",\
"0.1192, 0.161, 0.286, 0.5236, 0.9186, 1.493, 2.253, 3.209, 4.385, 5.773",\
"0.1196, 0.1608, 0.2856, 0.5236, 0.918, 1.493, 2.253, 3.21, 4.384, 5.775",\
"0.1194, 0.1612, 0.2854, 0.5236, 0.918, 1.491, 2.252, 3.213, 4.379, 5.78",\
"0.1194, 0.1616, 0.286, 0.5236, 0.9184, 1.491, 2.249, 3.205, 4.378, 5.77",\
"0.1196, 0.161, 0.2854, 0.5234, 0.918, 1.491, 2.249, 3.206, 4.373, 5.767",\
"0.1194, 0.1614, 0.286, 0.5236, 0.9194, 1.492, 2.25, 3.209, 4.377, 5.762",\
"0.1194, 0.1616, 0.285, 0.5238, 0.92, 1.492, 2.249, 3.21, 4.376, 5.764");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.00489, 0.01854, 0.04462, 0.08524, 0.1422, 0.2172, 0.3117, 0.4271, 0.5646");
values("0.1299, 0.1949, 0.4478, 0.9608, 1.759, 2.887, 4.369, 6.238, 8.514, 11.22",\
"0.1304, 0.1952, 0.4478, 0.9592, 1.761, 2.882, 4.361, 6.228, 8.506, 11.23",\
"0.1304, 0.195, 0.4474, 0.9604, 1.761, 2.886, 4.368, 6.237, 8.516, 11.22",\
"0.1294, 0.1954, 0.4478, 0.9608, 1.759, 2.887, 4.367, 6.236, 8.509, 11.22",\
"0.1298, 0.1948, 0.4474, 0.9602, 1.761, 2.886, 4.369, 6.236, 8.516, 11.22",\
"0.13, 0.1952, 0.4476, 0.96, 1.759, 2.884, 4.363, 6.232, 8.506, 11.23",\
"0.13, 0.195, 0.4476, 0.9598, 1.761, 2.88, 4.366, 6.225, 8.516, 11.23",\
"0.13, 0.1956, 0.448, 0.9594, 1.76, 2.882, 4.359, 6.224, 8.499, 11.21",\
"0.13, 0.1952, 0.4478, 0.9594, 1.76, 2.883, 4.359, 6.219, 8.492, 11.22",\
"0.13, 0.1954, 0.4478, 0.9604, 1.761, 2.887, 4.362, 6.222, 8.492, 11.2");
}
}
}
}