blob: 99501ec682b1e92f72caabab041d80be461af637 [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffnrnq_4) {
area : 110.073600 ;
ff(IQ1,IQN1) {
clocked_on : "(!CLKN)" ;
next_state : "D" ;
clear : "(!RN)" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D&!RN" ;
value : "6.7842e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D&RN" ;
value : "9.27738e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D&!RN" ;
value : "6.8166e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D&RN" ;
value : "0.0001459134" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D&!RN" ;
value : "6.34878e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D&RN" ;
value : "6.35166e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D&!RN" ;
value : "6.35076e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D&RN" ;
value : "6.35814e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.0001459134" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLKN) {
capacitance : 0.004508 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 12 ;
min_period : 4.293 ;
min_pulse_width_high : 1.328 ;
min_pulse_width_low : 2.17 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.05274, 0.05255, 0.05211, 0.05172, 0.05152, 0.05151, 0.05167, \
0.05201, 0.05251, 0.05318");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.03367, 0.03356, 0.03329, 0.0329, 0.0326, 0.03251, 0.0326, 0.03289, \
0.03338, 0.03404");
}
}
internal_power() {
when : "!D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.05274, 0.05254, 0.05211, 0.05172, 0.05152, 0.0515, 0.05164, \
0.05199, 0.05251, 0.05319");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.03365, 0.03357, 0.03328, 0.03289, 0.03259, 0.03251, 0.03257, \
0.03286, 0.03335, 0.03404");
}
}
internal_power() {
when : "D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.1031, 0.1029, 0.1024, 0.102, 0.1018, 0.1019, 0.102, 0.1024, \
0.1028, 0.1035");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.06009, 0.05998, 0.0597, 0.05936, 0.0592, 0.05925, 0.05947, 0.0599, \
0.06053, 0.06132");
}
}
internal_power() {
when : "D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.05164, 0.05147, 0.05105, 0.05065, 0.05044, 0.05042, 0.05057, \
0.05091, 0.05144, 0.05212");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.03386, 0.03378, 0.03349, 0.03309, 0.03281, 0.03271, 0.0328, \
0.03308, 0.03357, 0.03423");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&RN" ;
fall_constraint(scalar) {
values("1.43");
}
rise_constraint(scalar) {
values("1.328");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&RN" ;
fall_constraint(scalar) {
values("2.17");
}
rise_constraint(scalar) {
values("1.035");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D_AND_RN === 1'b1" ;
timing_type : minimum_period ;
when : "!D&RN" ;
fall_constraint(scalar) {
values("2.975");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D_AND_RN === 1'b1" ;
timing_type : minimum_period ;
when : "D&RN" ;
fall_constraint(scalar) {
values("4.293");
}
}
}
pin(D) {
capacitance : 0.003677 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 12 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.01142, 0.011, 0.01062, 0.01052, 0.0106, 0.0108, 0.01112, 0.01156, \
0.01215, 0.0129");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.001813, 0.001627, 0.001309, 0.001116, 0.001069, 0.00119, 0.001481, \
0.001898, 0.002481, 0.003217");
}
}
internal_power() {
when : "!CLKN&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.01142, 0.011, 0.01062, 0.01052, 0.0106, 0.01081, 0.01111, 0.01155, \
0.01215, 0.0129");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.001815, 0.001638, 0.001308, 0.001107, 0.001071, 0.001199, 0.001477, \
0.001903, 0.002483, 0.003212");
}
}
internal_power() {
when : "CLKN&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.03836, 0.03812, 0.03781, 0.03759, 0.03747, 0.03753, 0.03773, \
0.03806, 0.03856, 0.03921");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.02669, 0.02657, 0.02638, 0.02618, 0.02603, 0.02599, 0.02613, \
0.02635, 0.02674, 0.02731");
}
}
internal_power() {
when : "CLKN&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.03722, 0.03698, 0.03668, 0.03644, 0.03636, 0.03639, 0.0366, \
0.03695, 0.03747, 0.03812");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.02785, 0.02774, 0.02754, 0.02734, 0.0272, 0.02715, 0.02726, \
0.02752, 0.02789, 0.02844");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : hold_falling ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.2, 0.234, 0.333, 0.515, 0.752, 0.866, 1.034, 1.332, 1.692, 2.093",\
"0.173, 0.211, 0.307, 0.484, 0.716, 0.836, 1.007, 1.302, 1.658, 2.067",\
"0.048, 0.085, 0.187, 0.368, 0.609, 0.704, 0.885, 1.18, 1.535, 1.945",\
"-0.191, -0.163, -0.059, 0.117, 0.362, 0.469, 0.645, 0.95, 1.301, 1.709",\
"-0.507, -0.477, -0.394, -0.22, 0.028, 0.144, 0.305, 0.6, 0.953, 1.361",\
"-0.858, -0.828, -0.739, -0.578, -0.347, -0.222, -0.081, 0.189, 0.536, 0.933",\
"-1.23, -1.2, -1.114, -0.966, -0.737, -0.623, -0.49, -0.24, 0.095, 0.482",\
"-1.631, -1.598, -1.531, -1.373, -1.16, -1.043, -0.92, -0.697, -0.388, 0.002",\
"-2.065, -2.035, -1.952, -1.813, -1.607, -1.499, -1.38, -1.175, -0.885, -0.514",\
"-2.525, -2.487, -2.42, -2.29, -2.086, -1.99, -1.867, -1.685, -1.418, -1.052");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.544, 0.576, 0.696, 0.931, 1.293, 1.719, 2.183, 2.668, 3.184, 3.734",\
"0.514, 0.547, 0.663, 0.897, 1.259, 1.687, 2.152, 2.638, 3.158, 3.697",\
"0.41, 0.442, 0.561, 0.797, 1.159, 1.584, 2.047, 2.537, 3.053, 3.599",\
"0.283, 0.311, 0.43, 0.663, 1.028, 1.462, 1.916, 2.411, 2.929, 3.475",\
"0.171, 0.202, 0.317, 0.553, 0.902, 1.333, 1.796, 2.282, 2.803, 3.348",\
"0.072, 0.109, 0.227, 0.454, 0.8, 1.228, 1.689, 2.175, 2.695, 3.235",\
"-0, 0.032, 0.153, 0.38, 0.722, 1.146, 1.597, 2.086, 2.606, 3.143",\
"-0.044, -0.008, 0.108, 0.336, 0.675, 1.089, 1.541, 2.019, 2.541, 3.079",\
"-0.046, -0.015, 0.107, 0.333, 0.661, 1.066, 1.516, 1.987, 2.504, 3.043",\
"0.002, 0.035, 0.154, 0.373, 0.693, 1.083, 1.526, 1.99, 2.503, 3.036");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : setup_falling ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("1.048, 1.024, 0.894, 0.659, 0.397, 0.072, -0.276, -0.66, -1.077, -1.538",\
"1.078, 1.05, 0.963, 0.694, 0.421, 0.101, -0.242, -0.631, -1.044, -1.509",\
"1.198, 1.177, 1.084, 0.814, 0.543, 0.227, -0.119, -0.506, -0.925, -1.382",\
"1.474, 1.415, 1.286, 1.053, 0.786, 0.464, 0.12, -0.271, -0.686, -1.144",\
"1.804, 1.779, 1.685, 1.418, 1.148, 0.824, 0.479, 0.084, -0.326, -0.783",\
"2.262, 2.235, 2.145, 1.879, 1.602, 1.272, 0.924, 0.531, 0.116, -0.341",\
"2.786, 2.759, 2.671, 2.401, 2.117, 1.781, 1.425, 1.028, 0.616, 0.151",\
"3.348, 3.327, 3.246, 2.962, 2.665, 2.321, 1.965, 1.56, 1.145, 0.679",\
"3.952, 3.927, 3.846, 3.562, 3.26, 2.905, 2.541, 2.138, 1.71, 1.24",\
"4.591, 4.567, 4.497, 4.202, 3.893, 3.522, 3.163, 2.742, 2.318, 1.848");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.343, 0.325, 0.22, -0.006, -0.379, -0.866, -1.345, -1.858, -2.361, -2.935",\
"0.373, 0.353, 0.249, 0.022, -0.355, -0.836, -1.317, -1.819, -2.328, -2.908",\
"0.473, 0.449, 0.345, 0.121, -0.256, -0.73, -1.213, -1.727, -2.226, -2.806",\
"0.642, 0.613, 0.503, 0.274, -0.092, -0.568, -1.055, -1.557, -2.067, -2.638",\
"0.841, 0.809, 0.696, 0.461, 0.101, -0.371, -0.854, -1.364, -1.868, -2.441",\
"1.037, 1.011, 0.886, 0.648, 0.288, -0.177, -0.651, -1.159, -1.666, -2.24",\
"1.218, 1.194, 1.076, 0.836, 0.47, 0.003, -0.468, -0.974, -1.47, -2.045",\
"1.388, 1.36, 1.24, 0.996, 0.631, 0.166, -0.307, -0.808, -1.306, -1.878",\
"1.532, 1.503, 1.381, 1.138, 0.764, 0.304, -0.167, -0.669, -1.171, -1.737",\
"1.647, 1.617, 1.5, 1.253, 0.874, 0.413, -0.062, -0.568, -1.071, -1.635");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 1.256 ;
max_transition : 12 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLKN" ;
when : "RN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.09611, 0.09643, 0.09785, 0.09939, 0.1005, 0.1013, 0.1017, 0.102, 0.1021, 0.1023",\
"0.09614, 0.09655, 0.09786, 0.09941, 0.1006, 0.1013, 0.1017, 0.102, 0.1022, 0.1023",\
"0.09614, 0.09659, 0.09789, 0.09947, 0.1006, 0.1013, 0.1017, 0.102, 0.1022, 0.1023",\
"0.09605, 0.09649, 0.09778, 0.09933, 0.1005, 0.1012, 0.1016, 0.1019, 0.1021, 0.1022",\
"0.09586, 0.09637, 0.09766, 0.09922, 0.1003, 0.1011, 0.1015, 0.1018, 0.1019, 0.1021",\
"0.0957, 0.09616, 0.09749, 0.09903, 0.1002, 0.1009, 0.1013, 0.1016, 0.1018, 0.1019",\
"0.09556, 0.096, 0.0973, 0.09887, 0.1, 0.1007, 0.1012, 0.1015, 0.1016, 0.1018",\
"0.09543, 0.0958, 0.09717, 0.09872, 0.09985, 0.1006, 0.101, 0.1013, 0.1015, 0.1016",\
"0.09532, 0.09574, 0.09701, 0.09855, 0.09971, 0.1004, 0.1009, 0.1012, 0.1013, 0.1014",\
"0.09517, 0.09564, 0.09697, 0.09849, 0.09965, 0.1004, 0.1008, 0.1011, 0.1013, 0.1014");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.1005, 0.1008, 0.1012, 0.1025, 0.1039, 0.1055, 0.1072, 0.1082, 0.1147, 0.161",\
"0.1005, 0.1006, 0.1014, 0.1025, 0.1039, 0.1055, 0.1071, 0.1082, 0.1145, 0.1617",\
"0.1005, 0.1006, 0.1013, 0.1026, 0.1042, 0.1058, 0.1075, 0.1091, 0.1152, 0.1667",\
"0.1006, 0.1006, 0.1013, 0.1026, 0.1042, 0.1055, 0.107, 0.1085, 0.1165, 0.1768",\
"0.1006, 0.1007, 0.1013, 0.1027, 0.1042, 0.1055, 0.1071, 0.1087, 0.1193, 0.1963",\
"0.1009, 0.1011, 0.1017, 0.103, 0.1045, 0.1061, 0.1075, 0.11, 0.1256, 0.2318",\
"0.1012, 0.1014, 0.102, 0.1032, 0.1048, 0.1065, 0.1077, 0.1111, 0.1379, 0.2939",\
"0.1015, 0.1015, 0.1022, 0.1033, 0.1048, 0.1065, 0.1081, 0.1135, 0.1648, 0.3998",\
"0.1016, 0.1018, 0.1024, 0.1037, 0.1053, 0.1068, 0.1086, 0.1224, 0.2285, 0.5798",\
"0.1019, 0.102, 0.1027, 0.1038, 0.105, 0.1071, 0.1115, 0.1536, 0.3754, 0.8337");
}
}
internal_power() {
related_pin : "RN" ;
when : "!CLKN&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.1355, 0.1358, 0.1372, 0.1388, 0.1399, 0.1406, 0.1411, 0.1413, 0.1415, 0.1416",\
"0.1353, 0.1356, 0.137, 0.1386, 0.1397, 0.1404, 0.1409, 0.1411, 0.1413, 0.1414",\
"0.1349, 0.1353, 0.1367, 0.1382, 0.1394, 0.1401, 0.1405, 0.1408, 0.141, 0.1411",\
"0.1346, 0.1349, 0.1362, 0.1377, 0.1388, 0.1396, 0.1401, 0.1404, 0.1406, 0.1407",\
"0.1343, 0.1346, 0.1355, 0.1369, 0.1381, 0.1389, 0.1395, 0.1399, 0.1401, 0.1403",\
"0.1343, 0.1345, 0.1351, 0.1362, 0.1374, 0.1383, 0.1389, 0.1394, 0.1397, 0.1399",\
"0.1348, 0.1346, 0.1349, 0.1358, 0.1369, 0.1378, 0.1384, 0.139, 0.1393, 0.1396",\
"0.1356, 0.1353, 0.1352, 0.1358, 0.1367, 0.1375, 0.1383, 0.1388, 0.1392, 0.1395",\
"0.1371, 0.1364, 0.1358, 0.1362, 0.1369, 0.1377, 0.1384, 0.1389, 0.1394, 0.1397",\
"0.139, 0.1379, 0.137, 0.1369, 0.1374, 0.1382, 0.1388, 0.1394, 0.1398, 0.1402");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.1355, 0.1358, 0.1372, 0.1388, 0.1399, 0.1406, 0.1411, 0.1413, 0.1415, 0.1416",\
"0.1353, 0.1356, 0.137, 0.1386, 0.1397, 0.1404, 0.1409, 0.1411, 0.1413, 0.1414",\
"0.1349, 0.1353, 0.1367, 0.1382, 0.1394, 0.1401, 0.1405, 0.1408, 0.141, 0.1411",\
"0.1346, 0.1349, 0.1362, 0.1377, 0.1388, 0.1396, 0.1401, 0.1404, 0.1406, 0.1407",\
"0.1343, 0.1346, 0.1355, 0.1369, 0.1381, 0.1389, 0.1395, 0.1399, 0.1401, 0.1403",\
"0.1343, 0.1345, 0.1351, 0.1362, 0.1374, 0.1383, 0.1389, 0.1394, 0.1397, 0.1399",\
"0.1348, 0.1346, 0.1349, 0.1358, 0.1369, 0.1378, 0.1384, 0.139, 0.1393, 0.1396",\
"0.1356, 0.1353, 0.1352, 0.1358, 0.1367, 0.1375, 0.1383, 0.1388, 0.1392, 0.1395",\
"0.1371, 0.1364, 0.1358, 0.1362, 0.1369, 0.1377, 0.1384, 0.1389, 0.1394, 0.1397",\
"0.139, 0.1379, 0.137, 0.1369, 0.1374, 0.1382, 0.1388, 0.1394, 0.1398, 0.1402");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "!CLKN&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.1355, 0.1359, 0.1372, 0.1388, 0.1399, 0.1407, 0.1411, 0.1413, 0.1415, 0.1417",\
"0.1353, 0.1356, 0.137, 0.1386, 0.1397, 0.1404, 0.1409, 0.1411, 0.1413, 0.1414",\
"0.1349, 0.1354, 0.1367, 0.1382, 0.1394, 0.1401, 0.1405, 0.1408, 0.141, 0.1411",\
"0.1346, 0.1349, 0.1362, 0.1377, 0.1388, 0.1396, 0.1401, 0.1403, 0.1406, 0.1407",\
"0.1343, 0.1346, 0.1355, 0.1369, 0.1381, 0.1389, 0.1395, 0.1399, 0.1401, 0.1403",\
"0.1343, 0.1345, 0.1351, 0.1362, 0.1374, 0.1383, 0.1389, 0.1394, 0.1397, 0.1399",\
"0.1348, 0.1346, 0.135, 0.1358, 0.1368, 0.1377, 0.1384, 0.139, 0.1393, 0.1396",\
"0.1356, 0.1353, 0.1352, 0.1358, 0.1366, 0.1375, 0.1383, 0.1388, 0.1392, 0.1395",\
"0.1371, 0.1364, 0.1359, 0.1362, 0.1369, 0.1376, 0.1383, 0.1389, 0.1394, 0.1397",\
"0.139, 0.1379, 0.137, 0.137, 0.1375, 0.1382, 0.1388, 0.1394, 0.1399, 0.1402");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.1355, 0.1359, 0.1372, 0.1388, 0.1399, 0.1407, 0.1411, 0.1413, 0.1415, 0.1417",\
"0.1353, 0.1356, 0.137, 0.1386, 0.1397, 0.1404, 0.1409, 0.1411, 0.1413, 0.1414",\
"0.1349, 0.1354, 0.1367, 0.1382, 0.1394, 0.1401, 0.1405, 0.1408, 0.141, 0.1411",\
"0.1346, 0.1349, 0.1362, 0.1377, 0.1388, 0.1396, 0.1401, 0.1403, 0.1406, 0.1407",\
"0.1343, 0.1346, 0.1355, 0.1369, 0.1381, 0.1389, 0.1395, 0.1399, 0.1401, 0.1403",\
"0.1343, 0.1345, 0.1351, 0.1362, 0.1374, 0.1383, 0.1389, 0.1394, 0.1397, 0.1399",\
"0.1348, 0.1346, 0.135, 0.1358, 0.1368, 0.1377, 0.1384, 0.139, 0.1393, 0.1396",\
"0.1356, 0.1353, 0.1352, 0.1358, 0.1366, 0.1375, 0.1383, 0.1388, 0.1392, 0.1395",\
"0.1371, 0.1364, 0.1359, 0.1362, 0.1369, 0.1376, 0.1383, 0.1389, 0.1394, 0.1397",\
"0.139, 0.1379, 0.137, 0.137, 0.1375, 0.1382, 0.1388, 0.1394, 0.1399, 0.1402");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "CLKN&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.1021, 0.1025, 0.1039, 0.1055, 0.1066, 0.1073, 0.1077, 0.108, 0.1082, 0.1083",\
"0.102, 0.1024, 0.1038, 0.1054, 0.1065, 0.1072, 0.1076, 0.1079, 0.1081, 0.1082",\
"0.1018, 0.1023, 0.1036, 0.1052, 0.1063, 0.107, 0.1074, 0.1077, 0.1079, 0.108",\
"0.1015, 0.1019, 0.1031, 0.1046, 0.1058, 0.1065, 0.107, 0.1073, 0.1075, 0.1076",\
"0.101, 0.1012, 0.1022, 0.1036, 0.1048, 0.1056, 0.1062, 0.1066, 0.1068, 0.107",\
"0.1009, 0.101, 0.1016, 0.1028, 0.1039, 0.1048, 0.1055, 0.1059, 0.1062, 0.1064",\
"0.1012, 0.1011, 0.1014, 0.1023, 0.1033, 0.1042, 0.1049, 0.1054, 0.1058, 0.1061",\
"0.102, 0.1015, 0.1016, 0.1022, 0.1031, 0.1039, 0.1047, 0.1052, 0.1056, 0.1059",\
"0.1032, 0.1025, 0.1021, 0.1024, 0.1032, 0.1039, 0.1046, 0.1052, 0.1057, 0.106",\
"0.1047, 0.1037, 0.1029, 0.1029, 0.1035, 0.1042, 0.1049, 0.1054, 0.1059, 0.1062");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.1021, 0.1025, 0.1039, 0.1055, 0.1066, 0.1073, 0.1077, 0.108, 0.1082, 0.1083",\
"0.102, 0.1024, 0.1038, 0.1054, 0.1065, 0.1072, 0.1076, 0.1079, 0.1081, 0.1082",\
"0.1018, 0.1023, 0.1036, 0.1052, 0.1063, 0.107, 0.1074, 0.1077, 0.1079, 0.108",\
"0.1015, 0.1019, 0.1031, 0.1046, 0.1058, 0.1065, 0.107, 0.1073, 0.1075, 0.1076",\
"0.101, 0.1012, 0.1022, 0.1036, 0.1048, 0.1056, 0.1062, 0.1066, 0.1068, 0.107",\
"0.1009, 0.101, 0.1016, 0.1028, 0.1039, 0.1048, 0.1055, 0.1059, 0.1062, 0.1064",\
"0.1012, 0.1011, 0.1014, 0.1023, 0.1033, 0.1042, 0.1049, 0.1054, 0.1058, 0.1061",\
"0.102, 0.1015, 0.1016, 0.1022, 0.1031, 0.1039, 0.1047, 0.1052, 0.1056, 0.1059",\
"0.1032, 0.1025, 0.1021, 0.1024, 0.1032, 0.1039, 0.1046, 0.1052, 0.1057, 0.106",\
"0.1047, 0.1037, 0.1029, 0.1029, 0.1035, 0.1042, 0.1049, 0.1054, 0.1059, 0.1062");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "CLKN&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.1041, 0.1045, 0.1059, 0.1074, 0.1086, 0.1092, 0.1097, 0.11, 0.1101, 0.1103",\
"0.104, 0.1044, 0.1058, 0.1074, 0.1085, 0.1092, 0.1096, 0.1099, 0.1101, 0.1102",\
"0.1038, 0.1042, 0.1056, 0.1072, 0.1083, 0.109, 0.1094, 0.1097, 0.1099, 0.11",\
"0.1035, 0.1039, 0.1051, 0.1066, 0.1078, 0.1085, 0.109, 0.1093, 0.1095, 0.1096",\
"0.103, 0.1032, 0.1042, 0.1056, 0.1068, 0.1076, 0.1082, 0.1086, 0.1088, 0.109",\
"0.1029, 0.1029, 0.1036, 0.1048, 0.1059, 0.1068, 0.1075, 0.1079, 0.1082, 0.1084",\
"0.1032, 0.103, 0.1034, 0.1043, 0.1053, 0.1062, 0.1069, 0.1074, 0.1078, 0.1081",\
"0.104, 0.1036, 0.1036, 0.1042, 0.1051, 0.1059, 0.1066, 0.1072, 0.1076, 0.1079",\
"0.1051, 0.1045, 0.1041, 0.1044, 0.1051, 0.1059, 0.1066, 0.1072, 0.1077, 0.1079",\
"0.1067, 0.1057, 0.1049, 0.1049, 0.1055, 0.1062, 0.1068, 0.1074, 0.1079, 0.1082");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.1041, 0.1045, 0.1059, 0.1074, 0.1086, 0.1092, 0.1097, 0.11, 0.1101, 0.1103",\
"0.104, 0.1044, 0.1058, 0.1074, 0.1085, 0.1092, 0.1096, 0.1099, 0.1101, 0.1102",\
"0.1038, 0.1042, 0.1056, 0.1072, 0.1083, 0.109, 0.1094, 0.1097, 0.1099, 0.11",\
"0.1035, 0.1039, 0.1051, 0.1066, 0.1078, 0.1085, 0.109, 0.1093, 0.1095, 0.1096",\
"0.103, 0.1032, 0.1042, 0.1056, 0.1068, 0.1076, 0.1082, 0.1086, 0.1088, 0.109",\
"0.1029, 0.1029, 0.1036, 0.1048, 0.1059, 0.1068, 0.1075, 0.1079, 0.1082, 0.1084",\
"0.1032, 0.103, 0.1034, 0.1043, 0.1053, 0.1062, 0.1069, 0.1074, 0.1078, 0.1081",\
"0.104, 0.1036, 0.1036, 0.1042, 0.1051, 0.1059, 0.1066, 0.1072, 0.1076, 0.1079",\
"0.1051, 0.1045, 0.1041, 0.1044, 0.1051, 0.1059, 0.1066, 0.1072, 0.1077, 0.1079",\
"0.1067, 0.1057, 0.1049, 0.1049, 0.1055, 0.1062, 0.1068, 0.1074, 0.1079, 0.1082");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
timing() {
related_pin : "CLKN" ;
timing_type : falling_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("2.107, 2.174, 2.333, 2.54, 2.789, 3.095, 3.474, 3.941, 4.507, 5.181",\
"2.14, 2.208, 2.367, 2.573, 2.822, 3.128, 3.507, 3.974, 4.541, 5.215",\
"2.263, 2.33, 2.489, 2.696, 2.945, 3.251, 3.63, 4.097, 4.663, 5.338",\
"2.503, 2.571, 2.73, 2.936, 3.186, 3.491, 3.87, 4.337, 4.904, 5.578",\
"2.876, 2.944, 3.103, 3.309, 3.558, 3.864, 4.243, 4.71, 5.277, 5.951",\
"3.338, 3.406, 3.565, 3.772, 4.021, 4.327, 4.706, 5.173, 5.739, 6.414",\
"3.843, 3.91, 4.069, 4.276, 4.525, 4.83, 5.21, 5.677, 6.243, 6.917",\
"4.379, 4.447, 4.606, 4.812, 5.062, 5.368, 5.747, 6.213, 6.78, 7.454",\
"4.946, 5.014, 5.174, 5.38, 5.629, 5.935, 6.314, 6.781, 7.348, 8.022",\
"5.545, 5.612, 5.772, 5.979, 6.228, 6.534, 6.913, 7.38, 7.947, 8.621");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("2.953, 3.058, 3.323, 3.708, 4.233, 4.941, 5.864, 7.023, 8.438, 10.12",\
"2.985, 3.091, 3.357, 3.742, 4.266, 4.975, 5.897, 7.055, 8.47, 10.15",\
"3.108, 3.214, 3.479, 3.864, 4.389, 5.097, 6.02, 7.179, 8.592, 10.28",\
"3.349, 3.454, 3.719, 4.105, 4.63, 5.337, 6.26, 7.42, 8.833, 10.52",\
"3.72, 3.825, 4.091, 4.476, 5.001, 5.709, 6.632, 7.791, 9.203, 10.89",\
"4.181, 4.286, 4.552, 4.937, 5.46, 6.169, 7.092, 8.251, 9.664, 11.35",\
"4.68, 4.786, 5.051, 5.436, 5.961, 6.669, 7.591, 8.75, 10.16, 11.85",\
"5.21, 5.316, 5.581, 5.966, 6.491, 7.198, 8.121, 9.28, 10.7, 12.38",\
"5.77, 5.876, 6.141, 6.526, 7.051, 7.759, 8.681, 9.841, 11.25, 12.94",\
"6.357, 6.463, 6.728, 7.113, 7.638, 8.346, 9.268, 10.43, 11.84, 13.52");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.2418, 0.2922, 0.4258, 0.6264, 0.9248, 1.354, 1.946, 2.718, 3.672, 4.819",\
"0.242, 0.2934, 0.4256, 0.6264, 0.9246, 1.355, 1.948, 2.719, 3.676, 4.817",\
"0.242, 0.2924, 0.4256, 0.627, 0.9242, 1.356, 1.946, 2.719, 3.672, 4.818",\
"0.242, 0.2926, 0.4256, 0.6262, 0.9246, 1.356, 1.948, 2.715, 3.676, 4.821",\
"0.2418, 0.2924, 0.4252, 0.6264, 0.9248, 1.356, 1.946, 2.718, 3.675, 4.821",\
"0.242, 0.2928, 0.4248, 0.6264, 0.9248, 1.356, 1.946, 2.719, 3.676, 4.824",\
"0.2418, 0.2926, 0.4258, 0.6268, 0.9252, 1.356, 1.946, 2.715, 3.676, 4.817",\
"0.2426, 0.2924, 0.4258, 0.6264, 0.9242, 1.355, 1.946, 2.72, 3.674, 4.816",\
"0.242, 0.294, 0.426, 0.626, 0.924, 1.356, 1.948, 2.714, 3.668, 4.818",\
"0.242, 0.292, 0.428, 0.628, 0.924, 1.358, 1.948, 2.716, 3.668, 4.816");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.3386, 0.4328, 0.7056, 1.193, 1.985, 3.151, 4.715, 6.69, 9.111, 11.99",\
"0.3392, 0.433, 0.705, 1.193, 1.985, 3.15, 4.713, 6.685, 9.107, 12.01",\
"0.3392, 0.432, 0.7058, 1.191, 1.985, 3.148, 4.714, 6.695, 9.115, 11.99",\
"0.3396, 0.4322, 0.7062, 1.193, 1.982, 3.15, 4.713, 6.694, 9.116, 12.01",\
"0.3386, 0.432, 0.706, 1.191, 1.984, 3.15, 4.709, 6.693, 9.114, 12",\
"0.3386, 0.433, 0.7062, 1.193, 1.984, 3.15, 4.711, 6.693, 9.114, 11.99",\
"0.3388, 0.433, 0.7054, 1.192, 1.983, 3.148, 4.713, 6.693, 9.114, 11.99",\
"0.339, 0.432, 0.7064, 1.192, 1.986, 3.15, 4.714, 6.692, 9.108, 12",\
"0.338, 0.432, 0.704, 1.192, 1.982, 3.146, 4.71, 6.694, 9.116, 11.99",\
"0.338, 0.432, 0.708, 1.192, 1.984, 3.15, 4.71, 6.682, 9.094, 11.99");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLKN===1'b0 && D===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "!CLKN&!D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.9093, 0.9771, 1.137, 1.344, 1.594, 1.902, 2.288, 2.756, 3.32, 3.992",\
"0.9444, 1.012, 1.172, 1.379, 1.629, 1.937, 2.323, 2.791, 3.355, 4.027",\
"1.071, 1.139, 1.299, 1.506, 1.756, 2.065, 2.45, 2.918, 3.482, 4.153",\
"1.316, 1.384, 1.543, 1.75, 2.001, 2.309, 2.695, 3.162, 3.725, 4.397",\
"1.698, 1.766, 1.925, 2.133, 2.384, 2.694, 3.077, 3.542, 4.106, 4.778",\
"2.196, 2.271, 2.439, 2.652, 2.905, 3.217, 3.596, 4.06, 4.623, 5.296",\
"2.739, 2.825, 3.017, 3.249, 3.515, 3.828, 4.203, 4.665, 5.228, 5.901",\
"3.314, 3.412, 3.63, 3.885, 4.166, 4.478, 4.851, 5.312, 5.875, 6.547",\
"3.92, 4.028, 4.272, 4.555, 4.845, 5.152, 5.522, 5.983, 6.547, 7.219",\
"4.557, 4.675, 4.944, 5.256, 5.544, 5.846, 6.216, 6.678, 7.24, 7.913");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.2443, 0.2943, 0.4262, 0.6284, 0.9294, 1.371, 1.959, 2.711, 3.648, 4.789",\
"0.2444, 0.2944, 0.4262, 0.6288, 0.9296, 1.371, 1.958, 2.71, 3.646, 4.788",\
"0.2444, 0.2946, 0.4266, 0.629, 0.9298, 1.371, 1.958, 2.709, 3.648, 4.788",\
"0.2444, 0.2946, 0.4274, 0.629, 0.9306, 1.371, 1.955, 2.706, 3.647, 4.789",\
"0.2466, 0.2968, 0.4292, 0.6308, 0.9324, 1.37, 1.949, 2.697, 3.643, 4.792",\
"0.284, 0.3314, 0.4532, 0.6464, 0.9424, 1.366, 1.937, 2.69, 3.641, 4.792",\
"0.343, 0.3966, 0.523, 0.7028, 0.9802, 1.369, 1.926, 2.683, 3.637, 4.792",\
"0.404, 0.4668, 0.602, 0.7782, 1.02, 1.372, 1.92, 2.682, 3.641, 4.792",\
"0.4666, 0.5376, 0.6872, 0.8574, 1.038, 1.362, 1.918, 2.686, 3.642, 4.788",\
"0.53, 0.608, 0.778, 0.916, 1.032, 1.356, 1.916, 2.69, 3.646, 4.792");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLKN===1'b0 && D===1'b1" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "!CLKN&D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.9093, 0.9771, 1.137, 1.344, 1.594, 1.902, 2.288, 2.756, 3.32, 3.992",\
"0.9444, 1.012, 1.172, 1.379, 1.629, 1.937, 2.323, 2.791, 3.355, 4.027",\
"1.071, 1.139, 1.299, 1.506, 1.756, 2.065, 2.45, 2.918, 3.482, 4.154",\
"1.316, 1.384, 1.543, 1.75, 2.001, 2.31, 2.695, 3.162, 3.725, 4.397",\
"1.698, 1.766, 1.926, 2.133, 2.384, 2.693, 3.077, 3.543, 4.106, 4.778",\
"2.196, 2.271, 2.439, 2.651, 2.905, 3.217, 3.597, 4.06, 4.623, 5.296",\
"2.739, 2.825, 3.018, 3.249, 3.514, 3.828, 4.203, 4.665, 5.228, 5.901",\
"3.314, 3.412, 3.63, 3.885, 4.166, 4.478, 4.85, 5.312, 5.875, 6.547",\
"3.92, 4.028, 4.272, 4.555, 4.845, 5.151, 5.522, 5.983, 6.547, 7.219",\
"4.557, 4.675, 4.944, 5.256, 5.543, 5.846, 6.215, 6.677, 7.24, 7.913");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.2443, 0.2943, 0.4262, 0.6284, 0.9294, 1.371, 1.959, 2.712, 3.648, 4.789",\
"0.2444, 0.2942, 0.4262, 0.6288, 0.9296, 1.371, 1.958, 2.71, 3.648, 4.792",\
"0.2444, 0.294, 0.4266, 0.629, 0.9296, 1.371, 1.958, 2.709, 3.649, 4.791",\
"0.2446, 0.2944, 0.4266, 0.6288, 0.9304, 1.371, 1.956, 2.706, 3.647, 4.791",\
"0.2466, 0.2968, 0.4292, 0.6312, 0.9322, 1.37, 1.948, 2.697, 3.644, 4.792",\
"0.2842, 0.3312, 0.4534, 0.6462, 0.9426, 1.367, 1.937, 2.69, 3.642, 4.789",\
"0.3428, 0.3968, 0.5234, 0.7026, 0.9802, 1.369, 1.925, 2.683, 3.638, 4.79",\
"0.4044, 0.4668, 0.6018, 0.778, 1.019, 1.37, 1.921, 2.682, 3.643, 4.792",\
"0.466, 0.5366, 0.6884, 0.8574, 1.036, 1.364, 1.918, 2.686, 3.64, 4.786",\
"0.53, 0.608, 0.778, 0.916, 1.032, 1.358, 1.918, 2.688, 3.644, 4.79");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLKN===1'b1 && D===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "CLKN&!D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.9071, 0.9744, 1.133, 1.341, 1.594, 1.896, 2.268, 2.73, 3.294, 3.967",\
"0.9421, 1.01, 1.168, 1.376, 1.629, 1.931, 2.303, 2.765, 3.329, 4.002",\
"1.069, 1.137, 1.295, 1.503, 1.757, 2.059, 2.43, 2.892, 3.456, 4.129",\
"1.314, 1.381, 1.539, 1.747, 2.001, 2.303, 2.674, 3.137, 3.701, 4.374",\
"1.696, 1.763, 1.921, 2.129, 2.383, 2.685, 3.056, 3.519, 4.083, 4.755",\
"2.194, 2.268, 2.434, 2.647, 2.903, 3.204, 3.576, 4.038, 4.602, 5.276",\
"2.737, 2.821, 3.01, 3.242, 3.508, 3.812, 4.182, 4.644, 5.208, 5.881",\
"3.311, 3.406, 3.619, 3.874, 4.155, 4.462, 4.833, 5.295, 5.858, 6.53",\
"3.914, 4.02, 4.258, 4.54, 4.838, 5.148, 5.517, 5.978, 6.542, 7.213",\
"4.548, 4.664, 4.927, 5.236, 5.551, 5.864, 6.234, 6.694, 7.256, 7.928");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.2436, 0.293, 0.4234, 0.6358, 0.9262, 1.328, 1.907, 2.677, 3.643, 4.794",\
"0.2436, 0.2924, 0.4236, 0.636, 0.9262, 1.328, 1.907, 2.68, 3.64, 4.796",\
"0.2432, 0.2928, 0.4236, 0.6358, 0.9262, 1.328, 1.907, 2.681, 3.639, 4.796",\
"0.2434, 0.2922, 0.4234, 0.636, 0.926, 1.328, 1.906, 2.677, 3.643, 4.794",\
"0.2452, 0.294, 0.425, 0.6366, 0.9262, 1.327, 1.906, 2.68, 3.64, 4.796",\
"0.2814, 0.3274, 0.4486, 0.6518, 0.9322, 1.328, 1.906, 2.678, 3.643, 4.788",\
"0.339, 0.3908, 0.5158, 0.7074, 0.9646, 1.338, 1.909, 2.681, 3.64, 4.796",\
"0.398, 0.4566, 0.5922, 0.7802, 1.01, 1.358, 1.915, 2.681, 3.639, 4.793",\
"0.4576, 0.525, 0.6738, 0.8638, 1.062, 1.378, 1.924, 2.688, 3.64, 4.788",\
"0.52, 0.592, 0.756, 0.954, 1.116, 1.396, 1.93, 2.688, 3.644, 4.788");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLKN===1'b1 && D===1'b1" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "CLKN&D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.907, 0.9745, 1.133, 1.341, 1.595, 1.897, 2.268, 2.73, 3.294, 3.967",\
"0.9421, 1.01, 1.168, 1.376, 1.63, 1.932, 2.303, 2.765, 3.329, 4.002",\
"1.069, 1.137, 1.295, 1.503, 1.757, 2.059, 2.43, 2.892, 3.456, 4.129",\
"1.314, 1.382, 1.54, 1.748, 2.001, 2.303, 2.675, 3.137, 3.701, 4.374",\
"1.696, 1.764, 1.921, 2.13, 2.383, 2.685, 3.056, 3.519, 4.083, 4.756",\
"2.195, 2.268, 2.434, 2.647, 2.903, 3.205, 3.576, 4.038, 4.602, 5.276",\
"2.737, 2.821, 3.01, 3.242, 3.508, 3.812, 4.182, 4.644, 5.208, 5.881",\
"3.311, 3.406, 3.62, 3.875, 4.156, 4.463, 4.833, 5.295, 5.858, 6.53",\
"3.915, 4.02, 4.258, 4.54, 4.837, 5.147, 5.517, 5.978, 6.541, 7.213",\
"4.548, 4.664, 4.926, 5.235, 5.551, 5.863, 6.233, 6.693, 7.256, 7.927");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.2435, 0.2919, 0.4236, 0.6358, 0.926, 1.328, 1.907, 2.679, 3.642, 4.796",\
"0.2434, 0.2918, 0.4234, 0.6356, 0.9262, 1.328, 1.906, 2.679, 3.643, 4.795",\
"0.2438, 0.292, 0.4234, 0.6358, 0.9262, 1.328, 1.907, 2.681, 3.639, 4.796",\
"0.243, 0.2918, 0.4234, 0.6358, 0.9262, 1.327, 1.906, 2.68, 3.642, 4.787",\
"0.2452, 0.2938, 0.4252, 0.6368, 0.926, 1.327, 1.906, 2.68, 3.641, 4.796",\
"0.2814, 0.3274, 0.4486, 0.6514, 0.9318, 1.328, 1.906, 2.681, 3.64, 4.795",\
"0.3386, 0.3908, 0.5162, 0.7072, 0.9642, 1.338, 1.908, 2.679, 3.644, 4.792",\
"0.398, 0.4564, 0.5914, 0.78, 1.011, 1.359, 1.915, 2.68, 3.637, 4.787",\
"0.4576, 0.524, 0.6726, 0.8636, 1.062, 1.378, 1.924, 2.688, 3.642, 4.788",\
"0.52, 0.594, 0.754, 0.954, 1.116, 1.398, 1.928, 2.692, 3.646, 4.798");
}
}
timing() {
related_pin : "RN" ;
timing_sense : positive_unate ;
timing_type : clear ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.9071, 0.9744, 1.133, 1.341, 1.594, 1.896, 2.268, 2.73, 3.294, 3.967",\
"0.9421, 1.01, 1.168, 1.376, 1.629, 1.931, 2.303, 2.765, 3.329, 4.002",\
"1.069, 1.137, 1.295, 1.503, 1.757, 2.059, 2.43, 2.892, 3.456, 4.129",\
"1.314, 1.381, 1.539, 1.747, 2.001, 2.303, 2.674, 3.137, 3.701, 4.374",\
"1.696, 1.763, 1.921, 2.129, 2.383, 2.685, 3.056, 3.519, 4.083, 4.755",\
"2.194, 2.268, 2.434, 2.647, 2.903, 3.204, 3.576, 4.038, 4.602, 5.276",\
"2.737, 2.821, 3.01, 3.242, 3.508, 3.812, 4.182, 4.644, 5.208, 5.881",\
"3.311, 3.406, 3.619, 3.874, 4.155, 4.462, 4.833, 5.295, 5.858, 6.53",\
"3.914, 4.02, 4.258, 4.54, 4.838, 5.148, 5.517, 5.978, 6.542, 7.213",\
"4.548, 4.664, 4.927, 5.236, 5.551, 5.864, 6.234, 6.694, 7.256, 7.928");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009662, 0.04007, 0.09813, 0.1886, 0.3155, 0.4825, 0.693, 0.9498, 1.256");
values("0.2435, 0.2919, 0.4236, 0.6358, 0.926, 1.328, 1.907, 2.679, 3.642, 4.796",\
"0.2434, 0.2918, 0.4234, 0.6356, 0.9262, 1.328, 1.906, 2.679, 3.643, 4.795",\
"0.2438, 0.292, 0.4234, 0.6358, 0.9262, 1.328, 1.907, 2.681, 3.639, 4.796",\
"0.243, 0.2918, 0.4234, 0.6358, 0.9262, 1.327, 1.906, 2.68, 3.642, 4.787",\
"0.2452, 0.2938, 0.4252, 0.6368, 0.926, 1.327, 1.906, 2.68, 3.641, 4.796",\
"0.2814, 0.3274, 0.4486, 0.6514, 0.9318, 1.328, 1.906, 2.681, 3.64, 4.795",\
"0.3386, 0.3908, 0.5162, 0.7072, 0.9642, 1.338, 1.908, 2.679, 3.644, 4.792",\
"0.398, 0.4564, 0.5914, 0.78, 1.011, 1.359, 1.915, 2.68, 3.637, 4.787",\
"0.4576, 0.524, 0.6726, 0.8636, 1.062, 1.378, 1.924, 2.688, 3.642, 4.788",\
"0.52, 0.594, 0.754, 0.954, 1.116, 1.398, 1.928, 2.692, 3.646, 4.798");
}
}
}
pin(RN) {
capacitance : 0.008158 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 12 ;
min_pulse_width_low : 1.445 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.01009, 0.009943, 0.009924, 0.009916, 0.009948, 0.009934, 0.00993, \
0.009934, 0.009931, 0.009931");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.00992, -0.009905, -0.009901, -0.009894, -0.009918, -0.009917, \
-0.009914, -0.009911, -0.009911, -0.009912");
}
}
internal_power() {
when : "!CLKN&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.01005, 0.009942, 0.009909, 0.009922, 0.009936, 0.009932, 0.009929, \
0.009934, 0.009927, 0.009934");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.00992, -0.009905, -0.009901, -0.009894, -0.009918, -0.009917, \
-0.009913, -0.009911, -0.00991, -0.009911");
}
}
internal_power() {
when : "CLKN&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.01, 0.009939, 0.009924, 0.009915, 0.009931, 0.009928, 0.00993, \
0.009928, 0.009925, 0.009925");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.009918, -0.009898, -0.009895, -0.009883, -0.009922, -0.009918, \
-0.009917, -0.009917, -0.009912, -0.009911");
}
}
internal_power() {
when : "CLKN&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.009932, 0.009784, 0.009672, 0.009625, 0.009619, 0.009602, 0.009601, \
0.009592, 0.009587, 0.009584");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.009481, -0.009505, -0.009587, -0.009642, -0.009723, -0.009748, \
-0.009771, -0.009781, -0.009788, -0.009797");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : recovery_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-1.288, -1.341, -1.434, -1.722, -2.118, -2.595, -3.114, -3.68, -4.237, -4.843",\
"-1.279, -1.333, -1.427, -1.717, -2.106, -2.585, -3.104, -3.647, -4.205, -4.828",\
"-1.269, -1.299, -1.419, -1.677, -2.073, -2.568, -3.092, -3.639, -4.194, -4.801",\
"-1.23, -1.249, -1.37, -1.63, -2.02, -2.533, -3.056, -3.605, -4.165, -4.775",\
"-0.927, -0.942, -1.069, -1.331, -1.731, -2.271, -2.776, -3.373, -3.94, -4.569",\
"-0.266, -0.284, -0.408, -0.672, -1.093, -1.649, -2.161, -2.785, -3.361, -4.005",\
"0.724, 0.709, 0.582, 0.31, -0.118, -0.71, -1.225, -1.878, -2.46, -3.133",\
"2.02, 2.024, 1.889, 1.603, 1.155, 0.52, -0.004, -0.705, -1.297, -2.006",\
"3.628, 3.634, 3.623, 3.194, 2.718, 2.014, 1.494, 0.726, 0.129, -0.611",\
"5.527, 5.56, 5.587, 5.093, 4.61, 3.835, 3.291, 2.454, 1.837, 1.045");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : removal_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("1.958, 1.988, 2.114, 2.352, 2.728, 3.169, 3.648, 4.158, 4.703, 5.275",\
"1.955, 1.985, 2.104, 2.346, 2.719, 3.165, 3.641, 4.154, 4.697, 5.27",\
"1.975, 2.011, 2.128, 2.37, 2.744, 3.189, 3.672, 4.185, 4.724, 5.29",\
"2.074, 2.105, 2.229, 2.471, 2.842, 3.288, 3.767, 4.275, 4.822, 5.387",\
"2.264, 2.296, 2.418, 2.657, 3.025, 3.472, 3.952, 4.464, 5.011, 5.575",\
"2.545, 2.573, 2.694, 2.936, 3.31, 3.757, 4.236, 4.743, 5.288, 5.86",\
"2.895, 2.93, 3.046, 3.29, 3.663, 4.11, 4.586, 5.094, 5.633, 6.209",\
"3.309, 3.335, 3.463, 3.697, 4.071, 4.515, 4.993, 5.504, 6.04, 6.605",\
"3.761, 3.793, 3.918, 4.156, 4.523, 4.971, 5.45, 5.954, 6.492, 7.058",\
"4.263, 4.296, 4.418, 4.662, 5.032, 5.472, 5.948, 6.456, 6.992, 7.557");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_NOT_CLKN_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLKN&!D" ;
fall_constraint(scalar) {
values("1.445");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_NOT_CLKN_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLKN&D" ;
fall_constraint(scalar) {
values("1.445");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_CLKN_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLKN&!D" ;
fall_constraint(scalar) {
values("1.108");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_CLKN_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLKN&D" ;
fall_constraint(scalar) {
values("1.108");
}
}
}
}