blob: 3bc07b4393a883375f688100152d98af6d5da2aa [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffnrnq_2) {
area : 98.784000 ;
ff(IQ1,IQN1) {
clocked_on : "(!CLKN)" ;
next_state : "D" ;
clear : "(!RN)" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D&!RN" ;
value : "0.000168057" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D&RN" ;
value : "0.000194352" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D&!RN" ;
value : "0.000168867" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D&RN" ;
value : "0.00026688" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D&!RN" ;
value : "0.000156237" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D&RN" ;
value : "0.000156237" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D&!RN" ;
value : "0.000156237" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D&RN" ;
value : "0.000155337" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.00026688" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLKN) {
capacitance : 0.00487 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
min_period : 1.806 ;
min_pulse_width_high : 0.704 ;
min_pulse_width_low : 0.907 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.153, 0.1521, 0.151, 0.1525, 0.1571, 0.1647, 0.1759, 0.1907, \
0.2095, 0.2327");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09802, 0.09745, 0.09654, 0.09742, 0.1007, 0.1074, 0.1173, 0.1307, \
0.1479, 0.1692");
}
}
internal_power() {
when : "!D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.153, 0.1521, 0.151, 0.1525, 0.157, 0.1648, 0.1759, 0.1907, 0.2096, \
0.2326");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09796, 0.09747, 0.09649, 0.09736, 0.1007, 0.1072, 0.1173, 0.1307, \
0.148, 0.1691");
}
}
internal_power() {
when : "D&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.3041, 0.3032, 0.3021, 0.3038, 0.3087, 0.3169, 0.3282, 0.3436, \
0.3629, 0.3866");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1748, 0.1743, 0.1734, 0.1747, 0.1788, 0.1861, 0.1968, 0.211, \
0.2291, 0.2511");
}
}
internal_power() {
when : "D&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1501, 0.1492, 0.1482, 0.1496, 0.1542, 0.162, 0.1732, 0.1879, \
0.2067, 0.2298");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09858, 0.09802, 0.09713, 0.09796, 0.1013, 0.108, 0.1178, 0.1313, \
0.1486, 0.1698");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&RN" ;
fall_constraint(scalar) {
values("0.675");
}
rise_constraint(scalar) {
values("0.704");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D_AND_RN === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&RN" ;
fall_constraint(scalar) {
values("0.907");
}
rise_constraint(scalar) {
values("0.584");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D_AND_RN === 1'b1" ;
timing_type : minimum_period ;
when : "!D&RN" ;
fall_constraint(scalar) {
values("1.452");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D_AND_RN === 1'b1" ;
timing_type : minimum_period ;
when : "D&RN" ;
fall_constraint(scalar) {
values("1.806");
}
}
}
pin(D) {
capacitance : 0.003947 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.03156, 0.03018, 0.03036, 0.03275, 0.03741, 0.04441, 0.05409, \
0.06666, 0.08228, 0.1011");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.003274, 0.002457, 0.002032, 0.00363, 0.007477, 0.01364, 0.02234, \
0.03361, 0.04768, 0.06459");
}
}
internal_power() {
when : "!CLKN&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.03155, 0.03018, 0.03037, 0.03281, 0.03737, 0.04445, 0.0541, \
0.06667, 0.08226, 0.1011");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.003291, 0.002471, 0.002041, 0.00361, 0.007491, 0.01364, 0.02233, \
0.03361, 0.04764, 0.0646");
}
}
internal_power() {
when : "CLKN&!RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1112, 0.1103, 0.1099, 0.1115, 0.1155, 0.122, 0.1312, 0.1432, \
0.1585, 0.1773");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.0739, 0.07339, 0.0731, 0.07407, 0.07677, 0.08187, 0.08953, 0.09994, \
0.1133, 0.1298");
}
}
internal_power() {
when : "CLKN&RN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1065, 0.1057, 0.1052, 0.1068, 0.1109, 0.1173, 0.1265, 0.1385, \
0.1538, 0.1724");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.07783, 0.07735, 0.07706, 0.07806, 0.08075, 0.08584, 0.09352, \
0.1039, 0.1172, 0.1337");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : hold_falling ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.052, 0.074, 0.149, 0.274, 0.384, 0.522, 0.699, 0.928, 1.192, 1.492",\
"0.027, 0.052, 0.123, 0.254, 0.357, 0.494, 0.68, 0.905, 1.168, 1.471",\
"-0.076, -0.048, 0.028, 0.155, 0.256, 0.393, 0.579, 0.809, 1.068, 1.367",\
"-0.235, -0.212, -0.134, -0.009, 0.093, 0.235, 0.412, 0.64, 0.895, 1.2",\
"-0.412, -0.396, -0.322, -0.193, -0.086, 0.045, 0.212, 0.428, 0.689, 0.987",\
"-0.624, -0.605, -0.531, -0.402, -0.303, -0.166, -0.006, 0.198, 0.453, 0.754",\
"-0.872, -0.85, -0.777, -0.655, -0.543, -0.419, -0.256, -0.054, 0.196, 0.487",\
"-1.155, -1.127, -1.057, -0.932, -0.829, -0.704, -0.545, -0.348, -0.109, 0.178",\
"-1.469, -1.447, -1.377, -1.256, -1.16, -1.036, -0.869, -0.678, -0.444, -0.165",\
"-1.821, -1.802, -1.735, -1.619, -1.526, -1.399, -1.236, -1.046, -0.816, -0.538");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.275, 0.296, 0.388, 0.571, 0.792, 1.017, 1.276, 1.565, 1.89, 2.246",\
"0.249, 0.276, 0.37, 0.546, 0.762, 1.001, 1.255, 1.549, 1.866, 2.223",\
"0.187, 0.213, 0.31, 0.483, 0.703, 0.936, 1.193, 1.488, 1.809, 2.165",\
"0.132, 0.162, 0.25, 0.43, 0.646, 0.887, 1.141, 1.431, 1.752, 2.104",\
"0.106, 0.134, 0.217, 0.393, 0.615, 0.846, 1.101, 1.391, 1.716, 2.073",\
"0.09, 0.119, 0.206, 0.389, 0.598, 0.831, 1.094, 1.377, 1.699, 2.052",\
"0.108, 0.136, 0.225, 0.401, 0.61, 0.847, 1.098, 1.39, 1.71, 2.068",\
"0.164, 0.193, 0.277, 0.452, 0.65, 0.883, 1.138, 1.429, 1.745, 2.096",\
"0.265, 0.292, 0.373, 0.532, 0.728, 0.956, 1.21, 1.49, 1.81, 2.166",\
"0.42, 0.454, 0.519, 0.661, 0.848, 1.062, 1.306, 1.591, 1.912, 2.258");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_RN === 1'b1" ;
timing_type : setup_falling ;
when : "RN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.498, 0.471, 0.365, 0.22, 0.051, -0.15, -0.377, -0.632, -0.925, -1.249",\
"0.526, 0.492, 0.391, 0.238, 0.076, -0.128, -0.351, -0.612, -0.898, -1.22",\
"0.621, 0.589, 0.488, 0.343, 0.168, -0.029, -0.255, -0.514, -0.801, -1.125",\
"0.806, 0.78, 0.675, 0.525, 0.353, 0.156, -0.073, -0.33, -0.624, -0.946",\
"1.051, 1.021, 0.921, 0.766, 0.589, 0.386, 0.154, -0.104, -0.39, -0.717",\
"1.323, 1.3, 1.195, 1.035, 0.851, 0.644, 0.413, 0.155, -0.135, -0.461",\
"1.626, 1.6, 1.495, 1.333, 1.145, 0.938, 0.708, 0.444, 0.147, -0.173",\
"1.974, 1.948, 1.84, 1.672, 1.487, 1.274, 1.037, 0.77, 0.476, 0.148",\
"2.367, 2.338, 2.231, 2.062, 1.869, 1.652, 1.419, 1.15, 0.852, 0.521",\
"2.811, 2.779, 2.678, 2.502, 2.305, 2.085, 1.846, 1.569, 1.272, 0.943");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.212, 0.185, 0.102, -0.071, -0.283, -0.522, -0.786, -1.087, -1.418, -1.794",\
"0.232, 0.207, 0.124, -0.049, -0.261, -0.508, -0.751, -1.065, -1.4, -1.775",\
"0.303, 0.281, 0.188, 0.022, -0.191, -0.426, -0.69, -0.993, -1.313, -1.7",\
"0.406, 0.383, 0.284, 0.115, -0.1, -0.328, -0.591, -0.898, -1.233, -1.605",\
"0.495, 0.473, 0.376, 0.193, -0.018, -0.247, -0.499, -0.809, -1.148, -1.518",\
"0.573, 0.546, 0.45, 0.263, 0.045, -0.187, -0.453, -0.747, -1.076, -1.457",\
"0.619, 0.592, 0.492, 0.309, 0.087, -0.145, -0.417, -0.709, -1.038, -1.412",\
"0.64, 0.613, 0.513, 0.331, 0.113, -0.138, -0.4, -0.703, -1.037, -1.403",\
"0.627, 0.603, 0.502, 0.32, 0.097, -0.15, -0.416, -0.721, -1.054, -1.429",\
"0.583, 0.556, 0.459, 0.273, 0.046, -0.2, -0.465, -0.769, -1.105, -1.474");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 1.13 ;
max_transition : 11.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLKN" ;
when : "RN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.1928, 0.1925, 0.1942, 0.1958, 0.1969, 0.1975, 0.1979, 0.1981, 0.1982, 0.1983",\
"0.1928, 0.1927, 0.1942, 0.1959, 0.197, 0.1975, 0.1979, 0.198, 0.1981, 0.1983",\
"0.1927, 0.1926, 0.1941, 0.1958, 0.1969, 0.1974, 0.1978, 0.1979, 0.1981, 0.1982",\
"0.1924, 0.1922, 0.1938, 0.1955, 0.1966, 0.1972, 0.1975, 0.1977, 0.1978, 0.1979",\
"0.1925, 0.1922, 0.1938, 0.1955, 0.1966, 0.1971, 0.1975, 0.1977, 0.1978, 0.1979",\
"0.1926, 0.1924, 0.194, 0.1956, 0.1967, 0.1973, 0.1976, 0.1977, 0.1979, 0.198",\
"0.193, 0.1929, 0.1941, 0.196, 0.197, 0.1976, 0.1979, 0.1981, 0.1983, 0.1984",\
"0.1933, 0.1932, 0.1947, 0.1963, 0.1974, 0.198, 0.1983, 0.1985, 0.1986, 0.1987",\
"0.1941, 0.1937, 0.1951, 0.1969, 0.1979, 0.1985, 0.1987, 0.199, 0.1992, 0.1992",\
"0.1951, 0.1948, 0.1961, 0.1978, 0.1988, 0.1994, 0.1998, 0.1999, 0.2, 0.2002");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.2245, 0.2229, 0.2222, 0.2232, 0.2255, 0.228, 0.2301, 0.2326, 0.2371, 0.2876",\
"0.2246, 0.223, 0.2223, 0.2236, 0.2257, 0.228, 0.2304, 0.2335, 0.2371, 0.2891",\
"0.2245, 0.2229, 0.2222, 0.2233, 0.2253, 0.2275, 0.2299, 0.2324, 0.238, 0.2916",\
"0.2251, 0.2236, 0.2228, 0.2241, 0.2263, 0.2281, 0.231, 0.2323, 0.239, 0.3018",\
"0.2264, 0.2248, 0.224, 0.2249, 0.2276, 0.2297, 0.232, 0.2337, 0.2426, 0.3202",\
"0.2276, 0.2258, 0.2253, 0.2261, 0.2288, 0.2311, 0.2332, 0.2356, 0.2463, 0.351",\
"0.2287, 0.2273, 0.2261, 0.2273, 0.23, 0.2319, 0.2338, 0.2366, 0.2546, 0.3993",\
"0.2298, 0.2284, 0.2272, 0.2281, 0.2309, 0.234, 0.2353, 0.2393, 0.2685, 0.4902",\
"0.2312, 0.2297, 0.2285, 0.2298, 0.2316, 0.2334, 0.2377, 0.2424, 0.3004, 0.6581",\
"0.2326, 0.231, 0.23, 0.2313, 0.2328, 0.2346, 0.2364, 0.2535, 0.3838, 0.9757");
}
}
internal_power() {
related_pin : "RN" ;
when : "!CLKN&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.3054, 0.3052, 0.3068, 0.3083, 0.3093, 0.3097, 0.31, 0.3102, 0.3104, 0.3104",\
"0.305, 0.3048, 0.3063, 0.3078, 0.3087, 0.3092, 0.3096, 0.3097, 0.3099, 0.31",\
"0.3038, 0.3034, 0.3047, 0.3064, 0.3075, 0.308, 0.3084, 0.3087, 0.3088, 0.3089",\
"0.3072, 0.3059, 0.3059, 0.3071, 0.3082, 0.3089, 0.3094, 0.3097, 0.3099, 0.3101",\
"0.3176, 0.3144, 0.3125, 0.3127, 0.3133, 0.3139, 0.3144, 0.3148, 0.3149, 0.3151",\
"0.3338, 0.3292, 0.3251, 0.3242, 0.3242, 0.3245, 0.3249, 0.3251, 0.3253, 0.3255",\
"0.3576, 0.3512, 0.3451, 0.3425, 0.342, 0.342, 0.3421, 0.3423, 0.3425, 0.3427",\
"0.3889, 0.3809, 0.3729, 0.3687, 0.3671, 0.3666, 0.3667, 0.3667, 0.3667, 0.3667",\
"0.4278, 0.4184, 0.4076, 0.4019, 0.3993, 0.3982, 0.3977, 0.3977, 0.3975, 0.3976",\
"0.4731, 0.4627, 0.4491, 0.4417, 0.4383, 0.4361, 0.4352, 0.4347, 0.4345, 0.4344");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.3054, 0.3052, 0.3068, 0.3083, 0.3093, 0.3097, 0.31, 0.3102, 0.3104, 0.3104",\
"0.305, 0.3048, 0.3063, 0.3078, 0.3087, 0.3092, 0.3096, 0.3097, 0.3099, 0.31",\
"0.3038, 0.3034, 0.3047, 0.3064, 0.3075, 0.308, 0.3084, 0.3087, 0.3088, 0.3089",\
"0.3072, 0.3059, 0.3059, 0.3071, 0.3082, 0.3089, 0.3094, 0.3097, 0.3099, 0.3101",\
"0.3176, 0.3144, 0.3125, 0.3127, 0.3133, 0.3139, 0.3144, 0.3148, 0.3149, 0.3151",\
"0.3338, 0.3292, 0.3251, 0.3242, 0.3242, 0.3245, 0.3249, 0.3251, 0.3253, 0.3255",\
"0.3576, 0.3512, 0.3451, 0.3425, 0.342, 0.342, 0.3421, 0.3423, 0.3425, 0.3427",\
"0.3889, 0.3809, 0.3729, 0.3687, 0.3671, 0.3666, 0.3667, 0.3667, 0.3667, 0.3667",\
"0.4278, 0.4184, 0.4076, 0.4019, 0.3993, 0.3982, 0.3977, 0.3977, 0.3975, 0.3976",\
"0.4731, 0.4627, 0.4491, 0.4417, 0.4383, 0.4361, 0.4352, 0.4347, 0.4345, 0.4344");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "!CLKN&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.3055, 0.3053, 0.3068, 0.3084, 0.3094, 0.3098, 0.3101, 0.3103, 0.3105, 0.3106",\
"0.305, 0.3048, 0.3063, 0.3078, 0.3087, 0.3093, 0.3096, 0.3098, 0.31, 0.31",\
"0.3038, 0.3034, 0.3048, 0.3064, 0.3075, 0.3081, 0.3084, 0.3086, 0.3088, 0.3089",\
"0.3072, 0.3059, 0.3058, 0.3071, 0.3081, 0.3088, 0.3093, 0.3096, 0.3098, 0.3099",\
"0.3175, 0.3144, 0.3125, 0.3127, 0.3133, 0.3139, 0.3143, 0.3147, 0.3149, 0.3151",\
"0.334, 0.3291, 0.3251, 0.3242, 0.3243, 0.3247, 0.3248, 0.3252, 0.3253, 0.3255",\
"0.3576, 0.3512, 0.3451, 0.3426, 0.342, 0.3421, 0.3422, 0.3424, 0.3424, 0.3427",\
"0.3893, 0.3811, 0.3726, 0.3686, 0.3672, 0.3666, 0.3666, 0.3667, 0.3668, 0.3667",\
"0.4278, 0.4186, 0.4078, 0.4019, 0.3994, 0.3982, 0.3978, 0.3975, 0.3976, 0.3976",\
"0.4732, 0.4628, 0.4491, 0.4418, 0.4381, 0.4362, 0.4353, 0.4348, 0.4346, 0.4346");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.3055, 0.3053, 0.3068, 0.3084, 0.3094, 0.3098, 0.3101, 0.3103, 0.3105, 0.3106",\
"0.305, 0.3048, 0.3063, 0.3078, 0.3087, 0.3093, 0.3096, 0.3098, 0.31, 0.31",\
"0.3038, 0.3034, 0.3048, 0.3064, 0.3075, 0.3081, 0.3084, 0.3086, 0.3088, 0.3089",\
"0.3072, 0.3059, 0.3058, 0.3071, 0.3081, 0.3088, 0.3093, 0.3096, 0.3098, 0.3099",\
"0.3175, 0.3144, 0.3125, 0.3127, 0.3133, 0.3139, 0.3143, 0.3147, 0.3149, 0.3151",\
"0.334, 0.3291, 0.3251, 0.3242, 0.3243, 0.3247, 0.3248, 0.3252, 0.3253, 0.3255",\
"0.3576, 0.3512, 0.3451, 0.3426, 0.342, 0.3421, 0.3422, 0.3424, 0.3424, 0.3427",\
"0.3893, 0.3811, 0.3726, 0.3686, 0.3672, 0.3666, 0.3666, 0.3667, 0.3668, 0.3667",\
"0.4278, 0.4186, 0.4078, 0.4019, 0.3994, 0.3982, 0.3978, 0.3975, 0.3976, 0.3976",\
"0.4732, 0.4628, 0.4491, 0.4418, 0.4381, 0.4362, 0.4353, 0.4348, 0.4346, 0.4346");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "CLKN&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.2118, 0.2117, 0.2134, 0.215, 0.216, 0.2164, 0.2168, 0.217, 0.2171, 0.2172",\
"0.2114, 0.2113, 0.213, 0.2145, 0.2155, 0.216, 0.2164, 0.2166, 0.2167, 0.2167",\
"0.2103, 0.2101, 0.2115, 0.2131, 0.2143, 0.2149, 0.2153, 0.2156, 0.2157, 0.2157",\
"0.2124, 0.2113, 0.2113, 0.2125, 0.2137, 0.2144, 0.2149, 0.2152, 0.2154, 0.2156",\
"0.2201, 0.2174, 0.2156, 0.2158, 0.2165, 0.2171, 0.2176, 0.2179, 0.2182, 0.2182",\
"0.2325, 0.2278, 0.2242, 0.2231, 0.2234, 0.2237, 0.2241, 0.2244, 0.2246, 0.2248",\
"0.2493, 0.2429, 0.2373, 0.2349, 0.2343, 0.2343, 0.2345, 0.2347, 0.2349, 0.2351",\
"0.2703, 0.2627, 0.2546, 0.2508, 0.2494, 0.2488, 0.2491, 0.249, 0.2492, 0.2493",\
"0.2957, 0.2863, 0.2761, 0.2705, 0.2681, 0.267, 0.2666, 0.2665, 0.2666, 0.2666",\
"0.3244, 0.314, 0.301, 0.2938, 0.2901, 0.2884, 0.2877, 0.2872, 0.2871, 0.2871");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.2118, 0.2117, 0.2134, 0.215, 0.216, 0.2164, 0.2168, 0.217, 0.2171, 0.2172",\
"0.2114, 0.2113, 0.213, 0.2145, 0.2155, 0.216, 0.2164, 0.2166, 0.2167, 0.2167",\
"0.2103, 0.2101, 0.2115, 0.2131, 0.2143, 0.2149, 0.2153, 0.2156, 0.2157, 0.2157",\
"0.2124, 0.2113, 0.2113, 0.2125, 0.2137, 0.2144, 0.2149, 0.2152, 0.2154, 0.2156",\
"0.2201, 0.2174, 0.2156, 0.2158, 0.2165, 0.2171, 0.2176, 0.2179, 0.2182, 0.2182",\
"0.2325, 0.2278, 0.2242, 0.2231, 0.2234, 0.2237, 0.2241, 0.2244, 0.2246, 0.2248",\
"0.2493, 0.2429, 0.2373, 0.2349, 0.2343, 0.2343, 0.2345, 0.2347, 0.2349, 0.2351",\
"0.2703, 0.2627, 0.2546, 0.2508, 0.2494, 0.2488, 0.2491, 0.249, 0.2492, 0.2493",\
"0.2957, 0.2863, 0.2761, 0.2705, 0.2681, 0.267, 0.2666, 0.2665, 0.2666, 0.2666",\
"0.3244, 0.314, 0.301, 0.2938, 0.2901, 0.2884, 0.2877, 0.2872, 0.2871, 0.2871");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
internal_power() {
related_pin : "RN" ;
when : "CLKN&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.2185, 0.2184, 0.2201, 0.2214, 0.2225, 0.2231, 0.2234, 0.2236, 0.2237, 0.2237",\
"0.2181, 0.218, 0.2196, 0.2212, 0.2222, 0.2227, 0.2231, 0.2232, 0.2234, 0.2235",\
"0.2169, 0.2167, 0.2182, 0.2198, 0.2209, 0.2215, 0.2219, 0.2221, 0.2223, 0.2223",\
"0.219, 0.2179, 0.2182, 0.2194, 0.2204, 0.2211, 0.2216, 0.2219, 0.2221, 0.2222",\
"0.2268, 0.224, 0.2222, 0.2223, 0.223, 0.2237, 0.2242, 0.2245, 0.2247, 0.2248",\
"0.2393, 0.2346, 0.2309, 0.2297, 0.23, 0.2304, 0.2307, 0.231, 0.2312, 0.2314",\
"0.2559, 0.2497, 0.2439, 0.2415, 0.2409, 0.241, 0.2412, 0.2414, 0.2416, 0.2417",\
"0.277, 0.2691, 0.2614, 0.2574, 0.256, 0.2555, 0.2555, 0.2556, 0.2557, 0.2558",\
"0.3022, 0.2929, 0.2824, 0.2771, 0.2746, 0.2739, 0.2732, 0.2731, 0.2731, 0.2731",\
"0.3311, 0.3205, 0.3075, 0.3005, 0.2969, 0.2951, 0.2944, 0.2939, 0.2938, 0.2937");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.2185, 0.2184, 0.2201, 0.2214, 0.2225, 0.2231, 0.2234, 0.2236, 0.2237, 0.2237",\
"0.2181, 0.218, 0.2196, 0.2212, 0.2222, 0.2227, 0.2231, 0.2232, 0.2234, 0.2235",\
"0.2169, 0.2167, 0.2182, 0.2198, 0.2209, 0.2215, 0.2219, 0.2221, 0.2223, 0.2223",\
"0.219, 0.2179, 0.2182, 0.2194, 0.2204, 0.2211, 0.2216, 0.2219, 0.2221, 0.2222",\
"0.2268, 0.224, 0.2222, 0.2223, 0.223, 0.2237, 0.2242, 0.2245, 0.2247, 0.2248",\
"0.2393, 0.2346, 0.2309, 0.2297, 0.23, 0.2304, 0.2307, 0.231, 0.2312, 0.2314",\
"0.2559, 0.2497, 0.2439, 0.2415, 0.2409, 0.241, 0.2412, 0.2414, 0.2416, 0.2417",\
"0.277, 0.2691, 0.2614, 0.2574, 0.256, 0.2555, 0.2555, 0.2556, 0.2557, 0.2558",\
"0.3022, 0.2929, 0.2824, 0.2771, 0.2746, 0.2739, 0.2732, 0.2731, 0.2731, 0.2731",\
"0.3311, 0.3205, 0.3075, 0.3005, 0.2969, 0.2951, 0.2944, 0.2939, 0.2938, 0.2937");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
}
timing() {
related_pin : "CLKN" ;
timing_type : falling_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("1.009, 1.064, 1.191, 1.372, 1.628, 1.98, 2.444, 3.027, 3.739, 4.588",\
"1.034, 1.089, 1.216, 1.397, 1.653, 2.006, 2.469, 3.053, 3.765, 4.614",\
"1.132, 1.187, 1.314, 1.495, 1.751, 2.103, 2.567, 3.15, 3.862, 4.711",\
"1.319, 1.374, 1.501, 1.682, 1.938, 2.291, 2.754, 3.337, 4.049, 4.898",\
"1.556, 1.611, 1.738, 1.919, 2.175, 2.528, 2.991, 3.575, 4.287, 5.136",\
"1.813, 1.868, 1.996, 2.177, 2.433, 2.786, 3.249, 3.832, 4.544, 5.393",\
"2.095, 2.15, 2.277, 2.458, 2.714, 3.067, 3.53, 4.113, 4.826, 5.675",\
"2.406, 2.461, 2.589, 2.77, 3.026, 3.379, 3.842, 4.425, 5.137, 5.985",\
"2.752, 2.807, 2.935, 3.116, 3.372, 3.725, 4.188, 4.772, 5.484, 6.332",\
"3.132, 3.187, 3.315, 3.496, 3.752, 4.105, 4.568, 5.152, 5.863, 6.712");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("1.39, 1.469, 1.668, 1.984, 2.458, 3.119, 3.988, 5.082, 6.418, 8.009",\
"1.416, 1.494, 1.693, 2.009, 2.483, 3.144, 4.013, 5.107, 6.444, 8.034",\
"1.513, 1.591, 1.79, 2.106, 2.58, 3.241, 4.111, 5.204, 6.54, 8.132",\
"1.7, 1.778, 1.978, 2.294, 2.767, 3.429, 4.297, 5.392, 6.727, 8.319",\
"1.938, 2.016, 2.215, 2.531, 3.005, 3.666, 4.535, 5.629, 6.963, 8.556",\
"2.195, 2.273, 2.472, 2.788, 3.262, 3.924, 4.793, 5.886, 7.223, 8.814",\
"2.476, 2.555, 2.754, 3.07, 3.544, 4.205, 5.074, 6.169, 7.501, 9.095",\
"2.789, 2.867, 3.066, 3.382, 3.857, 4.517, 5.385, 6.48, 7.817, 9.405",\
"3.134, 3.212, 3.411, 3.727, 4.201, 4.862, 5.731, 6.824, 8.158, 9.751",\
"3.513, 3.591, 3.791, 4.106, 4.58, 5.242, 6.11, 7.203, 8.538, 10.13");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.0986, 0.1484, 0.2782, 0.5134, 0.907, 1.482, 2.243, 3.207, 4.377, 5.777",\
"0.0988, 0.1484, 0.2782, 0.514, 0.9066, 1.481, 2.243, 3.206, 4.381, 5.772",\
"0.0986, 0.1484, 0.2782, 0.514, 0.9068, 1.481, 2.243, 3.206, 4.381, 5.772",\
"0.0988, 0.1486, 0.2782, 0.5134, 0.907, 1.481, 2.243, 3.207, 4.38, 5.773",\
"0.099, 0.1486, 0.278, 0.5134, 0.9078, 1.481, 2.244, 3.207, 4.378, 5.78",\
"0.0992, 0.1488, 0.2786, 0.5134, 0.9068, 1.481, 2.243, 3.206, 4.377, 5.775",\
"0.0992, 0.149, 0.2788, 0.5138, 0.907, 1.479, 2.24, 3.199, 4.377, 5.777",\
"0.0996, 0.1492, 0.279, 0.5136, 0.9088, 1.481, 2.24, 3.199, 4.37, 5.767",\
"0.0996, 0.1494, 0.279, 0.514, 0.9074, 1.481, 2.241, 3.2, 4.37, 5.764",\
"0.1, 0.15, 0.2802, 0.514, 0.908, 1.48, 2.24, 3.204, 4.372, 5.766");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.1402, 0.2258, 0.4728, 0.9532, 1.742, 2.869, 4.354, 6.225, 8.51, 11.22",\
"0.1402, 0.2256, 0.4722, 0.9532, 1.744, 2.869, 4.354, 6.226, 8.509, 11.22",\
"0.14, 0.2254, 0.4724, 0.9528, 1.745, 2.87, 4.353, 6.221, 8.511, 11.22",\
"0.1404, 0.2262, 0.4726, 0.9518, 1.744, 2.869, 4.351, 6.224, 8.511, 11.22",\
"0.1408, 0.2254, 0.4722, 0.952, 1.743, 2.869, 4.351, 6.217, 8.507, 11.23",\
"0.14, 0.226, 0.472, 0.952, 1.745, 2.868, 4.354, 6.225, 8.511, 11.21",\
"0.14, 0.226, 0.4722, 0.9524, 1.742, 2.869, 4.35, 6.217, 8.509, 11.23",\
"0.1404, 0.2262, 0.4716, 0.9536, 1.742, 2.864, 4.344, 6.221, 8.507, 11.23",\
"0.14, 0.2254, 0.4716, 0.9516, 1.743, 2.87, 4.346, 6.212, 8.5, 11.23",\
"0.14, 0.226, 0.472, 0.952, 1.744, 2.87, 4.348, 6.21, 8.486, 11.22");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLKN===1'b0 && D===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "!CLKN&!D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.3537, 0.4097, 0.5377, 0.7192, 0.9768, 1.335, 1.798, 2.381, 3.092, 3.941",\
"0.3807, 0.4368, 0.5648, 0.7464, 1.004, 1.362, 1.825, 2.408, 3.12, 3.968",\
"0.4799, 0.5358, 0.6637, 0.8454, 1.103, 1.461, 1.924, 2.507, 3.219, 4.067",\
"0.6622, 0.7203, 0.8502, 1.033, 1.291, 1.649, 2.111, 2.694, 3.406, 4.254",\
"0.8696, 0.9369, 1.082, 1.272, 1.532, 1.888, 2.351, 2.934, 3.645, 4.493",\
"1.087, 1.163, 1.325, 1.525, 1.79, 2.146, 2.608, 3.19, 3.901, 4.75",\
"1.32, 1.404, 1.583, 1.795, 2.064, 2.419, 2.881, 3.464, 4.175, 5.023",\
"1.573, 1.664, 1.859, 2.083, 2.358, 2.714, 3.175, 3.757, 4.468, 5.316",\
"1.846, 1.943, 2.154, 2.393, 2.674, 3.032, 3.492, 4.073, 4.785, 5.632",\
"2.138, 2.243, 2.468, 2.725, 3.013, 3.375, 3.835, 4.415, 5.126, 5.973");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.1013, 0.1509, 0.2791, 0.5153, 0.9166, 1.49, 2.239, 3.196, 4.366, 5.77",\
"0.1013, 0.1509, 0.2795, 0.5152, 0.9168, 1.49, 2.238, 3.197, 4.366, 5.762",\
"0.1014, 0.1511, 0.2794, 0.5153, 0.9174, 1.489, 2.239, 3.195, 4.369, 5.765",\
"0.1118, 0.1602, 0.2842, 0.5176, 0.9182, 1.487, 2.238, 3.194, 4.371, 5.764",\
"0.1394, 0.1938, 0.3126, 0.5334, 0.9226, 1.485, 2.236, 3.193, 4.371, 5.77",\
"0.1658, 0.2272, 0.3488, 0.5576, 0.933, 1.487, 2.237, 3.195, 4.371, 5.77",\
"0.1906, 0.2588, 0.389, 0.585, 0.945, 1.49, 2.237, 3.194, 4.369, 5.77",\
"0.2156, 0.2896, 0.4302, 0.6156, 0.9604, 1.494, 2.238, 3.196, 4.364, 5.761",\
"0.242, 0.3226, 0.4742, 0.6508, 0.9798, 1.503, 2.24, 3.195, 4.366, 5.761",\
"0.2694, 0.356, 0.5194, 0.6938, 1.005, 1.514, 2.244, 3.196, 4.366, 5.76");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLKN===1'b0 && D===1'b1" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "!CLKN&D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.3537, 0.4097, 0.5377, 0.7192, 0.9768, 1.335, 1.798, 2.381, 3.093, 3.941",\
"0.3807, 0.4368, 0.5648, 0.7464, 1.004, 1.362, 1.825, 2.408, 3.12, 3.968",\
"0.4799, 0.5359, 0.6637, 0.8453, 1.103, 1.461, 1.924, 2.507, 3.219, 4.067",\
"0.6622, 0.7203, 0.8502, 1.033, 1.291, 1.649, 2.111, 2.694, 3.406, 4.254",\
"0.8695, 0.9368, 1.082, 1.272, 1.532, 1.888, 2.351, 2.934, 3.645, 4.494",\
"1.087, 1.163, 1.325, 1.525, 1.79, 2.146, 2.608, 3.19, 3.902, 4.75",\
"1.32, 1.404, 1.583, 1.795, 2.064, 2.419, 2.881, 3.464, 4.175, 5.023",\
"1.573, 1.664, 1.858, 2.084, 2.358, 2.714, 3.175, 3.757, 4.468, 5.317",\
"1.846, 1.944, 2.154, 2.394, 2.674, 3.032, 3.492, 4.073, 4.785, 5.632",\
"2.139, 2.243, 2.468, 2.725, 3.014, 3.375, 3.835, 4.415, 5.126, 5.973");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.1013, 0.1509, 0.2791, 0.5153, 0.9166, 1.49, 2.239, 3.196, 4.37, 5.767",\
"0.1013, 0.1509, 0.2795, 0.5152, 0.9168, 1.49, 2.239, 3.197, 4.365, 5.762",\
"0.1015, 0.151, 0.2796, 0.5157, 0.9174, 1.489, 2.237, 3.196, 4.369, 5.769",\
"0.1118, 0.1602, 0.2842, 0.5176, 0.9182, 1.488, 2.237, 3.193, 4.371, 5.77",\
"0.1394, 0.1938, 0.3128, 0.5332, 0.9224, 1.485, 2.237, 3.197, 4.365, 5.761",\
"0.1658, 0.2272, 0.3486, 0.5578, 0.9332, 1.487, 2.237, 3.194, 4.37, 5.77",\
"0.1908, 0.2588, 0.389, 0.5852, 0.945, 1.49, 2.237, 3.194, 4.369, 5.768",\
"0.2158, 0.2902, 0.4304, 0.6154, 0.9602, 1.495, 2.239, 3.195, 4.364, 5.764",\
"0.2422, 0.3232, 0.4742, 0.6508, 0.9802, 1.503, 2.241, 3.195, 4.366, 5.761",\
"0.2696, 0.3562, 0.5198, 0.6944, 1.005, 1.514, 2.242, 3.198, 4.366, 5.76");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLKN===1'b1 && D===1'b0" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "CLKN&!D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.3525, 0.4082, 0.5349, 0.7191, 0.9767, 1.329, 1.791, 2.375, 3.087, 3.935",\
"0.3796, 0.4352, 0.5621, 0.7463, 1.004, 1.356, 1.819, 2.402, 3.114, 3.963",\
"0.4789, 0.5344, 0.661, 0.8453, 1.103, 1.455, 1.918, 2.501, 3.214, 4.063",\
"0.6615, 0.719, 0.8474, 1.032, 1.29, 1.642, 2.104, 2.688, 3.4, 4.25",\
"0.8692, 0.9353, 1.078, 1.27, 1.527, 1.879, 2.341, 2.925, 3.636, 4.486",\
"1.087, 1.162, 1.32, 1.522, 1.78, 2.131, 2.594, 3.177, 3.889, 4.738",\
"1.321, 1.403, 1.577, 1.789, 2.048, 2.399, 2.861, 3.444, 4.155, 5.004",\
"1.576, 1.663, 1.852, 2.075, 2.334, 2.684, 3.145, 3.728, 4.439, 5.287",\
"1.849, 1.943, 2.145, 2.38, 2.639, 2.988, 3.449, 4.031, 4.742, 5.591",\
"2.142, 2.242, 2.457, 2.704, 2.962, 3.311, 3.771, 4.352, 5.063, 5.911");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.1012, 0.1491, 0.2802, 0.5201, 0.9057, 1.475, 2.236, 3.199, 4.375, 5.767",\
"0.1013, 0.1496, 0.2801, 0.5201, 0.9059, 1.475, 2.237, 3.197, 4.376, 5.763",\
"0.1012, 0.1498, 0.28, 0.52, 0.906, 1.475, 2.235, 3.197, 4.374, 5.763",\
"0.1116, 0.158, 0.2846, 0.5212, 0.9058, 1.474, 2.237, 3.2, 4.376, 5.768",\
"0.1392, 0.1908, 0.3126, 0.5338, 0.9074, 1.475, 2.237, 3.199, 4.373, 5.762",\
"0.1662, 0.2218, 0.3478, 0.552, 0.9118, 1.476, 2.236, 3.197, 4.369, 5.768",\
"0.1904, 0.2518, 0.3864, 0.5726, 0.9154, 1.476, 2.235, 3.194, 4.365, 5.762",\
"0.2158, 0.2812, 0.426, 0.5932, 0.9188, 1.478, 2.236, 3.195, 4.365, 5.764",\
"0.2422, 0.3118, 0.4662, 0.6152, 0.9222, 1.477, 2.236, 3.194, 4.367, 5.76",\
"0.2688, 0.3418, 0.5058, 0.6372, 0.9256, 1.478, 2.238, 3.194, 4.366, 5.764");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "CLKN===1'b1 && D===1'b1" ;
timing_sense : positive_unate ;
timing_type : clear ;
when : "CLKN&D" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.3525, 0.4081, 0.535, 0.7192, 0.9767, 1.329, 1.791, 2.375, 3.087, 3.935",\
"0.3797, 0.4353, 0.5621, 0.7464, 1.004, 1.356, 1.819, 2.402, 3.113, 3.964",\
"0.4789, 0.5343, 0.661, 0.8453, 1.103, 1.455, 1.918, 2.501, 3.213, 4.061",\
"0.6614, 0.7189, 0.8473, 1.032, 1.29, 1.642, 2.104, 2.688, 3.399, 4.249",\
"0.8692, 0.9354, 1.078, 1.269, 1.527, 1.879, 2.341, 2.925, 3.636, 4.485",\
"1.087, 1.162, 1.32, 1.521, 1.78, 2.131, 2.593, 3.176, 3.888, 4.738",\
"1.321, 1.402, 1.577, 1.789, 2.048, 2.398, 2.86, 3.443, 4.155, 5.003",\
"1.575, 1.663, 1.851, 2.075, 2.334, 2.684, 3.145, 3.728, 4.439, 5.288",\
"1.849, 1.942, 2.145, 2.38, 2.639, 2.988, 3.448, 4.03, 4.742, 5.59",\
"2.143, 2.242, 2.457, 2.704, 2.963, 3.311, 3.771, 4.352, 5.064, 5.912");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.1013, 0.1496, 0.2802, 0.52, 0.906, 1.475, 2.236, 3.199, 4.376, 5.761",\
"0.1013, 0.1494, 0.2799, 0.5201, 0.9058, 1.475, 2.236, 3.2, 4.374, 5.768",\
"0.1012, 0.1493, 0.2803, 0.52, 0.9058, 1.475, 2.237, 3.2, 4.376, 5.763",\
"0.1116, 0.1582, 0.2846, 0.521, 0.906, 1.474, 2.237, 3.2, 4.376, 5.762",\
"0.139, 0.1902, 0.3126, 0.5336, 0.9076, 1.474, 2.237, 3.2, 4.376, 5.763",\
"0.1662, 0.2222, 0.3474, 0.5522, 0.9118, 1.476, 2.234, 3.195, 4.372, 5.764",\
"0.191, 0.2516, 0.3866, 0.5722, 0.9154, 1.477, 2.235, 3.194, 4.365, 5.763",\
"0.2158, 0.281, 0.427, 0.5934, 0.9188, 1.477, 2.237, 3.195, 4.365, 5.761",\
"0.243, 0.3108, 0.4662, 0.6152, 0.9224, 1.477, 2.236, 3.195, 4.366, 5.759",\
"0.2684, 0.3418, 0.506, 0.6372, 0.9256, 1.478, 2.238, 3.196, 4.366, 5.76");
}
}
timing() {
related_pin : "RN" ;
timing_sense : positive_unate ;
timing_type : clear ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.3537, 0.4097, 0.5377, 0.7192, 0.9768, 1.335, 1.798, 2.381, 3.093, 3.941",\
"0.3807, 0.4368, 0.5648, 0.7464, 1.004, 1.362, 1.825, 2.408, 3.12, 3.968",\
"0.4799, 0.5359, 0.6637, 0.8453, 1.103, 1.461, 1.924, 2.507, 3.219, 4.067",\
"0.6622, 0.7203, 0.8502, 1.033, 1.291, 1.649, 2.111, 2.694, 3.406, 4.254",\
"0.8695, 0.9368, 1.082, 1.272, 1.532, 1.888, 2.351, 2.934, 3.645, 4.494",\
"1.087, 1.163, 1.325, 1.525, 1.79, 2.146, 2.608, 3.19, 3.902, 4.75",\
"1.32, 1.404, 1.583, 1.795, 2.064, 2.419, 2.881, 3.464, 4.175, 5.023",\
"1.573, 1.664, 1.858, 2.084, 2.358, 2.714, 3.175, 3.757, 4.468, 5.317",\
"1.846, 1.944, 2.154, 2.394, 2.674, 3.032, 3.492, 4.073, 4.785, 5.632",\
"2.139, 2.243, 2.468, 2.725, 3.014, 3.375, 3.835, 4.415, 5.126, 5.973");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.008794, 0.03615, 0.0884, 0.1698, 0.284, 0.4343, 0.6236, 0.8547, 1.13");
values("0.1013, 0.1509, 0.2791, 0.5153, 0.9166, 1.49, 2.239, 3.196, 4.37, 5.767",\
"0.1013, 0.1509, 0.2795, 0.5152, 0.9168, 1.49, 2.239, 3.197, 4.365, 5.762",\
"0.1015, 0.151, 0.2796, 0.5157, 0.9174, 1.489, 2.237, 3.196, 4.369, 5.769",\
"0.1118, 0.1602, 0.2842, 0.5176, 0.9182, 1.488, 2.237, 3.193, 4.371, 5.77",\
"0.1394, 0.1938, 0.3128, 0.5332, 0.9224, 1.485, 2.237, 3.197, 4.365, 5.761",\
"0.1658, 0.2272, 0.3486, 0.5578, 0.9332, 1.487, 2.237, 3.194, 4.37, 5.77",\
"0.1908, 0.2588, 0.389, 0.5852, 0.945, 1.49, 2.237, 3.194, 4.369, 5.768",\
"0.2158, 0.2902, 0.4304, 0.6154, 0.9602, 1.495, 2.239, 3.195, 4.364, 5.764",\
"0.2422, 0.3232, 0.4742, 0.6508, 0.9802, 1.503, 2.241, 3.195, 4.366, 5.761",\
"0.2696, 0.3562, 0.5198, 0.6944, 1.005, 1.514, 2.242, 3.198, 4.366, 5.76");
}
}
}
pin(RN) {
capacitance : 0.009617 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
min_pulse_width_low : 0.736 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.0312, 0.03048, 0.03039, 0.03041, 0.0304, 0.03047, 0.03048, 0.03045, \
0.03047, 0.03047");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.03045, -0.0304, -0.03031, -0.0303, -0.03039, -0.0304, -0.03046, \
-0.03038, -0.03039, -0.03039");
}
}
internal_power() {
when : "!CLKN&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.0311, 0.03045, 0.03039, 0.03044, 0.03046, 0.03047, 0.03046, \
0.03046, 0.03048, 0.03045");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.03045, -0.0304, -0.03031, -0.0303, -0.03039, -0.0304, -0.03046, \
-0.03039, -0.0304, -0.0304");
}
}
internal_power() {
when : "CLKN&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.0307, 0.03047, 0.03037, 0.03038, 0.03042, 0.03047, 0.03045, \
0.03043, 0.03045, 0.03046");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.03045, -0.03038, -0.0303, -0.03032, -0.03042, -0.03041, -0.0304, \
-0.03039, -0.0304, -0.03039");
}
}
internal_power() {
when : "CLKN&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.03037, 0.02973, 0.02937, 0.02929, 0.02934, 0.02931, 0.02928, \
0.02928, 0.02925, 0.02922");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.02904, -0.02921, -0.02957, -0.02978, -0.02997, -0.03001, -0.03008, \
-0.03008, -0.03009, -0.03012");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : recovery_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.698, -0.726, -0.829, -1.031, -1.283, -1.549, -1.841, -2.164, -2.521, -2.905",\
"-0.693, -0.715, -0.828, -1.026, -1.272, -1.538, -1.835, -2.155, -2.513, -2.9",\
"-0.671, -0.699, -0.808, -1.003, -1.254, -1.528, -1.819, -2.143, -2.503, -2.893",\
"-0.516, -0.542, -0.649, -0.849, -1.112, -1.398, -1.698, -2.032, -2.4, -2.799",\
"-0.097, -0.124, -0.24, -0.453, -0.727, -1.02, -1.336, -1.687, -2.065, -2.482",\
"0.573, 0.546, 0.426, 0.188, -0.095, -0.422, -0.748, -1.133, -1.537, -1.976",\
"1.459, 1.447, 1.309, 1.052, 0.741, 0.384, 0.01, -0.394, -0.823, -1.292",\
"2.619, 2.577, 2.423, 2.136, 1.792, 1.394, 1.005, 0.541, 0.083, -0.426",\
"3.994, 3.948, 3.773, 3.466, 3.073, 2.641, 2.207, 1.684, 1.205, 0.638",\
"5.651, 5.624, 5.403, 5.057, 4.625, 4.103, 3.63, 3.063, 2.499, 1.906");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : removal_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("1.048, 1.071, 1.172, 1.355, 1.579, 1.825, 2.094, 2.399, 2.731, 3.1",\
"1.052, 1.078, 1.171, 1.353, 1.58, 1.83, 2.098, 2.401, 2.731, 3.097",\
"1.082, 1.11, 1.201, 1.387, 1.613, 1.859, 2.132, 2.431, 2.764, 3.135",\
"1.175, 1.197, 1.297, 1.479, 1.705, 1.957, 2.223, 2.523, 2.857, 3.226",\
"1.329, 1.356, 1.454, 1.638, 1.863, 2.106, 2.378, 2.679, 3.011, 3.384",\
"1.539, 1.566, 1.663, 1.842, 2.071, 2.313, 2.585, 2.882, 3.217, 3.584",\
"1.783, 1.811, 1.905, 2.09, 2.313, 2.563, 2.826, 3.13, 3.46, 3.824",\
"2.074, 2.103, 2.195, 2.386, 2.606, 2.849, 3.117, 3.413, 3.747, 4.113",\
"2.4, 2.43, 2.523, 2.708, 2.934, 3.177, 3.443, 3.746, 4.074, 4.438",\
"2.775, 2.805, 2.897, 3.085, 3.307, 3.549, 3.82, 4.114, 4.447, 4.808");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_NOT_CLKN_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLKN&!D" ;
fall_constraint(scalar) {
values("0.736");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_NOT_CLKN_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLKN&D" ;
fall_constraint(scalar) {
values("0.736");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_CLKN_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLKN&!D" ;
fall_constraint(scalar) {
values("0.463");
}
}
timing() {
related_pin : "RN" ;
sdf_cond : "ENABLE_CLKN_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLKN&D" ;
fall_constraint(scalar) {
values("0.47");
}
}
}
}