blob: 5b8cb86dac04502bce0c61c6987df11ecb015f23 [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffnq_4) {
area : 98.784000 ;
ff(IQ1,IQN1) {
clocked_on : "(!CLKN)" ;
next_state : "D" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D" ;
value : "8.91684e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D" ;
value : "0.0001057518" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D" ;
value : "6.354e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D" ;
value : "6.28056e-05" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.0001057518" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLKN) {
capacitance : 0.004429 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 12 ;
min_period : 3.478 ;
min_pulse_width_high : 1.154 ;
min_pulse_width_low : 1.745 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.04918, 0.04899, 0.04855, 0.04816, 0.04795, 0.04795, 0.04814, \
0.04848, 0.04899, 0.0497");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.0317, 0.0316, 0.03131, 0.03091, 0.0306, 0.03053, 0.03065, 0.03095, \
0.03144, 0.03213");
}
}
internal_power() {
when : "D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.04925, 0.04905, 0.04862, 0.04823, 0.04803, 0.04802, 0.04821, \
0.04855, 0.04907, 0.04975");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.03165, 0.03154, 0.03125, 0.03085, 0.03055, 0.03046, 0.03055, \
0.03087, 0.03137, 0.03206");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D" ;
fall_constraint(scalar) {
values("1.547");
}
rise_constraint(scalar) {
values("1.154");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "D" ;
fall_constraint(scalar) {
values("1.745");
}
rise_constraint(scalar) {
values("0.952");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : minimum_period ;
when : "!D" ;
fall_constraint(scalar) {
values("3.107");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : minimum_period ;
when : "D" ;
fall_constraint(scalar) {
values("3.478");
}
}
}
pin(D) {
capacitance : 0.003403 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 12 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.01067, 0.01033, 0.009986, 0.009893, 0.009959, 0.01017, 0.01048, \
0.01092, 0.01151, 0.01226");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.002138, 0.001965, 0.001651, 0.001448, 0.001411, 0.001538, 0.001816, \
0.002239, 0.002813, 0.003533");
}
}
internal_power() {
when : "CLKN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.03441, 0.0342, 0.03395, 0.03374, 0.03366, 0.03371, 0.03391, \
0.03428, 0.0348, 0.03547");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.02418, 0.02407, 0.02386, 0.02367, 0.02353, 0.02351, 0.02364, \
0.0239, 0.0243, 0.02487");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : hold_falling ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("-0.12, -0.1, -0.016, 0.151, 0.379, 0.61, 0.896, 1.234, 1.604, 2.021",\
"-0.155, -0.133, -0.05, 0.12, 0.35, 0.582, 0.866, 1.204, 1.575, 1.994",\
"-0.27, -0.243, -0.168, -0.008, 0.227, 0.453, 0.749, 1.078, 1.455, 1.871",\
"-0.485, -0.459, -0.39, -0.238, -0.006, 0.221, 0.508, 0.84, 1.217, 1.632",\
"-0.772, -0.753, -0.69, -0.532, -0.328, -0.113, 0.172, 0.498, 0.875, 1.287",\
"-1.114, -1.083, -1.015, -0.866, -0.669, -0.495, -0.229, 0.096, 0.47, 0.879",\
"-1.482, -1.457, -1.386, -1.233, -1.043, -0.892, -0.651, -0.334, 0.03, 0.442",\
"-1.882, -1.852, -1.778, -1.63, -1.441, -1.316, -1.096, -0.792, -0.435, -0.031",\
"-2.317, -2.284, -2.215, -2.073, -1.874, -1.753, -1.568, -1.278, -0.934, -0.533",\
"-2.784, -2.755, -2.687, -2.537, -2.35, -2.231, -2.065, -1.801, -1.462, -1.066");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.498, 0.526, 0.645, 0.879, 1.244, 1.657, 2.108, 2.587, 3.091, 3.626",\
"0.464, 0.494, 0.613, 0.848, 1.207, 1.631, 2.076, 2.556, 3.064, 3.596",\
"0.359, 0.392, 0.512, 0.744, 1.101, 1.524, 1.979, 2.452, 2.961, 3.495",\
"0.225, 0.256, 0.377, 0.608, 0.964, 1.392, 1.841, 2.319, 2.826, 3.358",\
"0.112, 0.141, 0.259, 0.489, 0.838, 1.261, 1.709, 2.19, 2.698, 3.233",\
"0.01, 0.038, 0.158, 0.385, 0.735, 1.146, 1.596, 2.077, 2.579, 3.119",\
"-0.069, -0.038, 0.085, 0.311, 0.652, 1.063, 1.502, 1.978, 2.484, 3.024",\
"-0.117, -0.082, 0.04, 0.262, 0.599, 1.002, 1.439, 1.916, 2.419, 2.946",\
"-0.117, -0.082, 0.039, 0.261, 0.583, 0.979, 1.409, 1.875, 2.374, 2.906",\
"-0.048, -0.016, 0.094, 0.296, 0.611, 0.993, 1.417, 1.874, 2.371, 2.893");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : setup_falling ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.95, 0.893, 0.792, 0.543, 0.299, -0.021, -0.362, -0.757, -1.16, -1.61",\
"0.984, 0.926, 0.827, 0.576, 0.33, 0.006, -0.33, -0.721, -1.133, -1.581",\
"1.103, 1.049, 0.946, 0.701, 0.439, 0.132, -0.205, -0.592, -1.011, -1.463",\
"1.341, 1.285, 1.188, 0.937, 0.694, 0.375, 0.031, -0.363, -0.77, -1.221",\
"1.706, 1.647, 1.546, 1.297, 1.048, 0.723, 0.385, 0.002, -0.417, -0.874",\
"2.153, 2.092, 1.998, 1.75, 1.494, 1.161, 0.822, 0.421, 0.015, -0.44",\
"2.654, 2.596, 2.504, 2.244, 1.984, 1.642, 1.297, 0.9, 0.493, 0.032",\
"3.202, 3.138, 3.05, 2.778, 2.512, 2.164, 1.817, 1.425, 0.996, 0.535",\
"3.782, 3.717, 3.629, 3.351, 3.084, 2.724, 2.369, 1.961, 1.543, 1.077",\
"4.404, 4.335, 4.259, 3.97, 3.695, 3.325, 2.965, 2.543, 2.124, 1.657");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
values("0.338, 0.318, 0.22, 0, -0.379, -0.812, -1.281, -1.777, -2.218, -2.784",\
"0.373, 0.344, 0.249, 0.028, -0.355, -0.821, -1.247, -1.746, -2.179, -2.755",\
"0.467, 0.449, 0.345, 0.129, -0.256, -0.679, -1.145, -1.642, -2.083, -2.65",\
"0.636, 0.613, 0.503, 0.274, -0.092, -0.548, -0.98, -1.483, -1.922, -2.483",\
"0.841, 0.809, 0.69, 0.461, 0.101, -0.317, -0.776, -1.278, -1.724, -2.292",\
"1.037, 1.011, 0.886, 0.648, 0.288, -0.125, -0.577, -1.072, -1.521, -2.088",\
"1.224, 1.194, 1.07, 0.836, 0.47, 0.021, -0.401, -0.887, -1.331, -1.887",\
"1.388, 1.36, 1.24, 0.996, 0.625, 0.206, -0.253, -0.737, -1.184, -1.734",\
"1.532, 1.503, 1.381, 1.132, 0.764, 0.333, -0.117, -0.603, -1.074, -1.618",\
"1.639, 1.61, 1.493, 1.245, 0.874, 0.44, -0.022, -0.513, -0.99, -1.535");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 1.261 ;
max_transition : 12 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLKN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009694, 0.04021, 0.09849, 0.1893, 0.3167, 0.4843, 0.6955, 0.9534, 1.261");
values("0.1008, 0.1012, 0.1025, 0.104, 0.1051, 0.1058, 0.1063, 0.1066, 0.1068, 0.1069",\
"0.1008, 0.1011, 0.1026, 0.104, 0.1051, 0.1059, 0.1063, 0.1066, 0.1068, 0.1069",\
"0.1008, 0.1011, 0.1025, 0.104, 0.1051, 0.1059, 0.1063, 0.1066, 0.1068, 0.1069",\
"0.1007, 0.1011, 0.1024, 0.1039, 0.1051, 0.1058, 0.1062, 0.1065, 0.1067, 0.1068",\
"0.1006, 0.101, 0.1023, 0.1038, 0.1049, 0.1057, 0.1061, 0.1064, 0.1066, 0.1067",\
"0.1004, 0.1008, 0.1021, 0.1037, 0.1048, 0.1056, 0.106, 0.1063, 0.1065, 0.1066",\
"0.1004, 0.1007, 0.1021, 0.1036, 0.1047, 0.1054, 0.1059, 0.1062, 0.1063, 0.1065",\
"0.1003, 0.1006, 0.1019, 0.1035, 0.1046, 0.1053, 0.1058, 0.106, 0.1062, 0.1064",\
"0.1003, 0.1006, 0.1018, 0.1034, 0.1045, 0.1052, 0.1057, 0.106, 0.1062, 0.1063",\
"0.1002, 0.1006, 0.1018, 0.1033, 0.1045, 0.1052, 0.1057, 0.106, 0.1062, 0.1063");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009694, 0.04021, 0.09849, 0.1893, 0.3167, 0.4843, 0.6955, 0.9534, 1.261");
values("0.11, 0.1104, 0.1114, 0.1131, 0.1148, 0.1165, 0.1175, 0.1191, 0.1231, 0.1621",\
"0.11, 0.1103, 0.1114, 0.1132, 0.1148, 0.1166, 0.1179, 0.119, 0.1244, 0.1629",\
"0.1099, 0.1103, 0.1114, 0.1132, 0.1149, 0.1166, 0.118, 0.119, 0.1248, 0.1667",\
"0.1099, 0.1102, 0.1113, 0.113, 0.1147, 0.1164, 0.1174, 0.1196, 0.1249, 0.1749",\
"0.11, 0.1103, 0.1116, 0.1131, 0.1148, 0.1165, 0.118, 0.119, 0.1287, 0.192",\
"0.1102, 0.1106, 0.1117, 0.1135, 0.1151, 0.1168, 0.1182, 0.1197, 0.1329, 0.2198",\
"0.1105, 0.111, 0.112, 0.1137, 0.1154, 0.117, 0.1182, 0.1212, 0.1419, 0.2724",\
"0.1107, 0.1111, 0.1122, 0.1138, 0.1155, 0.1172, 0.1186, 0.1221, 0.1626, 0.3604",\
"0.111, 0.1114, 0.1123, 0.1141, 0.1158, 0.1178, 0.1186, 0.1281, 0.2092, 0.5166",\
"0.1112, 0.1116, 0.1127, 0.1144, 0.1159, 0.1178, 0.121, 0.1503, 0.326, 0.7595");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : falling_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009694, 0.04021, 0.09849, 0.1893, 0.3167, 0.4843, 0.6955, 0.9534, 1.261");
values("2.142, 2.21, 2.372, 2.583, 2.836, 3.143, 3.524, 3.992, 4.56, 5.237",\
"2.176, 2.244, 2.405, 2.617, 2.869, 3.177, 3.557, 4.026, 4.594, 5.271",\
"2.298, 2.366, 2.527, 2.739, 2.991, 3.299, 3.679, 4.148, 4.716, 5.393",\
"2.539, 2.607, 2.769, 2.98, 3.233, 3.54, 3.92, 4.389, 4.957, 5.634",\
"2.91, 2.978, 3.139, 3.351, 3.603, 3.911, 4.291, 4.76, 5.328, 6.005",\
"3.366, 3.434, 3.595, 3.807, 4.059, 4.367, 4.747, 5.216, 5.784, 6.461",\
"3.862, 3.931, 4.092, 4.303, 4.556, 4.864, 5.244, 5.712, 6.281, 6.957",\
"4.391, 4.459, 4.621, 4.833, 5.086, 5.393, 5.773, 6.241, 6.81, 7.486",\
"4.952, 5.02, 5.182, 5.394, 5.646, 5.954, 6.334, 6.803, 7.371, 8.047",\
"5.544, 5.613, 5.774, 5.986, 6.239, 6.546, 6.926, 7.395, 7.964, 8.64");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009694, 0.04021, 0.09849, 0.1893, 0.3167, 0.4843, 0.6955, 0.9534, 1.261");
values("2.382, 2.457, 2.658, 2.994, 3.5, 4.203, 5.127, 6.289, 7.709, 9.398",\
"2.415, 2.49, 2.691, 3.027, 3.533, 4.237, 5.16, 6.323, 7.741, 9.433",\
"2.537, 2.611, 2.813, 3.149, 3.654, 4.358, 5.282, 6.445, 7.863, 9.555",\
"2.778, 2.853, 3.054, 3.39, 3.895, 4.598, 5.523, 6.685, 8.104, 9.794",\
"3.148, 3.222, 3.423, 3.759, 4.265, 4.968, 5.892, 7.055, 8.473, 10.16",\
"3.597, 3.671, 3.873, 4.209, 4.714, 5.418, 6.342, 7.504, 8.923, 10.61",\
"4.085, 4.159, 4.36, 4.697, 5.202, 5.906, 6.83, 7.992, 9.41, 11.1",\
"4.602, 4.677, 4.878, 5.214, 5.719, 6.423, 7.346, 8.509, 9.928, 11.62",\
"5.148, 5.223, 5.424, 5.76, 6.265, 6.969, 7.893, 9.055, 10.47, 12.17",\
"5.723, 5.798, 5.999, 6.335, 6.84, 7.544, 8.468, 9.63, 11.05, 12.74");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009694, 0.04021, 0.09849, 0.1893, 0.3167, 0.4843, 0.6955, 0.9534, 1.261");
values("0.2448, 0.2956, 0.433, 0.6398, 0.9334, 1.363, 1.955, 2.727, 3.687, 4.834",\
"0.2444, 0.2954, 0.433, 0.6398, 0.9336, 1.363, 1.953, 2.727, 3.683, 4.84",\
"0.2446, 0.2958, 0.4332, 0.64, 0.9338, 1.363, 1.955, 2.726, 3.688, 4.84",\
"0.2444, 0.296, 0.4332, 0.6404, 0.9346, 1.362, 1.955, 2.724, 3.688, 4.839",\
"0.2444, 0.2958, 0.4332, 0.6402, 0.9336, 1.363, 1.955, 2.727, 3.683, 4.834",\
"0.2446, 0.2956, 0.4332, 0.6404, 0.9338, 1.363, 1.955, 2.728, 3.688, 4.84",\
"0.2446, 0.2958, 0.433, 0.6402, 0.9346, 1.362, 1.952, 2.724, 3.688, 4.84",\
"0.2448, 0.296, 0.4334, 0.6402, 0.933, 1.362, 1.952, 2.728, 3.687, 4.838",\
"0.246, 0.296, 0.434, 0.64, 0.936, 1.362, 1.956, 2.726, 3.68, 4.834",\
"0.246, 0.296, 0.434, 0.642, 0.934, 1.364, 1.954, 2.726, 3.684, 4.832");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617, 6.625, 9.077, 12");
index_2("0.001, 0.009694, 0.04021, 0.09849, 0.1893, 0.3167, 0.4843, 0.6955, 0.9534, 1.261");
values("0.2228, 0.295, 0.55, 1.071, 1.912, 3.111, 4.687, 6.671, 9.102, 12.01",\
"0.2222, 0.294, 0.55, 1.07, 1.913, 3.109, 4.688, 6.679, 9.11, 12",\
"0.2226, 0.2946, 0.5502, 1.071, 1.913, 3.108, 4.688, 6.679, 9.11, 11.99",\
"0.2222, 0.2942, 0.5498, 1.071, 1.912, 3.111, 4.687, 6.677, 9.099, 12.01",\
"0.2222, 0.294, 0.5502, 1.071, 1.912, 3.111, 4.688, 6.678, 9.108, 12",\
"0.2226, 0.295, 0.549, 1.071, 1.913, 3.11, 4.688, 6.679, 9.109, 11.99",\
"0.2222, 0.2944, 0.5498, 1.071, 1.913, 3.109, 4.683, 6.676, 9.104, 12.01",\
"0.2226, 0.2942, 0.5494, 1.071, 1.911, 3.11, 4.69, 6.678, 9.11, 12",\
"0.224, 0.294, 0.548, 1.07, 1.912, 3.106, 4.682, 6.674, 9.11, 12.01",\
"0.222, 0.296, 0.552, 1.07, 1.912, 3.106, 4.682, 6.666, 9.098, 11.99");
}
}
}
}