blob: c24c79a63a98db4b8709e2958ac4622ae75558e4 [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffnq_1) {
area : 84.672000 ;
ff(IQ1,IQN1) {
clocked_on : "(!CLKN)" ;
next_state : "D" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D" ;
value : "0.0002273304" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D" ;
value : "0.0002516184" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D" ;
value : "0.0001786653" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D" ;
value : "0.0001775763" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.0002516184" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLKN) {
capacitance : 0.004939 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 6 ;
min_period : 1.179 ;
min_pulse_width_high : 0.458 ;
min_pulse_width_low : 0.5 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("0.1729, 0.172, 0.1721, 0.1772, 0.1896, 0.209, 0.2366, 0.2729, \
0.3185, 0.3737");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("0.1094, 0.109, 0.109, 0.1133, 0.1231, 0.14, 0.1644, 0.1969, 0.2376, \
0.287");
}
}
internal_power() {
when : "D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("0.1731, 0.1723, 0.1723, 0.1775, 0.1899, 0.2092, 0.2369, 0.2732, \
0.3188, 0.3739");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("0.1093, 0.1087, 0.1087, 0.1131, 0.1229, 0.1398, 0.1642, 0.1966, \
0.2374, 0.2867");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D" ;
fall_constraint(scalar) {
values("0.485");
}
rise_constraint(scalar) {
values("0.458");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "D" ;
fall_constraint(scalar) {
values("0.5");
}
rise_constraint(scalar) {
values("0.394");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : minimum_period ;
when : "!D" ;
fall_constraint(scalar) {
values("1.006");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : minimum_period ;
when : "D" ;
fall_constraint(scalar) {
values("1.179");
}
}
}
pin(D) {
capacitance : 0.003807 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 6 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("0.03755, 0.03673, 0.03807, 0.04351, 0.0537, 0.06899, 0.08979, \
0.1165, 0.1495, 0.189");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("0.005102, 0.004527, 0.004945, 0.008904, 0.01741, 0.03065, 0.04903, \
0.07277, 0.1021, 0.1374");
}
}
internal_power() {
when : "CLKN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("0.126, 0.1256, 0.1261, 0.1305, 0.14, 0.1549, 0.176, 0.2037, 0.2384, \
0.2804");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("0.08411, 0.08377, 0.08419, 0.08758, 0.09493, 0.1073, 0.1252, 0.149, \
0.1791, 0.2156");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : hold_falling ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
index_2("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("-0.029, -0.019, 0.023, 0.09, 0.163, 0.258, 0.368, 0.496, 0.651, 0.82",\
"-0.04, -0.029, 0.013, 0.079, 0.15, 0.244, 0.353, 0.483, 0.637, 0.808",\
"-0.088, -0.074, -0.032, 0.033, 0.109, 0.201, 0.307, 0.442, 0.591, 0.759",\
"-0.163, -0.147, -0.115, -0.046, 0.027, 0.116, 0.225, 0.357, 0.505, 0.676",\
"-0.259, -0.248, -0.213, -0.144, -0.068, 0.015, 0.125, 0.245, 0.393, 0.565",\
"-0.376, -0.365, -0.322, -0.26, -0.186, -0.101, -0, 0.117, 0.269, 0.431",\
"-0.515, -0.502, -0.465, -0.398, -0.33, -0.241, -0.144, -0.03, 0.115, 0.273",\
"-0.674, -0.663, -0.62, -0.557, -0.487, -0.404, -0.302, -0.194, -0.055, 0.101",\
"-0.849, -0.843, -0.802, -0.741, -0.667, -0.587, -0.49, -0.373, -0.241, -0.09",\
"-1.049, -1.039, -1.002, -0.937, -0.867, -0.787, -0.696, -0.584, -0.448, -0.302");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
index_2("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("0.155, 0.163, 0.207, 0.292, 0.411, 0.545, 0.691, 0.86, 1.053, 1.262",\
"0.142, 0.16, 0.203, 0.283, 0.4, 0.53, 0.681, 0.85, 1.042, 1.253",\
"0.115, 0.126, 0.165, 0.257, 0.369, 0.509, 0.653, 0.824, 1.014, 1.222",\
"0.082, 0.095, 0.136, 0.225, 0.338, 0.472, 0.627, 0.795, 0.982, 1.19",\
"0.062, 0.077, 0.118, 0.207, 0.324, 0.452, 0.608, 0.772, 0.963, 1.17",\
"0.055, 0.067, 0.107, 0.197, 0.314, 0.449, 0.596, 0.768, 0.958, 1.17",\
"0.064, 0.079, 0.117, 0.205, 0.317, 0.451, 0.608, 0.772, 0.966, 1.173",\
"0.095, 0.115, 0.153, 0.234, 0.34, 0.475, 0.624, 0.792, 0.993, 1.201",\
"0.152, 0.167, 0.208, 0.282, 0.392, 0.517, 0.67, 0.836, 1.025, 1.239",\
"0.24, 0.251, 0.287, 0.36, 0.462, 0.59, 0.732, 0.893, 1.085, 1.293");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : setup_falling ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
index_2("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("0.321, 0.304, 0.263, 0.176, 0.084, -0.021, -0.149, -0.294, -0.453, -0.629",\
"0.332, 0.32, 0.276, 0.194, 0.095, -0.011, -0.137, -0.278, -0.443, -0.624",\
"0.382, 0.363, 0.317, 0.234, 0.138, 0.032, -0.092, -0.238, -0.397, -0.578",\
"0.465, 0.458, 0.409, 0.329, 0.23, 0.117, -0.003, -0.148, -0.307, -0.487",\
"0.59, 0.58, 0.531, 0.455, 0.353, 0.244, 0.113, -0.028, -0.191, -0.371",\
"0.74, 0.729, 0.686, 0.595, 0.496, 0.387, 0.259, 0.11, -0.046, -0.222",\
"0.91, 0.898, 0.856, 0.77, 0.665, 0.557, 0.424, 0.278, 0.119, -0.063",\
"1.113, 1.098, 1.055, 0.967, 0.866, 0.751, 0.62, 0.477, 0.312, 0.129",\
"1.341, 1.328, 1.278, 1.193, 1.09, 0.973, 0.841, 0.688, 0.526, 0.344",\
"1.586, 1.572, 1.533, 1.443, 1.339, 1.216, 1.082, 0.932, 0.771, 0.589");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
index_2("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
values("0.172, 0.168, 0.124, 0.046, -0.045, -0.156, -0.259, -0.395, -0.543, -0.643",\
"0.183, 0.174, 0.136, 0.057, -0.039, -0.149, -0.255, -0.406, -0.529, -0.624",\
"0.216, 0.213, 0.165, 0.091, -0.005, -0.117, -0.236, -0.371, -0.508, -0.578",\
"0.263, 0.261, 0.212, 0.132, 0.036, -0.079, -0.211, -0.338, -0.455, -0.558",\
"0.315, 0.307, 0.265, 0.175, 0.069, -0.047, -0.174, -0.323, -0.479, -0.573",\
"0.351, 0.348, 0.304, 0.212, 0.104, -0.023, -0.154, -0.314, -0.476, -0.614",\
"0.38, 0.372, 0.327, 0.239, 0.125, 0, -0.144, -0.309, -0.482, -0.656",\
"0.395, 0.381, 0.336, 0.252, 0.134, 0.009, -0.141, -0.315, -0.488, -0.679",\
"0.391, 0.375, 0.332, 0.245, 0.132, -0.005, -0.152, -0.321, -0.509, -0.707",\
"0.363, 0.349, 0.304, 0.217, 0.102, -0.025, -0.18, -0.354, -0.546, -0.748");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.3798 ;
max_transition : 6 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLKN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
index_2("0.001, 0.003614, 0.01279, 0.03032, 0.05762, 0.09593, 0.1463, 0.2099, 0.2874, 0.3798");
values("0.1855, 0.1841, 0.1825, 0.1814, 0.1809, 0.1809, 0.1807, 0.1807, 0.1807, 0.1806",\
"0.1856, 0.1842, 0.1825, 0.1817, 0.1812, 0.181, 0.1809, 0.1809, 0.1808, 0.1808",\
"0.1855, 0.1841, 0.1823, 0.1814, 0.181, 0.1808, 0.1806, 0.1806, 0.1806, 0.1805",\
"0.1856, 0.1842, 0.1824, 0.1815, 0.1811, 0.181, 0.1809, 0.1808, 0.1807, 0.1807",\
"0.1866, 0.1851, 0.1833, 0.1824, 0.182, 0.1818, 0.1817, 0.1816, 0.1816, 0.1816",\
"0.188, 0.1865, 0.1847, 0.1838, 0.1833, 0.1831, 0.1831, 0.1829, 0.183, 0.1829",\
"0.1899, 0.1886, 0.1867, 0.1858, 0.1854, 0.1851, 0.185, 0.1849, 0.1849, 0.1848",\
"0.1924, 0.191, 0.189, 0.1883, 0.1878, 0.1876, 0.1875, 0.1873, 0.1872, 0.1872",\
"0.1953, 0.1938, 0.192, 0.1911, 0.1907, 0.1904, 0.1903, 0.1902, 0.1902, 0.1901",\
"0.1989, 0.1974, 0.1956, 0.1945, 0.194, 0.1938, 0.1937, 0.1936, 0.1936, 0.1936");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
index_2("0.001, 0.003614, 0.01279, 0.03032, 0.05762, 0.09593, 0.1463, 0.2099, 0.2874, 0.3798");
values("0.2238, 0.2232, 0.2218, 0.222, 0.2225, 0.2231, 0.2244, 0.2252, 0.2262, 0.2269",\
"0.224, 0.2232, 0.222, 0.2223, 0.2227, 0.2236, 0.2245, 0.2255, 0.2261, 0.2271",\
"0.224, 0.2233, 0.2219, 0.2221, 0.2227, 0.2235, 0.2244, 0.2254, 0.2264, 0.2271",\
"0.2248, 0.224, 0.2227, 0.2231, 0.2234, 0.2245, 0.2256, 0.2262, 0.2268, 0.2285",\
"0.2263, 0.2254, 0.2245, 0.2245, 0.225, 0.2259, 0.2268, 0.2277, 0.2289, 0.2296",\
"0.2279, 0.2271, 0.2262, 0.2262, 0.2267, 0.2276, 0.2287, 0.2293, 0.2304, 0.231",\
"0.2302, 0.2293, 0.2284, 0.2285, 0.2288, 0.2297, 0.231, 0.2316, 0.2321, 0.2333",\
"0.2322, 0.2313, 0.2305, 0.2304, 0.2314, 0.2328, 0.2333, 0.234, 0.2347, 0.2355",\
"0.2351, 0.2342, 0.2333, 0.2334, 0.2336, 0.2347, 0.2367, 0.237, 0.2378, 0.2386",\
"0.2376, 0.2367, 0.2355, 0.2359, 0.2359, 0.2368, 0.2379, 0.2406, 0.2411, 0.2426");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : falling_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
index_2("0.001, 0.003614, 0.01279, 0.03032, 0.05762, 0.09593, 0.1463, 0.2099, 0.2874, 0.3798");
values("0.7268, 0.7522, 0.8229, 0.9284, 1.067, 1.25, 1.487, 1.785, 2.149, 2.583",\
"0.7381, 0.7635, 0.8342, 0.9397, 1.079, 1.262, 1.499, 1.797, 2.16, 2.594",\
"0.7827, 0.8081, 0.8788, 0.9843, 1.123, 1.306, 1.543, 1.841, 2.205, 2.639",\
"0.8728, 0.8983, 0.969, 1.074, 1.213, 1.396, 1.633, 1.932, 2.295, 2.729",\
"0.9954, 1.021, 1.091, 1.197, 1.336, 1.519, 1.756, 2.054, 2.418, 2.852",\
"1.136, 1.161, 1.232, 1.338, 1.476, 1.659, 1.897, 2.195, 2.558, 2.992",\
"1.297, 1.322, 1.393, 1.499, 1.638, 1.821, 2.058, 2.356, 2.72, 3.154",\
"1.479, 1.504, 1.575, 1.68, 1.819, 2.002, 2.24, 2.538, 2.901, 3.335",\
"1.68, 1.705, 1.776, 1.881, 2.021, 2.204, 2.44, 2.738, 3.102, 3.536",\
"1.9, 1.925, 1.997, 2.102, 2.241, 2.424, 2.661, 2.959, 3.323, 3.756");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
index_2("0.001, 0.003614, 0.01279, 0.03032, 0.05762, 0.09593, 0.1463, 0.2099, 0.2874, 0.3798");
values("0.8082, 0.8363, 0.9227, 1.08, 1.323, 1.662, 2.109, 2.671, 3.359, 4.176",\
"0.8194, 0.8472, 0.9338, 1.091, 1.334, 1.674, 2.12, 2.683, 3.369, 4.189",\
"0.8642, 0.8922, 0.9786, 1.136, 1.378, 1.718, 2.165, 2.727, 3.414, 4.233",\
"0.9548, 0.9828, 1.069, 1.227, 1.469, 1.809, 2.256, 2.818, 3.504, 4.325",\
"1.078, 1.106, 1.193, 1.35, 1.592, 1.932, 2.379, 2.941, 3.627, 4.447",\
"1.219, 1.247, 1.333, 1.491, 1.733, 2.073, 2.52, 3.082, 3.768, 4.588",\
"1.381, 1.409, 1.496, 1.653, 1.895, 2.235, 2.682, 3.244, 3.931, 4.751",\
"1.563, 1.591, 1.678, 1.835, 2.078, 2.417, 2.864, 3.426, 4.114, 4.931",\
"1.765, 1.792, 1.879, 2.037, 2.279, 2.618, 3.065, 3.627, 4.313, 5.131",\
"1.984, 2.011, 2.098, 2.256, 2.498, 2.838, 3.284, 3.847, 4.533, 5.351");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
index_2("0.001, 0.003614, 0.01279, 0.03032, 0.05762, 0.09593, 0.1463, 0.2099, 0.2874, 0.3798");
values("0.1025, 0.1275, 0.2041, 0.3259, 0.5173, 0.8046, 1.192, 1.691, 2.302, 3.028",\
"0.1023, 0.1273, 0.2039, 0.3263, 0.5173, 0.8044, 1.194, 1.692, 2.303, 3.028",\
"0.1025, 0.1275, 0.2037, 0.326, 0.5168, 0.8042, 1.192, 1.691, 2.303, 3.028",\
"0.1022, 0.1276, 0.204, 0.3262, 0.5174, 0.8038, 1.194, 1.692, 2.303, 3.031",\
"0.1024, 0.1276, 0.2038, 0.3266, 0.5178, 0.8042, 1.192, 1.691, 2.303, 3.028",\
"0.1024, 0.1274, 0.2038, 0.3258, 0.5174, 0.804, 1.194, 1.691, 2.302, 3.031",\
"0.1028, 0.1286, 0.204, 0.326, 0.5174, 0.804, 1.192, 1.692, 2.302, 3.026",\
"0.103, 0.1278, 0.2044, 0.3264, 0.5172, 0.8036, 1.193, 1.689, 2.298, 3.025",\
"0.103, 0.128, 0.2046, 0.3266, 0.5176, 0.8028, 1.194, 1.689, 2.298, 3.023",\
"0.1032, 0.1284, 0.2048, 0.327, 0.5176, 0.8032, 1.194, 1.689, 2.297, 3.025");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315, 3.317, 4.541, 6");
index_2("0.001, 0.003614, 0.01279, 0.03032, 0.05762, 0.09593, 0.1463, 0.2099, 0.2874, 0.3798");
values("0.1075, 0.1448, 0.2765, 0.5427, 0.9644, 1.563, 2.345, 3.336, 4.542, 5.985",\
"0.1078, 0.1446, 0.2765, 0.5426, 0.964, 1.56, 2.345, 3.334, 4.541, 5.985",\
"0.1078, 0.1447, 0.2766, 0.5424, 0.9644, 1.561, 2.345, 3.334, 4.545, 5.991",\
"0.1074, 0.1446, 0.2766, 0.5424, 0.9642, 1.56, 2.344, 3.335, 4.542, 5.985",\
"0.1076, 0.1448, 0.2764, 0.5424, 0.9644, 1.56, 2.345, 3.333, 4.545, 5.986",\
"0.107, 0.1448, 0.2766, 0.5426, 0.9642, 1.561, 2.344, 3.335, 4.544, 5.986",\
"0.1068, 0.144, 0.2766, 0.5418, 0.9644, 1.562, 2.344, 3.336, 4.547, 5.985",\
"0.1072, 0.1444, 0.2764, 0.5422, 0.9644, 1.562, 2.347, 3.335, 4.545, 5.985",\
"0.107, 0.1446, 0.2762, 0.5426, 0.9656, 1.56, 2.345, 3.333, 4.543, 5.983",\
"0.1068, 0.1442, 0.2764, 0.5428, 0.9652, 1.561, 2.345, 3.333, 4.54, 5.992");
}
}
}
}