blob: df570104b413fa6af8868cbf2c3d7efdf5236333 [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffnq_1) {
area : 84.672000 ;
ff(IQ1,IQN1) {
clocked_on : "(!CLKN)" ;
next_state : "D" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D" ;
value : "0.000180633" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D" ;
value : "0.000200607" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D" ;
value : "0.000146688" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D" ;
value : "0.000145755" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.000200607" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLKN) {
capacitance : 0.004842 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
min_period : 1.629 ;
min_pulse_width_high : 0.619 ;
min_pulse_width_low : 0.695 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.1428, 0.1419, 0.1408, 0.1423, 0.147, 0.1549, 0.1662, 0.181, \
0.1999, 0.2232");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09057, 0.09005, 0.08911, 0.08995, 0.0936, 0.1002, 0.1103, 0.1239, \
0.1412, 0.1624");
}
}
internal_power() {
when : "D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.143, 0.142, 0.141, 0.1425, 0.1473, 0.1551, 0.1663, 0.1812, 0.2002, \
0.2234");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09037, 0.08978, 0.08886, 0.08979, 0.09338, 0.1001, 0.11, 0.1237, \
0.141, 0.1623");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D" ;
fall_constraint(scalar) {
values("0.668");
}
rise_constraint(scalar) {
values("0.619");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "D" ;
fall_constraint(scalar) {
values("0.695");
}
rise_constraint(scalar) {
values("0.533");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : minimum_period ;
when : "!D" ;
fall_constraint(scalar) {
values("1.375");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : minimum_period ;
when : "D" ;
fall_constraint(scalar) {
values("1.629");
}
}
}
pin(D) {
capacitance : 0.00372 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 11.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.03069, 0.02956, 0.02966, 0.03194, 0.03632, 0.04293, 0.05218, \
0.06408, 0.07887, 0.09675");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.004097, 0.003347, 0.00283, 0.004279, 0.00789, 0.01376, 0.02199, \
0.03271, 0.04607, 0.06223");
}
}
internal_power() {
when : "CLKN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.09969, 0.09899, 0.09866, 0.1002, 0.104, 0.1103, 0.119, 0.1306, \
0.1453, 0.1633");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.06715, 0.06664, 0.06628, 0.06717, 0.06985, 0.07472, 0.08207, \
0.09209, 0.1049, 0.1208");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : hold_falling ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("-0.115, -0.091, -0.016, 0.121, 0.255, 0.42, 0.624, 0.86, 1.125, 1.431",\
"-0.138, -0.12, -0.041, 0.096, 0.232, 0.397, 0.598, 0.836, 1.1, 1.403",\
"-0.235, -0.212, -0.132, -0.001, 0.131, 0.304, 0.498, 0.737, 1.003, 1.305",\
"-0.389, -0.359, -0.284, -0.162, -0.027, 0.132, 0.335, 0.571, 0.833, 1.142",\
"-0.561, -0.531, -0.458, -0.333, -0.214, -0.061, 0.131, 0.37, 0.628, 0.932",\
"-0.755, -0.732, -0.653, -0.533, -0.426, -0.277, -0.084, 0.139, 0.406, 0.704",\
"-0.996, -0.965, -0.892, -0.77, -0.661, -0.514, -0.339, -0.11, 0.15, 0.45",\
"-1.261, -1.242, -1.16, -1.035, -0.924, -0.791, -0.621, -0.4, -0.144, 0.152",\
"-1.572, -1.54, -1.468, -1.34, -1.234, -1.097, -0.93, -0.713, -0.464, -0.177",\
"-1.909, -1.877, -1.804, -1.684, -1.572, -1.441, -1.273, -1.067, -0.822, -0.538");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.223, 0.246, 0.345, 0.521, 0.736, 0.959, 1.216, 1.504, 1.818, 2.172",\
"0.199, 0.224, 0.322, 0.499, 0.713, 0.941, 1.195, 1.48, 1.799, 2.148",\
"0.132, 0.158, 0.253, 0.433, 0.643, 0.871, 1.13, 1.416, 1.734, 2.088",\
"0.072, 0.092, 0.186, 0.363, 0.579, 0.812, 1.064, 1.354, 1.668, 2.025",\
"0.023, 0.047, 0.141, 0.32, 0.53, 0.762, 1.013, 1.305, 1.618, 1.973",\
"-0.005, 0.022, 0.116, 0.287, 0.503, 0.734, 0.99, 1.274, 1.591, 1.943",\
"-0.011, 0.015, 0.115, 0.285, 0.492, 0.725, 0.978, 1.264, 1.58, 1.938",\
"0.027, 0.047, 0.15, 0.314, 0.517, 0.736, 0.986, 1.273, 1.596, 1.946",\
"0.096, 0.116, 0.213, 0.368, 0.563, 0.779, 1.027, 1.315, 1.629, 1.984",\
"0.211, 0.23, 0.325, 0.465, 0.648, 0.861, 1.102, 1.379, 1.696, 2.052");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : setup_falling ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.435, 0.407, 0.316, 0.165, 0.004, -0.187, -0.409, -0.662, -0.946, -1.263",\
"0.461, 0.431, 0.343, 0.197, 0.028, -0.165, -0.385, -0.636, -0.924, -1.24",\
"0.56, 0.534, 0.441, 0.293, 0.125, -0.062, -0.29, -0.538, -0.828, -1.138",\
"0.741, 0.716, 0.618, 0.477, 0.306, 0.11, -0.111, -0.365, -0.652, -0.968",\
"0.977, 0.944, 0.85, 0.699, 0.53, 0.335, 0.11, -0.142, -0.433, -0.748",\
"1.235, 1.203, 1.106, 0.952, 0.779, 0.582, 0.353, 0.1, -0.189, -0.503",\
"1.523, 1.49, 1.396, 1.237, 1.057, 0.86, 0.629, 0.375, 0.086, -0.229",\
"1.853, 1.818, 1.72, 1.56, 1.382, 1.176, 0.949, 0.689, 0.397, 0.076",\
"2.218, 2.187, 2.087, 1.921, 1.74, 1.537, 1.305, 1.045, 0.751, 0.428",\
"2.629, 2.596, 2.504, 2.329, 2.143, 1.935, 1.707, 1.439, 1.149, 0.824");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
values("0.206, 0.185, 0.095, -0.077, -0.264, -0.485, -0.705, -0.973, -1.135, -1.31",\
"0.225, 0.207, 0.117, -0.055, -0.251, -0.456, -0.68, -0.95, -1.11, -1.328",\
"0.303, 0.274, 0.188, 0.015, -0.18, -0.386, -0.603, -0.889, -1.089, -1.291",\
"0.406, 0.383, 0.284, 0.115, -0.08, -0.281, -0.514, -0.803, -1.013, -1.235",\
"0.505, 0.482, 0.381, 0.206, 0, -0.214, -0.448, -0.714, -1.001, -1.218",\
"0.587, 0.561, 0.466, 0.281, 0.073, -0.152, -0.393, -0.677, -0.988, -1.315",\
"0.646, 0.62, 0.521, 0.341, 0.131, -0.102, -0.354, -0.646, -0.953, -1.301",\
"0.678, 0.659, 0.561, 0.374, 0.16, -0.073, -0.331, -0.622, -0.94, -1.299",\
"0.689, 0.665, 0.561, 0.382, 0.164, -0.068, -0.332, -0.625, -0.953, -1.313",\
"0.664, 0.638, 0.535, 0.353, 0.138, -0.091, -0.354, -0.655, -0.983, -1.348");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.5639 ;
max_transition : 11.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLKN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004885, 0.01852, 0.04456, 0.08514, 0.1421, 0.217, 0.3113, 0.4266, 0.5639");
values("0.1383, 0.1383, 0.1389, 0.1397, 0.1402, 0.1405, 0.1407, 0.1408, 0.1409, 0.1409",\
"0.1383, 0.1384, 0.139, 0.1398, 0.1403, 0.1406, 0.1407, 0.1408, 0.1409, 0.141",\
"0.1383, 0.1383, 0.1389, 0.1397, 0.1402, 0.1405, 0.1407, 0.1408, 0.1409, 0.1409",\
"0.1381, 0.1383, 0.1388, 0.1395, 0.1401, 0.1403, 0.1405, 0.1406, 0.1407, 0.1407",\
"0.1382, 0.1382, 0.1389, 0.1396, 0.1402, 0.1405, 0.1407, 0.1408, 0.1409, 0.1409",\
"0.1386, 0.1386, 0.1392, 0.1401, 0.1406, 0.1409, 0.141, 0.1412, 0.1412, 0.1413",\
"0.139, 0.1391, 0.1396, 0.1404, 0.1409, 0.1412, 0.1413, 0.1414, 0.1415, 0.1416",\
"0.1397, 0.1398, 0.1402, 0.141, 0.1415, 0.1418, 0.142, 0.1421, 0.1422, 0.1422",\
"0.1404, 0.1404, 0.141, 0.1417, 0.1422, 0.1425, 0.1426, 0.1428, 0.1429, 0.1429",\
"0.1412, 0.1411, 0.1417, 0.1426, 0.143, 0.1434, 0.1435, 0.1437, 0.1437, 0.1437");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004885, 0.01852, 0.04456, 0.08514, 0.1421, 0.217, 0.3113, 0.4266, 0.5639");
values("0.1732, 0.1732, 0.174, 0.1751, 0.1764, 0.1776, 0.1785, 0.1794, 0.1821, 0.2062",\
"0.1732, 0.1734, 0.1741, 0.175, 0.1766, 0.1777, 0.1786, 0.1795, 0.1819, 0.2046",\
"0.1732, 0.1732, 0.1738, 0.1749, 0.1765, 0.1777, 0.1785, 0.18, 0.1832, 0.206",\
"0.1739, 0.1739, 0.1745, 0.1757, 0.1771, 0.1781, 0.179, 0.1802, 0.1839, 0.2109",\
"0.1747, 0.175, 0.1755, 0.1766, 0.1781, 0.1791, 0.18, 0.1813, 0.1857, 0.2217",\
"0.1756, 0.1757, 0.1763, 0.1776, 0.1791, 0.18, 0.1811, 0.182, 0.187, 0.2328",\
"0.1768, 0.1769, 0.1774, 0.1789, 0.1801, 0.1812, 0.1821, 0.1831, 0.1924, 0.2559",\
"0.1779, 0.1778, 0.1784, 0.1795, 0.181, 0.183, 0.1839, 0.1846, 0.1973, 0.2976",\
"0.1789, 0.1789, 0.1794, 0.1805, 0.1816, 0.1821, 0.1852, 0.1872, 0.213, 0.3728",\
"0.18, 0.1802, 0.1807, 0.1817, 0.183, 0.1835, 0.1841, 0.1921, 0.2482, 0.5149");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : falling_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004885, 0.01852, 0.04456, 0.08514, 0.1421, 0.217, 0.3113, 0.4266, 0.5639");
values("1.01, 1.059, 1.185, 1.369, 1.625, 1.977, 2.438, 3.019, 3.729, 4.574",\
"1.036, 1.085, 1.211, 1.395, 1.651, 2.002, 2.464, 3.045, 3.755, 4.6",\
"1.133, 1.182, 1.308, 1.492, 1.748, 2.1, 2.561, 3.142, 3.851, 4.697",\
"1.319, 1.368, 1.494, 1.677, 1.934, 2.285, 2.747, 3.328, 4.037, 4.883",\
"1.551, 1.6, 1.726, 1.91, 2.166, 2.518, 2.979, 3.56, 4.27, 5.115",\
"1.802, 1.852, 1.977, 2.161, 2.417, 2.769, 3.23, 3.812, 4.521, 5.367",\
"2.079, 2.128, 2.254, 2.438, 2.694, 3.045, 3.507, 4.088, 4.797, 5.643",\
"2.385, 2.435, 2.561, 2.745, 3.001, 3.352, 3.814, 4.395, 5.104, 5.949",\
"2.727, 2.776, 2.902, 3.086, 3.343, 3.694, 4.156, 4.737, 5.446, 6.291",\
"3.101, 3.15, 3.277, 3.46, 3.717, 4.068, 4.53, 5.111, 5.821, 6.665");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004885, 0.01852, 0.04456, 0.08514, 0.1421, 0.217, 0.3113, 0.4266, 0.5639");
values("1.134, 1.188, 1.354, 1.659, 2.129, 2.788, 3.654, 4.746, 6.076, 7.66",\
"1.16, 1.214, 1.38, 1.684, 2.155, 2.813, 3.68, 4.77, 6.101, 7.688",\
"1.257, 1.311, 1.477, 1.781, 2.252, 2.911, 3.777, 4.867, 6.197, 7.785",\
"1.442, 1.496, 1.662, 1.967, 2.437, 3.096, 3.962, 5.054, 6.384, 7.971",\
"1.672, 1.727, 1.892, 2.197, 2.668, 3.327, 4.192, 5.284, 6.614, 8.198",\
"1.921, 1.975, 2.141, 2.446, 2.916, 3.575, 4.441, 5.532, 6.862, 8.449",\
"2.195, 2.249, 2.415, 2.719, 3.19, 3.849, 4.715, 5.806, 7.135, 8.721",\
"2.5, 2.554, 2.719, 3.024, 3.495, 4.154, 5.019, 6.109, 7.442, 9.026",\
"2.838, 2.891, 3.057, 3.361, 3.832, 4.491, 5.357, 6.447, 7.776, 9.361",\
"3.207, 3.262, 3.427, 3.732, 4.203, 4.861, 5.727, 6.818, 8.147, 9.732");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004885, 0.01852, 0.04456, 0.08514, 0.1421, 0.217, 0.3113, 0.4266, 0.5639");
values("0.1275, 0.1728, 0.305, 0.536, 0.9226, 1.492, 2.252, 3.211, 4.381, 5.77",\
"0.1276, 0.173, 0.3052, 0.5362, 0.9236, 1.492, 2.249, 3.207, 4.38, 5.771",\
"0.1276, 0.1728, 0.3052, 0.5368, 0.9244, 1.492, 2.251, 3.209, 4.373, 5.775",\
"0.1278, 0.173, 0.3052, 0.5358, 0.9234, 1.492, 2.249, 3.211, 4.373, 5.775",\
"0.1276, 0.1732, 0.3052, 0.5358, 0.9228, 1.492, 2.25, 3.205, 4.381, 5.769",\
"0.1282, 0.1734, 0.3052, 0.5366, 0.923, 1.492, 2.252, 3.206, 4.377, 5.774",\
"0.1282, 0.1734, 0.3056, 0.5368, 0.9232, 1.491, 2.248, 3.204, 4.377, 5.77",\
"0.1282, 0.1736, 0.3058, 0.537, 0.9236, 1.492, 2.249, 3.203, 4.369, 5.76",\
"0.1284, 0.1738, 0.3058, 0.5372, 0.9232, 1.493, 2.248, 3.204, 4.369, 5.758",\
"0.1288, 0.1742, 0.3056, 0.536, 0.924, 1.492, 2.248, 3.208, 4.37, 5.76");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.09717, 0.368, 0.8853, 1.691, 2.822, 4.31, 6.184, 8.473, 11.2");
index_2("0.001, 0.004885, 0.01852, 0.04456, 0.08514, 0.1421, 0.217, 0.3113, 0.4266, 0.5639");
values("0.1336, 0.1996, 0.4548, 0.9624, 1.759, 2.886, 4.366, 6.232, 8.502, 11.21",\
"0.1338, 0.1998, 0.4548, 0.9626, 1.761, 2.885, 4.367, 6.233, 8.509, 11.21",\
"0.1334, 0.1998, 0.4554, 0.9626, 1.761, 2.885, 4.364, 6.228, 8.507, 11.22",\
"0.1334, 0.1998, 0.4548, 0.9622, 1.761, 2.885, 4.363, 6.228, 8.495, 11.22",\
"0.1332, 0.1996, 0.4546, 0.9626, 1.761, 2.884, 4.363, 6.228, 8.495, 11.22",\
"0.1336, 0.1998, 0.4544, 0.9604, 1.762, 2.883, 4.36, 6.226, 8.509, 11.22",\
"0.133, 0.1992, 0.4552, 0.9616, 1.762, 2.884, 4.358, 6.221, 8.503, 11.22",\
"0.1326, 0.2, 0.455, 0.9612, 1.762, 2.881, 4.359, 6.216, 8.504, 11.22",\
"0.1326, 0.1994, 0.4552, 0.963, 1.762, 2.887, 4.357, 6.216, 8.498, 11.21",\
"0.1324, 0.1992, 0.454, 0.96, 1.76, 2.884, 4.358, 6.222, 8.484, 11.21");
}
}
}
}