blob: 33818724b0ac02654de9f55697984f56f524d850 [file] [log] [blame]
cell(gf180mcu_fd_sc_mcu9t5v0__dffnq_1) {
area : 84.672000 ;
ff(IQ1,IQN1) {
clocked_on : "(!CLKN)" ;
next_state : "D" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D" ;
value : "0.00038727" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D" ;
value : "0.0004110885" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D" ;
value : "0.000330138" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D" ;
value : "0.000328032" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.0004110885" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLKN) {
capacitance : 0.005113 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
min_period : 1.019 ;
min_pulse_width_high : 0.395 ;
min_pulse_width_low : 0.42 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.3302, 0.329, 0.3303, 0.3435, 0.3717, 0.4152, 0.4757, 0.5537, \
0.6503, 0.7663");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2093, 0.2085, 0.2089, 0.2194, 0.2425, 0.2799, 0.3334, 0.4036, \
0.4909, 0.596");
}
}
internal_power() {
when : "D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.3308, 0.3295, 0.3307, 0.3441, 0.3723, 0.4157, 0.476, 0.554, \
0.651, 0.7668");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.209, 0.2081, 0.2085, 0.219, 0.242, 0.2795, 0.3328, 0.403, 0.4902, \
0.5955");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D" ;
fall_constraint(scalar) {
values("0.401");
}
rise_constraint(scalar) {
values("0.395");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "D" ;
fall_constraint(scalar) {
values("0.42");
}
rise_constraint(scalar) {
values("0.34");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D === 1'b1" ;
timing_type : minimum_period ;
when : "!D" ;
fall_constraint(scalar) {
values("0.884");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D === 1'b1" ;
timing_type : minimum_period ;
when : "D" ;
fall_constraint(scalar) {
values("1.019");
}
}
}
pin(D) {
capacitance : 0.003908 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.06946, 0.06831, 0.07217, 0.08463, 0.1066, 0.1385, 0.1816, 0.2365, \
0.3039, 0.3843");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.006648, 0.005574, 0.007047, 0.01616, 0.03443, 0.06254, 0.1009, \
0.1502, 0.2111, 0.284");
}
}
internal_power() {
when : "CLKN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2348, 0.2342, 0.2362, 0.2468, 0.268, 0.3004, 0.3453, 0.4037, \
0.4754, 0.5618");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1547, 0.1541, 0.1553, 0.1633, 0.18, 0.207, 0.2457, 0.2965, 0.3599, \
0.4364");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : hold_falling ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.046, -0.031, 0.004, 0.056, 0.12, 0.205, 0.297, 0.405, 0.534, 0.669",\
"-0.052, -0.042, -0.007, 0.043, 0.112, 0.193, 0.289, 0.401, 0.527, 0.659",\
"-0.092, -0.078, -0.047, 0.014, 0.073, 0.152, 0.248, 0.356, 0.48, 0.622",\
"-0.149, -0.141, -0.112, -0.058, 0.009, 0.088, 0.179, 0.287, 0.414, 0.547",\
"-0.232, -0.222, -0.19, -0.135, -0.07, 0.002, 0.088, 0.2, 0.319, 0.455",\
"-0.333, -0.315, -0.285, -0.23, -0.17, -0.102, -0.016, 0.092, 0.208, 0.343",\
"-0.447, -0.436, -0.403, -0.343, -0.283, -0.211, -0.135, -0.032, 0.085, 0.211",\
"-0.575, -0.565, -0.535, -0.471, -0.412, -0.348, -0.263, -0.169, -0.056, 0.068",\
"-0.722, -0.706, -0.68, -0.619, -0.559, -0.493, -0.412, -0.316, -0.209, -0.09",\
"-0.882, -0.866, -0.841, -0.783, -0.72, -0.654, -0.579, -0.478, -0.378, -0.257");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.12, 0.136, 0.17, 0.241, 0.338, 0.453, 0.577, 0.717, 0.869, 1.042",\
"0.114, 0.128, 0.163, 0.231, 0.332, 0.446, 0.568, 0.708, 0.865, 1.034",\
"0.092, 0.101, 0.135, 0.209, 0.306, 0.417, 0.541, 0.683, 0.839, 1.006",\
"0.056, 0.068, 0.108, 0.176, 0.273, 0.389, 0.514, 0.655, 0.809, 0.982",\
"0.031, 0.045, 0.077, 0.15, 0.252, 0.358, 0.491, 0.634, 0.788, 0.954",\
"0.013, 0.023, 0.062, 0.137, 0.232, 0.347, 0.472, 0.614, 0.771, 0.94",\
"0.004, 0.017, 0.046, 0.124, 0.221, 0.336, 0.462, 0.608, 0.762, 0.938",\
"0.01, 0.027, 0.057, 0.13, 0.224, 0.332, 0.461, 0.607, 0.765, 0.938",\
"0.04, 0.053, 0.08, 0.15, 0.237, 0.348, 0.476, 0.621, 0.773, 0.946",\
"0.076, 0.091, 0.119, 0.19, 0.278, 0.379, 0.507, 0.646, 0.801, 0.975");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : setup_falling ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.252, 0.249, 0.206, 0.142, 0.062, -0.033, -0.136, -0.249, -0.375, -0.522",\
"0.264, 0.255, 0.214, 0.151, 0.071, -0.024, -0.125, -0.243, -0.366, -0.51",\
"0.301, 0.295, 0.253, 0.189, 0.107, 0.021, -0.086, -0.2, -0.331, -0.477",\
"0.38, 0.37, 0.331, 0.264, 0.184, 0.09, -0.015, -0.129, -0.262, -0.403",\
"0.479, 0.468, 0.434, 0.363, 0.286, 0.187, 0.084, -0.034, -0.161, -0.302",\
"0.604, 0.592, 0.558, 0.483, 0.403, 0.306, 0.201, 0.086, -0.046, -0.185",\
"0.743, 0.732, 0.696, 0.627, 0.541, 0.447, 0.342, 0.22, 0.09, -0.046",\
"0.909, 0.895, 0.855, 0.787, 0.708, 0.604, 0.499, 0.38, 0.248, 0.108",\
"1.088, 1.076, 1.034, 0.965, 0.876, 0.778, 0.675, 0.558, 0.42, 0.283",\
"1.28, 1.274, 1.231, 1.161, 1.071, 0.971, 0.868, 0.742, 0.61, 0.468");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.143, 0.14, 0.101, 0.043, -0.035, -0.13, -0.243, -0.286, -0.365, -0.438",\
"0.155, 0.142, 0.11, 0.047, -0.023, -0.123, -0.223, -0.302, -0.336, -0.426",\
"0.179, 0.172, 0.141, 0.075, 0.002, -0.095, -0.207, -0.247, -0.326, -0.41",\
"0.228, 0.217, 0.184, 0.114, 0.039, -0.061, -0.167, -0.237, -0.316, -0.389",\
"0.277, 0.269, 0.23, 0.162, 0.078, -0.03, -0.139, -0.237, -0.325, -0.382",\
"0.318, 0.316, 0.277, 0.201, 0.113, 0.007, -0.11, -0.233, -0.344, -0.431",\
"0.357, 0.35, 0.311, 0.236, 0.153, 0.043, -0.085, -0.214, -0.346, -0.465",\
"0.383, 0.378, 0.341, 0.271, 0.173, 0.066, -0.062, -0.19, -0.333, -0.488",\
"0.405, 0.397, 0.357, 0.284, 0.185, 0.079, -0.046, -0.18, -0.333, -0.499",\
"0.399, 0.394, 0.357, 0.278, 0.191, 0.081, -0.052, -0.186, -0.343, -0.5");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.404 ;
max_transition : 5.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLKN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003782, 0.01355, 0.03219, 0.06124, 0.102, 0.1556, 0.2232, 0.3057, 0.404");
values("0.3485, 0.3454, 0.3414, 0.3392, 0.3382, 0.3378, 0.3376, 0.3373, 0.3372, 0.3371",\
"0.3486, 0.3455, 0.3416, 0.3393, 0.3383, 0.3379, 0.3377, 0.3375, 0.3373, 0.3372",\
"0.3484, 0.3452, 0.3409, 0.3391, 0.3382, 0.3375, 0.3373, 0.3371, 0.337, 0.3368",\
"0.349, 0.3457, 0.3416, 0.3395, 0.3385, 0.3378, 0.3376, 0.3374, 0.3373, 0.3372",\
"0.3512, 0.3479, 0.3436, 0.3418, 0.3409, 0.3403, 0.34, 0.3397, 0.3396, 0.3396",\
"0.3541, 0.3508, 0.3467, 0.3445, 0.3435, 0.343, 0.3427, 0.3426, 0.3425, 0.3423",\
"0.3577, 0.3544, 0.3504, 0.3484, 0.3475, 0.3469, 0.3466, 0.3464, 0.3463, 0.3463",\
"0.3632, 0.3597, 0.3557, 0.3536, 0.3526, 0.352, 0.3517, 0.3515, 0.3513, 0.3513",\
"0.3693, 0.3662, 0.3619, 0.3597, 0.3588, 0.3582, 0.3578, 0.3576, 0.3574, 0.3574",\
"0.3764, 0.3733, 0.3689, 0.3666, 0.3657, 0.3651, 0.3647, 0.3646, 0.3644, 0.3643");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003782, 0.01355, 0.03219, 0.06124, 0.102, 0.1556, 0.2232, 0.3057, 0.404");
values("0.4327, 0.4308, 0.4274, 0.4262, 0.4262, 0.4274, 0.4297, 0.4309, 0.4337, 0.4349",\
"0.4324, 0.4308, 0.4268, 0.4257, 0.4261, 0.4273, 0.4292, 0.4308, 0.4323, 0.434",\
"0.4329, 0.431, 0.4276, 0.4264, 0.4266, 0.4278, 0.4299, 0.4309, 0.4337, 0.4354",\
"0.4341, 0.4322, 0.4285, 0.4273, 0.4275, 0.4286, 0.4309, 0.4324, 0.4339, 0.4351",\
"0.4368, 0.4349, 0.4314, 0.4303, 0.4307, 0.4315, 0.4336, 0.4348, 0.4367, 0.4401",\
"0.4404, 0.4382, 0.4354, 0.4339, 0.4343, 0.4356, 0.4372, 0.4391, 0.4405, 0.4421",\
"0.445, 0.4431, 0.4398, 0.4388, 0.439, 0.4397, 0.4419, 0.4431, 0.4446, 0.4484",\
"0.4492, 0.4474, 0.4439, 0.4426, 0.4434, 0.4461, 0.4472, 0.4479, 0.4498, 0.4528",\
"0.4547, 0.4528, 0.4494, 0.4477, 0.4482, 0.4494, 0.4532, 0.4549, 0.455, 0.4561",\
"0.4598, 0.4579, 0.455, 0.4539, 0.4532, 0.4545, 0.4572, 0.4615, 0.463, 0.4627");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : falling_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003782, 0.01355, 0.03219, 0.06124, 0.102, 0.1556, 0.2232, 0.3057, 0.404");
values("0.6351, 0.6587, 0.7255, 0.8274, 0.9666, 1.155, 1.402, 1.712, 2.091, 2.543",\
"0.6442, 0.6678, 0.7346, 0.8365, 0.9756, 1.164, 1.411, 1.721, 2.1, 2.552",\
"0.6812, 0.7051, 0.7717, 0.8736, 1.013, 1.201, 1.448, 1.758, 2.137, 2.589",\
"0.7566, 0.7804, 0.847, 0.9489, 1.088, 1.276, 1.523, 1.833, 2.213, 2.664",\
"0.8588, 0.8824, 0.9492, 1.051, 1.19, 1.378, 1.625, 1.935, 2.314, 2.767",\
"0.9765, 1, 1.067, 1.169, 1.308, 1.496, 1.743, 2.053, 2.433, 2.884",\
"1.111, 1.134, 1.201, 1.303, 1.442, 1.631, 1.877, 2.188, 2.567, 3.019",\
"1.26, 1.284, 1.35, 1.452, 1.592, 1.78, 2.026, 2.337, 2.716, 3.168",\
"1.423, 1.447, 1.514, 1.616, 1.755, 1.944, 2.19, 2.5, 2.88, 3.331",\
"1.601, 1.625, 1.692, 1.794, 1.933, 2.121, 2.368, 2.678, 3.057, 3.509");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003782, 0.01355, 0.03219, 0.06124, 0.102, 0.1556, 0.2232, 0.3057, 0.404");
values("0.711, 0.7353, 0.8106, 0.9485, 1.163, 1.463, 1.859, 2.357, 2.965, 3.69",\
"0.7198, 0.7444, 0.8193, 0.9572, 1.171, 1.472, 1.868, 2.366, 2.974, 3.699",\
"0.7573, 0.7817, 0.8568, 0.9947, 1.209, 1.509, 1.905, 2.403, 3.012, 3.737",\
"0.8328, 0.8574, 0.9324, 1.07, 1.284, 1.585, 1.981, 2.479, 3.087, 3.813",\
"0.9349, 0.9592, 1.035, 1.173, 1.387, 1.687, 2.083, 2.581, 3.189, 3.914",\
"1.053, 1.077, 1.152, 1.29, 1.504, 1.805, 2.201, 2.699, 3.307, 4.032",\
"1.187, 1.212, 1.287, 1.425, 1.639, 1.94, 2.335, 2.834, 3.442, 4.166",\
"1.337, 1.361, 1.436, 1.574, 1.788, 2.089, 2.485, 2.983, 3.591, 4.317",\
"1.501, 1.525, 1.6, 1.738, 1.952, 2.253, 2.648, 3.146, 3.754, 4.479",\
"1.677, 1.701, 1.777, 1.914, 2.128, 2.429, 2.824, 3.322, 3.93, 4.655");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003782, 0.01355, 0.03219, 0.06124, 0.102, 0.1556, 0.2232, 0.3057, 0.404");
values("0.08592, 0.1104, 0.1842, 0.3074, 0.5075, 0.8032, 1.204, 1.71, 2.331, 3.068",\
"0.08594, 0.1104, 0.1842, 0.3075, 0.5074, 0.803, 1.204, 1.71, 2.331, 3.068",\
"0.08608, 0.11, 0.1842, 0.3073, 0.5068, 0.8042, 1.203, 1.71, 2.332, 3.069",\
"0.0862, 0.11, 0.1846, 0.3074, 0.5064, 0.8036, 1.204, 1.71, 2.331, 3.068",\
"0.086, 0.1102, 0.1844, 0.3072, 0.5076, 0.8044, 1.205, 1.709, 2.329, 3.072",\
"0.086, 0.11, 0.1844, 0.3072, 0.5062, 0.803, 1.203, 1.71, 2.331, 3.068",\
"0.0862, 0.1102, 0.1844, 0.3076, 0.5062, 0.8032, 1.204, 1.711, 2.328, 3.071",\
"0.0864, 0.1104, 0.1846, 0.3076, 0.507, 0.8034, 1.203, 1.71, 2.328, 3.065",\
"0.0864, 0.1104, 0.1846, 0.3076, 0.5072, 0.8042, 1.204, 1.71, 2.328, 3.066",\
"0.0866, 0.1108, 0.185, 0.3076, 0.507, 0.8038, 1.204, 1.709, 2.328, 3.065");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.003782, 0.01355, 0.03219, 0.06124, 0.102, 0.1556, 0.2232, 0.3057, 0.404");
values("0.0862, 0.1182, 0.2322, 0.463, 0.8291, 1.345, 2.028, 2.884, 3.933, 5.181",\
"0.08634, 0.1182, 0.232, 0.463, 0.8288, 1.346, 2.029, 2.883, 3.931, 5.184",\
"0.0863, 0.1182, 0.2322, 0.4624, 0.829, 1.346, 2.029, 2.888, 3.937, 5.179",\
"0.0862, 0.1178, 0.232, 0.4624, 0.829, 1.345, 2.027, 2.883, 3.93, 5.185",\
"0.086, 0.1184, 0.232, 0.4622, 0.8286, 1.347, 2.027, 2.888, 3.931, 5.176",\
"0.0858, 0.1182, 0.2322, 0.4628, 0.8288, 1.347, 2.025, 2.883, 3.93, 5.185",\
"0.086, 0.1182, 0.232, 0.4624, 0.8286, 1.345, 2.029, 2.884, 3.937, 5.179",\
"0.0858, 0.118, 0.232, 0.4624, 0.8296, 1.346, 2.025, 2.88, 3.93, 5.187",\
"0.086, 0.118, 0.2318, 0.463, 0.8288, 1.346, 2.025, 2.882, 3.927, 5.176",\
"0.0858, 0.118, 0.2318, 0.4622, 0.83, 1.347, 2.026, 2.88, 3.926, 5.176");
}
}
}
}