blob: a7a2e464a580dc9ff37fb5b0a5d6dffa21555fb1 [file] [log] [blame]
/*
* Copyright 2022 GlobalFoundries PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
cell(gf180mcu_fd_sc_mcu7t5v0__sdffq_4) {
area : 96.588800 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "((D&(!SE))|(SE&SI))" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SE&!SI" ;
value : "0.003959208" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SE&SI" ;
value : "0.004567464" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SE&!SI" ;
value : "0.00294624" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SE&SI" ;
value : "0.004620726" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SE&!SI" ;
value : "0.005633892" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SE&SI" ;
value : "0.005629338" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SE&!SI" ;
value : "0.003554298" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SE&SI" ;
value : "0.00461637" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SE&!SI" ;
value : "0.00366597" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SE&SI" ;
value : "0.00390654" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SE&!SI" ;
value : "0.002615778" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SE&SI" ;
value : "0.00431838" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SE&!SI" ;
value : "0.00532422" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SE&SI" ;
value : "0.005328378" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SE&!SI" ;
value : "0.00289278" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SE&SI" ;
value : "0.004310064" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.005633892" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.003384 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 8.9 ;
min_period : 3.391 ;
min_pulse_width_high : 1.035 ;
min_pulse_width_low : 1.696 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04688, 0.04673, 0.04656, 0.04725, 0.04929, 0.05272, 0.05771, \
0.06445, 0.07304, 0.08355");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.03307, 0.03298, 0.03287, 0.03339, 0.03498, 0.03784, 0.04221, \
0.04815, 0.05575, 0.06504");
}
}
internal_power() {
when : "!D&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04688, 0.04672, 0.04657, 0.04725, 0.04928, 0.05272, 0.05771, \
0.06444, 0.07301, 0.08353");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.03306, 0.03297, 0.03288, 0.03342, 0.03498, 0.03784, 0.0422, \
0.04817, 0.05575, 0.06506");
}
}
internal_power() {
when : "!D&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04691, 0.04675, 0.04658, 0.04727, 0.0493, 0.05275, 0.05776, \
0.06446, 0.07303, 0.08358");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.03304, 0.03296, 0.03285, 0.03337, 0.03496, 0.03782, 0.04219, \
0.04812, 0.05574, 0.06503");
}
}
internal_power() {
when : "!D&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04604, 0.04587, 0.04571, 0.04638, 0.04842, 0.05186, 0.05687, \
0.06359, 0.07218, 0.0827");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.03323, 0.03314, 0.03303, 0.03358, 0.03514, 0.03801, 0.04239, \
0.04834, 0.05596, 0.06524");
}
}
internal_power() {
when : "D&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04294, 0.04276, 0.04261, 0.0433, 0.04532, 0.04877, 0.0538, 0.06053, \
0.06913, 0.07964");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.03323, 0.03312, 0.03302, 0.03356, 0.03513, 0.03801, 0.04237, \
0.04835, 0.05593, 0.06523");
}
}
internal_power() {
when : "D&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04604, 0.04588, 0.04571, 0.04639, 0.04844, 0.05188, 0.05688, \
0.06362, 0.0722, 0.08271");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.03321, 0.03313, 0.03303, 0.03356, 0.03514, 0.038, 0.04236, 0.04834, \
0.05591, 0.06523");
}
}
internal_power() {
when : "D&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04692, 0.04676, 0.04658, 0.04726, 0.04931, 0.05275, 0.05776, \
0.06448, 0.07304, 0.08357");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.03305, 0.03295, 0.03285, 0.03337, 0.03496, 0.03781, 0.04219, \
0.04815, 0.05574, 0.06504");
}
}
internal_power() {
when : "D&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04292, 0.04274, 0.0426, 0.04328, 0.04531, 0.04876, 0.05379, \
0.06051, 0.06909, 0.07963");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.03324, 0.03313, 0.03303, 0.03358, 0.03514, 0.03803, 0.0424, \
0.04836, 0.05594, 0.06525");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!SE&!SI" ;
fall_constraint(scalar) {
values("1.696");
}
rise_constraint(scalar) {
values("0.887");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!SE&SI" ;
fall_constraint(scalar) {
values("1.69");
}
rise_constraint(scalar) {
values("0.899");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SE&!SI" ;
fall_constraint(scalar) {
values("1.69");
}
rise_constraint(scalar) {
values("0.894");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SE&SI" ;
fall_constraint(scalar) {
values("1.404");
}
rise_constraint(scalar) {
values("1.035");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!SE&!SI" ;
fall_constraint(scalar) {
values("1.405");
}
rise_constraint(scalar) {
values("1.035");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!SE&SI" ;
fall_constraint(scalar) {
values("1.405");
}
rise_constraint(scalar) {
values("1.034");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SE&!SI" ;
fall_constraint(scalar) {
values("1.696");
}
rise_constraint(scalar) {
values("0.894");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SE&SI" ;
fall_constraint(scalar) {
values("1.398");
}
rise_constraint(scalar) {
values("1.034");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&!SE&!SI" ;
rise_constraint(scalar) {
values("3.385");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&!SE&SI" ;
rise_constraint(scalar) {
values("3.379");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SE&!SI" ;
rise_constraint(scalar) {
values("3.385");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SE&SI" ;
rise_constraint(scalar) {
values("2.793");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&!SE&!SI" ;
rise_constraint(scalar) {
values("2.805");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&!SE&SI" ;
rise_constraint(scalar) {
values("2.805");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&SE&!SI" ;
rise_constraint(scalar) {
values("3.391");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&SE&SI" ;
rise_constraint(scalar) {
values("2.793");
}
}
}
pin(D) {
capacitance : 0.002681 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 8.9 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04788, 0.04775, 0.04759, 0.04745, 0.0474, 0.04796, 0.04976, \
0.05314, 0.05847, 0.0657");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.02809, 0.02795, 0.02777, 0.02763, 0.02784, 0.02866, 0.03044, \
0.0334, 0.03766, 0.04327");
}
}
internal_power() {
when : "!CLK&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04791, 0.04777, 0.04761, 0.04748, 0.04742, 0.04798, 0.04977, \
0.05315, 0.05845, 0.06567");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.02808, 0.02794, 0.02777, 0.02763, 0.02784, 0.02867, 0.03048, \
0.03343, 0.03771, 0.04336");
}
}
internal_power() {
when : "!CLK&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.003217, 0.003125, 0.003091, 0.003077, 0.003079, 0.003074, 0.00308, \
0.003077, 0.003076, 0.003074");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.002954, -0.002952, -0.00296, -0.002978, -0.002993, -0.003009, \
-0.003019, -0.00303, -0.003036, -0.003037");
}
}
internal_power() {
when : "!CLK&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.003091, 0.003099, 0.003093, 0.003094, 0.003098, 0.003099, 0.003098, \
0.003097, 0.003097, 0.003097");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.002671, -0.002769, -0.0029, -0.002967, -0.002992, -0.003015, \
-0.003024, -0.00303, -0.003036, -0.00304");
}
}
internal_power() {
when : "CLK&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.01008, 0.01006, 0.01005, 0.01003, 0.01001, 0.009996, 0.009997, \
0.009996, 0.009996, 0.009995");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.000854, -0.0009187, -0.001021, -0.001117, -0.001205, -0.001261, \
-0.001298, -0.001327, -0.001347, -0.001364");
}
}
internal_power() {
when : "CLK&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.0101, 0.01009, 0.01007, 0.01005, 0.01003, 0.01002, 0.01002, \
0.01002, 0.01002, 0.01001");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.0008722, -0.0009379, -0.001037, -0.001133, -0.001212, -0.001278, \
-0.001309, -0.001345, -0.001363, -0.001381");
}
}
internal_power() {
when : "CLK&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.003079, 0.003082, 0.003078, 0.003079, 0.00308, 0.003081, 0.003084, \
0.003083, 0.003082, 0.003082");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.002956, -0.002958, -0.002958, -0.002977, -0.002995, -0.003014, \
-0.003022, -0.003025, -0.003032, -0.003036");
}
}
internal_power() {
when : "CLK&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.003094, 0.003097, 0.003094, 0.00309, 0.003094, 0.003096, 0.0031, \
0.003096, 0.003098, 0.003096");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.002692, -0.002782, -0.002903, -0.002969, -0.002992, -0.003013, \
-0.003026, -0.003029, -0.003035, -0.003038");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!SE&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.337, -0.321, -0.276, -0.197, -0.135, -0.093, -0.063, -0.06, -0.086, -0.149",\
"-0.353, -0.329, -0.29, -0.212, -0.151, -0.104, -0.076, -0.076, -0.099, -0.158",\
"-0.394, -0.379, -0.338, -0.264, -0.197, -0.155, -0.128, -0.122, -0.146, -0.211",\
"-0.474, -0.45, -0.409, -0.331, -0.272, -0.218, -0.195, -0.191, -0.21, -0.275",\
"-0.553, -0.54, -0.5, -0.424, -0.354, -0.303, -0.273, -0.27, -0.295, -0.359",\
"-0.643, -0.631, -0.588, -0.506, -0.439, -0.391, -0.36, -0.351, -0.375, -0.435",\
"-0.729, -0.72, -0.677, -0.599, -0.525, -0.475, -0.443, -0.437, -0.455, -0.52",\
"-0.811, -0.799, -0.764, -0.685, -0.618, -0.563, -0.527, -0.515, -0.527, -0.593",\
"-0.9, -0.888, -0.862, -0.783, -0.712, -0.66, -0.627, -0.597, -0.611, -0.663",\
"-1.014, -1.001, -0.98, -0.911, -0.842, -0.782, -0.743, -0.711, -0.716, -0.762");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.567, -0.555, -0.516, -0.485, -0.503, -0.538, -0.611, -0.706, -0.827, -0.983",\
"-0.578, -0.561, -0.525, -0.498, -0.508, -0.548, -0.615, -0.712, -0.837, -0.993",\
"-0.595, -0.584, -0.547, -0.519, -0.529, -0.57, -0.632, -0.732, -0.856, -1.01",\
"-0.622, -0.608, -0.575, -0.551, -0.56, -0.595, -0.664, -0.757, -0.878, -1.033",\
"-0.653, -0.643, -0.599, -0.576, -0.583, -0.62, -0.681, -0.775, -0.892, -1.049",\
"-0.661, -0.643, -0.608, -0.582, -0.583, -0.619, -0.681, -0.769, -0.889, -1.037",\
"-0.633, -0.623, -0.583, -0.558, -0.56, -0.594, -0.651, -0.74, -0.858, -1.007",\
"-0.579, -0.565, -0.526, -0.499, -0.51, -0.537, -0.598, -0.686, -0.799, -0.948",\
"-0.48, -0.465, -0.435, -0.404, -0.409, -0.443, -0.505, -0.59, -0.708, -0.859",\
"-0.335, -0.324, -0.287, -0.263, -0.276, -0.308, -0.366, -0.463, -0.576, -0.731");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!SE&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.344, -0.321, -0.276, -0.197, -0.135, -0.093, -0.063, -0.06, -0.095, -0.149",\
"-0.345, -0.329, -0.29, -0.212, -0.151, -0.104, -0.076, -0.07, -0.099, -0.158",\
"-0.395, -0.379, -0.338, -0.264, -0.197, -0.155, -0.128, -0.122, -0.146, -0.206",\
"-0.464, -0.45, -0.409, -0.331, -0.272, -0.218, -0.195, -0.191, -0.219, -0.275",\
"-0.553, -0.54, -0.5, -0.424, -0.354, -0.303, -0.273, -0.27, -0.295, -0.359",\
"-0.644, -0.631, -0.588, -0.506, -0.439, -0.391, -0.36, -0.351, -0.38, -0.435",\
"-0.729, -0.72, -0.677, -0.599, -0.531, -0.475, -0.443, -0.432, -0.467, -0.52",\
"-0.811, -0.799, -0.764, -0.685, -0.618, -0.563, -0.527, -0.509, -0.541, -0.593",\
"-0.9, -0.888, -0.862, -0.783, -0.712, -0.66, -0.621, -0.597, -0.619, -0.663",\
"-1.014, -1.001, -0.98, -0.911, -0.836, -0.789, -0.743, -0.711, -0.725, -0.768");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.573, -0.555, -0.516, -0.485, -0.503, -0.538, -0.602, -0.7, -0.827, -0.983",\
"-0.578, -0.561, -0.525, -0.498, -0.508, -0.548, -0.615, -0.712, -0.837, -0.993",\
"-0.601, -0.584, -0.547, -0.519, -0.529, -0.57, -0.632, -0.732, -0.856, -1.01",\
"-0.622, -0.608, -0.575, -0.551, -0.555, -0.595, -0.664, -0.757, -0.878, -1.033",\
"-0.653, -0.643, -0.599, -0.576, -0.583, -0.611, -0.681, -0.775, -0.892, -1.049",\
"-0.66, -0.643, -0.601, -0.582, -0.583, -0.619, -0.681, -0.769, -0.889, -1.037",\
"-0.643, -0.623, -0.583, -0.558, -0.56, -0.594, -0.651, -0.74, -0.858, -1.007",\
"-0.579, -0.565, -0.526, -0.499, -0.503, -0.537, -0.598, -0.686, -0.799, -0.948",\
"-0.48, -0.465, -0.426, -0.404, -0.409, -0.443, -0.505, -0.597, -0.708, -0.859",\
"-0.335, -0.324, -0.282, -0.263, -0.276, -0.308, -0.366, -0.463, -0.576, -0.731");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!SE&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.621, 1.601, 1.532, 1.416, 1.299, 1.213, 1.158, 1.133, 1.14, 1.193",\
"1.631, 1.613, 1.544, 1.427, 1.311, 1.228, 1.172, 1.143, 1.153, 1.204",\
"1.676, 1.664, 1.593, 1.471, 1.361, 1.274, 1.221, 1.194, 1.207, 1.254",\
"1.757, 1.735, 1.664, 1.551, 1.436, 1.349, 1.288, 1.268, 1.276, 1.322",\
"1.855, 1.834, 1.762, 1.647, 1.533, 1.451, 1.389, 1.364, 1.371, 1.424",\
"1.995, 1.974, 1.904, 1.788, 1.677, 1.594, 1.536, 1.508, 1.507, 1.558",\
"2.199, 2.18, 2.107, 1.991, 1.877, 1.79, 1.735, 1.703, 1.707, 1.751",\
"2.475, 2.458, 2.388, 2.272, 2.155, 2.069, 2.004, 1.97, 1.972, 2.004",\
"2.834, 2.814, 2.752, 2.626, 2.512, 2.415, 2.35, 2.308, 2.301, 2.331",\
"3.269, 3.255, 3.182, 3.057, 2.937, 2.834, 2.756, 2.707, 2.7, 2.72");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.23, 1.215, 1.149, 1.063, 0.985, 0.94, 0.95, 1.005, 1.091, 1.226",\
"1.246, 1.228, 1.164, 1.071, 0.993, 0.948, 0.96, 1.014, 1.103, 1.231",\
"1.267, 1.248, 1.183, 1.091, 1.012, 0.971, 0.978, 1.034, 1.13, 1.254",\
"1.292, 1.28, 1.212, 1.118, 1.044, 0.995, 1.009, 1.061, 1.149, 1.281",\
"1.319, 1.31, 1.243, 1.152, 1.075, 1.035, 1.034, 1.089, 1.175, 1.301",\
"1.349, 1.336, 1.266, 1.177, 1.107, 1.053, 1.056, 1.099, 1.182, 1.304",\
"1.355, 1.34, 1.275, 1.182, 1.103, 1.059, 1.051, 1.092, 1.169, 1.294",\
"1.336, 1.324, 1.252, 1.161, 1.084, 1.033, 1.026, 1.059, 1.134, 1.253",\
"1.279, 1.271, 1.205, 1.109, 1.035, 0.985, 0.969, 1.002, 1.078, 1.185",\
"1.191, 1.175, 1.114, 1.021, 0.941, 0.902, 0.882, 0.908, 0.976, 1.085");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!SE&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.62, 1.6, 1.531, 1.415, 1.307, 1.213, 1.168, 1.133, 1.148, 1.192",\
"1.631, 1.613, 1.544, 1.427, 1.311, 1.228, 1.172, 1.15, 1.153, 1.204",\
"1.682, 1.664, 1.593, 1.477, 1.361, 1.281, 1.221, 1.188, 1.207, 1.254",\
"1.757, 1.735, 1.664, 1.551, 1.436, 1.357, 1.293, 1.268, 1.276, 1.327",\
"1.855, 1.834, 1.771, 1.647, 1.533, 1.451, 1.395, 1.364, 1.371, 1.424",\
"1.995, 1.974, 1.904, 1.788, 1.677, 1.594, 1.536, 1.508, 1.512, 1.558",\
"2.199, 2.18, 2.116, 1.991, 1.883, 1.797, 1.743, 1.703, 1.707, 1.759",\
"2.475, 2.458, 2.388, 2.272, 2.155, 2.069, 2.004, 1.97, 1.972, 2.012",\
"2.834, 2.822, 2.744, 2.626, 2.512, 2.415, 2.35, 2.308, 2.301, 2.331",\
"3.269, 3.255, 3.182, 3.057, 2.936, 2.834, 2.756, 2.715, 2.7, 2.72");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.237, 1.215, 1.149, 1.062, 0.984, 0.94, 0.951, 0.999, 1.091, 1.226",\
"1.246, 1.228, 1.164, 1.071, 0.993, 0.948, 0.96, 1.008, 1.103, 1.231",\
"1.262, 1.248, 1.183, 1.085, 1.012, 0.971, 0.978, 1.034, 1.121, 1.254",\
"1.292, 1.28, 1.206, 1.118, 1.039, 0.995, 1.004, 1.054, 1.149, 1.281",\
"1.327, 1.31, 1.243, 1.147, 1.075, 1.035, 1.034, 1.081, 1.175, 1.301",\
"1.349, 1.33, 1.266, 1.17, 1.098, 1.053, 1.049, 1.099, 1.182, 1.304",\
"1.355, 1.34, 1.275, 1.182, 1.103, 1.052, 1.051, 1.092, 1.169, 1.294",\
"1.336, 1.317, 1.252, 1.161, 1.084, 1.033, 1.026, 1.059, 1.134, 1.253",\
"1.287, 1.271, 1.196, 1.109, 1.035, 0.985, 0.969, 1.002, 1.07, 1.185",\
"1.191, 1.175, 1.114, 1.021, 0.941, 0.889, 0.882, 0.908, 0.976, 1.08");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.9289 ;
max_transition : 8.9 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
when : "!D&SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1005, 0.09799, 0.09435, 0.09247, 0.0916, 0.09116, 0.09088, 0.09068, 0.09053, 0.09043",\
"0.1005, 0.09802, 0.09435, 0.09248, 0.0916, 0.09115, 0.09086, 0.09066, 0.09054, 0.09044",\
"0.1005, 0.098, 0.09435, 0.09246, 0.09158, 0.09112, 0.09084, 0.09065, 0.09051, 0.09042",\
"0.1006, 0.09812, 0.09445, 0.0926, 0.09169, 0.09122, 0.09093, 0.0908, 0.09067, 0.09056",\
"0.1009, 0.09837, 0.0947, 0.09284, 0.09193, 0.0915, 0.09121, 0.09104, 0.09089, 0.0908",\
"0.1011, 0.09867, 0.095, 0.09313, 0.09225, 0.09177, 0.09149, 0.09132, 0.09117, 0.09108",\
"0.1014, 0.09897, 0.09532, 0.09343, 0.09254, 0.09205, 0.09182, 0.09162, 0.09147, 0.09139",\
"0.1017, 0.09931, 0.09566, 0.09376, 0.09287, 0.09243, 0.09212, 0.09194, 0.09181, 0.09172",\
"0.1022, 0.09975, 0.09609, 0.0942, 0.0933, 0.09284, 0.09255, 0.0924, 0.09223, 0.09213",\
"0.1025, 0.1001, 0.09645, 0.09458, 0.09368, 0.09321, 0.09293, 0.09272, 0.09261, 0.0925");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.0899, 0.08851, 0.08646, 0.0856, 0.08566, 0.0863, 0.08711, 0.08768, 0.0888, 0.09712",\
"0.08988, 0.08846, 0.08648, 0.08556, 0.08575, 0.08641, 0.08706, 0.08797, 0.08869, 0.09718",\
"0.08989, 0.08843, 0.08646, 0.08553, 0.08568, 0.08644, 0.08705, 0.08788, 0.08928, 0.09723",\
"0.08986, 0.0885, 0.08649, 0.08555, 0.08571, 0.08641, 0.08755, 0.08813, 0.08873, 0.09849",\
"0.09, 0.08849, 0.08645, 0.08565, 0.08594, 0.08652, 0.08726, 0.08796, 0.0897, 0.09963",\
"0.09012, 0.08877, 0.08685, 0.08589, 0.08596, 0.08668, 0.08745, 0.08825, 0.09016, 0.1037",\
"0.0904, 0.08893, 0.08695, 0.08595, 0.08644, 0.08704, 0.08749, 0.08843, 0.09038, 0.1064",\
"0.09079, 0.08931, 0.0873, 0.0862, 0.08657, 0.08753, 0.08844, 0.08902, 0.09125, 0.1123",\
"0.09117, 0.08971, 0.08777, 0.08689, 0.08685, 0.08735, 0.08883, 0.09011, 0.09365, 0.1223",\
"0.09178, 0.09031, 0.08821, 0.08733, 0.08741, 0.08781, 0.08834, 0.09034, 0.09804, 0.1399");
}
}
internal_power() {
related_pin : "CLK" ;
when : "!SE&!SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1005, 0.09801, 0.09436, 0.09249, 0.0916, 0.09114, 0.09086, 0.09066, 0.09052, 0.09044",\
"0.1005, 0.09802, 0.09435, 0.0925, 0.09162, 0.09114, 0.09086, 0.09067, 0.09053, 0.09044",\
"0.1005, 0.09802, 0.09437, 0.09249, 0.09162, 0.09114, 0.09086, 0.09067, 0.09054, 0.09044",\
"0.1007, 0.09815, 0.09449, 0.09262, 0.09176, 0.09127, 0.09097, 0.0908, 0.09066, 0.09057",\
"0.1009, 0.09837, 0.09471, 0.09287, 0.09196, 0.09149, 0.09122, 0.09104, 0.09089, 0.0908",\
"0.1011, 0.09868, 0.09501, 0.09313, 0.09226, 0.09178, 0.09151, 0.09131, 0.09117, 0.09109",\
"0.1014, 0.09894, 0.0953, 0.09344, 0.09255, 0.09209, 0.09182, 0.0916, 0.09146, 0.09138",\
"0.1017, 0.09929, 0.09567, 0.09375, 0.09288, 0.0924, 0.09213, 0.09196, 0.09183, 0.09175",\
"0.1022, 0.0997, 0.09604, 0.09424, 0.09333, 0.09287, 0.09258, 0.09237, 0.09224, 0.09215",\
"0.1026, 0.1001, 0.09649, 0.09462, 0.09369, 0.0932, 0.09294, 0.09273, 0.0926, 0.09253");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.08988, 0.08845, 0.08648, 0.08554, 0.08562, 0.0864, 0.08709, 0.08786, 0.08878, 0.0965",\
"0.08987, 0.08845, 0.08649, 0.08555, 0.08562, 0.0864, 0.08705, 0.08796, 0.08868, 0.09717",\
"0.08985, 0.08849, 0.08647, 0.08553, 0.08568, 0.08638, 0.08709, 0.08807, 0.08886, 0.09778",\
"0.08987, 0.0885, 0.08638, 0.08552, 0.08568, 0.08638, 0.0876, 0.08812, 0.08912, 0.09887",\
"0.09001, 0.08859, 0.08644, 0.08562, 0.08572, 0.08671, 0.08713, 0.08815, 0.0893, 0.1002",\
"0.09011, 0.0887, 0.08676, 0.08586, 0.08602, 0.08664, 0.08745, 0.08823, 0.08974, 0.1031",\
"0.09041, 0.08892, 0.08698, 0.08604, 0.08645, 0.08703, 0.08753, 0.08842, 0.09037, 0.1064",\
"0.09071, 0.08929, 0.08724, 0.08628, 0.08642, 0.08765, 0.0884, 0.08878, 0.09153, 0.1121",\
"0.09122, 0.08977, 0.08776, 0.08674, 0.0867, 0.08692, 0.08866, 0.09012, 0.09385, 0.1225",\
"0.09176, 0.09031, 0.08828, 0.08728, 0.08737, 0.0878, 0.08833, 0.09033, 0.09803, 0.1394");
}
}
internal_power() {
related_pin : "CLK" ;
when : "D&!SE&SI | !D&SE&!SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1005, 0.09801, 0.09435, 0.09248, 0.09161, 0.09116, 0.09086, 0.09065, 0.09053, 0.09044",\
"0.1005, 0.09801, 0.09434, 0.09247, 0.09159, 0.09114, 0.09086, 0.09065, 0.09052, 0.09043",\
"0.1005, 0.098, 0.09435, 0.09247, 0.0916, 0.09113, 0.09085, 0.09066, 0.09051, 0.09042",\
"0.1006, 0.09813, 0.09449, 0.09262, 0.0917, 0.09128, 0.09095, 0.09082, 0.09066, 0.09057",\
"0.1009, 0.09837, 0.09471, 0.09287, 0.09196, 0.09144, 0.09121, 0.09101, 0.0909, 0.09081",\
"0.1011, 0.09868, 0.09499, 0.09312, 0.09224, 0.09175, 0.09146, 0.0913, 0.09116, 0.09107",\
"0.1014, 0.09893, 0.09528, 0.09342, 0.09252, 0.09204, 0.09181, 0.09161, 0.09145, 0.09138",\
"0.1017, 0.0993, 0.09564, 0.09377, 0.09288, 0.09246, 0.09217, 0.09197, 0.09185, 0.09176",\
"0.1022, 0.09967, 0.09602, 0.09421, 0.09324, 0.09281, 0.09253, 0.09233, 0.09224, 0.09213",\
"0.1025, 0.1001, 0.09646, 0.09457, 0.09368, 0.09318, 0.09292, 0.09271, 0.09258, 0.09251");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.08988, 0.08846, 0.08638, 0.08556, 0.08563, 0.08641, 0.08708, 0.08788, 0.08879, 0.09711",\
"0.08986, 0.08844, 0.08648, 0.08554, 0.08561, 0.08639, 0.08704, 0.08796, 0.08868, 0.09716",\
"0.08985, 0.08845, 0.08646, 0.08549, 0.08558, 0.0863, 0.08699, 0.08826, 0.08906, 0.09721",\
"0.08988, 0.08851, 0.08639, 0.08553, 0.08562, 0.0864, 0.08717, 0.08813, 0.0894, 0.09868",\
"0.08996, 0.08853, 0.08643, 0.08561, 0.08589, 0.08648, 0.08712, 0.08813, 0.08929, 0.1002",\
"0.09014, 0.0887, 0.08689, 0.08587, 0.08599, 0.08675, 0.0874, 0.08824, 0.08995, 0.1025",\
"0.09043, 0.08891, 0.08687, 0.08607, 0.08643, 0.08706, 0.08756, 0.08844, 0.09019, 0.106",\
"0.09071, 0.0893, 0.08715, 0.08639, 0.08625, 0.08762, 0.08857, 0.08899, 0.09122, 0.1125",\
"0.09124, 0.0898, 0.08774, 0.08678, 0.08673, 0.08727, 0.08886, 0.09014, 0.09387, 0.1227",\
"0.09176, 0.09035, 0.08818, 0.0874, 0.08736, 0.08772, 0.08833, 0.09033, 0.09803, 0.1392");
}
}
internal_power() {
related_pin : "CLK" ;
when : "D&SE" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1005, 0.09798, 0.09435, 0.09248, 0.09162, 0.09113, 0.09086, 0.09066, 0.09053, 0.09044",\
"0.1005, 0.09802, 0.09435, 0.09249, 0.09162, 0.09115, 0.09085, 0.09065, 0.09053, 0.09043",\
"0.1005, 0.09802, 0.09434, 0.09247, 0.0916, 0.09114, 0.09086, 0.09068, 0.09053, 0.09044",\
"0.1006, 0.09816, 0.09449, 0.09261, 0.09173, 0.09128, 0.09101, 0.09078, 0.09068, 0.09059",\
"0.1009, 0.09838, 0.09471, 0.09285, 0.09196, 0.09149, 0.09122, 0.09104, 0.09089, 0.0908",\
"0.1011, 0.0987, 0.095, 0.09314, 0.09226, 0.09178, 0.09148, 0.09128, 0.09116, 0.09108",\
"0.1014, 0.09893, 0.09528, 0.09346, 0.09256, 0.0921, 0.09181, 0.09162, 0.09148, 0.09138",\
"0.1018, 0.09927, 0.09563, 0.09377, 0.09289, 0.09242, 0.09215, 0.09198, 0.09182, 0.09175",\
"0.1022, 0.09969, 0.09599, 0.09414, 0.09326, 0.09279, 0.09247, 0.09231, 0.09218, 0.09208",\
"0.1026, 0.1001, 0.09644, 0.09458, 0.09372, 0.09325, 0.09297, 0.09276, 0.09261, 0.0925");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.08987, 0.08852, 0.08648, 0.08556, 0.08567, 0.08631, 0.08708, 0.08787, 0.08899, 0.09711",\
"0.08992, 0.08845, 0.08638, 0.08554, 0.08562, 0.08639, 0.08708, 0.08818, 0.0887, 0.09718",\
"0.08987, 0.08844, 0.0865, 0.08552, 0.08573, 0.08639, 0.08701, 0.08768, 0.08908, 0.09779",\
"0.08985, 0.08849, 0.08647, 0.08551, 0.08567, 0.08654, 0.08723, 0.08813, 0.0894, 0.09849",\
"0.08995, 0.08863, 0.08659, 0.08565, 0.08573, 0.08651, 0.08742, 0.08816, 0.0897, 0.1002",\
"0.09011, 0.08866, 0.08685, 0.08585, 0.08593, 0.08669, 0.08748, 0.08804, 0.09014, 0.1031",\
"0.0904, 0.08888, 0.08684, 0.08606, 0.08649, 0.08705, 0.08756, 0.08862, 0.09017, 0.1064",\
"0.09075, 0.08931, 0.08717, 0.08635, 0.08654, 0.08764, 0.08859, 0.08919, 0.09114, 0.1122",\
"0.09116, 0.0898, 0.08772, 0.08679, 0.08686, 0.08691, 0.08867, 0.09012, 0.09366, 0.1225",\
"0.09176, 0.09034, 0.0882, 0.08738, 0.08733, 0.08769, 0.0885, 0.09033, 0.09783, 0.1399");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b0 && SI===1'b1" ;
timing_type : rising_edge ;
when : "!D&SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("1.525, 1.572, 1.695, 1.865, 2.075, 2.334, 2.655, 3.05, 3.529, 4.099",\
"1.541, 1.588, 1.71, 1.881, 2.091, 2.35, 2.67, 3.065, 3.544, 4.115",\
"1.597, 1.645, 1.767, 1.938, 2.148, 2.407, 2.727, 3.122, 3.601, 4.172",\
"1.694, 1.741, 1.863, 2.034, 2.244, 2.503, 2.823, 3.218, 3.697, 4.268",\
"1.782, 1.83, 1.952, 2.122, 2.332, 2.591, 2.912, 3.307, 3.786, 4.357",\
"1.848, 1.896, 2.018, 2.188, 2.399, 2.657, 2.978, 3.373, 3.852, 4.423",\
"1.893, 1.94, 2.062, 2.232, 2.443, 2.701, 3.022, 3.417, 3.896, 4.467",\
"1.912, 1.96, 2.082, 2.252, 2.462, 2.721, 3.041, 3.437, 3.915, 4.486",\
"1.903, 1.95, 2.073, 2.243, 2.454, 2.712, 3.033, 3.428, 3.907, 4.477",\
"1.862, 1.91, 2.032, 2.202, 2.413, 2.671, 2.992, 3.387, 3.866, 4.437");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("1.435, 1.487, 1.632, 1.868, 2.223, 2.719, 3.372, 4.193, 5.197, 6.393",\
"1.451, 1.502, 1.648, 1.884, 2.239, 2.735, 3.387, 4.209, 5.212, 6.407",\
"1.506, 1.558, 1.704, 1.94, 2.295, 2.791, 3.443, 4.265, 5.267, 6.465",\
"1.601, 1.653, 1.798, 2.035, 2.389, 2.886, 3.538, 4.36, 5.363, 6.558",\
"1.689, 1.741, 1.886, 2.122, 2.477, 2.973, 3.626, 4.448, 5.451, 6.645",\
"1.755, 1.807, 1.953, 2.189, 2.544, 3.04, 3.692, 4.514, 5.517, 6.714",\
"1.801, 1.853, 1.999, 2.235, 2.59, 3.085, 3.738, 4.56, 5.563, 6.759",\
"1.824, 1.876, 2.022, 2.258, 2.613, 3.109, 3.761, 4.582, 5.586, 6.781",\
"1.821, 1.872, 2.018, 2.254, 2.609, 3.105, 3.757, 4.579, 5.581, 6.775",\
"1.788, 1.84, 1.986, 2.222, 2.577, 3.073, 3.725, 4.546, 5.549, 6.744");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1964, 0.2384, 0.3558, 0.5364, 0.7948, 1.167, 1.677, 2.346, 3.174, 4.161",\
"0.1964, 0.2384, 0.3558, 0.5374, 0.7948, 1.167, 1.68, 2.346, 3.174, 4.164",\
"0.1964, 0.2384, 0.3568, 0.5372, 0.7954, 1.167, 1.68, 2.346, 3.175, 4.169",\
"0.1966, 0.2384, 0.356, 0.538, 0.795, 1.168, 1.678, 2.346, 3.173, 4.165",\
"0.1964, 0.2386, 0.3554, 0.537, 0.7954, 1.167, 1.68, 2.346, 3.174, 4.169",\
"0.1968, 0.2388, 0.3558, 0.5366, 0.7956, 1.167, 1.68, 2.346, 3.174, 4.163",\
"0.1962, 0.2386, 0.3562, 0.5372, 0.7942, 1.168, 1.677, 2.343, 3.173, 4.168",\
"0.1964, 0.2384, 0.3566, 0.5374, 0.7944, 1.168, 1.677, 2.342, 3.169, 4.16",\
"0.1966, 0.2388, 0.3562, 0.5366, 0.7944, 1.167, 1.68, 2.343, 3.168, 4.16",\
"0.1962, 0.2386, 0.356, 0.536, 0.7948, 1.167, 1.679, 2.343, 3.169, 4.165");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1818, 0.2432, 0.4316, 0.8048, 1.424, 2.315, 3.485, 4.951, 6.753, 8.916",\
"0.1824, 0.243, 0.4322, 0.8048, 1.424, 2.312, 3.479, 4.952, 6.753, 8.915",\
"0.1826, 0.2432, 0.4318, 0.8048, 1.426, 2.313, 3.485, 4.952, 6.751, 8.895",\
"0.1826, 0.2436, 0.4316, 0.8048, 1.428, 2.309, 3.478, 4.952, 6.753, 8.917",\
"0.1828, 0.2432, 0.4322, 0.8044, 1.425, 2.311, 3.483, 4.949, 6.753, 8.899",\
"0.1814, 0.2428, 0.4324, 0.8042, 1.425, 2.315, 3.485, 4.951, 6.75, 8.912",\
"0.1822, 0.2432, 0.4316, 0.8062, 1.424, 2.311, 3.48, 4.951, 6.747, 8.918",\
"0.182, 0.2426, 0.4316, 0.8046, 1.427, 2.313, 3.479, 4.96, 6.753, 8.899",\
"0.1826, 0.2428, 0.432, 0.8048, 1.426, 2.31, 3.479, 4.951, 6.746, 8.892",\
"0.1826, 0.2434, 0.4324, 0.8048, 1.426, 2.313, 3.485, 4.95, 6.747, 8.894");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "SE===1'b0 && SI===1'b0" ;
timing_type : rising_edge ;
when : "!SE&!SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("1.525, 1.573, 1.695, 1.865, 2.075, 2.334, 2.655, 3.05, 3.529, 4.099",\
"1.541, 1.588, 1.711, 1.881, 2.091, 2.35, 2.67, 3.066, 3.544, 4.115",\
"1.598, 1.645, 1.767, 1.938, 2.148, 2.407, 2.727, 3.122, 3.601, 4.172",\
"1.694, 1.741, 1.863, 2.034, 2.244, 2.503, 2.823, 3.218, 3.697, 4.268",\
"1.782, 1.83, 1.952, 2.122, 2.332, 2.591, 2.912, 3.307, 3.786, 4.357",\
"1.848, 1.896, 2.018, 2.188, 2.399, 2.657, 2.978, 3.373, 3.852, 4.422",\
"1.892, 1.94, 2.062, 2.233, 2.443, 2.701, 3.022, 3.417, 3.896, 4.467",\
"1.912, 1.96, 2.082, 2.252, 2.462, 2.721, 3.042, 3.436, 3.915, 4.486",\
"1.903, 1.95, 2.072, 2.243, 2.454, 2.712, 3.033, 3.428, 3.907, 4.477",\
"1.862, 1.91, 2.032, 2.202, 2.413, 2.671, 2.992, 3.387, 3.866, 4.437");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("1.435, 1.487, 1.632, 1.868, 2.223, 2.719, 3.371, 4.193, 5.197, 6.391",\
"1.45, 1.502, 1.648, 1.884, 2.238, 2.735, 3.387, 4.209, 5.212, 6.408",\
"1.507, 1.558, 1.704, 1.94, 2.295, 2.791, 3.443, 4.265, 5.269, 6.464",\
"1.601, 1.653, 1.798, 2.035, 2.389, 2.885, 3.538, 4.36, 5.363, 6.56",\
"1.689, 1.741, 1.886, 2.122, 2.477, 2.973, 3.625, 4.448, 5.451, 6.647",\
"1.755, 1.807, 1.952, 2.189, 2.544, 3.04, 3.692, 4.513, 5.516, 6.713",\
"1.801, 1.853, 1.999, 2.235, 2.59, 3.085, 3.737, 4.56, 5.563, 6.759",\
"1.824, 1.876, 2.022, 2.258, 2.612, 3.109, 3.761, 4.582, 5.587, 6.782",\
"1.821, 1.873, 2.018, 2.254, 2.609, 3.105, 3.757, 4.579, 5.581, 6.775",\
"1.788, 1.84, 1.986, 2.222, 2.577, 3.073, 3.725, 4.546, 5.55, 6.744");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1968, 0.2386, 0.3564, 0.538, 0.7948, 1.166, 1.679, 2.346, 3.172, 4.169",\
"0.1968, 0.2386, 0.356, 0.5376, 0.7968, 1.167, 1.68, 2.346, 3.175, 4.169",\
"0.1964, 0.2386, 0.3568, 0.538, 0.797, 1.167, 1.68, 2.346, 3.174, 4.169",\
"0.1964, 0.2388, 0.3552, 0.5372, 0.7952, 1.168, 1.678, 2.346, 3.174, 4.162",\
"0.1964, 0.2388, 0.356, 0.5374, 0.7952, 1.168, 1.68, 2.346, 3.173, 4.169",\
"0.1966, 0.2384, 0.3554, 0.5372, 0.7968, 1.167, 1.68, 2.345, 3.173, 4.167",\
"0.1964, 0.2384, 0.3554, 0.538, 0.7948, 1.166, 1.68, 2.343, 3.17, 4.169",\
"0.1966, 0.2384, 0.3568, 0.5364, 0.7944, 1.167, 1.677, 2.343, 3.169, 4.16",\
"0.1964, 0.2384, 0.356, 0.5372, 0.7956, 1.167, 1.678, 2.343, 3.168, 4.161",\
"0.1964, 0.2388, 0.3564, 0.537, 0.7946, 1.168, 1.68, 2.342, 3.169, 4.165");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.182, 0.243, 0.4328, 0.8046, 1.424, 2.31, 3.479, 4.952, 6.753, 8.9",\
"0.1826, 0.2432, 0.432, 0.805, 1.425, 2.31, 3.477, 4.952, 6.753, 8.917",\
"0.1824, 0.2432, 0.432, 0.805, 1.428, 2.311, 3.481, 4.952, 6.753, 8.917",\
"0.1826, 0.2432, 0.432, 0.805, 1.425, 2.313, 3.482, 4.952, 6.763, 8.908",\
"0.1818, 0.243, 0.432, 0.8046, 1.424, 2.314, 3.478, 4.952, 6.753, 8.897",\
"0.1816, 0.2426, 0.4324, 0.805, 1.425, 2.314, 3.485, 4.952, 6.749, 8.918",\
"0.1822, 0.2434, 0.4316, 0.8048, 1.425, 2.311, 3.479, 4.952, 6.75, 8.918",\
"0.1828, 0.2426, 0.433, 0.8054, 1.426, 2.313, 3.479, 4.951, 6.749, 8.898",\
"0.1824, 0.2432, 0.4324, 0.8046, 1.427, 2.311, 3.478, 4.95, 6.757, 8.891",\
"0.1824, 0.2434, 0.4324, 0.805, 1.426, 2.313, 3.484, 4.95, 6.747, 8.89");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b1 && SE===1'b0 && SI===1'b1 || D===1'b0 && SE===1'b1 && SI===1'b0" ;
timing_type : rising_edge ;
when : "D&!SE&SI | !D&SE&!SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("1.525, 1.573, 1.695, 1.865, 2.075, 2.334, 2.655, 3.05, 3.529, 4.099",\
"1.541, 1.588, 1.71, 1.881, 2.091, 2.35, 2.67, 3.065, 3.545, 4.115",\
"1.597, 1.645, 1.767, 1.938, 2.148, 2.407, 2.727, 3.122, 3.601, 4.172",\
"1.694, 1.741, 1.863, 2.034, 2.244, 2.503, 2.823, 3.218, 3.698, 4.268",\
"1.782, 1.83, 1.952, 2.122, 2.333, 2.591, 2.912, 3.307, 3.786, 4.357",\
"1.848, 1.896, 2.018, 2.188, 2.398, 2.657, 2.978, 3.373, 3.852, 4.422",\
"1.892, 1.94, 2.062, 2.232, 2.443, 2.701, 3.022, 3.418, 3.896, 4.467",\
"1.912, 1.959, 2.081, 2.251, 2.462, 2.721, 3.042, 3.437, 3.916, 4.486",\
"1.903, 1.951, 2.073, 2.243, 2.453, 2.712, 3.033, 3.428, 3.907, 4.477",\
"1.862, 1.91, 2.032, 2.202, 2.413, 2.671, 2.992, 3.387, 3.866, 4.437");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("1.435, 1.487, 1.632, 1.868, 2.223, 2.719, 3.371, 4.193, 5.197, 6.391",\
"1.45, 1.502, 1.648, 1.884, 2.238, 2.735, 3.387, 4.209, 5.212, 6.407",\
"1.506, 1.559, 1.704, 1.94, 2.295, 2.791, 3.443, 4.265, 5.268, 6.465",\
"1.601, 1.653, 1.798, 2.035, 2.389, 2.885, 3.538, 4.36, 5.362, 6.556",\
"1.689, 1.741, 1.886, 2.122, 2.477, 2.973, 3.625, 4.448, 5.451, 6.648",\
"1.755, 1.807, 1.953, 2.189, 2.544, 3.04, 3.692, 4.514, 5.516, 6.713",\
"1.801, 1.853, 1.999, 2.235, 2.59, 3.085, 3.738, 4.56, 5.563, 6.758",\
"1.824, 1.876, 2.022, 2.258, 2.613, 3.109, 3.761, 4.582, 5.585, 6.781",\
"1.821, 1.873, 2.018, 2.255, 2.609, 3.105, 3.757, 4.579, 5.582, 6.775",\
"1.788, 1.84, 1.986, 2.222, 2.577, 3.073, 3.725, 4.546, 5.55, 6.744");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1964, 0.2386, 0.3568, 0.5372, 0.7966, 1.167, 1.679, 2.346, 3.173, 4.164",\
"0.1964, 0.2384, 0.3558, 0.5378, 0.795, 1.167, 1.679, 2.346, 3.174, 4.166",\
"0.1964, 0.2384, 0.3562, 0.5372, 0.7968, 1.167, 1.678, 2.346, 3.174, 4.169",\
"0.1966, 0.2384, 0.356, 0.5372, 0.7952, 1.166, 1.678, 2.346, 3.172, 4.168",\
"0.1964, 0.2384, 0.3556, 0.5368, 0.795, 1.167, 1.68, 2.345, 3.175, 4.168",\
"0.1962, 0.2384, 0.3558, 0.5376, 0.7954, 1.168, 1.677, 2.346, 3.174, 4.162",\
"0.1966, 0.2386, 0.3556, 0.537, 0.7958, 1.167, 1.677, 2.343, 3.173, 4.169",\
"0.1966, 0.2384, 0.3556, 0.5374, 0.7946, 1.167, 1.678, 2.342, 3.169, 4.16",\
"0.1964, 0.2384, 0.3558, 0.537, 0.7946, 1.167, 1.677, 2.343, 3.169, 4.16",\
"0.1962, 0.2388, 0.3564, 0.538, 0.7952, 1.167, 1.679, 2.342, 3.169, 4.161");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1826, 0.2432, 0.4324, 0.8046, 1.424, 2.311, 3.479, 4.952, 6.753, 8.915",\
"0.1826, 0.2432, 0.4322, 0.805, 1.425, 2.311, 3.477, 4.952, 6.753, 8.917",\
"0.182, 0.2432, 0.4314, 0.8048, 1.425, 2.315, 3.48, 4.951, 6.751, 8.896",\
"0.1826, 0.2436, 0.432, 0.805, 1.424, 2.312, 3.482, 4.952, 6.749, 8.887",\
"0.1828, 0.2432, 0.4322, 0.8048, 1.424, 2.311, 3.479, 4.952, 6.752, 8.915",\
"0.1816, 0.2428, 0.432, 0.8044, 1.425, 2.31, 3.479, 4.95, 6.75, 8.895",\
"0.1818, 0.2424, 0.4316, 0.805, 1.426, 2.312, 3.479, 4.952, 6.753, 8.898",\
"0.1816, 0.2432, 0.4322, 0.8056, 1.426, 2.312, 3.479, 4.96, 6.753, 8.9",\
"0.183, 0.2432, 0.432, 0.8048, 1.426, 2.31, 3.478, 4.951, 6.754, 8.891",\
"0.1824, 0.2434, 0.4324, 0.8052, 1.426, 2.314, 3.484, 4.95, 6.747, 8.892");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b1 && SE===1'b1" ;
timing_type : rising_edge ;
when : "D&SE" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("1.525, 1.573, 1.695, 1.865, 2.075, 2.334, 2.655, 3.05, 3.529, 4.099",\
"1.541, 1.588, 1.711, 1.881, 2.091, 2.35, 2.67, 3.065, 3.545, 4.115",\
"1.597, 1.645, 1.767, 1.938, 2.148, 2.407, 2.727, 3.122, 3.601, 4.172",\
"1.694, 1.741, 1.863, 2.034, 2.244, 2.503, 2.823, 3.218, 3.697, 4.268",\
"1.782, 1.83, 1.952, 2.122, 2.333, 2.591, 2.912, 3.307, 3.786, 4.356",\
"1.848, 1.896, 2.018, 2.188, 2.398, 2.657, 2.978, 3.373, 3.852, 4.422",\
"1.892, 1.94, 2.062, 2.232, 2.443, 2.701, 3.022, 3.418, 3.896, 4.467",\
"1.912, 1.959, 2.081, 2.252, 2.462, 2.721, 3.041, 3.436, 3.915, 4.486",\
"1.903, 1.951, 2.072, 2.243, 2.453, 2.712, 3.032, 3.427, 3.906, 4.477",\
"1.862, 1.91, 2.032, 2.202, 2.413, 2.671, 2.992, 3.387, 3.866, 4.437");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("1.435, 1.487, 1.632, 1.868, 2.223, 2.719, 3.371, 4.193, 5.196, 6.393",\
"1.45, 1.502, 1.648, 1.884, 2.238, 2.735, 3.387, 4.209, 5.212, 6.407",\
"1.507, 1.559, 1.704, 1.94, 2.295, 2.791, 3.443, 4.265, 5.268, 6.465",\
"1.601, 1.653, 1.798, 2.034, 2.389, 2.886, 3.538, 4.359, 5.363, 6.559",\
"1.689, 1.741, 1.886, 2.122, 2.477, 2.973, 3.626, 4.447, 5.451, 6.647",\
"1.755, 1.807, 1.953, 2.189, 2.543, 3.039, 3.692, 4.514, 5.517, 6.714",\
"1.801, 1.853, 1.999, 2.235, 2.59, 3.085, 3.738, 4.56, 5.563, 6.759",\
"1.824, 1.876, 2.022, 2.258, 2.613, 3.109, 3.761, 4.582, 5.585, 6.782",\
"1.821, 1.873, 2.018, 2.254, 2.609, 3.105, 3.757, 4.578, 5.581, 6.775",\
"1.788, 1.84, 1.986, 2.222, 2.577, 3.073, 3.725, 4.547, 5.55, 6.744");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1964, 0.2384, 0.3558, 0.5372, 0.7954, 1.168, 1.677, 2.347, 3.174, 4.169",\
"0.1964, 0.2386, 0.3564, 0.538, 0.7968, 1.166, 1.68, 2.346, 3.173, 4.163",\
"0.1964, 0.2386, 0.3556, 0.5372, 0.7952, 1.166, 1.68, 2.346, 3.174, 4.169",\
"0.1966, 0.2384, 0.3556, 0.5364, 0.7954, 1.168, 1.677, 2.347, 3.175, 4.169",\
"0.1964, 0.2386, 0.3558, 0.5374, 0.7954, 1.168, 1.68, 2.347, 3.175, 4.169",\
"0.1966, 0.2392, 0.3558, 0.5376, 0.795, 1.168, 1.678, 2.347, 3.175, 4.165",\
"0.1964, 0.239, 0.3558, 0.5364, 0.7954, 1.166, 1.678, 2.343, 3.173, 4.168",\
"0.1966, 0.2384, 0.356, 0.5374, 0.7948, 1.167, 1.677, 2.342, 3.169, 4.168",\
"0.1968, 0.2388, 0.3558, 0.5362, 0.7958, 1.166, 1.678, 2.344, 3.17, 4.16",\
"0.1964, 0.2388, 0.3558, 0.5366, 0.796, 1.166, 1.68, 2.342, 3.169, 4.161");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1822, 0.2434, 0.4322, 0.8046, 1.425, 2.315, 3.485, 4.952, 6.751, 8.915",\
"0.1826, 0.2432, 0.4322, 0.8048, 1.424, 2.31, 3.479, 4.952, 6.753, 8.915",\
"0.1826, 0.2432, 0.4316, 0.805, 1.424, 2.31, 3.479, 4.951, 6.747, 8.916",\
"0.1826, 0.2434, 0.4314, 0.805, 1.425, 2.315, 3.484, 4.952, 6.763, 8.917",\
"0.1816, 0.2432, 0.4314, 0.8044, 1.425, 2.313, 3.483, 4.95, 6.763, 8.917",\
"0.1814, 0.2428, 0.4318, 0.8044, 1.424, 2.314, 3.483, 4.949, 6.748, 8.916",\
"0.1818, 0.2424, 0.4316, 0.8048, 1.427, 2.311, 3.478, 4.952, 6.753, 8.916",\
"0.1826, 0.2432, 0.4322, 0.8054, 1.427, 2.312, 3.478, 4.959, 6.751, 8.897",\
"0.1826, 0.2432, 0.432, 0.8048, 1.426, 2.311, 3.478, 4.951, 6.75, 8.898",\
"0.183, 0.2434, 0.4324, 0.805, 1.426, 2.314, 3.479, 4.952, 6.747, 8.894");
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("1.525, 1.573, 1.695, 1.865, 2.075, 2.334, 2.655, 3.05, 3.529, 4.099",\
"1.541, 1.588, 1.71, 1.881, 2.091, 2.35, 2.67, 3.065, 3.545, 4.115",\
"1.597, 1.645, 1.767, 1.938, 2.148, 2.407, 2.727, 3.122, 3.601, 4.172",\
"1.694, 1.741, 1.863, 2.034, 2.244, 2.503, 2.823, 3.218, 3.698, 4.268",\
"1.782, 1.83, 1.952, 2.122, 2.333, 2.591, 2.912, 3.307, 3.786, 4.357",\
"1.848, 1.896, 2.018, 2.188, 2.398, 2.657, 2.978, 3.373, 3.852, 4.422",\
"1.892, 1.94, 2.062, 2.232, 2.443, 2.701, 3.022, 3.418, 3.896, 4.467",\
"1.912, 1.959, 2.081, 2.251, 2.462, 2.721, 3.042, 3.437, 3.916, 4.486",\
"1.903, 1.951, 2.073, 2.243, 2.453, 2.712, 3.033, 3.428, 3.907, 4.477",\
"1.862, 1.91, 2.032, 2.202, 2.413, 2.671, 2.992, 3.387, 3.866, 4.437");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("1.435, 1.487, 1.632, 1.868, 2.223, 2.719, 3.371, 4.193, 5.196, 6.393",\
"1.45, 1.502, 1.648, 1.884, 2.238, 2.735, 3.387, 4.209, 5.212, 6.407",\
"1.507, 1.559, 1.704, 1.94, 2.295, 2.791, 3.443, 4.265, 5.268, 6.465",\
"1.601, 1.653, 1.798, 2.034, 2.389, 2.886, 3.538, 4.359, 5.363, 6.559",\
"1.689, 1.741, 1.886, 2.122, 2.477, 2.973, 3.626, 4.447, 5.451, 6.647",\
"1.755, 1.807, 1.953, 2.189, 2.543, 3.039, 3.692, 4.514, 5.517, 6.714",\
"1.801, 1.853, 1.999, 2.235, 2.59, 3.085, 3.738, 4.56, 5.563, 6.759",\
"1.824, 1.876, 2.022, 2.258, 2.613, 3.109, 3.761, 4.582, 5.585, 6.782",\
"1.821, 1.873, 2.018, 2.254, 2.609, 3.105, 3.757, 4.578, 5.581, 6.775",\
"1.788, 1.84, 1.986, 2.222, 2.577, 3.073, 3.725, 4.547, 5.55, 6.744");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.1968, 0.2386, 0.3564, 0.538, 0.7948, 1.166, 1.679, 2.346, 3.172, 4.169",\
"0.1968, 0.2386, 0.356, 0.5376, 0.7968, 1.167, 1.68, 2.346, 3.175, 4.169",\
"0.1964, 0.2386, 0.3568, 0.538, 0.797, 1.167, 1.68, 2.346, 3.174, 4.169",\
"0.1964, 0.2388, 0.3552, 0.5372, 0.7952, 1.168, 1.678, 2.346, 3.174, 4.162",\
"0.1964, 0.2388, 0.356, 0.5374, 0.7952, 1.168, 1.68, 2.346, 3.173, 4.169",\
"0.1966, 0.2384, 0.3554, 0.5372, 0.7968, 1.167, 1.68, 2.345, 3.173, 4.167",\
"0.1964, 0.2384, 0.3554, 0.538, 0.7948, 1.166, 1.68, 2.343, 3.17, 4.169",\
"0.1966, 0.2384, 0.3568, 0.5364, 0.7944, 1.167, 1.677, 2.343, 3.169, 4.16",\
"0.1964, 0.2384, 0.356, 0.5372, 0.7956, 1.167, 1.678, 2.343, 3.168, 4.161",\
"0.1964, 0.2388, 0.3564, 0.537, 0.7946, 1.168, 1.68, 2.342, 3.169, 4.165");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.001, 0.007405, 0.02989, 0.07282, 0.1397, 0.2335, 0.357, 0.5126, 0.7025, 0.9289");
values("0.182, 0.243, 0.4328, 0.8046, 1.424, 2.31, 3.479, 4.952, 6.753, 8.9",\
"0.1826, 0.2432, 0.432, 0.805, 1.425, 2.31, 3.477, 4.952, 6.753, 8.917",\
"0.1824, 0.2432, 0.432, 0.805, 1.428, 2.311, 3.481, 4.952, 6.753, 8.917",\
"0.1826, 0.2432, 0.432, 0.805, 1.425, 2.313, 3.482, 4.952, 6.763, 8.908",\
"0.1818, 0.243, 0.432, 0.8046, 1.424, 2.314, 3.478, 4.952, 6.753, 8.897",\
"0.1816, 0.2426, 0.4324, 0.805, 1.425, 2.314, 3.485, 4.952, 6.749, 8.918",\
"0.1822, 0.2434, 0.4316, 0.8048, 1.425, 2.311, 3.479, 4.952, 6.75, 8.918",\
"0.1828, 0.2426, 0.433, 0.8054, 1.426, 2.313, 3.479, 4.951, 6.749, 8.898",\
"0.1824, 0.2432, 0.4324, 0.8046, 1.427, 2.311, 3.478, 4.95, 6.757, 8.891",\
"0.1824, 0.2434, 0.4324, 0.805, 1.426, 2.313, 3.484, 4.95, 6.747, 8.89");
}
}
}
pin(SE) {
capacitance : 0.005823 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 8.9 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.01841, 0.01819, 0.01818, 0.01899, 0.02082, 0.02378, 0.02802, \
0.03358, 0.04057, 0.04906");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.001042, 0.0009455, 0.0009572, 0.001523, 0.002977, 0.005471, \
0.00905, 0.01383, 0.01983, 0.02712");
}
}
internal_power() {
when : "!CLK&!D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.06021, 0.05993, 0.05969, 0.06032, 0.06221, 0.06605, 0.07219, \
0.08087, 0.09231, 0.1067");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.02681, 0.02675, 0.02672, 0.02724, 0.02906, 0.03249, 0.03787, \
0.04534, 0.05499, 0.06691");
}
}
internal_power() {
when : "!CLK&D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04729, 0.04708, 0.04711, 0.04796, 0.04982, 0.05282, 0.05709, \
0.06273, 0.06979, 0.07841");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04584, 0.04575, 0.0457, 0.04611, 0.04737, 0.04953, 0.05301, \
0.05759, 0.06346, 0.07066");
}
}
internal_power() {
when : "!CLK&D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.01844, 0.01822, 0.01823, 0.01909, 0.02097, 0.02399, 0.02827, \
0.03386, 0.04089, 0.04942");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.001111, 0.001025, 0.001038, 0.001585, 0.00302, 0.00548, 0.009054, \
0.01382, 0.01984, 0.02713");
}
}
internal_power() {
when : "CLK&!D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.0181, 0.01789, 0.01787, 0.0187, 0.02052, 0.02352, 0.02775, 0.03332, \
0.04033, 0.04885");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.0006714, 0.0005676, 0.0005913, 0.001167, 0.002611, 0.005108, \
0.008715, 0.01351, 0.01955, 0.02687");
}
}
internal_power() {
when : "CLK&!D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.02406, 0.02381, 0.02377, 0.02463, 0.02654, 0.02971, 0.03435, \
0.04035, 0.04774, 0.05661");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-5.764e-05, -0.0001635, -0.0002153, 0.0002687, 0.001757, 0.004426, \
0.008373, 0.01355, 0.01992, 0.02751");
}
}
internal_power() {
when : "CLK&D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.01946, 0.01925, 0.01924, 0.02007, 0.02189, 0.02486, 0.02903, \
0.03455, 0.04151, 0.04996");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.007325, 0.007235, 0.007245, 0.007802, 0.009219, 0.01167, 0.01525, \
0.02008, 0.02624, 0.0338");
}
}
internal_power() {
when : "CLK&D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.01832, 0.0181, 0.01812, 0.01898, 0.02086, 0.02388, 0.02816, \
0.03377, 0.04083, 0.04936");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.001055, 0.0009647, 0.0009724, 0.001528, 0.002962, 0.005419, \
0.009008, 0.01377, 0.01979, 0.02709");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!D&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.177, -0.163, -0.118, -0.039, 0.025, 0.073, 0.102, 0.11, 0.086, 0.023",\
"-0.194, -0.176, -0.133, -0.054, 0.016, 0.066, 0.092, 0.096, 0.076, 0.01",\
"-0.241, -0.222, -0.177, -0.103, -0.027, 0.016, 0.05, 0.051, 0.025, -0.034",\
"-0.333, -0.322, -0.272, -0.197, -0.126, -0.075, -0.046, -0.04, -0.065, -0.124",\
"-0.454, -0.444, -0.393, -0.315, -0.243, -0.195, -0.161, -0.156, -0.178, -0.242",\
"-0.595, -0.582, -0.535, -0.454, -0.375, -0.329, -0.298, -0.281, -0.31, -0.368",\
"-0.755, -0.747, -0.705, -0.625, -0.548, -0.496, -0.459, -0.447, -0.467, -0.52",\
"-0.957, -0.947, -0.905, -0.83, -0.755, -0.694, -0.663, -0.639, -0.652, -0.709",\
"-1.163, -1.154, -1.127, -1.045, -0.976, -0.925, -0.884, -0.856, -0.861, -0.905",\
"-1.402, -1.397, -1.374, -1.305, -1.237, -1.183, -1.141, -1.098, -1.097, -1.149");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.469, -0.452, -0.413, -0.392, -0.403, -0.437, -0.498, -0.597, -0.712, -0.865",\
"-0.483, -0.465, -0.428, -0.399, -0.413, -0.45, -0.518, -0.607, -0.729, -0.879",\
"-0.519, -0.506, -0.466, -0.442, -0.448, -0.491, -0.548, -0.642, -0.762, -0.915",\
"-0.575, -0.559, -0.522, -0.496, -0.501, -0.535, -0.597, -0.688, -0.797, -0.952",\
"-0.637, -0.619, -0.581, -0.555, -0.555, -0.584, -0.639, -0.722, -0.833, -0.987",\
"-0.672, -0.662, -0.621, -0.589, -0.583, -0.613, -0.667, -0.743, -0.857, -1.003",\
"-0.685, -0.667, -0.63, -0.599, -0.596, -0.615, -0.668, -0.745, -0.852, -0.992",\
"-0.66, -0.645, -0.603, -0.575, -0.572, -0.59, -0.638, -0.716, -0.82, -0.965",\
"-0.588, -0.573, -0.537, -0.503, -0.507, -0.525, -0.578, -0.653, -0.756, -0.896",\
"-0.47, -0.459, -0.415, -0.391, -0.393, -0.415, -0.47, -0.546, -0.65, -0.799");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SI === 1'b1" ;
timing_type : hold_rising ;
when : "D&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.772, -0.761, -0.718, -0.69, -0.702, -0.739, -0.802, -0.901, -1.024, -1.171",\
"-0.792, -0.778, -0.736, -0.706, -0.723, -0.76, -0.819, -0.915, -1.036, -1.193",\
"-0.863, -0.849, -0.804, -0.787, -0.788, -0.833, -0.894, -0.988, -1.112, -1.265",\
"-1.003, -0.984, -0.95, -0.922, -0.936, -0.972, -1.036, -1.13, -1.248, -1.403",\
"-1.181, -1.167, -1.127, -1.104, -1.11, -1.144, -1.212, -1.303, -1.42, -1.579",\
"-1.402, -1.384, -1.345, -1.321, -1.325, -1.36, -1.425, -1.517, -1.637, -1.786",\
"-1.66, -1.64, -1.602, -1.579, -1.588, -1.617, -1.677, -1.774, -1.89, -2.04",\
"-1.957, -1.94, -1.9, -1.872, -1.88, -1.912, -1.975, -2.065, -2.181, -2.334",\
"-2.29, -2.282, -2.238, -2.21, -2.219, -2.247, -2.307, -2.399, -2.51, -2.666",\
"-2.677, -2.659, -2.621, -2.594, -2.598, -2.628, -2.683, -2.773, -2.886, -3.038");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.354, -0.335, -0.293, -0.216, -0.151, -0.105, -0.072, -0.072, -0.095, -0.16",\
"-0.373, -0.353, -0.308, -0.231, -0.167, -0.122, -0.093, -0.082, -0.107, -0.175",\
"-0.416, -0.403, -0.354, -0.281, -0.215, -0.169, -0.137, -0.128, -0.155, -0.217",\
"-0.454, -0.44, -0.397, -0.323, -0.256, -0.203, -0.175, -0.171, -0.192, -0.258",\
"-0.492, -0.476, -0.429, -0.353, -0.292, -0.24, -0.208, -0.201, -0.227, -0.291",\
"-0.501, -0.479, -0.437, -0.356, -0.294, -0.246, -0.214, -0.212, -0.234, -0.295",\
"-0.459, -0.446, -0.396, -0.326, -0.259, -0.211, -0.176, -0.175, -0.198, -0.261",\
"-0.384, -0.368, -0.321, -0.244, -0.181, -0.128, -0.103, -0.095, -0.122, -0.181",\
"-0.258, -0.249, -0.204, -0.124, -0.057, -0.01, 0.014, 0.024, -0, -0.058",\
"-0.098, -0.085, -0.038, 0.037, 0.106, 0.154, 0.18, 0.189, 0.169, 0.1");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!D&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.426, 1.408, 1.335, 1.221, 1.108, 1.024, 0.967, 0.932, 0.943, 0.994",\
"1.432, 1.42, 1.351, 1.23, 1.12, 1.033, 0.978, 0.946, 0.954, 1.004",\
"1.48, 1.459, 1.392, 1.275, 1.164, 1.077, 1.016, 0.989, 1.002, 1.049",\
"1.562, 1.547, 1.474, 1.362, 1.245, 1.161, 1.102, 1.074, 1.086, 1.131",\
"1.694, 1.675, 1.61, 1.484, 1.373, 1.288, 1.235, 1.203, 1.215, 1.264",\
"1.876, 1.864, 1.792, 1.675, 1.568, 1.477, 1.424, 1.395, 1.404, 1.451",\
"2.139, 2.118, 2.051, 1.934, 1.824, 1.741, 1.677, 1.652, 1.658, 1.7",\
"2.482, 2.458, 2.388, 2.272, 2.163, 2.069, 2.014, 1.982, 1.986, 2.02",\
"2.891, 2.872, 2.803, 2.689, 2.571, 2.48, 2.417, 2.378, 2.374, 2.415",\
"3.366, 3.347, 3.276, 3.155, 3.035, 2.935, 2.867, 2.823, 2.812, 2.842");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.134, 1.113, 1.046, 0.96, 0.878, 0.84, 0.846, 0.889, 0.984, 1.107",\
"1.143, 1.124, 1.062, 0.973, 0.89, 0.845, 0.854, 0.903, 0.995, 1.123",\
"1.18, 1.163, 1.095, 1.007, 0.932, 0.886, 0.894, 0.944, 1.036, 1.16",\
"1.245, 1.221, 1.152, 1.063, 0.979, 0.942, 0.942, 0.992, 1.077, 1.2",\
"1.304, 1.286, 1.225, 1.131, 1.054, 1.008, 1.005, 1.043, 1.127, 1.246",\
"1.378, 1.354, 1.292, 1.192, 1.116, 1.07, 1.063, 1.099, 1.171, 1.284",\
"1.408, 1.393, 1.322, 1.233, 1.162, 1.108, 1.093, 1.127, 1.194, 1.301",\
"1.421, 1.398, 1.335, 1.244, 1.168, 1.12, 1.101, 1.124, 1.19, 1.294",\
"1.394, 1.378, 1.316, 1.217, 1.143, 1.088, 1.073, 1.093, 1.159, 1.259",\
"1.326, 1.31, 1.247, 1.154, 1.07, 1.023, 1.008, 1.024, 1.079, 1.18");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SI === 1'b1" ;
timing_type : setup_rising ;
when : "D&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.442, 1.421, 1.356, 1.266, 1.183, 1.143, 1.151, 1.206, 1.295, 1.419",\
"1.459, 1.444, 1.375, 1.279, 1.207, 1.161, 1.172, 1.217, 1.31, 1.437",\
"1.534, 1.513, 1.449, 1.352, 1.272, 1.241, 1.24, 1.29, 1.378, 1.509",\
"1.673, 1.646, 1.581, 1.496, 1.414, 1.372, 1.38, 1.433, 1.519, 1.646",\
"1.847, 1.834, 1.762, 1.669, 1.589, 1.551, 1.56, 1.609, 1.694, 1.825",\
"2.06, 2.047, 1.983, 1.886, 1.813, 1.767, 1.772, 1.821, 1.908, 2.039",\
"2.313, 2.304, 2.238, 2.141, 2.066, 2.026, 2.027, 2.076, 2.165, 2.29",\
"2.616, 2.6, 2.536, 2.438, 2.362, 2.321, 2.327, 2.372, 2.461, 2.59",\
"2.957, 2.938, 2.871, 2.779, 2.698, 2.659, 2.661, 2.706, 2.792, 2.918",\
"3.333, 3.32, 3.254, 3.167, 3.084, 3.042, 3.044, 3.087, 3.175, 3.292");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.631, 1.607, 1.542, 1.425, 1.313, 1.225, 1.168, 1.14, 1.148, 1.198",\
"1.645, 1.629, 1.556, 1.437, 1.326, 1.24, 1.19, 1.15, 1.161, 1.21",\
"1.693, 1.676, 1.61, 1.483, 1.37, 1.287, 1.231, 1.207, 1.207, 1.254",\
"1.739, 1.715, 1.64, 1.528, 1.414, 1.326, 1.272, 1.24, 1.248, 1.298",\
"1.74, 1.723, 1.655, 1.538, 1.422, 1.343, 1.282, 1.257, 1.263, 1.313",\
"1.734, 1.719, 1.647, 1.532, 1.424, 1.337, 1.285, 1.256, 1.263, 1.317",\
"1.704, 1.693, 1.621, 1.506, 1.393, 1.31, 1.26, 1.233, 1.242, 1.294",\
"1.634, 1.62, 1.554, 1.437, 1.329, 1.242, 1.192, 1.165, 1.176, 1.228",\
"1.517, 1.503, 1.435, 1.325, 1.221, 1.131, 1.079, 1.051, 1.062, 1.12",\
"1.353, 1.337, 1.269, 1.16, 1.052, 0.976, 0.927, 0.9, 0.902, 0.953");
}
}
}
pin(SI) {
capacitance : 0.002616 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 8.9 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.003233, 0.003134, 0.003096, 0.003086, 0.00308, 0.003081, 0.003078, \
0.003077, 0.003075, 0.003073");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.003012, -0.003008, -0.00301, -0.003018, -0.003027, -0.003033, \
-0.003043, -0.003046, -0.003051, -0.003053");
}
}
internal_power() {
when : "!CLK&!D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04971, 0.04957, 0.04941, 0.04927, 0.04923, 0.04982, 0.05168, \
0.05512, 0.0605, 0.06776");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.02588, 0.02573, 0.02555, 0.02541, 0.02563, 0.02647, 0.02827, \
0.03124, 0.03551, 0.04114");
}
}
internal_power() {
when : "!CLK&D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.00309, 0.003088, 0.003083, 0.003089, 0.003089, 0.003092, 0.003093, \
0.003092, 0.003092, 0.003091");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.00272, -0.00282, -0.002942, -0.002997, -0.003031, -0.003042, \
-0.003047, -0.00305, -0.003055, -0.003058");
}
}
internal_power() {
when : "!CLK&D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.04972, 0.04959, 0.04943, 0.04929, 0.04925, 0.04984, 0.05169, \
0.05512, 0.06048, 0.06774");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.02586, 0.02573, 0.02554, 0.02541, 0.02564, 0.02649, 0.0283, \
0.03129, 0.03558, 0.04123");
}
}
internal_power() {
when : "CLK&!D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.003118, 0.003096, 0.003088, 0.003081, 0.003083, 0.003082, 0.003081, \
0.003084, 0.003081, 0.003082");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.003017, -0.003013, -0.003008, -0.003015, -0.00303, -0.003041, \
-0.003045, -0.003047, -0.003049, -0.003051");
}
}
internal_power() {
when : "CLK&!D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.01024, 0.01025, 0.01024, 0.01024, 0.01023, 0.01023, 0.01023, \
0.01023, 0.01023, 0.01023");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.002394, -0.002469, -0.002573, -0.002672, -0.002753, -0.002823, \
-0.002865, -0.002893, -0.002917, -0.002931");
}
}
internal_power() {
when : "CLK&D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.003091, 0.003089, 0.003086, 0.003084, 0.00309, 0.003092, 0.003092, \
0.003092, 0.00309, 0.00309");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.002751, -0.002834, -0.002948, -0.003002, -0.003023, -0.003041, \
-0.003047, -0.003052, -0.003055, -0.003058");
}
}
internal_power() {
when : "CLK&D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("0.01027, 0.01027, 0.01027, 0.01026, 0.01025, 0.01025, 0.01025, \
0.01025, 0.01025, 0.01025");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.002393, -0.002467, -0.002573, -0.002672, -0.002755, -0.002823, \
-0.002865, -0.002895, -0.002915, -0.002933");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE === 1'b1" ;
timing_type : hold_rising ;
when : "!D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.332, -0.321, -0.276, -0.197, -0.135, -0.093, -0.063, -0.06, -0.086, -0.149",\
"-0.345, -0.329, -0.284, -0.212, -0.151, -0.104, -0.076, -0.07, -0.099, -0.158",\
"-0.395, -0.373, -0.329, -0.258, -0.189, -0.149, -0.118, -0.115, -0.138, -0.2",\
"-0.454, -0.44, -0.403, -0.323, -0.261, -0.211, -0.185, -0.178, -0.201, -0.269",\
"-0.547, -0.532, -0.482, -0.413, -0.34, -0.294, -0.267, -0.255, -0.285, -0.347",\
"-0.631, -0.619, -0.575, -0.499, -0.43, -0.379, -0.347, -0.334, -0.364, -0.422",\
"-0.711, -0.703, -0.658, -0.584, -0.513, -0.461, -0.434, -0.422, -0.442, -0.505",\
"-0.799, -0.787, -0.751, -0.672, -0.602, -0.546, -0.512, -0.497, -0.513, -0.577",\
"-0.883, -0.872, -0.845, -0.765, -0.703, -0.644, -0.609, -0.583, -0.595, -0.654",\
"-0.997, -0.985, -0.964, -0.894, -0.83, -0.776, -0.728, -0.694, -0.697, -0.752");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.561, -0.541, -0.505, -0.476, -0.487, -0.532, -0.594, -0.694, -0.81, -0.972",\
"-0.565, -0.553, -0.513, -0.488, -0.5, -0.541, -0.606, -0.699, -0.82, -0.982",\
"-0.59, -0.572, -0.539, -0.513, -0.52, -0.563, -0.623, -0.725, -0.847, -1.004",\
"-0.622, -0.598, -0.569, -0.544, -0.55, -0.588, -0.654, -0.75, -0.869, -1.027",\
"-0.653, -0.635, -0.59, -0.571, -0.576, -0.611, -0.675, -0.768, -0.882, -1.037",\
"-0.654, -0.637, -0.601, -0.574, -0.574, -0.613, -0.674, -0.76, -0.879, -1.03",\
"-0.634, -0.614, -0.574, -0.553, -0.554, -0.587, -0.643, -0.735, -0.852, -0.999",\
"-0.573, -0.559, -0.52, -0.499, -0.503, -0.529, -0.593, -0.675, -0.792, -0.94",\
"-0.48, -0.457, -0.426, -0.395, -0.409, -0.438, -0.499, -0.583, -0.7, -0.849",\
"-0.336, -0.313, -0.276, -0.258, -0.27, -0.301, -0.359, -0.455, -0.576, -0.725");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE === 1'b1" ;
timing_type : hold_rising ;
when : "D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.332, -0.321, -0.276, -0.197, -0.135, -0.093, -0.063, -0.06, -0.086, -0.149",\
"-0.345, -0.329, -0.284, -0.212, -0.151, -0.104, -0.076, -0.07, -0.099, -0.158",\
"-0.395, -0.373, -0.329, -0.258, -0.189, -0.149, -0.118, -0.115, -0.146, -0.2",\
"-0.454, -0.44, -0.403, -0.323, -0.261, -0.211, -0.185, -0.178, -0.21, -0.269",\
"-0.554, -0.532, -0.482, -0.413, -0.34, -0.294, -0.267, -0.255, -0.285, -0.347",\
"-0.631, -0.619, -0.575, -0.499, -0.43, -0.379, -0.347, -0.334, -0.369, -0.422",\
"-0.711, -0.703, -0.658, -0.584, -0.513, -0.461, -0.426, -0.417, -0.448, -0.505",\
"-0.799, -0.787, -0.751, -0.672, -0.602, -0.546, -0.517, -0.497, -0.527, -0.577",\
"-0.883, -0.872, -0.845, -0.774, -0.693, -0.649, -0.609, -0.576, -0.603, -0.654",\
"-0.992, -0.99, -0.969, -0.9, -0.83, -0.776, -0.728, -0.686, -0.706, -0.752");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("-0.562, -0.541, -0.505, -0.476, -0.487, -0.526, -0.594, -0.694, -0.81, -0.967",\
"-0.566, -0.553, -0.513, -0.488, -0.5, -0.535, -0.606, -0.699, -0.82, -0.976",\
"-0.59, -0.578, -0.531, -0.513, -0.52, -0.557, -0.623, -0.725, -0.847, -0.999",\
"-0.622, -0.608, -0.563, -0.544, -0.55, -0.588, -0.654, -0.75, -0.869, -1.027",\
"-0.645, -0.635, -0.59, -0.571, -0.576, -0.611, -0.675, -0.768, -0.882, -1.037",\
"-0.654, -0.637, -0.601, -0.574, -0.574, -0.608, -0.667, -0.76, -0.879, -1.03",\
"-0.633, -0.614, -0.574, -0.553, -0.554, -0.587, -0.643, -0.735, -0.852, -0.999",\
"-0.573, -0.559, -0.52, -0.492, -0.503, -0.529, -0.588, -0.675, -0.792, -0.94",\
"-0.472, -0.465, -0.418, -0.395, -0.399, -0.438, -0.499, -0.583, -0.7, -0.849",\
"-0.33, -0.318, -0.276, -0.258, -0.27, -0.301, -0.359, -0.455, -0.576, -0.725");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE === 1'b1" ;
timing_type : setup_rising ;
when : "!D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.614, 1.6, 1.531, 1.416, 1.299, 1.214, 1.159, 1.132, 1.14, 1.182",\
"1.631, 1.613, 1.538, 1.427, 1.311, 1.222, 1.172, 1.143, 1.153, 1.199",\
"1.671, 1.658, 1.585, 1.471, 1.361, 1.267, 1.221, 1.188, 1.198, 1.243",\
"1.748, 1.725, 1.658, 1.535, 1.425, 1.342, 1.283, 1.254, 1.267, 1.31",\
"1.839, 1.826, 1.753, 1.636, 1.526, 1.442, 1.377, 1.349, 1.361, 1.406",\
"1.983, 1.962, 1.891, 1.78, 1.668, 1.577, 1.522, 1.491, 1.496, 1.551",\
"2.191, 2.171, 2.098, 1.981, 1.871, 1.783, 1.727, 1.692, 1.701, 1.744",\
"2.469, 2.452, 2.382, 2.265, 2.147, 2.06, 1.994, 1.958, 1.958, 1.996",\
"2.834, 2.814, 2.743, 2.626, 2.502, 2.409, 2.343, 2.301, 2.293, 2.331",\
"3.269, 3.25, 3.182, 3.057, 2.93, 2.828, 2.756, 2.707, 2.691, 2.715");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.22, 1.209, 1.139, 1.044, 0.97, 0.929, 0.932, 0.987, 1.082, 1.208",\
"1.232, 1.212, 1.152, 1.062, 0.985, 0.936, 0.951, 1.001, 1.095, 1.22",\
"1.256, 1.236, 1.175, 1.079, 1.003, 0.958, 0.969, 1.021, 1.113, 1.243",\
"1.283, 1.27, 1.2, 1.11, 1.028, 0.995, 0.994, 1.047, 1.14, 1.27",\
"1.319, 1.302, 1.243, 1.141, 1.068, 1.026, 1.023, 1.073, 1.166, 1.289",\
"1.343, 1.324, 1.266, 1.17, 1.098, 1.053, 1.042, 1.09, 1.171, 1.297",\
"1.355, 1.331, 1.265, 1.171, 1.097, 1.045, 1.043, 1.082, 1.163, 1.279",\
"1.323, 1.311, 1.245, 1.154, 1.076, 1.033, 1.016, 1.053, 1.127, 1.245",\
"1.279, 1.262, 1.188, 1.1, 1.025, 0.974, 0.963, 0.995, 1.062, 1.176",\
"1.181, 1.175, 1.103, 1.015, 0.935, 0.889, 0.875, 0.9, 0.967, 1.08");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE === 1'b1" ;
timing_type : setup_rising ;
when : "D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.615, 1.601, 1.532, 1.416, 1.299, 1.213, 1.159, 1.133, 1.14, 1.199",\
"1.631, 1.613, 1.544, 1.427, 1.311, 1.222, 1.172, 1.143, 1.153, 1.199",\
"1.676, 1.658, 1.593, 1.471, 1.361, 1.274, 1.212, 1.188, 1.198, 1.248",\
"1.748, 1.735, 1.658, 1.543, 1.425, 1.342, 1.283, 1.254, 1.267, 1.316",\
"1.839, 1.826, 1.753, 1.636, 1.526, 1.442, 1.383, 1.356, 1.361, 1.412",\
"1.983, 1.968, 1.897, 1.78, 1.668, 1.577, 1.522, 1.491, 1.501, 1.551",\
"2.191, 2.171, 2.098, 1.981, 1.871, 1.783, 1.727, 1.692, 1.701, 1.744",\
"2.469, 2.452, 2.382, 2.265, 2.147, 2.06, 1.994, 1.964, 1.958, 2.004",\
"2.834, 2.814, 2.743, 2.626, 2.502, 2.409, 2.343, 2.294, 2.293, 2.331",\
"3.269, 3.25, 3.182, 3.057, 2.93, 2.828, 2.756, 2.707, 2.691, 2.71");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
index_2("0.02, 0.08129, 0.2964, 0.7073, 1.347, 2.245, 3.427, 4.916, 6.734, 8.9");
values("1.22, 1.201, 1.139, 1.044, 0.97, 0.923, 0.932, 0.987, 1.082, 1.208",\
"1.232, 1.212, 1.152, 1.052, 0.977, 0.936, 0.942, 0.995, 1.086, 1.215",\
"1.251, 1.236, 1.167, 1.079, 1.003, 0.958, 0.969, 1.021, 1.113, 1.243",\
"1.283, 1.27, 1.2, 1.11, 1.028, 0.987, 0.994, 1.047, 1.14, 1.27",\
"1.319, 1.302, 1.234, 1.141, 1.068, 1.026, 1.023, 1.073, 1.166, 1.289",\
"1.343, 1.324, 1.259, 1.17, 1.089, 1.042, 1.042, 1.09, 1.171, 1.297",\
"1.347, 1.331, 1.265, 1.171, 1.097, 1.052, 1.043, 1.082, 1.157, 1.279",\
"1.329, 1.311, 1.245, 1.154, 1.076, 1.024, 1.016, 1.053, 1.127, 1.245",\
"1.271, 1.254, 1.188, 1.1, 1.025, 0.974, 0.963, 0.995, 1.062, 1.176",\
"1.181, 1.169, 1.103, 1.015, 0.935, 0.882, 0.868, 0.9, 0.967, 1.074");
}
}
}
test_cell() {
pin(SI) {
direction : input ;
signal_type : test_scan_in ;
}
pin(SE) {
direction : input ;
signal_type : test_scan_enable ;
}
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "D" ;
}
pin(CLK) {
clock : true ;
direction : input ;
}
pin(D) {
direction : input ;
}
pin(Q) {
direction : output ;
function : IQ1 ;
signal_type : test_scan_out ;
}
}
}