blob: 793018cf81874e0c268a22c363d60b4f9cbcc1e6 [file] [log] [blame]
/*
* Copyright 2022 GlobalFoundries PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
cell(gf180mcu_fd_sc_mcu7t5v0__sdffq_2) {
area : 87.808000 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "((D&(!SE))|(SE&SI))" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SE&!SI" ;
value : "0.000499775" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SE&SI" ;
value : "0.0006038" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SE&!SI" ;
value : "0.0006029" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SE&SI" ;
value : "0.0005843" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SE&!SI" ;
value : "0.00058635" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SE&SI" ;
value : "0.00058705" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SE&!SI" ;
value : "0.00060435" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SE&SI" ;
value : "0.00058375" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SE&!SI" ;
value : "0.000433965" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SE&SI" ;
value : "0.0005778" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SE&!SI" ;
value : "0.0005697" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SE&SI" ;
value : "0.0006674" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SE&!SI" ;
value : "0.00066515" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SE&SI" ;
value : "0.00066505" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SE&!SI" ;
value : "0.0005697" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SE&SI" ;
value : "0.0006675" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.0006675" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.003498 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 4 ;
min_period : 1.36 ;
min_pulse_width_high : 0.437 ;
min_pulse_width_low : 0.681 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2777, 0.2773, 0.2809, 0.2981, 0.3329, 0.3868, 0.4614, 0.5575, \
0.6763, 0.8183");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2156, 0.2152, 0.2175, 0.2316, 0.2606, 0.3074, 0.3734, 0.459, \
0.5656, 0.6932");
}
}
internal_power() {
when : "!D&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3012, 0.3007, 0.3044, 0.3215, 0.3562, 0.4103, 0.4848, 0.5808, \
0.6996, 0.8414");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2157, 0.2152, 0.2175, 0.2316, 0.2605, 0.3073, 0.3734, 0.4591, \
0.5657, 0.6936");
}
}
internal_power() {
when : "!D&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3012, 0.3009, 0.3044, 0.3216, 0.3562, 0.4104, 0.4848, 0.5809, \
0.6996, 0.8415");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2156, 0.2152, 0.2175, 0.2314, 0.2605, 0.3075, 0.3734, 0.4592, \
0.5656, 0.6934");
}
}
internal_power() {
when : "!D&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2952, 0.2948, 0.2984, 0.3155, 0.3502, 0.4042, 0.4788, 0.575, \
0.6937, 0.8356");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2174, 0.217, 0.2194, 0.2334, 0.2626, 0.3096, 0.3753, 0.4613, \
0.5675, 0.6954");
}
}
internal_power() {
when : "D&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2953, 0.2949, 0.2985, 0.3156, 0.3503, 0.4043, 0.4789, 0.5752, \
0.6937, 0.8356");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2175, 0.217, 0.2193, 0.2333, 0.2624, 0.3092, 0.3751, 0.461, \
0.5676, 0.6954");
}
}
internal_power() {
when : "D&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2953, 0.2949, 0.2984, 0.3156, 0.3504, 0.4043, 0.4788, 0.575, \
0.6938, 0.8358");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2175, 0.217, 0.2194, 0.2333, 0.2624, 0.3094, 0.3752, 0.4612, \
0.5675, 0.6953");
}
}
internal_power() {
when : "D&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3012, 0.3008, 0.3044, 0.3216, 0.3562, 0.4104, 0.4848, 0.5809, \
0.6996, 0.8415");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2156, 0.2152, 0.2175, 0.2315, 0.2605, 0.3075, 0.3732, 0.4592, \
0.5655, 0.6933");
}
}
internal_power() {
when : "D&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2952, 0.2948, 0.2984, 0.3155, 0.3503, 0.4043, 0.4788, 0.5751, \
0.6937, 0.8356");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.2175, 0.2171, 0.2195, 0.2334, 0.2623, 0.3092, 0.3752, 0.4611, \
0.5677, 0.6955");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!SE&!SI" ;
fall_constraint(scalar) {
values("0.681");
}
rise_constraint(scalar) {
values("0.349");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&!SE&SI" ;
fall_constraint(scalar) {
values("0.681");
}
rise_constraint(scalar) {
values("0.349");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SE&!SI" ;
fall_constraint(scalar) {
values("0.681");
}
rise_constraint(scalar) {
values("0.349");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SE&SI" ;
fall_constraint(scalar) {
values("0.559");
}
rise_constraint(scalar) {
values("0.437");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!SE&!SI" ;
fall_constraint(scalar) {
values("0.565");
}
rise_constraint(scalar) {
values("0.437");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&!SE&SI" ;
fall_constraint(scalar) {
values("0.559");
}
rise_constraint(scalar) {
values("0.437");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SE&!SI" ;
fall_constraint(scalar) {
values("0.681");
}
rise_constraint(scalar) {
values("0.349");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_SI === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SE&SI" ;
fall_constraint(scalar) {
values("0.559");
}
rise_constraint(scalar) {
values("0.437");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&!SE&!SI" ;
rise_constraint(scalar) {
values("1.36");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&!SE&SI" ;
rise_constraint(scalar) {
values("1.36");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SE&!SI" ;
rise_constraint(scalar) {
values("1.36");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SE&SI" ;
rise_constraint(scalar) {
values("1.118");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&!SE&!SI" ;
rise_constraint(scalar) {
values("1.124");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&!SE&SI" ;
rise_constraint(scalar) {
values("1.124");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_NOT_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&SE&!SI" ;
rise_constraint(scalar) {
values("1.36");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE_AND_SI === 1'b1" ;
timing_type : minimum_period ;
when : "D&SE&SI" ;
rise_constraint(scalar) {
values("1.118");
}
}
}
pin(D) {
capacitance : 0.002753 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 4 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3346, 0.3339, 0.3331, 0.3329, 0.3399, 0.3626, 0.4077, 0.4762, \
0.5656, 0.6744");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1921, 0.1911, 0.1898, 0.1901, 0.1983, 0.2193, 0.256, 0.309, \
0.379, 0.4663");
}
}
internal_power() {
when : "!CLK&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3346, 0.334, 0.333, 0.333, 0.3399, 0.3626, 0.4077, 0.4761, 0.5657, \
0.6743");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.192, 0.1911, 0.1899, 0.1902, 0.1983, 0.2192, 0.256, 0.309, 0.3791, \
0.4664");
}
}
internal_power() {
when : "!CLK&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.02025, 0.02016, 0.02013, 0.02013, 0.0201, 0.02012, 0.02011, \
0.02014, 0.02015, 0.02013");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.01957, -0.01949, -0.01951, -0.01956, -0.01972, -0.01976, -0.0198, \
-0.01988, -0.0199, -0.01991");
}
}
internal_power() {
when : "!CLK&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.02012, 0.02011, 0.02016, 0.0201, 0.02011, 0.02011, 0.02013, \
0.02013, 0.02013, 0.02013");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.01779, -0.01822, -0.01916, -0.01957, -0.01967, -0.01978, -0.01985, \
-0.01983, -0.0199, -0.01992");
}
}
internal_power() {
when : "CLK&!SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.06927, 0.06914, 0.06898, 0.06878, 0.06862, 0.06861, 0.06859, \
0.06863, 0.06864, 0.06863");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.005189, -0.005584, -0.006389, -0.007158, -0.007715, -0.008036, \
-0.008287, -0.008462, -0.008515, -0.00866");
}
}
internal_power() {
when : "CLK&!SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.06927, 0.06911, 0.06898, 0.06878, 0.06862, 0.06862, 0.06863, \
0.06864, 0.06863, 0.06858");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.005163, -0.005651, -0.00639, -0.007137, -0.007681, -0.008043, \
-0.00826, -0.008472, -0.00857, -0.008645");
}
}
internal_power() {
when : "CLK&SE&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.02013, 0.02013, 0.02012, 0.02007, 0.02012, 0.02011, 0.02013, \
0.02014, 0.02013, 0.02013");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.01953, -0.01951, -0.01952, -0.01959, -0.01967, -0.01975, -0.0198, \
-0.01989, -0.01988, -0.01991");
}
}
internal_power() {
when : "CLK&SE&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.02013, 0.02013, 0.02013, 0.02015, 0.0201, 0.02016, 0.02012, \
0.02015, 0.02016, 0.02012");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.01808, -0.01834, -0.01918, -0.01958, -0.01966, -0.01976, -0.01981, \
-0.01986, -0.0199, -0.01991");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!SE&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.092, -0.092, -0.069, -0.044, -0.01, 0.013, 0.038, 0.049, 0.052, 0.039",\
"-0.094, -0.094, -0.074, -0.047, -0.012, 0.012, 0.038, 0.042, 0.051, 0.033",\
"-0.108, -0.105, -0.088, -0.058, -0.027, 0.002, 0.026, 0.033, 0.04, 0.024",\
"-0.123, -0.121, -0.101, -0.069, -0.038, -0.005, 0.016, 0.021, 0.025, 0.011",\
"-0.142, -0.144, -0.117, -0.092, -0.056, -0.025, -0.005, 0.003, 0.006, -0.007",\
"-0.159, -0.155, -0.135, -0.108, -0.072, -0.048, -0.026, -0.02, -0.015, -0.031",\
"-0.167, -0.159, -0.145, -0.116, -0.086, -0.059, -0.031, -0.031, -0.031, -0.041",\
"-0.166, -0.172, -0.15, -0.12, -0.091, -0.071, -0.045, -0.045, -0.037, -0.054",\
"-0.176, -0.176, -0.161, -0.127, -0.106, -0.086, -0.054, -0.06, -0.044, -0.07",\
"-0.185, -0.195, -0.169, -0.146, -0.119, -0.106, -0.075, -0.083, -0.067, -0.087");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.258, -0.248, -0.238, -0.228, -0.23, -0.249, -0.271, -0.309, -0.352, -0.403",\
"-0.257, -0.249, -0.237, -0.233, -0.229, -0.25, -0.267, -0.307, -0.348, -0.402",\
"-0.261, -0.25, -0.243, -0.231, -0.238, -0.252, -0.276, -0.311, -0.346, -0.405",\
"-0.261, -0.253, -0.239, -0.233, -0.236, -0.247, -0.275, -0.309, -0.348, -0.403",\
"-0.26, -0.257, -0.242, -0.234, -0.238, -0.247, -0.267, -0.301, -0.342, -0.396",\
"-0.257, -0.255, -0.242, -0.229, -0.233, -0.242, -0.265, -0.298, -0.338, -0.39",\
"-0.244, -0.238, -0.227, -0.221, -0.223, -0.232, -0.252, -0.286, -0.326, -0.382",\
"-0.219, -0.214, -0.199, -0.192, -0.193, -0.21, -0.224, -0.265, -0.309, -0.358",\
"-0.169, -0.16, -0.153, -0.144, -0.143, -0.161, -0.19, -0.228, -0.267, -0.326",\
"-0.1, -0.094, -0.081, -0.076, -0.085, -0.099, -0.129, -0.167, -0.212, -0.271");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!SE&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.092, -0.086, -0.069, -0.044, -0.01, 0.013, 0.038, 0.049, 0.052, 0.039",\
"-0.094, -0.094, -0.074, -0.047, -0.012, 0.012, 0.038, 0.042, 0.044, 0.033",\
"-0.108, -0.105, -0.088, -0.058, -0.027, 0.002, 0.026, 0.033, 0.032, 0.024",\
"-0.123, -0.115, -0.101, -0.069, -0.038, -0.005, 0.016, 0.02, 0.025, 0.011",\
"-0.142, -0.136, -0.117, -0.092, -0.056, -0.025, -0.005, 0.003, 0.006, -0.007",\
"-0.159, -0.149, -0.135, -0.108, -0.072, -0.048, -0.026, -0.02, -0.02, -0.031",\
"-0.167, -0.159, -0.145, -0.116, -0.086, -0.059, -0.031, -0.031, -0.036, -0.041",\
"-0.166, -0.16, -0.15, -0.12, -0.091, -0.071, -0.045, -0.045, -0.044, -0.054",\
"-0.176, -0.168, -0.161, -0.136, -0.106, -0.085, -0.054, -0.06, -0.059, -0.07",\
"-0.185, -0.174, -0.169, -0.146, -0.125, -0.105, -0.075, -0.083, -0.084, -0.087");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.258, -0.248, -0.238, -0.228, -0.23, -0.249, -0.271, -0.309, -0.352, -0.403",\
"-0.257, -0.249, -0.237, -0.233, -0.229, -0.25, -0.267, -0.307, -0.348, -0.402",\
"-0.261, -0.25, -0.243, -0.231, -0.238, -0.252, -0.276, -0.311, -0.346, -0.405",\
"-0.261, -0.253, -0.239, -0.233, -0.236, -0.247, -0.275, -0.309, -0.348, -0.403",\
"-0.26, -0.257, -0.242, -0.234, -0.238, -0.247, -0.267, -0.301, -0.342, -0.396",\
"-0.257, -0.255, -0.242, -0.229, -0.233, -0.242, -0.265, -0.298, -0.338, -0.39",\
"-0.244, -0.238, -0.227, -0.221, -0.223, -0.232, -0.252, -0.286, -0.326, -0.382",\
"-0.219, -0.214, -0.199, -0.192, -0.193, -0.202, -0.224, -0.265, -0.309, -0.358",\
"-0.169, -0.16, -0.153, -0.144, -0.143, -0.161, -0.19, -0.228, -0.267, -0.326",\
"-0.1, -0.094, -0.081, -0.076, -0.085, -0.099, -0.129, -0.167, -0.212, -0.271");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_NOT_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!SE&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.584, 0.58, 0.552, 0.51, 0.475, 0.427, 0.4, 0.384, 0.375, 0.382",\
"0.588, 0.581, 0.555, 0.516, 0.473, 0.438, 0.408, 0.384, 0.38, 0.382",\
"0.599, 0.594, 0.566, 0.528, 0.488, 0.447, 0.415, 0.397, 0.387, 0.395",\
"0.611, 0.602, 0.585, 0.544, 0.497, 0.458, 0.431, 0.407, 0.399, 0.408",\
"0.648, 0.64, 0.617, 0.573, 0.533, 0.497, 0.46, 0.439, 0.434, 0.441",\
"0.722, 0.711, 0.691, 0.652, 0.606, 0.566, 0.538, 0.52, 0.501, 0.514",\
"0.837, 0.831, 0.806, 0.768, 0.718, 0.683, 0.646, 0.625, 0.61, 0.613",\
"0.992, 0.984, 0.961, 0.915, 0.866, 0.825, 0.79, 0.764, 0.741, 0.744",\
"1.171, 1.164, 1.144, 1.095, 1.05, 0.996, 0.962, 0.931, 0.912, 0.908",\
"1.375, 1.372, 1.347, 1.298, 1.246, 1.195, 1.158, 1.123, 1.101, 1.094");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.51, 0.499, 0.48, 0.441, 0.416, 0.396, 0.408, 0.425, 0.453, 0.503",\
"0.507, 0.507, 0.478, 0.444, 0.412, 0.4, 0.408, 0.426, 0.458, 0.503",\
"0.519, 0.508, 0.483, 0.445, 0.411, 0.4, 0.405, 0.428, 0.46, 0.503",\
"0.514, 0.511, 0.481, 0.449, 0.412, 0.398, 0.406, 0.426, 0.458, 0.505",\
"0.521, 0.51, 0.492, 0.449, 0.42, 0.408, 0.409, 0.424, 0.452, 0.498",\
"0.526, 0.517, 0.497, 0.463, 0.429, 0.41, 0.412, 0.429, 0.455, 0.495",\
"0.528, 0.526, 0.503, 0.467, 0.433, 0.418, 0.41, 0.427, 0.451, 0.497",\
"0.523, 0.516, 0.5, 0.468, 0.431, 0.407, 0.413, 0.418, 0.444, 0.479",\
"0.511, 0.506, 0.484, 0.45, 0.418, 0.394, 0.389, 0.395, 0.423, 0.463",\
"0.486, 0.473, 0.448, 0.426, 0.388, 0.365, 0.361, 0.363, 0.392, 0.426");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_SE_AND_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!SE&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.584, 0.58, 0.552, 0.51, 0.466, 0.433, 0.4, 0.384, 0.375, 0.382",\
"0.588, 0.581, 0.555, 0.516, 0.473, 0.438, 0.408, 0.39, 0.38, 0.382",\
"0.599, 0.594, 0.566, 0.528, 0.488, 0.447, 0.415, 0.397, 0.387, 0.395",\
"0.611, 0.602, 0.585, 0.544, 0.497, 0.458, 0.431, 0.407, 0.399, 0.408",\
"0.648, 0.64, 0.617, 0.573, 0.533, 0.497, 0.46, 0.439, 0.434, 0.441",\
"0.722, 0.711, 0.691, 0.652, 0.606, 0.566, 0.538, 0.511, 0.501, 0.508",\
"0.837, 0.831, 0.806, 0.768, 0.718, 0.683, 0.646, 0.625, 0.61, 0.613",\
"0.992, 0.984, 0.961, 0.915, 0.867, 0.825, 0.79, 0.759, 0.741, 0.744",\
"1.171, 1.164, 1.144, 1.095, 1.05, 0.996, 0.962, 0.931, 0.912, 0.908",\
"1.375, 1.372, 1.347, 1.298, 1.246, 1.195, 1.158, 1.123, 1.101, 1.094");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.51, 0.499, 0.48, 0.441, 0.416, 0.396, 0.408, 0.425, 0.461, 0.503",\
"0.507, 0.507, 0.478, 0.444, 0.412, 0.4, 0.408, 0.426, 0.458, 0.503",\
"0.519, 0.508, 0.483, 0.445, 0.411, 0.4, 0.405, 0.428, 0.46, 0.508",\
"0.514, 0.505, 0.481, 0.449, 0.412, 0.398, 0.406, 0.426, 0.458, 0.505",\
"0.521, 0.51, 0.492, 0.449, 0.42, 0.408, 0.409, 0.424, 0.452, 0.498",\
"0.526, 0.517, 0.497, 0.463, 0.429, 0.405, 0.412, 0.429, 0.455, 0.495",\
"0.528, 0.526, 0.503, 0.467, 0.433, 0.411, 0.41, 0.427, 0.451, 0.497",\
"0.523, 0.516, 0.494, 0.461, 0.431, 0.407, 0.413, 0.418, 0.444, 0.479",\
"0.511, 0.506, 0.484, 0.45, 0.418, 0.394, 0.389, 0.402, 0.423, 0.463",\
"0.486, 0.478, 0.453, 0.42, 0.388, 0.365, 0.361, 0.371, 0.383, 0.426");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.4765 ;
max_transition : 4 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
when : "!D&SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.4857, 0.4763, 0.4591, 0.4476, 0.4408, 0.4365, 0.4337, 0.4318, 0.4304, 0.4297",\
"0.4855, 0.4761, 0.459, 0.4475, 0.4406, 0.4361, 0.4336, 0.4317, 0.4303, 0.4295",\
"0.4859, 0.4765, 0.4594, 0.4479, 0.441, 0.4366, 0.4339, 0.432, 0.4306, 0.4299",\
"0.4872, 0.4777, 0.4606, 0.449, 0.4424, 0.4379, 0.4352, 0.4332, 0.4321, 0.431",\
"0.49, 0.4806, 0.4635, 0.4521, 0.4452, 0.4407, 0.4382, 0.4363, 0.4349, 0.4341",\
"0.4936, 0.4843, 0.467, 0.4555, 0.4491, 0.4446, 0.4419, 0.4402, 0.4387, 0.4376",\
"0.4977, 0.4883, 0.4712, 0.46, 0.4533, 0.449, 0.446, 0.444, 0.4427, 0.4417",\
"0.5028, 0.4934, 0.476, 0.4645, 0.4578, 0.4535, 0.4508, 0.449, 0.4475, 0.4466",\
"0.5085, 0.4991, 0.4815, 0.47, 0.4632, 0.4592, 0.4565, 0.4546, 0.4532, 0.4523",\
"0.5142, 0.5047, 0.4875, 0.4763, 0.469, 0.4647, 0.462, 0.4602, 0.4586, 0.4578");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.457, 0.4495, 0.4373, 0.4275, 0.4207, 0.418, 0.4172, 0.4185, 0.4203, 0.4221",\
"0.457, 0.4501, 0.4372, 0.4271, 0.4209, 0.4183, 0.4171, 0.4184, 0.4212, 0.422",\
"0.4567, 0.4497, 0.4365, 0.4266, 0.4207, 0.4181, 0.4182, 0.4185, 0.4188, 0.4216",\
"0.4579, 0.4512, 0.4382, 0.4278, 0.422, 0.4195, 0.4198, 0.4196, 0.4204, 0.4232",\
"0.4611, 0.454, 0.4409, 0.4309, 0.425, 0.4224, 0.4231, 0.4229, 0.4252, 0.4265",\
"0.4652, 0.458, 0.4457, 0.4359, 0.4296, 0.4268, 0.4256, 0.4284, 0.4277, 0.4305",\
"0.4713, 0.4639, 0.4504, 0.4404, 0.4364, 0.433, 0.4318, 0.4331, 0.4354, 0.4362",\
"0.4785, 0.471, 0.458, 0.4466, 0.4416, 0.4412, 0.4429, 0.4407, 0.441, 0.4433",\
"0.4876, 0.4804, 0.4671, 0.457, 0.45, 0.4475, 0.4501, 0.4504, 0.4522, 0.4515",\
"0.497, 0.4895, 0.4766, 0.4667, 0.4586, 0.4562, 0.4557, 0.461, 0.4638, 0.4641");
}
}
internal_power() {
related_pin : "CLK" ;
when : "!SE&!SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.4858, 0.4764, 0.4592, 0.4477, 0.4409, 0.4366, 0.4338, 0.4319, 0.4305, 0.4298",\
"0.4856, 0.4762, 0.4589, 0.4476, 0.4408, 0.4363, 0.4337, 0.4317, 0.4303, 0.4295",\
"0.4859, 0.4765, 0.4594, 0.4479, 0.441, 0.4366, 0.4339, 0.432, 0.4306, 0.4299",\
"0.4872, 0.4778, 0.4608, 0.449, 0.4421, 0.4379, 0.4351, 0.4334, 0.4318, 0.4309",\
"0.4899, 0.4805, 0.4634, 0.452, 0.4451, 0.4406, 0.4381, 0.4362, 0.4348, 0.434",\
"0.4936, 0.4842, 0.4671, 0.4555, 0.4491, 0.4446, 0.4418, 0.4401, 0.4387, 0.4376",\
"0.4976, 0.4883, 0.4712, 0.46, 0.4527, 0.4484, 0.4458, 0.4443, 0.4426, 0.4419",\
"0.5028, 0.4935, 0.4763, 0.4646, 0.4579, 0.4538, 0.4511, 0.4491, 0.4477, 0.4468",\
"0.5085, 0.4991, 0.4818, 0.4704, 0.4633, 0.459, 0.4563, 0.4547, 0.4533, 0.4523",\
"0.5143, 0.5051, 0.4879, 0.4764, 0.4695, 0.465, 0.4623, 0.4604, 0.4589, 0.4581");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.4568, 0.4501, 0.4369, 0.4271, 0.4206, 0.4185, 0.4181, 0.4185, 0.4198, 0.422",\
"0.457, 0.45, 0.4366, 0.4267, 0.4216, 0.4182, 0.4177, 0.42, 0.4193, 0.4221",\
"0.4569, 0.4498, 0.4367, 0.4268, 0.4208, 0.4181, 0.4189, 0.4187, 0.421, 0.4217",\
"0.4583, 0.4513, 0.4381, 0.428, 0.4219, 0.4193, 0.4183, 0.4196, 0.4224, 0.4232",\
"0.4612, 0.4542, 0.4414, 0.431, 0.4255, 0.4236, 0.4223, 0.4241, 0.4234, 0.4267",\
"0.4657, 0.4587, 0.4455, 0.4363, 0.43, 0.4276, 0.426, 0.4283, 0.4281, 0.4309",\
"0.4718, 0.4647, 0.4513, 0.441, 0.437, 0.4332, 0.432, 0.4343, 0.4356, 0.4364",\
"0.4788, 0.472, 0.4584, 0.4479, 0.4419, 0.4414, 0.4416, 0.4404, 0.4408, 0.443",\
"0.4872, 0.48, 0.4675, 0.4563, 0.4506, 0.4467, 0.449, 0.4518, 0.4521, 0.4514",\
"0.4971, 0.4895, 0.4766, 0.467, 0.459, 0.4555, 0.4563, 0.4611, 0.4644, 0.4652");
}
}
internal_power() {
related_pin : "CLK" ;
when : "D&!SE&SI | !D&SE&!SI" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.4858, 0.4763, 0.4592, 0.4476, 0.4408, 0.4366, 0.4337, 0.4319, 0.4305, 0.4297",\
"0.4854, 0.476, 0.4589, 0.4474, 0.4406, 0.4363, 0.4335, 0.4317, 0.4305, 0.4295",\
"0.4857, 0.4763, 0.4592, 0.4478, 0.4409, 0.4365, 0.4338, 0.4319, 0.4305, 0.4297",\
"0.4871, 0.4777, 0.4605, 0.4492, 0.4421, 0.4381, 0.4351, 0.4333, 0.4319, 0.4311",\
"0.4898, 0.4804, 0.4633, 0.4519, 0.445, 0.4405, 0.438, 0.4361, 0.4347, 0.4339",\
"0.4934, 0.4839, 0.467, 0.4553, 0.4488, 0.4444, 0.4415, 0.4397, 0.4384, 0.4372",\
"0.4974, 0.4882, 0.4707, 0.4592, 0.4526, 0.4483, 0.4455, 0.4438, 0.4429, 0.4418",\
"0.5025, 0.4931, 0.4759, 0.4642, 0.4572, 0.453, 0.4504, 0.4487, 0.4474, 0.4463",\
"0.5083, 0.4989, 0.4819, 0.47, 0.4636, 0.4588, 0.4562, 0.4543, 0.4527, 0.4522",\
"0.5141, 0.5046, 0.4873, 0.4763, 0.4693, 0.4645, 0.462, 0.4601, 0.4584, 0.4576");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.4567, 0.4496, 0.4367, 0.427, 0.4216, 0.4179, 0.4181, 0.4184, 0.4208, 0.422",\
"0.4566, 0.4499, 0.4368, 0.4267, 0.4206, 0.4177, 0.4177, 0.4185, 0.4193, 0.422",\
"0.4566, 0.4495, 0.4365, 0.4266, 0.4206, 0.4181, 0.4168, 0.4181, 0.4189, 0.4221",\
"0.4578, 0.4512, 0.4378, 0.428, 0.4217, 0.4193, 0.4188, 0.4196, 0.4204, 0.4227",\
"0.4612, 0.4539, 0.4407, 0.431, 0.4249, 0.4234, 0.4232, 0.4225, 0.4243, 0.4261",\
"0.4657, 0.4585, 0.4455, 0.4361, 0.4296, 0.4269, 0.4258, 0.4281, 0.4279, 0.4307",\
"0.4712, 0.4642, 0.4506, 0.4416, 0.4366, 0.4332, 0.4324, 0.4327, 0.4345, 0.4353",\
"0.4784, 0.471, 0.458, 0.4462, 0.4415, 0.4407, 0.441, 0.4403, 0.4411, 0.4449",\
"0.4874, 0.48, 0.4669, 0.4567, 0.4496, 0.4479, 0.4497, 0.452, 0.4518, 0.4531",\
"0.4969, 0.4895, 0.4762, 0.4667, 0.4585, 0.4556, 0.4558, 0.4611, 0.4634, 0.4652");
}
}
internal_power() {
related_pin : "CLK" ;
when : "D&SE" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.4857, 0.4761, 0.459, 0.4474, 0.4406, 0.4362, 0.4336, 0.4317, 0.4303, 0.4295",\
"0.4855, 0.476, 0.4588, 0.4471, 0.4403, 0.4361, 0.4335, 0.4316, 0.4302, 0.4294",\
"0.4857, 0.4763, 0.4592, 0.4478, 0.4409, 0.4365, 0.4338, 0.4319, 0.4305, 0.4297",\
"0.4869, 0.4777, 0.4606, 0.4489, 0.4421, 0.4377, 0.435, 0.4334, 0.4318, 0.431",\
"0.4899, 0.4805, 0.4633, 0.4519, 0.4451, 0.4406, 0.438, 0.4361, 0.4347, 0.434",\
"0.4936, 0.4843, 0.4667, 0.4552, 0.4488, 0.4445, 0.4416, 0.4398, 0.4384, 0.4373",\
"0.4976, 0.4882, 0.4709, 0.4599, 0.4532, 0.4487, 0.446, 0.4439, 0.4427, 0.4416",\
"0.5026, 0.4931, 0.4757, 0.4642, 0.4576, 0.4532, 0.4506, 0.4487, 0.4473, 0.4464",\
"0.5083, 0.4988, 0.4818, 0.4705, 0.4635, 0.459, 0.4561, 0.4544, 0.453, 0.4518",\
"0.5139, 0.5046, 0.4876, 0.4763, 0.4693, 0.4648, 0.462, 0.4603, 0.4589, 0.4576");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.4569, 0.4501, 0.4368, 0.4272, 0.4217, 0.4184, 0.4182, 0.4185, 0.4213, 0.4221",\
"0.4569, 0.45, 0.4372, 0.4267, 0.4209, 0.4183, 0.4186, 0.4184, 0.4207, 0.4225",\
"0.4567, 0.4497, 0.4365, 0.4265, 0.4207, 0.4181, 0.4182, 0.4185, 0.4188, 0.4221",\
"0.4578, 0.4512, 0.4381, 0.4278, 0.4217, 0.4192, 0.4187, 0.4196, 0.4199, 0.4231",\
"0.4614, 0.4543, 0.4411, 0.4312, 0.4252, 0.4226, 0.4233, 0.4231, 0.4254, 0.4267",\
"0.4656, 0.4585, 0.446, 0.4363, 0.4298, 0.4274, 0.4269, 0.4282, 0.429, 0.4313",\
"0.4714, 0.464, 0.4505, 0.4405, 0.4366, 0.4337, 0.432, 0.4343, 0.4331, 0.4358",\
"0.4786, 0.4712, 0.4582, 0.4464, 0.4414, 0.4408, 0.4411, 0.4404, 0.4407, 0.4434",\
"0.4869, 0.4797, 0.4667, 0.4561, 0.4492, 0.4467, 0.4499, 0.4512, 0.452, 0.4513",\
"0.4969, 0.4895, 0.4765, 0.4666, 0.4585, 0.4551, 0.4557, 0.461, 0.4638, 0.4641");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b0 && SI===1'b1" ;
timing_type : rising_edge ;
when : "!D&SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.6349, 0.6543, 0.7062, 0.7845, 0.8916, 1.035, 1.222, 1.458, 1.746, 2.089",\
"0.6395, 0.6589, 0.7108, 0.7891, 0.8962, 1.04, 1.227, 1.462, 1.75, 2.093",\
"0.659, 0.6784, 0.7303, 0.8086, 0.9158, 1.059, 1.246, 1.482, 1.77, 2.113",\
"0.6962, 0.7156, 0.7674, 0.8457, 0.9529, 1.096, 1.283, 1.519, 1.807, 2.15",\
"0.7359, 0.7553, 0.8072, 0.8855, 0.9927, 1.136, 1.323, 1.559, 1.847, 2.19",\
"0.7721, 0.7915, 0.8433, 0.9216, 1.029, 1.172, 1.359, 1.595, 1.883, 2.227",\
"0.8024, 0.8217, 0.8736, 0.9519, 1.059, 1.202, 1.39, 1.625, 1.913, 2.257",\
"0.8244, 0.8439, 0.8957, 0.9741, 1.081, 1.225, 1.412, 1.647, 1.935, 2.278",\
"0.8368, 0.8563, 0.9081, 0.9865, 1.094, 1.237, 1.424, 1.66, 1.948, 2.291",\
"0.8383, 0.8577, 0.9095, 0.9878, 1.095, 1.238, 1.425, 1.661, 1.949, 2.292");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.5942, 0.6134, 0.6703, 0.7712, 0.9291, 1.152, 1.447, 1.819, 2.273, 2.815",\
"0.599, 0.6184, 0.6751, 0.7759, 0.9339, 1.157, 1.452, 1.824, 2.278, 2.819",\
"0.618, 0.6374, 0.6942, 0.795, 0.953, 1.176, 1.471, 1.843, 2.297, 2.839",\
"0.6553, 0.6747, 0.7314, 0.8322, 0.9902, 1.214, 1.508, 1.88, 2.334, 2.875",\
"0.696, 0.7154, 0.7722, 0.873, 1.031, 1.254, 1.549, 1.921, 2.375, 2.916",\
"0.7332, 0.7525, 0.8094, 0.9102, 1.068, 1.291, 1.586, 1.958, 2.412, 2.954",\
"0.7648, 0.7842, 0.8409, 0.9417, 1.1, 1.323, 1.618, 1.989, 2.444, 2.985",\
"0.7891, 0.8085, 0.8652, 0.9661, 1.124, 1.347, 1.642, 2.013, 2.467, 3.01",\
"0.8043, 0.8237, 0.8803, 0.9813, 1.139, 1.362, 1.657, 2.028, 2.482, 3.024",\
"0.8092, 0.8288, 0.8854, 0.9864, 1.144, 1.367, 1.662, 2.034, 2.488, 3.029");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.07198, 0.09152, 0.1468, 0.2438, 0.3965, 0.6233, 0.931, 1.322, 1.802, 2.377",\
"0.07206, 0.09136, 0.1467, 0.2438, 0.3964, 0.6235, 0.9316, 1.323, 1.802, 2.377",\
"0.07198, 0.09152, 0.1467, 0.2435, 0.3964, 0.6236, 0.9312, 1.322, 1.803, 2.377",\
"0.07202, 0.0916, 0.1468, 0.2438, 0.3964, 0.6232, 0.9314, 1.322, 1.804, 2.376",\
"0.0722, 0.0914, 0.1464, 0.2438, 0.3964, 0.6236, 0.9316, 1.323, 1.802, 2.377",\
"0.0716, 0.0912, 0.1466, 0.243, 0.396, 0.6224, 0.9318, 1.323, 1.803, 2.373",\
"0.0718, 0.0912, 0.1466, 0.2428, 0.3968, 0.6224, 0.931, 1.324, 1.802, 2.374",\
"0.0722, 0.0914, 0.1462, 0.2434, 0.3958, 0.6222, 0.9306, 1.321, 1.8, 2.372",\
"0.0718, 0.0912, 0.1464, 0.2436, 0.396, 0.6228, 0.9314, 1.322, 1.8, 2.37",\
"0.072, 0.0916, 0.1468, 0.2432, 0.396, 0.6228, 0.932, 1.323, 1.8, 2.372");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.07122, 0.09448, 0.1795, 0.3525, 0.6358, 1.034, 1.556, 2.217, 3.022, 3.983",\
"0.07086, 0.09474, 0.1799, 0.3533, 0.6346, 1.033, 1.556, 2.216, 3.023, 3.983",\
"0.07118, 0.09474, 0.1794, 0.3524, 0.6347, 1.033, 1.556, 2.217, 3.026, 3.986",\
"0.07086, 0.09462, 0.1799, 0.3525, 0.6348, 1.033, 1.556, 2.217, 3.027, 3.983",\
"0.0712, 0.0948, 0.1794, 0.3528, 0.6344, 1.034, 1.556, 2.216, 3.023, 3.983",\
"0.0708, 0.0944, 0.1798, 0.3526, 0.6356, 1.034, 1.558, 2.217, 3.027, 3.985",\
"0.071, 0.0944, 0.1794, 0.353, 0.6346, 1.033, 1.557, 2.218, 3.023, 3.983",\
"0.0708, 0.095, 0.1796, 0.3526, 0.6348, 1.033, 1.557, 2.221, 3.023, 3.986",\
"0.0714, 0.0952, 0.1798, 0.3528, 0.6346, 1.034, 1.557, 2.217, 3.023, 3.991",\
"0.071, 0.0948, 0.18, 0.3528, 0.6348, 1.033, 1.558, 2.216, 3.022, 3.984");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "SE===1'b0 && SI===1'b0" ;
timing_type : rising_edge ;
when : "!SE&!SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.6349, 0.6543, 0.7062, 0.7845, 0.8916, 1.035, 1.222, 1.458, 1.746, 2.089",\
"0.6395, 0.6589, 0.7108, 0.7891, 0.8963, 1.04, 1.227, 1.462, 1.75, 2.093",\
"0.659, 0.6784, 0.7303, 0.8086, 0.9158, 1.059, 1.246, 1.482, 1.77, 2.113",\
"0.6962, 0.7156, 0.7675, 0.8456, 0.9529, 1.096, 1.283, 1.519, 1.807, 2.151",\
"0.7359, 0.7553, 0.8072, 0.8855, 0.9927, 1.136, 1.323, 1.559, 1.847, 2.19",\
"0.7721, 0.7915, 0.8433, 0.9216, 1.029, 1.172, 1.359, 1.595, 1.883, 2.226",\
"0.8023, 0.8217, 0.8736, 0.9519, 1.059, 1.202, 1.39, 1.625, 1.913, 2.257",\
"0.8244, 0.8439, 0.8957, 0.9741, 1.081, 1.224, 1.412, 1.647, 1.935, 2.278",\
"0.8369, 0.8564, 0.9082, 0.9865, 1.094, 1.237, 1.424, 1.66, 1.948, 2.291",\
"0.8384, 0.8577, 0.9096, 0.9878, 1.095, 1.238, 1.425, 1.661, 1.949, 2.292");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.5943, 0.6137, 0.6704, 0.7713, 0.9291, 1.152, 1.447, 1.819, 2.273, 2.815",\
"0.599, 0.6184, 0.675, 0.776, 0.9339, 1.157, 1.452, 1.824, 2.278, 2.82",\
"0.6181, 0.6375, 0.6942, 0.7951, 0.953, 1.176, 1.471, 1.843, 2.297, 2.838",\
"0.6554, 0.6748, 0.7315, 0.8323, 0.9902, 1.213, 1.508, 1.88, 2.334, 2.875",\
"0.6961, 0.7155, 0.7722, 0.873, 1.031, 1.254, 1.549, 1.921, 2.375, 2.917",\
"0.7332, 0.7526, 0.8093, 0.9102, 1.068, 1.291, 1.586, 1.958, 2.412, 2.954",\
"0.765, 0.7844, 0.841, 0.9418, 1.1, 1.323, 1.618, 1.99, 2.444, 2.985",\
"0.7892, 0.8086, 0.8652, 0.9661, 1.124, 1.347, 1.642, 2.013, 2.467, 3.009",\
"0.8043, 0.8237, 0.8806, 0.9814, 1.139, 1.362, 1.657, 2.029, 2.482, 3.024",\
"0.8093, 0.8287, 0.8855, 0.9863, 1.144, 1.367, 1.662, 2.033, 2.487, 3.029");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.07198, 0.09152, 0.1468, 0.2438, 0.3965, 0.6233, 0.931, 1.322, 1.802, 2.377",\
"0.07206, 0.09138, 0.1468, 0.2438, 0.3967, 0.6237, 0.9316, 1.323, 1.802, 2.377",\
"0.07198, 0.09152, 0.1467, 0.2435, 0.3964, 0.6236, 0.9312, 1.322, 1.803, 2.377",\
"0.07198, 0.0915, 0.1468, 0.244, 0.3964, 0.6224, 0.9314, 1.322, 1.803, 2.376",\
"0.0722, 0.0914, 0.1464, 0.2438, 0.3964, 0.6236, 0.9316, 1.323, 1.802, 2.377",\
"0.0716, 0.0914, 0.1468, 0.243, 0.396, 0.6234, 0.9308, 1.324, 1.803, 2.377",\
"0.072, 0.0914, 0.1468, 0.2432, 0.3956, 0.6224, 0.931, 1.324, 1.801, 2.373",\
"0.072, 0.0914, 0.1464, 0.2434, 0.3964, 0.6222, 0.9302, 1.321, 1.8, 2.374",\
"0.0718, 0.0916, 0.1466, 0.2432, 0.3964, 0.6226, 0.9318, 1.321, 1.8, 2.371",\
"0.0718, 0.0912, 0.1468, 0.2428, 0.396, 0.6232, 0.932, 1.321, 1.8, 2.372");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.07086, 0.09466, 0.1793, 0.3528, 0.6345, 1.035, 1.559, 2.217, 3.024, 3.983",\
"0.07088, 0.09462, 0.1795, 0.3524, 0.6347, 1.033, 1.56, 2.216, 3.026, 3.984",\
"0.07124, 0.09482, 0.1795, 0.3527, 0.6344, 1.034, 1.557, 2.216, 3.023, 3.983",\
"0.07104, 0.09476, 0.1793, 0.3526, 0.6358, 1.034, 1.557, 2.217, 3.023, 3.983",\
"0.0708, 0.0948, 0.1798, 0.3524, 0.6346, 1.033, 1.56, 2.216, 3.027, 3.984",\
"0.0708, 0.095, 0.1794, 0.3526, 0.6358, 1.035, 1.558, 2.217, 3.028, 3.985",\
"0.071, 0.095, 0.1798, 0.3526, 0.6346, 1.033, 1.557, 2.216, 3.023, 3.984",\
"0.0712, 0.095, 0.1796, 0.3526, 0.635, 1.033, 1.556, 2.221, 3.024, 3.983",\
"0.071, 0.0946, 0.1796, 0.3528, 0.6358, 1.033, 1.557, 2.217, 3.024, 3.991",\
"0.0718, 0.0952, 0.1798, 0.3528, 0.6358, 1.033, 1.556, 2.216, 3.022, 3.984");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b1 && SE===1'b0 && SI===1'b1 || D===1'b0 && SE===1'b1 && SI===1'b0" ;
timing_type : rising_edge ;
when : "D&!SE&SI | !D&SE&!SI" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.6349, 0.6543, 0.7062, 0.7845, 0.8916, 1.035, 1.222, 1.458, 1.746, 2.089",\
"0.6395, 0.6589, 0.7108, 0.7891, 0.8962, 1.04, 1.227, 1.462, 1.75, 2.094",\
"0.659, 0.6784, 0.7303, 0.8086, 0.9157, 1.059, 1.246, 1.482, 1.77, 2.113",\
"0.696, 0.7155, 0.7674, 0.8457, 0.9528, 1.096, 1.283, 1.519, 1.807, 2.15",\
"0.7359, 0.7553, 0.8072, 0.8855, 0.9927, 1.136, 1.323, 1.559, 1.847, 2.19",\
"0.7721, 0.7915, 0.8433, 0.9216, 1.029, 1.172, 1.359, 1.595, 1.883, 2.226",\
"0.8023, 0.8217, 0.8735, 0.9519, 1.059, 1.203, 1.39, 1.625, 1.913, 2.256",\
"0.8244, 0.8439, 0.8957, 0.9741, 1.081, 1.225, 1.412, 1.647, 1.935, 2.278",\
"0.8368, 0.8563, 0.9083, 0.9866, 1.094, 1.237, 1.424, 1.66, 1.948, 2.291",\
"0.8381, 0.8575, 0.9094, 0.9877, 1.095, 1.238, 1.425, 1.661, 1.949, 2.292");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.5942, 0.6134, 0.6704, 0.7711, 0.9291, 1.152, 1.447, 1.819, 2.273, 2.815",\
"0.5988, 0.6182, 0.6749, 0.7757, 0.9337, 1.157, 1.452, 1.823, 2.278, 2.819",\
"0.618, 0.6374, 0.6942, 0.795, 0.953, 1.176, 1.471, 1.843, 2.297, 2.838",\
"0.6552, 0.6748, 0.7314, 0.8322, 0.9902, 1.214, 1.508, 1.88, 2.334, 2.876",\
"0.6961, 0.7153, 0.7721, 0.873, 1.031, 1.254, 1.549, 1.921, 2.375, 2.916",\
"0.7333, 0.7527, 0.8093, 0.9103, 1.068, 1.291, 1.586, 1.958, 2.412, 2.954",\
"0.7649, 0.7842, 0.8409, 0.942, 1.1, 1.323, 1.618, 1.99, 2.444, 2.986",\
"0.7891, 0.8085, 0.8652, 0.966, 1.124, 1.347, 1.642, 2.013, 2.467, 3.01",\
"0.8043, 0.8238, 0.8805, 0.9814, 1.139, 1.362, 1.657, 2.029, 2.482, 3.024",\
"0.8092, 0.8287, 0.8854, 0.9863, 1.144, 1.367, 1.662, 2.034, 2.488, 3.029");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.07198, 0.09152, 0.1468, 0.2438, 0.3966, 0.6233, 0.9306, 1.322, 1.802, 2.377",\
"0.07206, 0.09136, 0.1467, 0.2438, 0.3965, 0.6234, 0.9318, 1.322, 1.802, 2.377",\
"0.07208, 0.09152, 0.1467, 0.2435, 0.3964, 0.6236, 0.9306, 1.322, 1.802, 2.377",\
"0.07202, 0.09154, 0.1467, 0.2432, 0.3964, 0.6232, 0.9318, 1.322, 1.803, 2.377",\
"0.0722, 0.0914, 0.1464, 0.2438, 0.3964, 0.6234, 0.9318, 1.323, 1.802, 2.377",\
"0.0716, 0.0912, 0.1466, 0.2432, 0.3962, 0.6226, 0.9306, 1.323, 1.803, 2.377",\
"0.0718, 0.0914, 0.1466, 0.243, 0.3966, 0.6222, 0.9308, 1.323, 1.801, 2.377",\
"0.072, 0.0914, 0.1466, 0.2434, 0.3962, 0.6228, 0.9298, 1.321, 1.8, 2.373",\
"0.0718, 0.0916, 0.1468, 0.2432, 0.3958, 0.6228, 0.931, 1.321, 1.799, 2.371",\
"0.072, 0.0914, 0.1468, 0.2434, 0.3958, 0.6238, 0.9318, 1.323, 1.801, 2.372");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.07086, 0.09446, 0.1794, 0.3526, 0.6346, 1.034, 1.556, 2.216, 3.023, 3.983",\
"0.0709, 0.09464, 0.1799, 0.3534, 0.6347, 1.035, 1.56, 2.217, 3.025, 3.983",\
"0.0712, 0.09476, 0.1794, 0.3525, 0.6347, 1.033, 1.556, 2.217, 3.028, 3.982",\
"0.07094, 0.09464, 0.1794, 0.3535, 0.6348, 1.033, 1.557, 2.219, 3.023, 3.986",\
"0.0712, 0.0944, 0.1794, 0.3528, 0.6358, 1.034, 1.557, 2.217, 3.023, 3.983",\
"0.071, 0.0946, 0.1798, 0.3526, 0.6348, 1.035, 1.558, 2.217, 3.027, 3.985",\
"0.0704, 0.0944, 0.1796, 0.3534, 0.6344, 1.034, 1.559, 2.217, 3.023, 3.985",\
"0.0708, 0.095, 0.1796, 0.3526, 0.6344, 1.033, 1.556, 2.221, 3.023, 3.986",\
"0.071, 0.0946, 0.1798, 0.3526, 0.6352, 1.034, 1.556, 2.217, 3.025, 3.981",\
"0.071, 0.0948, 0.1798, 0.3528, 0.6348, 1.032, 1.558, 2.216, 3.022, 3.985");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "D===1'b1 && SE===1'b1" ;
timing_type : rising_edge ;
when : "D&SE" ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.6349, 0.6542, 0.706, 0.7843, 0.8914, 1.035, 1.222, 1.457, 1.746, 2.089",\
"0.6396, 0.659, 0.7108, 0.789, 0.8963, 1.04, 1.227, 1.462, 1.75, 2.094",\
"0.659, 0.6784, 0.7303, 0.8086, 0.9157, 1.059, 1.246, 1.482, 1.77, 2.113",\
"0.6961, 0.7155, 0.7674, 0.8456, 0.9529, 1.096, 1.283, 1.519, 1.807, 2.151",\
"0.7359, 0.7553, 0.8072, 0.8855, 0.9927, 1.136, 1.323, 1.559, 1.847, 2.19",\
"0.772, 0.7915, 0.8433, 0.9216, 1.029, 1.172, 1.359, 1.595, 1.883, 2.227",\
"0.8023, 0.8217, 0.8735, 0.9519, 1.059, 1.202, 1.389, 1.625, 1.913, 2.257",\
"0.8244, 0.8439, 0.8957, 0.9741, 1.081, 1.225, 1.412, 1.647, 1.935, 2.278",\
"0.8369, 0.8563, 0.9083, 0.9865, 1.094, 1.237, 1.424, 1.66, 1.948, 2.291",\
"0.8382, 0.8575, 0.9095, 0.9877, 1.095, 1.238, 1.425, 1.661, 1.949, 2.292");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.5942, 0.6136, 0.6702, 0.7712, 0.9291, 1.152, 1.447, 1.819, 2.273, 2.814",\
"0.599, 0.6184, 0.6751, 0.7759, 0.9339, 1.157, 1.452, 1.824, 2.278, 2.819",\
"0.618, 0.6374, 0.6942, 0.795, 0.953, 1.176, 1.471, 1.843, 2.297, 2.838",\
"0.6552, 0.6747, 0.7314, 0.8322, 0.9902, 1.214, 1.508, 1.88, 2.334, 2.876",\
"0.696, 0.7154, 0.7722, 0.873, 1.031, 1.254, 1.549, 1.921, 2.375, 2.916",\
"0.7332, 0.7525, 0.8094, 0.9102, 1.068, 1.291, 1.586, 1.958, 2.412, 2.954",\
"0.7649, 0.7842, 0.8409, 0.9417, 1.1, 1.323, 1.618, 1.989, 2.444, 2.986",\
"0.7891, 0.8085, 0.8652, 0.966, 1.124, 1.347, 1.642, 2.013, 2.467, 3.01",\
"0.8042, 0.8236, 0.8803, 0.9812, 1.139, 1.362, 1.657, 2.028, 2.482, 3.024",\
"0.8092, 0.8288, 0.8854, 0.9863, 1.144, 1.368, 1.662, 2.034, 2.488, 3.029");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.07198, 0.09154, 0.1468, 0.2438, 0.3966, 0.6237, 0.9306, 1.323, 1.802, 2.377",\
"0.07204, 0.09152, 0.1469, 0.2439, 0.3957, 0.6232, 0.9314, 1.323, 1.802, 2.377",\
"0.07208, 0.09152, 0.1467, 0.2435, 0.3964, 0.6236, 0.9306, 1.322, 1.802, 2.377",\
"0.0721, 0.0915, 0.147, 0.2438, 0.3964, 0.6236, 0.9316, 1.323, 1.804, 2.374",\
"0.0722, 0.0914, 0.1466, 0.2438, 0.3964, 0.6234, 0.9318, 1.323, 1.802, 2.377",\
"0.0718, 0.0912, 0.1466, 0.243, 0.396, 0.623, 0.931, 1.323, 1.803, 2.374",\
"0.0718, 0.0912, 0.1466, 0.2428, 0.3968, 0.6232, 0.931, 1.324, 1.801, 2.375",\
"0.0722, 0.0914, 0.1462, 0.2434, 0.3958, 0.6222, 0.9306, 1.321, 1.8, 2.372",\
"0.0722, 0.0916, 0.1466, 0.243, 0.3962, 0.6228, 0.9296, 1.322, 1.799, 2.371",\
"0.072, 0.0914, 0.1468, 0.2434, 0.3958, 0.6232, 0.9318, 1.323, 1.8, 2.371");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.07086, 0.09462, 0.1792, 0.3527, 0.6348, 1.033, 1.556, 2.217, 3.023, 3.983",\
"0.07102, 0.09474, 0.18, 0.3524, 0.6346, 1.033, 1.556, 2.217, 3.022, 3.983",\
"0.07118, 0.09474, 0.1794, 0.3524, 0.6347, 1.033, 1.556, 2.217, 3.026, 3.983",\
"0.07094, 0.09464, 0.1798, 0.3525, 0.6348, 1.033, 1.56, 2.217, 3.029, 3.985",\
"0.0712, 0.0948, 0.1794, 0.3528, 0.6344, 1.034, 1.556, 2.216, 3.023, 3.983",\
"0.0708, 0.0944, 0.1798, 0.3526, 0.6346, 1.033, 1.56, 2.217, 3.024, 3.986",\
"0.0704, 0.095, 0.1794, 0.353, 0.6348, 1.034, 1.56, 2.216, 3.028, 3.986",\
"0.0708, 0.095, 0.1796, 0.3528, 0.6344, 1.033, 1.556, 2.221, 3.023, 3.986",\
"0.0708, 0.0946, 0.1796, 0.3528, 0.635, 1.034, 1.557, 2.217, 3.024, 3.984",\
"0.071, 0.0948, 0.18, 0.3528, 0.635, 1.033, 1.558, 2.216, 3.022, 3.984");
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.6349, 0.6543, 0.7062, 0.7845, 0.8916, 1.035, 1.222, 1.458, 1.746, 2.089",\
"0.6395, 0.6589, 0.7108, 0.7891, 0.8963, 1.04, 1.227, 1.462, 1.75, 2.093",\
"0.659, 0.6784, 0.7303, 0.8086, 0.9158, 1.059, 1.246, 1.482, 1.77, 2.113",\
"0.6962, 0.7156, 0.7675, 0.8456, 0.9529, 1.096, 1.283, 1.519, 1.807, 2.151",\
"0.7359, 0.7553, 0.8072, 0.8855, 0.9927, 1.136, 1.323, 1.559, 1.847, 2.19",\
"0.7721, 0.7915, 0.8433, 0.9216, 1.029, 1.172, 1.359, 1.595, 1.883, 2.226",\
"0.8023, 0.8217, 0.8736, 0.9519, 1.059, 1.202, 1.39, 1.625, 1.913, 2.257",\
"0.8244, 0.8439, 0.8957, 0.9741, 1.081, 1.224, 1.412, 1.647, 1.935, 2.278",\
"0.8369, 0.8564, 0.9082, 0.9865, 1.094, 1.237, 1.424, 1.66, 1.948, 2.291",\
"0.8384, 0.8577, 0.9096, 0.9878, 1.095, 1.238, 1.425, 1.661, 1.949, 2.292");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.5942, 0.6134, 0.6704, 0.7711, 0.9291, 1.152, 1.447, 1.819, 2.273, 2.815",\
"0.5988, 0.6182, 0.6749, 0.7757, 0.9337, 1.157, 1.452, 1.823, 2.278, 2.819",\
"0.618, 0.6374, 0.6942, 0.795, 0.953, 1.176, 1.471, 1.843, 2.297, 2.838",\
"0.6552, 0.6748, 0.7314, 0.8322, 0.9902, 1.214, 1.508, 1.88, 2.334, 2.876",\
"0.6961, 0.7153, 0.7721, 0.873, 1.031, 1.254, 1.549, 1.921, 2.375, 2.916",\
"0.7333, 0.7527, 0.8093, 0.9103, 1.068, 1.291, 1.586, 1.958, 2.412, 2.954",\
"0.7649, 0.7842, 0.8409, 0.942, 1.1, 1.323, 1.618, 1.99, 2.444, 2.986",\
"0.7891, 0.8085, 0.8652, 0.966, 1.124, 1.347, 1.642, 2.013, 2.467, 3.01",\
"0.8043, 0.8238, 0.8805, 0.9814, 1.139, 1.362, 1.657, 2.029, 2.482, 3.024",\
"0.8092, 0.8287, 0.8854, 0.9863, 1.144, 1.367, 1.662, 2.034, 2.488, 3.029");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.07198, 0.09152, 0.1468, 0.2438, 0.3965, 0.6233, 0.931, 1.322, 1.802, 2.377",\
"0.07206, 0.09136, 0.1467, 0.2438, 0.3964, 0.6235, 0.9316, 1.323, 1.802, 2.377",\
"0.07198, 0.09152, 0.1467, 0.2435, 0.3964, 0.6236, 0.9312, 1.322, 1.803, 2.377",\
"0.07202, 0.0916, 0.1468, 0.2438, 0.3964, 0.6232, 0.9314, 1.322, 1.804, 2.376",\
"0.0722, 0.0914, 0.1464, 0.2438, 0.3964, 0.6236, 0.9316, 1.323, 1.802, 2.377",\
"0.0716, 0.0912, 0.1466, 0.243, 0.396, 0.6224, 0.9318, 1.323, 1.803, 2.373",\
"0.0718, 0.0912, 0.1466, 0.2428, 0.3968, 0.6224, 0.931, 1.324, 1.802, 2.374",\
"0.0722, 0.0914, 0.1462, 0.2434, 0.3958, 0.6222, 0.9306, 1.321, 1.8, 2.372",\
"0.0718, 0.0912, 0.1464, 0.2436, 0.396, 0.6228, 0.9314, 1.322, 1.8, 2.37",\
"0.072, 0.0916, 0.1468, 0.2432, 0.396, 0.6228, 0.932, 1.323, 1.8, 2.372");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.001, 0.004282, 0.0158, 0.0378, 0.07208, 0.1202, 0.1835, 0.2632, 0.3605, 0.4765");
values("0.07086, 0.09466, 0.1793, 0.3528, 0.6345, 1.035, 1.559, 2.217, 3.024, 3.983",\
"0.07088, 0.09462, 0.1795, 0.3524, 0.6347, 1.033, 1.56, 2.216, 3.026, 3.984",\
"0.07124, 0.09482, 0.1795, 0.3527, 0.6344, 1.034, 1.557, 2.216, 3.023, 3.983",\
"0.07104, 0.09476, 0.1793, 0.3526, 0.6358, 1.034, 1.557, 2.217, 3.023, 3.983",\
"0.0708, 0.0948, 0.1798, 0.3524, 0.6346, 1.033, 1.56, 2.216, 3.027, 3.984",\
"0.0708, 0.095, 0.1794, 0.3526, 0.6358, 1.035, 1.558, 2.217, 3.028, 3.985",\
"0.071, 0.095, 0.1798, 0.3526, 0.6346, 1.033, 1.557, 2.216, 3.023, 3.984",\
"0.0712, 0.095, 0.1796, 0.3526, 0.635, 1.033, 1.556, 2.221, 3.024, 3.983",\
"0.071, 0.0946, 0.1796, 0.3528, 0.6358, 1.033, 1.557, 2.217, 3.024, 3.991",\
"0.0718, 0.0952, 0.1798, 0.3528, 0.6358, 1.033, 1.556, 2.216, 3.022, 3.984");
}
}
}
pin(SE) {
capacitance : 0.005918 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 4 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.117, 0.1165, 0.1206, 0.1343, 0.1598, 0.1982, 0.2509, 0.3184, \
0.4017, 0.5014");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.004441, 0.004279, 0.00703, 0.01722, 0.03818, 0.0709, 0.1165, \
0.1755, 0.2485, 0.3365");
}
}
internal_power() {
when : "!CLK&!D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.4117, 0.4107, 0.4136, 0.4278, 0.4615, 0.5213, 0.6113, 0.7337, \
0.8882, 1.074");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1797, 0.1796, 0.1817, 0.1933, 0.2235, 0.2758, 0.3528, 0.4551, \
0.5843, 0.7416");
}
}
internal_power() {
when : "!CLK&D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.315, 0.3146, 0.3189, 0.3329, 0.3588, 0.3979, 0.4524, 0.5226, \
0.6093, 0.7138");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3209, 0.3206, 0.3226, 0.3316, 0.3507, 0.3816, 0.4273, 0.4865, \
0.561, 0.6525");
}
}
internal_power() {
when : "!CLK&D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1182, 0.1179, 0.1223, 0.1363, 0.1621, 0.2008, 0.2536, 0.3212, \
0.4046, 0.5045");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.005673, 0.005485, 0.008063, 0.01814, 0.03885, 0.0715, 0.1169, \
0.1759, 0.249, 0.337");
}
}
internal_power() {
when : "CLK&!D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.114, 0.1136, 0.1176, 0.1314, 0.1569, 0.1954, 0.2481, 0.3156, \
0.3988, 0.4987");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.001127, 0.0008986, 0.003629, 0.01387, 0.03475, 0.06769, 0.1133, \
0.1722, 0.2454, 0.3334");
}
}
internal_power() {
when : "CLK&!D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1562, 0.1557, 0.16, 0.1746, 0.2024, 0.2439, 0.2996, 0.3699, \
0.455, 0.5557");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.004066, -0.004469, -0.002364, 0.007901, 0.03068, 0.06681, 0.1159, \
0.1774, 0.2523, 0.3415");
}
}
internal_power() {
when : "CLK&D&!SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.124, 0.1236, 0.1277, 0.1414, 0.1666, 0.2047, 0.2569, 0.3239, \
0.4067, 0.5062");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.04844, 0.04815, 0.05073, 0.06074, 0.08125, 0.114, 0.1601, 0.2209, \
0.294, 0.3819");
}
}
internal_power() {
when : "CLK&D&SI" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1151, 0.1147, 0.1192, 0.1333, 0.1589, 0.1978, 0.2507, 0.3183, \
0.4016, 0.5016");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.003726, 0.003531, 0.006077, 0.01622, 0.03685, 0.06955, 0.1151, \
0.1739, 0.247, 0.3351");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SI === 1'b1" ;
timing_type : hold_rising ;
when : "!D&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.034, -0.03, -0.013, 0.019, 0.049, 0.08, 0.107, 0.107, 0.114, 0.105",\
"-0.038, -0.033, -0.014, 0.013, 0.049, 0.075, 0.099, 0.107, 0.114, 0.099",\
"-0.051, -0.045, -0.031, 0.004, 0.041, 0.062, 0.09, 0.094, 0.097, 0.086",\
"-0.082, -0.079, -0.06, -0.026, 0.007, 0.033, 0.056, 0.067, 0.068, 0.06",\
"-0.125, -0.118, -0.107, -0.075, -0.035, -0.007, 0.018, 0.025, 0.024, 0.016",\
"-0.178, -0.173, -0.155, -0.123, -0.09, -0.064, -0.033, -0.028, -0.025, -0.031",\
"-0.227, -0.22, -0.209, -0.181, -0.149, -0.119, -0.086, -0.078, -0.082, -0.089",\
"-0.289, -0.285, -0.273, -0.245, -0.207, -0.185, -0.149, -0.149, -0.147, -0.153",\
"-0.363, -0.356, -0.346, -0.314, -0.29, -0.262, -0.23, -0.228, -0.23, -0.232",\
"-0.451, -0.447, -0.437, -0.415, -0.382, -0.373, -0.327, -0.326, -0.323, -0.33");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.206, -0.205, -0.19, -0.182, -0.188, -0.201, -0.219, -0.256, -0.297, -0.347",\
"-0.213, -0.209, -0.194, -0.185, -0.186, -0.2, -0.224, -0.26, -0.301, -0.351",\
"-0.22, -0.216, -0.207, -0.196, -0.2, -0.212, -0.231, -0.268, -0.306, -0.358",\
"-0.238, -0.235, -0.219, -0.208, -0.214, -0.224, -0.245, -0.276, -0.314, -0.366",\
"-0.26, -0.249, -0.242, -0.228, -0.224, -0.23, -0.255, -0.287, -0.324, -0.373",\
"-0.269, -0.267, -0.256, -0.244, -0.233, -0.242, -0.258, -0.29, -0.328, -0.377",\
"-0.27, -0.273, -0.255, -0.246, -0.24, -0.245, -0.26, -0.286, -0.326, -0.375",\
"-0.26, -0.255, -0.242, -0.232, -0.229, -0.235, -0.253, -0.281, -0.315, -0.366",\
"-0.223, -0.215, -0.201, -0.195, -0.189, -0.202, -0.224, -0.253, -0.289, -0.344",\
"-0.17, -0.164, -0.153, -0.141, -0.142, -0.155, -0.17, -0.205, -0.246, -0.301");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SI === 1'b1" ;
timing_type : hold_rising ;
when : "D&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.338, -0.33, -0.318, -0.309, -0.314, -0.323, -0.348, -0.379, -0.422, -0.478",\
"-0.344, -0.337, -0.323, -0.318, -0.316, -0.331, -0.355, -0.39, -0.426, -0.482",\
"-0.365, -0.362, -0.352, -0.341, -0.344, -0.359, -0.377, -0.416, -0.459, -0.513",\
"-0.422, -0.421, -0.405, -0.397, -0.401, -0.413, -0.436, -0.466, -0.509, -0.565",\
"-0.496, -0.492, -0.472, -0.466, -0.47, -0.479, -0.506, -0.541, -0.581, -0.63",\
"-0.581, -0.579, -0.563, -0.554, -0.553, -0.571, -0.59, -0.626, -0.662, -0.718",\
"-0.691, -0.682, -0.668, -0.663, -0.661, -0.67, -0.693, -0.72, -0.762, -0.817",\
"-0.805, -0.8, -0.789, -0.778, -0.78, -0.792, -0.809, -0.842, -0.877, -0.927",\
"-0.938, -0.936, -0.918, -0.908, -0.912, -0.919, -0.939, -0.97, -1.008, -1.053",\
"-1.089, -1.084, -1.067, -1.061, -1.057, -1.065, -1.083, -1.116, -1.152, -1.201");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.132, -0.124, -0.109, -0.079, -0.044, -0.017, 0.004, 0.014, 0.021, 0.004",\
"-0.132, -0.128, -0.109, -0.083, -0.047, -0.019, 0.003, 0.006, 0.012, -0.002",\
"-0.148, -0.148, -0.129, -0.099, -0.065, -0.038, -0.01, -0.004, -0, -0.012",\
"-0.163, -0.163, -0.143, -0.112, -0.078, -0.05, -0.024, -0.019, -0.017, -0.027",\
"-0.175, -0.17, -0.156, -0.126, -0.091, -0.061, -0.039, -0.033, -0.022, -0.041",\
"-0.178, -0.18, -0.155, -0.123, -0.09, -0.064, -0.04, -0.036, -0.03, -0.043",\
"-0.167, -0.159, -0.145, -0.111, -0.08, -0.053, -0.031, -0.022, -0.014, -0.027",\
"-0.137, -0.137, -0.113, -0.087, -0.047, -0.021, 0.002, 0.011, 0.014, 0.007",\
"-0.091, -0.09, -0.072, -0.042, -0.006, 0.021, 0.042, 0.05, 0.059, 0.05",\
"-0.04, -0.033, -0.014, 0.015, 0.052, 0.08, 0.102, 0.114, 0.121, 0.106");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SI === 1'b1" ;
timing_type : setup_rising ;
when : "!D&SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.51, 0.505, 0.488, 0.441, 0.399, 0.36, 0.331, 0.314, 0.305, 0.317",\
"0.513, 0.507, 0.486, 0.444, 0.404, 0.363, 0.338, 0.313, 0.302, 0.311",\
"0.527, 0.525, 0.498, 0.459, 0.421, 0.373, 0.35, 0.324, 0.315, 0.327",\
"0.554, 0.548, 0.523, 0.484, 0.44, 0.398, 0.371, 0.354, 0.34, 0.355",\
"0.606, 0.606, 0.578, 0.54, 0.491, 0.461, 0.426, 0.402, 0.398, 0.407",\
"0.703, 0.698, 0.678, 0.637, 0.589, 0.55, 0.524, 0.503, 0.486, 0.495",\
"0.837, 0.831, 0.806, 0.763, 0.718, 0.677, 0.646, 0.625, 0.61, 0.613",\
"0.992, 0.984, 0.968, 0.922, 0.874, 0.833, 0.8, 0.77, 0.754, 0.752",\
"1.179, 1.171, 1.152, 1.104, 1.059, 1.011, 0.974, 0.944, 0.926, 0.925",\
"1.39, 1.383, 1.362, 1.314, 1.263, 1.214, 1.178, 1.153, 1.127, 1.123");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.458, 0.449, 0.431, 0.395, 0.365, 0.348, 0.357, 0.373, 0.406, 0.448",\
"0.463, 0.46, 0.435, 0.396, 0.369, 0.35, 0.355, 0.373, 0.404, 0.452",\
"0.478, 0.465, 0.441, 0.404, 0.373, 0.36, 0.369, 0.385, 0.42, 0.462",\
"0.485, 0.481, 0.461, 0.423, 0.389, 0.375, 0.376, 0.393, 0.425, 0.468",\
"0.513, 0.501, 0.482, 0.449, 0.413, 0.39, 0.392, 0.41, 0.443, 0.481",\
"0.538, 0.524, 0.511, 0.471, 0.437, 0.421, 0.412, 0.429, 0.45, 0.489",\
"0.554, 0.552, 0.531, 0.492, 0.456, 0.438, 0.433, 0.436, 0.462, 0.497",\
"0.564, 0.557, 0.531, 0.501, 0.468, 0.439, 0.432, 0.44, 0.457, 0.494",\
"0.55, 0.545, 0.524, 0.492, 0.455, 0.43, 0.423, 0.427, 0.445, 0.48",\
"0.531, 0.518, 0.494, 0.463, 0.428, 0.403, 0.395, 0.401, 0.417, 0.455");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_NOT_SI === 1'b1" ;
timing_type : setup_rising ;
when : "D&!SI" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.59, 0.58, 0.56, 0.521, 0.491, 0.476, 0.486, 0.496, 0.53, 0.578",\
"0.594, 0.588, 0.572, 0.528, 0.499, 0.481, 0.486, 0.503, 0.537, 0.584",\
"0.623, 0.619, 0.591, 0.556, 0.526, 0.507, 0.515, 0.533, 0.565, 0.612",\
"0.675, 0.668, 0.647, 0.613, 0.576, 0.564, 0.571, 0.591, 0.62, 0.667",\
"0.749, 0.745, 0.722, 0.681, 0.652, 0.639, 0.642, 0.656, 0.691, 0.733",\
"0.832, 0.823, 0.805, 0.773, 0.74, 0.723, 0.73, 0.741, 0.774, 0.817",\
"0.94, 0.936, 0.916, 0.874, 0.843, 0.823, 0.827, 0.852, 0.876, 0.92",\
"1.056, 1.049, 1.029, 0.994, 0.961, 0.939, 0.951, 0.962, 0.993, 1.033",\
"1.194, 1.187, 1.168, 1.129, 1.096, 1.076, 1.076, 1.092, 1.119, 1.164",\
"1.34, 1.332, 1.311, 1.276, 1.24, 1.226, 1.226, 1.237, 1.264, 1.307");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.613, 0.611, 0.592, 0.544, 0.508, 0.464, 0.434, 0.414, 0.406, 0.417",\
"0.619, 0.615, 0.597, 0.552, 0.508, 0.469, 0.442, 0.42, 0.411, 0.422",\
"0.639, 0.628, 0.607, 0.569, 0.526, 0.487, 0.46, 0.44, 0.428, 0.431",\
"0.646, 0.644, 0.62, 0.579, 0.536, 0.496, 0.471, 0.453, 0.441, 0.457",\
"0.656, 0.649, 0.626, 0.585, 0.54, 0.506, 0.477, 0.453, 0.443, 0.464",\
"0.654, 0.655, 0.631, 0.592, 0.544, 0.507, 0.478, 0.454, 0.445, 0.458",\
"0.648, 0.639, 0.622, 0.578, 0.536, 0.498, 0.465, 0.455, 0.44, 0.45",\
"0.623, 0.617, 0.593, 0.554, 0.511, 0.472, 0.441, 0.423, 0.412, 0.426",\
"0.581, 0.576, 0.556, 0.518, 0.473, 0.43, 0.406, 0.382, 0.371, 0.386",\
"0.526, 0.518, 0.499, 0.458, 0.411, 0.378, 0.347, 0.325, 0.315, 0.329");
}
}
}
pin(SI) {
capacitance : 0.002691 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 4 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.0204, 0.02017, 0.02016, 0.0201, 0.02009, 0.02012, 0.02009, 0.02015, \
0.02014, 0.02012");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.01982, -0.0198, -0.01975, -0.01978, -0.01982, -0.01991, -0.01995, \
-0.0199, -0.02, -0.01999");
}
}
internal_power() {
when : "!CLK&!D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3468, 0.3462, 0.3452, 0.3452, 0.3524, 0.3754, 0.4211, 0.4898, \
0.5793, 0.6881");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1767, 0.1759, 0.1746, 0.175, 0.1832, 0.2044, 0.2413, 0.2944, \
0.3646, 0.4519");
}
}
internal_power() {
when : "!CLK&D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.02015, 0.0201, 0.02016, 0.02014, 0.02009, 0.02009, 0.02013, \
0.02009, 0.02013, 0.02015");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.01824, -0.01852, -0.01936, -0.01976, -0.01985, -0.01986, -0.01995, \
-0.01997, -0.02, -0.02");
}
}
internal_power() {
when : "!CLK&D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.3468, 0.3461, 0.3452, 0.3452, 0.3524, 0.3755, 0.421, 0.4898, \
0.5794, 0.6881");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.1767, 0.1759, 0.1746, 0.175, 0.1832, 0.2044, 0.2413, 0.2944, \
0.3646, 0.452");
}
}
internal_power() {
when : "CLK&!D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.02028, 0.02015, 0.02017, 0.02009, 0.02011, 0.02011, 0.02013, \
0.02013, 0.02011, 0.02013");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.01983, -0.01976, -0.01978, -0.01976, -0.01985, -0.01989, -0.01989, \
-0.01998, -0.01994, -0.02");
}
}
internal_power() {
when : "CLK&!D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.07022, 0.0702, 0.07022, 0.07006, 0.06997, 0.06997, 0.07, 0.07009, \
0.07009, 0.07006");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.01572, -0.01622, -0.01703, -0.0178, -0.01838, -0.01871, -0.01897, \
-0.01914, -0.01928, -0.01938");
}
}
internal_power() {
when : "CLK&D&!SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.02019, 0.02009, 0.02013, 0.02015, 0.02009, 0.02013, 0.02011, \
0.02014, 0.02013, 0.02012");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.01851, -0.01869, -0.01941, -0.01975, -0.01986, -0.01988, -0.0199, \
-0.01996, -0.01999, -0.02");
}
}
internal_power() {
when : "CLK&D&SE" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.07021, 0.07021, 0.07022, 0.07009, 0.07, 0.06997, 0.07002, 0.07009, \
0.07006, 0.07009");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.01572, -0.01622, -0.01704, -0.01781, -0.01838, -0.01871, -0.01897, \
-0.01916, -0.01929, -0.01936");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE === 1'b1" ;
timing_type : hold_rising ;
when : "!D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.092, -0.086, -0.069, -0.044, -0.01, 0.019, 0.038, 0.049, 0.052, 0.039",\
"-0.094, -0.087, -0.074, -0.047, -0.012, 0.012, 0.038, 0.042, 0.044, 0.038",\
"-0.108, -0.096, -0.083, -0.051, -0.017, 0.009, 0.026, 0.039, 0.04, 0.029",\
"-0.123, -0.115, -0.094, -0.069, -0.033, -0.005, 0.016, 0.027, 0.025, 0.016",\
"-0.142, -0.136, -0.117, -0.087, -0.056, -0.025, 0.001, 0.003, 0.006, -0.001",\
"-0.153, -0.142, -0.129, -0.101, -0.072, -0.048, -0.02, -0.011, -0.015, -0.025",\
"-0.159, -0.15, -0.136, -0.111, -0.08, -0.053, -0.031, -0.022, -0.031, -0.034",\
"-0.166, -0.154, -0.144, -0.12, -0.091, -0.062, -0.035, -0.039, -0.037, -0.047",\
"-0.169, -0.16, -0.153, -0.127, -0.097, -0.08, -0.048, -0.053, -0.052, -0.061",\
"-0.18, -0.174, -0.169, -0.141, -0.119, -0.106, -0.068, -0.076, -0.075, -0.087");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.252, -0.248, -0.23, -0.228, -0.23, -0.243, -0.262, -0.303, -0.344, -0.398",\
"-0.25, -0.249, -0.237, -0.227, -0.229, -0.243, -0.267, -0.302, -0.34, -0.396",\
"-0.253, -0.25, -0.238, -0.231, -0.228, -0.245, -0.267, -0.305, -0.346, -0.4",\
"-0.255, -0.253, -0.239, -0.225, -0.231, -0.247, -0.27, -0.302, -0.34, -0.398",\
"-0.251, -0.249, -0.242, -0.234, -0.231, -0.239, -0.267, -0.294, -0.333, -0.39",\
"-0.257, -0.248, -0.236, -0.229, -0.233, -0.242, -0.258, -0.29, -0.333, -0.384",\
"-0.244, -0.238, -0.227, -0.216, -0.217, -0.232, -0.252, -0.286, -0.326, -0.375",\
"-0.213, -0.208, -0.199, -0.186, -0.193, -0.202, -0.224, -0.265, -0.302, -0.358",\
"-0.161, -0.16, -0.145, -0.144, -0.143, -0.161, -0.184, -0.221, -0.267, -0.326",\
"-0.095, -0.094, -0.081, -0.076, -0.079, -0.099, -0.123, -0.167, -0.212, -0.271");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE === 1'b1" ;
timing_type : hold_rising ;
when : "D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.092, -0.086, -0.069, -0.044, -0.01, 0.019, 0.038, 0.049, 0.052, 0.039",\
"-0.094, -0.087, -0.074, -0.047, -0.012, 0.012, 0.038, 0.042, 0.044, 0.038",\
"-0.108, -0.096, -0.083, -0.051, -0.017, 0.009, 0.026, 0.039, 0.04, 0.029",\
"-0.123, -0.115, -0.094, -0.069, -0.033, -0.005, 0.016, 0.027, 0.025, 0.016",\
"-0.142, -0.136, -0.117, -0.087, -0.056, -0.025, 0.001, 0.003, 0.006, -0.001",\
"-0.153, -0.142, -0.129, -0.101, -0.072, -0.048, -0.02, -0.011, -0.015, -0.025",\
"-0.159, -0.15, -0.136, -0.111, -0.08, -0.053, -0.031, -0.022, -0.025, -0.034",\
"-0.166, -0.154, -0.144, -0.12, -0.084, -0.062, -0.035, -0.039, -0.037, -0.047",\
"-0.169, -0.16, -0.153, -0.127, -0.097, -0.08, -0.048, -0.053, -0.052, -0.061",\
"-0.18, -0.174, -0.169, -0.146, -0.119, -0.099, -0.068, -0.076, -0.075, -0.087");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("-0.252, -0.248, -0.23, -0.228, -0.23, -0.243, -0.262, -0.303, -0.344, -0.398",\
"-0.25, -0.249, -0.237, -0.227, -0.229, -0.243, -0.267, -0.302, -0.34, -0.396",\
"-0.253, -0.25, -0.238, -0.231, -0.228, -0.245, -0.267, -0.305, -0.346, -0.4",\
"-0.255, -0.253, -0.239, -0.225, -0.231, -0.247, -0.27, -0.302, -0.34, -0.398",\
"-0.26, -0.249, -0.242, -0.234, -0.231, -0.239, -0.267, -0.294, -0.333, -0.39",\
"-0.257, -0.248, -0.235, -0.229, -0.233, -0.242, -0.258, -0.29, -0.333, -0.384",\
"-0.244, -0.238, -0.227, -0.216, -0.217, -0.232, -0.252, -0.286, -0.326, -0.375",\
"-0.213, -0.208, -0.199, -0.186, -0.193, -0.202, -0.224, -0.265, -0.302, -0.358",\
"-0.169, -0.16, -0.145, -0.144, -0.143, -0.161, -0.184, -0.221, -0.267, -0.326",\
"-0.1, -0.094, -0.081, -0.076, -0.079, -0.099, -0.123, -0.167, -0.212, -0.271");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SE === 1'b1" ;
timing_type : setup_rising ;
when : "!D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.578, 0.573, 0.552, 0.51, 0.466, 0.433, 0.4, 0.379, 0.375, 0.382",\
"0.588, 0.581, 0.555, 0.516, 0.473, 0.438, 0.408, 0.384, 0.372, 0.382",\
"0.591, 0.585, 0.566, 0.528, 0.478, 0.44, 0.415, 0.391, 0.387, 0.395",\
"0.606, 0.602, 0.578, 0.535, 0.491, 0.458, 0.426, 0.407, 0.391, 0.403",\
"0.639, 0.64, 0.617, 0.574, 0.526, 0.488, 0.46, 0.439, 0.425, 0.441",\
"0.715, 0.711, 0.691, 0.652, 0.607, 0.561, 0.538, 0.511, 0.501, 0.508",\
"0.837, 0.831, 0.806, 0.763, 0.718, 0.677, 0.646, 0.625, 0.604, 0.613",\
"0.986, 0.984, 0.961, 0.916, 0.866, 0.825, 0.79, 0.759, 0.741, 0.744",\
"1.171, 1.164, 1.144, 1.095, 1.041, 0.996, 0.962, 0.931, 0.912, 0.908",\
"1.375, 1.372, 1.342, 1.298, 1.246, 1.195, 1.158, 1.123, 1.101, 1.094");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.504, 0.499, 0.472, 0.435, 0.407, 0.39, 0.4, 0.414, 0.453, 0.498",\
"0.507, 0.5, 0.478, 0.438, 0.404, 0.394, 0.399, 0.42, 0.45, 0.498",\
"0.51, 0.499, 0.477, 0.445, 0.411, 0.393, 0.405, 0.422, 0.452, 0.498",\
"0.508, 0.505, 0.474, 0.449, 0.412, 0.398, 0.401, 0.42, 0.45, 0.5",\
"0.513, 0.501, 0.482, 0.449, 0.413, 0.399, 0.403, 0.417, 0.452, 0.493",\
"0.52, 0.517, 0.497, 0.456, 0.42, 0.405, 0.405, 0.421, 0.45, 0.495",\
"0.528, 0.526, 0.503, 0.462, 0.433, 0.405, 0.41, 0.418, 0.445, 0.49",\
"0.523, 0.516, 0.494, 0.461, 0.424, 0.407, 0.403, 0.412, 0.437, 0.479",\
"0.511, 0.498, 0.484, 0.45, 0.418, 0.389, 0.389, 0.395, 0.415, 0.455",\
"0.481, 0.473, 0.453, 0.415, 0.388, 0.359, 0.361, 0.363, 0.383, 0.426");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SE === 1'b1" ;
timing_type : setup_rising ;
when : "D&SE" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.578, 0.573, 0.552, 0.51, 0.466, 0.433, 0.4, 0.379, 0.375, 0.387",\
"0.588, 0.581, 0.555, 0.516, 0.473, 0.438, 0.408, 0.39, 0.372, 0.382",\
"0.591, 0.585, 0.566, 0.528, 0.478, 0.44, 0.415, 0.391, 0.387, 0.395",\
"0.606, 0.602, 0.578, 0.535, 0.491, 0.458, 0.426, 0.407, 0.391, 0.403",\
"0.639, 0.64, 0.617, 0.573, 0.526, 0.488, 0.46, 0.439, 0.425, 0.441",\
"0.715, 0.711, 0.691, 0.652, 0.606, 0.566, 0.538, 0.511, 0.496, 0.508",\
"0.837, 0.831, 0.806, 0.763, 0.718, 0.677, 0.646, 0.625, 0.604, 0.613",\
"0.986, 0.984, 0.962, 0.915, 0.866, 0.825, 0.79, 0.759, 0.741, 0.744",\
"1.171, 1.164, 1.144, 1.095, 1.041, 0.996, 0.962, 0.931, 0.912, 0.908",\
"1.375, 1.367, 1.342, 1.298, 1.246, 1.195, 1.158, 1.123, 1.101, 1.094");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
index_2("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547, 2.214, 3.029, 4");
values("0.504, 0.499, 0.472, 0.435, 0.407, 0.396, 0.4, 0.414, 0.453, 0.498",\
"0.507, 0.5, 0.478, 0.438, 0.404, 0.394, 0.399, 0.42, 0.45, 0.498",\
"0.51, 0.499, 0.472, 0.445, 0.411, 0.393, 0.405, 0.422, 0.452, 0.498",\
"0.508, 0.505, 0.481, 0.449, 0.406, 0.398, 0.401, 0.42, 0.45, 0.5",\
"0.513, 0.51, 0.482, 0.449, 0.413, 0.399, 0.403, 0.417, 0.452, 0.493",\
"0.52, 0.517, 0.49, 0.456, 0.42, 0.405, 0.405, 0.421, 0.45, 0.495",\
"0.528, 0.526, 0.494, 0.462, 0.433, 0.405, 0.41, 0.418, 0.445, 0.49",\
"0.523, 0.516, 0.488, 0.461, 0.424, 0.407, 0.403, 0.412, 0.437, 0.479",\
"0.511, 0.506, 0.475, 0.45, 0.418, 0.389, 0.389, 0.395, 0.415, 0.455",\
"0.481, 0.473, 0.453, 0.415, 0.388, 0.359, 0.361, 0.363, 0.383, 0.416");
}
}
}
test_cell() {
pin(SI) {
direction : input ;
signal_type : test_scan_in ;
}
pin(SE) {
direction : input ;
signal_type : test_scan_enable ;
}
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "D" ;
}
pin(CLK) {
clock : true ;
direction : input ;
}
pin(D) {
direction : input ;
}
pin(Q) {
direction : output ;
function : IQ1 ;
signal_type : test_scan_out ;
}
}
}