blob: 4e4c3ca4ccb4f2065a2ab2e38189aff885644074 [file] [log] [blame]
/*
* Copyright 2022 GlobalFoundries PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
cell ("gf180mcu_fd_io__bi_24t") {
scaling_factors : "gf_factors";
area : 26250.000000;
pad_cell : true;
dont_use : true;
dont_touch : true;
cell_leakage_power : 1.445440e-03;
leakage_power () {
when : "!CS !SL !IE !OE !PU !PD !A !PAD";
value : "0.001445";
}
pin ("CS") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.022851;
rise_capacitance_range(0.021508,0.023632);
capacitance : 0.022440;
fall_capacitance : 0.022029;
fall_capacitance_range(0.021478,0.022955);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.220749, 0.766772, 0.778498");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.310486, 0.707781, 0.708369");
}
}
}
pin ("SL") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.031765;
rise_capacitance_range(0.030274,0.032649);
capacitance : 0.031404;
fall_capacitance : 0.031043;
fall_capacitance_range(0.030410,0.032289);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.185868, 0.972807, 0.971097");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.342270, 1.089543, 1.092122");
}
}
}
pin ("IE") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.014575;
rise_capacitance_range(0.013333,0.015361);
capacitance : 0.014156;
fall_capacitance : 0.013737;
fall_capacitance_range(0.013127,0.014586);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.255063, 0.486509, 0.499422");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.248978, 0.435700, 0.460507");
}
}
}
pin ("OE") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.090858;
rise_capacitance_range(0.082599,0.096135);
capacitance : 0.090372;
fall_capacitance : 0.087937;
fall_capacitance_range(0.085158,0.090579);
}
pin ("PU") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.079175;
rise_capacitance_range(0.063802,0.091326);
capacitance : 0.079697;
fall_capacitance : 0.080219;
fall_capacitance_range(0.060929,0.096378);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("-0.169036, 0.114818, 0.119299");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.638594, 0.994994, 1.088298");
}
}
}
pin ("PD") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.047661;
rise_capacitance_range(0.046388,0.048601);
capacitance : 0.049021;
fall_capacitance : 0.050380;
fall_capacitance_range(0.048537,0.051603);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.022017, 0.555021, 0.527688");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.574830, 0.880378, 0.901668");
}
}
}
pin ("A") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.036958;
rise_capacitance_range(0.035054,0.038303);
capacitance : 0.036964;
fall_capacitance : 0.036970;
fall_capacitance_range(0.035913,0.038154);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("-0.089339, -0.088565, -0.085929");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.101761, 0.101177, 0.099245");
}
}
}
pin ("PAD") {
drive_current : 24000.000000;
is_pad : true;
function : "((A))";
three_state : "((!OE))";
direction : "inout";
max_transition : 1.000000;
max_capacitance : 50.000000;
input_signal_level : "DVDD";
output_signal_level : "DVDD";
capacitance : 3.547661;
internal_power () {
related_pin : "A";
when : "!IE&OE&!SL";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("33.168729, 33.985439, 33.520029, 33.275779, 32.731879, 32.280609", \
"33.339705, 33.970065, 33.556775, 33.271635, 32.747055, 32.271835", \
"32.991549, 33.987579, 33.547359, 33.247079, 32.700329, 32.076899");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("35.001169, 37.187429, 37.752879, 38.003629, 38.133529, 38.226679", \
"34.990173, 37.190863, 37.751293, 37.941313, 38.111293, 38.199813", \
"34.964395, 36.997025, 37.752535, 38.049495, 37.990065, 38.400615");
}
}
internal_power () {
related_pin : "A";
when : "!IE&OE&SL";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("28.822839, 31.970789, 32.592499, 32.935049, 32.359219, 32.341429", \
"28.744445, 32.406925, 33.156595, 33.146095, 32.529745, 32.136055", \
"28.705959, 32.454879, 32.734989, 32.799869, 32.108059, 31.955979");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("31.741829, 34.126709, 35.508369, 36.308739, 36.753509, 37.096429", \
"31.472693, 34.899723, 36.754683, 37.725963, 35.145523, 37.323453", \
"31.450185, 34.020985, 35.809905, 36.726535, 37.459425, 37.361915");
}
}
internal_power () {
related_pin : "OE";
when : "A&!IE&!SL";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("29.974488, 29.993828, 29.579548, 29.406948, 28.636648, 28.126148", \
"19.476980, 19.499070, 19.055140, 18.655800, 18.143710, 17.413380", \
"19.388310, 19.463560, 19.063540, 18.560420, 18.176250, 17.830720");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("5.089853, 5.587851, 5.741434, 5.831043, 5.887247, 5.929432", \
"1.353288, 3.232463, 3.839753, 4.160363, 4.367993, 4.512513", \
"1.449278, 3.243264, 4.083224, 4.381414, 4.575334, 4.690304");
}
}
internal_power () {
related_pin : "OE";
when : "A&!IE&SL";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("25.424338, 28.158438, 28.819268, 28.487228, 28.031368, 27.405058", \
"14.887550, 17.970140, 18.547130, 18.017390, 17.633040, 17.044440", \
"14.841830, 17.834960, 18.457160, 17.971340, 17.570160, 17.034120");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("5.055359, 5.468609, 5.597690, 5.709066, 5.756168, 5.786658", \
"1.396376, 3.227613, 3.789053, 4.375933, 4.563083, 4.675403", \
"1.679877, 3.300494, 4.075954, 4.373524, 4.672584, 4.768774");
}
}
internal_power () {
related_pin : "OE";
when : "!A&!IE&!SL";
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("27.685158, 28.849638, 28.973628, 29.218958, 28.969778, 29.300568", \
"17.350630, 18.543350, 18.673540, 18.927070, 18.663450, 18.964820", \
"17.359200, 18.595860, 18.754050, 18.791030, 18.820220, 18.855630");
}
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("6.438902, -69.430535, -145.128195, -220.789195, -296.435695, -372.074995", \
"-0.001380, -75.880017, -151.579877, -227.285577, -302.930477, -378.567477", \
"0.157048, -75.877776, -151.280096, -226.924196, -302.574496, -378.204496");
}
}
internal_power () {
related_pin : "OE";
when : "!A&!IE&SL";
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("24.689858, 25.861228, 26.761018, 27.368568, 27.730048, 27.910028", \
"14.285340, 15.497560, 16.468920, 17.020150, 17.417460, 17.563280", \
"14.155200, 15.422840, 16.330070, 16.950730, 17.445030, 17.559350");
}
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("6.354670, -69.492565, -145.196495, -220.861295, -296.510195, -372.150795", \
"0.054446, -75.740987, -151.453077, -227.116777, -302.763577, -378.402777", \
"0.318524, -75.774406, -151.546496, -227.232996, -302.883896, -378.516096");
}
}
internal_power () {
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("61.991568, 65.956228, 66.112528, 66.210828, 65.091098, 64.622038", \
"62.084150, 66.376990, 66.713370, 66.417730, 65.276800, 64.407890", \
"61.697508, 66.442458, 66.282348, 66.046948, 64.808388, 64.032878");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("66.742998, 71.314138, 73.261248, 74.312368, 74.887038, 75.323108", \
"66.462866, 72.090586, 74.505976, 75.667276, 73.256816, 75.523266", \
"66.414580, 71.018010, 73.562440, 74.776030, 75.449490, 75.762530");
}
}
internal_power () {
related_pin : "OE";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("34.096199, -40.385417, -115.962937, -191.878157, -268.138937, -344.347292", \
"17.208798, -57.075897, -132.715342, -208.864582, -284.958652, -361.256217", \
"17.352856, -57.176831, -132.652946, -208.812716, -284.855991, -360.927876");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("31.260114, 32.883663, 33.536885, 34.063817, 34.171621, 34.463343", \
"17.192817, 20.250493, 21.385633, 22.241758, 22.505993, 22.858008", \
"17.321778, 20.281229, 21.621649, 22.248349, 22.756584, 22.937029");
}
}
timing () {
related_pin : "A";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "!IE&OE&!SL";
sdf_cond : "IE==1'b0&&OE==1'b1&&SL==1'b0";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("2.248653, 2.593607, 2.868768, 3.136971, 3.404212, 3.670709", \
"2.322045, 2.667102, 2.942145, 3.210372, 3.477712, 3.744222", \
"2.350226, 2.694985, 2.969962, 3.238084, 3.505616, 3.772169");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("0.483448, 0.890609, 1.368918, 1.870583, 2.385995, 2.905879", \
"0.483724, 0.889656, 1.366666, 1.871319, 2.386482, 2.905946", \
"0.483646, 0.889285, 1.366362, 1.871593, 2.386410, 2.901861");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("2.278392, 2.620204, 2.870735, 3.105852, 3.335995, 3.564690", \
"2.420147, 2.762111, 3.012546, 3.247655, 3.477823, 3.706290", \
"2.576959, 2.918823, 3.169276, 3.404325, 3.634781, 3.863319");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("0.361869, 0.756091, 1.131550, 1.521368, 1.920901, 2.327735", \
"0.361768, 0.755806, 1.131491, 1.520687, 1.918929, 2.326441", \
"0.362968, 0.755886, 1.131771, 1.522037, 1.919455, 2.328299");
}
}
timing () {
related_pin : "A";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "!IE&OE&SL";
sdf_cond : "IE==1'b0&&OE==1'b1&&SL==1'b1";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("2.187958, 3.018307, 3.592940, 4.002439, 4.342438, 4.650872", \
"2.261165, 3.091768, 3.666807, 4.074038, 4.417636, 4.724978", \
"2.289139, 3.119620, 3.693824, 4.104879, 4.445226, 4.752317");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("0.670402, 1.785049, 2.359644, 2.827247, 3.268773, 3.700729", \
"0.670163, 1.784564, 2.361741, 2.830177, 3.265851, 3.700291", \
"0.671485, 1.785220, 2.360586, 2.827343, 3.266705, 3.702231");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("2.250683, 2.736581, 3.195815, 3.618851, 4.000657, 4.350128", \
"2.392456, 2.878328, 3.337544, 3.760380, 4.143175, 4.492541", \
"2.549423, 3.035317, 3.494462, 3.917063, 4.300531, 4.648711");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("0.372280, 1.188201, 1.881980, 2.448345, 2.952300, 3.405358", \
"0.371872, 1.188187, 1.881918, 2.447614, 2.949731, 3.405942", \
"0.371611, 1.188378, 1.881840, 2.447854, 2.952807, 3.403663");
}
}
timing () {
related_pin : "OE";
timing_sense : "positive_unate";
when : "A&!IE&!SL";
sdf_cond : "A==1'b1&&IE==1'b0&&SL==1'b0";
timing_type : "three_state_enable_rise";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("1.922150, 2.273849, 2.548513, 2.816210, 3.082401, 3.348954", \
"1.975827, 2.328896, 2.603493, 2.871001, 3.138017, 3.404371", \
"1.999321, 2.353023, 2.627850, 2.895487, 3.162128, 3.428542");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("0.512301, 0.910174, 1.379907, 1.880175, 2.391236, 2.907526", \
"0.511357, 0.911733, 1.381934, 1.882048, 2.388872, 2.903304", \
"0.510826, 0.911213, 1.381435, 1.882716, 2.389321, 2.906008");
}
}
timing () {
related_pin : "OE";
timing_sense : "positive_unate";
when : "A&!IE&SL";
sdf_cond : "A==1'b1&&IE==1'b0&&SL==1'b1";
timing_type : "three_state_enable_rise";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("1.863460, 2.686401, 3.267989, 3.681355, 4.023712, 4.332885", \
"1.918761, 2.741431, 3.323790, 3.738204, 4.079661, 4.388432", \
"1.943268, 2.765951, 3.347307, 3.761643, 4.104156, 4.412932");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("0.679131, 1.800466, 2.384352, 2.854613, 3.296157, 3.727770", \
"0.679740, 1.802226, 2.386734, 2.854273, 3.293941, 3.729026", \
"0.680471, 1.801160, 2.385867, 2.854375, 3.294189, 3.729024");
}
}
timing () {
related_pin : "OE";
timing_sense : "positive_unate";
when : "!A&!IE&!SL";
sdf_cond : "A==1'b0&&IE==1'b0&&SL==1'b0";
timing_type : "three_state_enable_fall";
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("1.352344, 1.703452, 1.956356, 2.191423, 2.421316, 2.649497", \
"1.425280, 1.776873, 2.029625, 2.264679, 2.494713, 2.722779", \
"1.456081, 1.807618, 2.060484, 2.295564, 2.525492, 2.753604");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("0.392518, 0.784718, 1.157879, 1.542020, 1.933311, 2.339445", \
"0.391789, 0.784537, 1.157147, 1.542351, 1.936913, 2.335169", \
"0.392006, 0.784493, 1.157867, 1.542567, 1.934470, 2.338891");
}
}
timing () {
related_pin : "OE";
timing_sense : "positive_unate";
when : "!A&!IE&SL";
sdf_cond : "A==1'b0&&IE==1'b0&&SL==1'b1";
timing_type : "three_state_enable_fall";
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("1.333059, 1.810106, 2.266344, 2.691131, 3.077429, 3.431233", \
"1.406211, 1.883615, 2.339780, 2.763819, 3.150847, 3.504071", \
"1.436965, 1.914308, 2.370483, 2.795510, 3.182054, 3.536130");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("0.409078, 1.199698, 1.898980, 2.473104, 2.972772, 3.434530", \
"0.408734, 1.199251, 1.897431, 2.476664, 2.979680, 3.431213", \
"0.409483, 1.199096, 1.899098, 2.472770, 2.975210, 3.433655");
}
}
timing () {
related_pin : "OE";
timing_sense : "negative_unate";
when : "!A&!IE&!SL";
sdf_cond : "A==1'b0&&IE==1'b0&&SL==1'b0";
timing_type : "three_state_disable_rise";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("1.084334, 1.084335, 1.084336, 1.084337, 1.084338, 1.084339", \
"1.224269, 1.224336, 1.224337, 1.224338, 1.224339, 1.224340", \
"1.384342, 1.387460, 1.387461, 1.387462, 1.387463, 1.387464");
}
rise_transition (scalar) {
values("0.000000");
}
}
timing () {
related_pin : "OE";
timing_sense : "negative_unate";
when : "!A&!IE&SL";
sdf_cond : "A==1'b0&&IE==1'b0&&SL==1'b1";
timing_type : "three_state_disable_rise";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("1.077792, 1.077793, 1.077794, 1.077795, 1.077796, 1.077797", \
"1.216821, 1.216976, 1.216977, 1.216978, 1.216979, 1.216980", \
"1.375512, 1.378800, 1.378801, 1.378802, 1.378803, 1.378804");
}
rise_transition (scalar) {
values("0.000000");
}
}
timing () {
related_pin : "OE";
timing_sense : "negative_unate";
when : "A&!IE&!SL";
sdf_cond : "A==1'b1&&IE==1'b0&&SL==1'b0";
timing_type : "three_state_disable_fall";
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("1.420269, 1.420270, 1.420271, 1.420272, 1.420273, 1.420274", \
"1.564122, 1.564123, 1.564124, 1.564125, 1.564126, 1.564127", \
"1.729658, 1.729659, 1.729660, 1.729661, 1.729662, 1.729663");
}
fall_transition (scalar) {
values("0.000000");
}
}
timing () {
related_pin : "OE";
timing_sense : "negative_unate";
when : "A&!IE&SL";
sdf_cond : "A==1'b1&&IE==1'b0&&SL==1'b1";
timing_type : "three_state_disable_fall";
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.547661, 13.547661, 23.547661, 33.547661, 43.547661, 53.547661");
values("1.425572, 1.425573, 1.425574, 1.425575, 1.425576, 1.425577", \
"1.567342, 1.567343, 1.567344, 1.567345, 1.567346, 1.567347", \
"1.731051, 1.731052, 1.731053, 1.731054, 1.731055, 1.731056");
}
fall_transition (scalar) {
values("0.000000");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("-17.790080, -17.844170, -17.763940");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("17.700440, 17.928730, 17.912520");
}
}
}
pin ("Y") {
function : "((IE*PAD))";
direction : "output";
max_capacitance : 0.500000;
output_signal_level : "VDD";
internal_power () {
related_pin : "IE";
when : "!CS&!OE&PAD";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("1.210607, 1.272416, 1.196130, 1.202074, 1.179665, 1.202792", \
"0.954552, 1.012344, 1.033022, 0.992119, 0.966258, 0.995308", \
"0.932679, 0.906873, 0.918876, 0.903396, 0.834444, 0.938065");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("1.482587, 1.501015, 1.518967, 1.557034, 1.569119, 1.534275", \
"1.283959, 1.411951, 1.369739, 1.477098, 1.296211, 1.253636", \
"1.265095, 1.266144, 1.317726, 1.367802, 1.275838, 1.201479");
}
}
internal_power () {
related_pin : "IE";
when : "CS&!OE&PAD";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("1.350033, 1.342040, 1.334699, 1.332006, 1.320910, 1.321548", \
"1.116368, 1.108655, 1.105883, 1.093446, 1.094745, 1.089540", \
"1.112573, 1.103613, 1.087660, 1.092141, 1.089282, 1.086222");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("1.582924, 1.608370, 1.649076, 1.683008, 1.699156, 1.705187", \
"1.396434, 1.455588, 1.352704, 1.417717, 1.432546, 1.456704", \
"1.390032, 1.442546, 1.358437, 1.377249, 1.408574, 1.407349");
}
}
internal_power () {
related_pin : "PAD";
when : "!CS&IE&!OE";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("0.881790, 0.872010, 0.862280, 0.830620, 0.816940, 0.811790", \
"0.982480, 0.971870, 0.962010, 0.934210, 0.922030, 0.902140", \
"0.966840, 0.982900, 0.954740, 0.931850, 0.914830, 0.898650");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("1.080220, 1.104380, 1.142340, 1.203190, 1.218850, 1.230050", \
"1.458500, 1.483790, 1.520920, 1.581170, 1.597650, 1.609670", \
"0.752250, 0.776180, 0.814200, 0.874760, 0.891750, 0.902570");
}
}
internal_power () {
related_pin : "PAD";
when : "CS&IE&!OE";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("1.104170, 1.093390, 1.087310, 1.061340, 1.046800, 1.037010", \
"1.269550, 1.260190, 1.251430, 1.225310, 1.207760, 1.195490", \
"1.286230, 1.275850, 1.265990, 1.237020, 1.226060, 1.216510");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("1.378710, 1.409080, 1.461010, 1.570510, 1.605380, 1.630520", \
"0.994610, 1.025520, 1.077940, 1.187010, 1.223140, 1.250530", \
"0.965310, 0.996700, 1.051780, 1.168060, 1.202570, 1.228420");
}
}
internal_power () {
related_pin : "IE";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("1.280320, 1.307228, 1.265414, 1.267040, 1.250287, 1.262170", \
"1.035460, 1.060499, 1.069453, 1.042782, 1.030501, 1.042424", \
"1.022626, 1.005243, 1.003268, 0.997769, 0.961863, 1.012144");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("1.532755, 1.554693, 1.584021, 1.620021, 1.634138, 1.619731", \
"1.340197, 1.433769, 1.361222, 1.447407, 1.364378, 1.355170", \
"1.327564, 1.354345, 1.338081, 1.372526, 1.342206, 1.304414");
}
}
internal_power () {
related_pin : "PAD";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("0.992980, 0.982700, 0.974795, 0.945980, 0.931870, 0.924400", \
"1.126015, 1.116030, 1.106720, 1.079760, 1.064895, 1.048815", \
"1.126535, 1.129375, 1.110365, 1.084435, 1.070445, 1.057580");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("1.229465, 1.256730, 1.301675, 1.386850, 1.412115, 1.430285", \
"1.226555, 1.254655, 1.299430, 1.384090, 1.410395, 1.430100", \
"0.858780, 0.886440, 0.932990, 1.021410, 1.047160, 1.065495");
}
}
timing () {
related_pin : "IE";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "!CS&!OE&PAD";
sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.579934, 1.634348, 1.701224, 1.891485, 1.983322, 2.074974", \
"1.675636, 1.730615, 1.797142, 1.987150, 2.078888, 2.170688", \
"1.735575, 1.790448, 1.856488, 2.047244, 2.139048, 2.230740");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.159645, 0.231190, 0.327451, 0.657515, 0.830890, 1.006580", \
"0.158466, 0.230878, 0.327885, 0.659021, 0.832173, 1.003941", \
"0.158213, 0.229665, 0.327895, 0.658159, 0.831040, 1.003848");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.353338, 1.398770, 1.451887, 1.597475, 1.665170, 1.731902", \
"1.497238, 1.542649, 1.596110, 1.741312, 1.808974, 1.875749", \
"1.645806, 1.691253, 1.744635, 1.889984, 1.957630, 2.024377");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.088303, 0.141966, 0.213180, 0.437407, 0.552441, 0.668932", \
"0.088386, 0.142799, 0.212627, 0.437578, 0.551816, 0.668984", \
"0.088104, 0.142343, 0.212376, 0.436868, 0.552678, 0.668470");
}
}
timing () {
related_pin : "IE";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "CS&!OE&PAD";
sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.635659, 1.690512, 1.756756, 1.946997, 2.038714, 2.130441", \
"1.731752, 1.786560, 1.852807, 2.042956, 2.135304, 2.227181", \
"1.791500, 1.846308, 1.912271, 2.102502, 2.194430, 2.286266");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.158518, 0.231758, 0.327874, 0.659183, 0.832229, 1.002712", \
"0.158585, 0.229439, 0.327641, 0.658746, 0.831943, 1.006660", \
"0.158821, 0.229755, 0.328188, 0.658034, 0.833414, 1.006551");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.433264, 1.478705, 1.532041, 1.676848, 1.744486, 1.811218", \
"1.577013, 1.622494, 1.675707, 1.821086, 1.888777, 1.955546", \
"1.725790, 1.771149, 1.824464, 1.969895, 2.037638, 2.104398");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.088079, 0.142480, 0.212502, 0.438010, 0.552342, 0.668217", \
"0.088708, 0.142441, 0.213480, 0.437023, 0.551866, 0.668433", \
"0.088565, 0.142117, 0.213456, 0.437825, 0.552756, 0.668953");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "!CS&IE&!OE";
sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.116826, 1.171566, 1.237484, 1.427631, 1.519693, 1.611659", \
"1.198588, 1.253531, 1.319148, 1.509505, 1.601378, 1.693047", \
"1.228131, 1.283108, 1.349016, 1.539221, 1.631073, 1.722695");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.157370, 0.227801, 0.324672, 0.659090, 0.831753, 1.003774", \
"0.157306, 0.227834, 0.325177, 0.657423, 0.829255, 1.004593", \
"0.157602, 0.227635, 0.324848, 0.658630, 0.830688, 1.004529");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.081672, 1.126805, 1.179894, 1.325418, 1.393109, 1.459995", \
"1.139010, 1.184403, 1.237013, 1.382874, 1.450521, 1.517281", \
"1.329034, 1.374210, 1.427327, 1.572870, 1.640643, 1.707437");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.089011, 0.142035, 0.211669, 0.436852, 0.550618, 0.665673", \
"0.088731, 0.142484, 0.212070, 0.436390, 0.551291, 0.668260", \
"0.089106, 0.142250, 0.211805, 0.436066, 0.551043, 0.665733");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "CS&IE&!OE";
sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.194114, 1.248867, 1.315332, 1.505070, 1.596990, 1.688870", \
"1.313338, 1.368458, 1.434771, 1.624685, 1.716572, 1.808208", \
"1.405667, 1.460178, 1.526208, 1.716966, 1.809121, 1.901165");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.157026, 0.227623, 0.324684, 0.658912, 0.829718, 1.005473", \
"0.157526, 0.227438, 0.324894, 0.658637, 0.828641, 1.005080", \
"0.157619, 0.227797, 0.324584, 0.658331, 0.831223, 1.007069");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.719635, 1.764832, 1.817511, 1.962996, 2.030945, 2.097831", \
"1.663699, 1.708905, 1.761912, 1.907648, 1.975346, 2.042082", \
"1.881697, 1.926922, 1.980086, 2.125353, 2.193105, 2.259875");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.089076, 0.142782, 0.212121, 0.436974, 0.550577, 0.665644", \
"0.089120, 0.143006, 0.212428, 0.436534, 0.550560, 0.665791", \
"0.089247, 0.142715, 0.212276, 0.437071, 0.551755, 0.668593");
}
}
}
pin ("DVDD") {
direction : "inout";
capacitance : 0;
}
pin ("DVSS") {
direction : "inout";
capacitance : 0;
}
pin ("VDD") {
direction : "inout";
capacitance : 0;
}
pin ("VSS") {
direction : "inout";
capacitance : 0;
}
}