blob: 8f18cc24986ed3353cb529fed72da29f6f59149e [file] [log] [blame]
/*
* Copyright 2022 GlobalFoundries PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
cell ("gf180mcu_fd_io__bi_24t") {
scaling_factors : "gf_factors";
area : 26250.000000;
pad_cell : true;
dont_use : true;
dont_touch : true;
cell_leakage_power : 1.557780e-01;
leakage_power () {
when : "!CS !SL !IE !OE !PU !PD !A !PAD";
value : "0.155778";
}
pin ("CS") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.023491;
rise_capacitance_range(0.022876,0.023945);
capacitance : 0.023230;
fall_capacitance : 0.022970;
fall_capacitance_range(0.022294,0.024072);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("2.049669, 4.053040, 4.333938");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("2.387020, 3.614469, 3.986383");
}
}
}
pin ("SL") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.032188;
rise_capacitance_range(0.031877,0.032403);
capacitance : 0.032031;
fall_capacitance : 0.031874;
fall_capacitance_range(0.031277,0.032560);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("1.296528, 4.250122, 4.474556");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("1.884133, 4.378234, 4.693906");
}
}
}
pin ("IE") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.015294;
rise_capacitance_range(0.014483,0.015850);
capacitance : 0.014967;
fall_capacitance : 0.014639;
fall_capacitance_range(0.013911,0.015632);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("1.703529, 2.494901, 2.753800");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("1.682084, 2.361677, 2.668782");
}
}
}
pin ("OE") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.095356;
rise_capacitance_range(0.087654,0.100306);
capacitance : 0.094890;
fall_capacitance : 0.092560;
fall_capacitance_range(0.089278,0.095583);
}
pin ("PU") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.086860;
rise_capacitance_range(0.069063,0.099650);
capacitance : 0.087376;
fall_capacitance : 0.087892;
fall_capacitance_range(0.064730,0.103103);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("-0.369058, 1.143671, 1.593133");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("3.157205, 4.709738, 5.535324");
}
}
}
pin ("PD") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.049473;
rise_capacitance_range(0.046882,0.052440);
capacitance : 0.050381;
fall_capacitance : 0.051288;
fall_capacitance_range(0.049287,0.052486);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.588362, 2.877478, 3.207546");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("3.033440, 4.260394, 4.836251");
}
}
}
pin ("A") {
max_transition : 1.000000;
direction : "input";
input_signal_level : "VDD";
rise_capacitance : 0.037922;
rise_capacitance_range(0.036072,0.038988);
capacitance : 0.037955;
fall_capacitance : 0.037987;
fall_capacitance_range(0.036791,0.038899);
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("-0.356927, -0.335778, -0.349379");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("0.425006, 0.445399, 0.415053");
}
}
}
pin ("PAD") {
drive_current : 24000.000000;
is_pad : true;
function : "((A))";
three_state : "((!OE))";
direction : "inout";
max_transition : 1.000000;
max_capacitance : 50.000000;
input_signal_level : "DVDD";
output_signal_level : "DVDD";
capacitance : 3.359781;
internal_power () {
related_pin : "A";
when : "!IE&OE&!SL";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("156.500127, 158.222427, 159.188827, 157.409027, 156.123327, 154.354727", \
"155.979978, 159.701978, 159.598678, 157.436978, 156.181478, 154.169578", \
"156.784079, 158.126679, 159.412079, 157.954479, 156.523879, 154.919979");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("163.844494, 171.116594, 173.961094, 172.682794, 175.702494, 175.054594", \
"164.138601, 171.292601, 174.128101, 172.908701, 176.124701, 175.193601", \
"163.987447, 171.664547, 172.929347, 174.412847, 174.843647, 176.091747");
}
}
internal_power () {
related_pin : "A";
when : "!IE&OE&SL";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("131.882827, 148.194127, 154.340527, 153.629827, 152.820827, 150.660427", \
"131.834778, 148.954878, 154.857478, 154.475878, 153.058278, 149.463978", \
"132.195779, 148.989479, 151.544979, 152.566879, 152.153779, 151.534479");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("150.047894, 159.640894, 165.785194, 168.913194, 164.862694, 168.202794", \
"149.897101, 159.507201, 166.676601, 168.401501, 164.463901, 168.425101", \
"149.965547, 160.796547, 164.593947, 166.427347, 166.892447, 166.322147");
}
}
internal_power () {
related_pin : "OE";
when : "A&!IE&!SL";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("143.762769, 142.772169, 142.604569, 140.873569, 138.826469, 136.235469", \
"93.358170, 93.595070, 92.737870, 89.629270, 88.573270, 86.116570", \
"90.868040, 91.703340, 90.123340, 88.056340, 86.249440, 84.605040");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("31.793966, 38.945956, 41.688266, 43.192586, 44.126006, 44.775716", \
"5.713790, 13.898480, 17.702550, 19.861560, 21.211050, 22.021420", \
"5.722360, 15.024740, 18.594740, 19.200100, 19.865600, 19.822680");
}
}
internal_power () {
related_pin : "OE";
when : "A&!IE&SL";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("119.262069, 133.584369, 135.574269, 135.642869, 134.195069, 132.976469", \
"69.015070, 82.989370, 87.759570, 85.974170, 84.754370, 82.400270", \
"67.221140, 81.401940, 85.940340, 83.966640, 82.577140, 81.028440");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("31.840316, 38.921096, 41.757616, 43.361416, 44.295556, 44.869776", \
"5.916920, 14.480040, 16.783540, 19.522130, 20.461920, 21.124810", \
"5.941650, 14.485630, 17.928100, 19.500110, 20.697250, 21.421100");
}
}
internal_power () {
related_pin : "OE";
when : "!A&!IE&!SL";
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("113.049769, 118.883969, 119.448169, 119.614469, 119.709069, 119.692669", \
"64.699970, 70.737770, 71.472370, 70.811870, 71.840070, 71.653670", \
"63.843840, 69.877440, 70.449140, 70.563240, 70.683140, 70.842740");
}
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("28.838556, -274.451034, -577.212734, -879.848834, -1182.430334, -1484.986334", \
"0.013610, -303.117150, -605.900850, -908.571950, -1211.175950, -1513.746950", \
"-0.035920, -304.761100, -606.802800, -908.483000, -1210.688800, -1513.021800");
}
}
internal_power () {
related_pin : "OE";
when : "!A&!IE&SL";
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("101.267869, 107.079769, 110.431969, 113.005369, 113.665069, 113.453869", \
"52.659370, 58.656570, 62.110570, 64.600470, 65.462270, 65.352070", \
"51.857540, 57.806640, 61.390040, 63.516340, 64.589540, 65.301240");
}
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("28.900136, -274.387834, -577.155334, -879.793434, -1182.378334, -1484.935334", \
"0.537230, -302.481850, -605.226950, -907.869850, -1210.460950, -1513.022950", \
"0.232780, -303.198100, -606.112700, -908.830600, -1211.454800, -1514.031800");
}
}
internal_power () {
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("288.382954, 306.416554, 313.529354, 311.038854, 308.944154, 305.015154", \
"287.814756, 308.656856, 314.456156, 311.912856, 309.239756, 303.633556", \
"288.979858, 307.116158, 310.957058, 310.521358, 308.677658, 306.454458");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("313.892388, 330.757488, 339.746288, 341.595988, 340.565188, 343.257388", \
"314.035702, 330.799802, 340.804702, 341.310202, 340.588602, 343.618702", \
"313.952994, 332.461094, 337.523294, 340.840194, 341.736094, 342.413894");
}
}
internal_power () {
related_pin : "OE";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("160.381765, -136.241165, -438.094615, -741.562915, -1045.893565, -1350.354865", \
"81.462040, -214.507280, -515.315180, -820.419180, -1124.154630, -1429.126530", \
"79.143020, -217.426960, -518.425910, -822.645310, -1126.658510, -1430.710060");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 10, 20, 30, 40, 50");
values("138.975960, 151.915395, 156.663010, 159.586920, 160.897850, 161.396015", \
"64.495025, 78.886430, 84.034515, 87.398015, 89.487655, 90.075985", \
"63.682695, 78.597225, 84.181010, 86.389895, 87.917765, 88.693880");
}
}
timing () {
related_pin : "A";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "!IE&OE&!SL";
sdf_cond : "IE==1'b0&&OE==1'b1&&SL==1'b0";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("1.766164, 2.083804, 2.304520, 2.511726, 2.716813, 2.920315", \
"1.804842, 2.121897, 2.343452, 2.550958, 2.754113, 2.957774", \
"1.808030, 2.125756, 2.346420, 2.553546, 2.758793, 2.962257");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("0.455673, 0.803568, 1.192048, 1.604991, 2.024166, 2.450494", \
"0.454387, 0.805291, 1.192671, 1.603541, 2.025971, 2.456467", \
"0.455417, 0.803459, 1.191825, 1.605405, 2.021409, 2.453939");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("1.816904, 2.120305, 2.356320, 2.579439, 2.797998, 3.014816", \
"1.954191, 2.257601, 2.493605, 2.716722, 2.935308, 3.152141", \
"2.106932, 2.410290, 2.646236, 2.869329, 3.088211, 3.305542");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("0.306797, 0.711652, 1.103313, 1.504765, 1.916351, 2.323035", \
"0.306810, 0.711645, 1.103233, 1.504477, 1.916528, 2.323731", \
"0.307197, 0.711980, 1.102491, 1.505736, 1.913938, 2.329119");
}
}
timing () {
related_pin : "A";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "!IE&OE&SL";
sdf_cond : "IE==1'b0&&OE==1'b1&&SL==1'b1";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("1.705066, 2.330031, 2.796567, 3.153535, 3.453962, 3.720440", \
"1.744309, 2.369028, 2.835652, 3.192569, 3.492884, 3.759983", \
"1.747301, 2.372280, 2.838783, 3.196138, 3.495155, 3.761510");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("0.562179, 1.459146, 2.004591, 2.451978, 2.856834, 3.252411", \
"0.562463, 1.458854, 2.004909, 2.451972, 2.857284, 3.251738", \
"0.562705, 1.458425, 2.005411, 2.450128, 2.856984, 3.246262");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("1.803384, 2.253791, 2.651586, 3.003894, 3.322579, 3.617526", \
"1.940584, 2.390983, 2.788768, 3.141081, 3.459768, 3.754685", \
"2.094543, 2.544761, 2.942325, 3.295937, 3.614917, 3.908964");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("0.353021, 1.093895, 1.674365, 2.168538, 2.624808, 3.057540", \
"0.352974, 1.094295, 1.674405, 2.168619, 2.624855, 3.057620", \
"0.352643, 1.095565, 1.673237, 2.167777, 2.626902, 3.057531");
}
}
timing () {
related_pin : "OE";
timing_sense : "positive_unate";
when : "A&!IE&!SL";
sdf_cond : "A==1'b1&&IE==1'b0&&SL==1'b0";
timing_type : "three_state_enable_rise";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("1.520750, 1.840875, 2.061242, 2.268138, 2.472391, 2.674690", \
"1.533255, 1.853854, 2.075125, 2.281995, 2.486138, 2.689533", \
"1.520027, 1.841036, 2.062335, 2.268944, 2.473496, 2.676201");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("0.470297, 0.818838, 1.199791, 1.605431, 2.029340, 2.457178", \
"0.468985, 0.820379, 1.202502, 1.611001, 2.027069, 2.454929", \
"0.467500, 0.820794, 1.202135, 1.609450, 2.031086, 2.455740");
}
}
timing () {
related_pin : "OE";
timing_sense : "positive_unate";
when : "A&!IE&SL";
sdf_cond : "A==1'b1&&IE==1'b0&&SL==1'b1";
timing_type : "three_state_enable_rise";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("1.464988, 2.081559, 2.547339, 2.906325, 3.205857, 3.472135", \
"1.478797, 2.094981, 2.560967, 2.918574, 3.218626, 3.486051", \
"1.467121, 2.083038, 2.548338, 2.906375, 3.206300, 3.473239");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("0.570762, 1.462215, 2.014575, 2.461767, 2.866710, 3.256625", \
"0.572700, 1.462403, 2.012555, 2.460087, 2.870939, 3.260897", \
"0.574490, 1.459735, 2.010922, 2.459686, 2.868751, 3.257312");
}
}
timing () {
related_pin : "OE";
timing_sense : "positive_unate";
when : "!A&!IE&!SL";
sdf_cond : "A==1'b0&&IE==1'b0&&SL==1'b0";
timing_type : "three_state_enable_fall";
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("1.083427, 1.395487, 1.631840, 1.854460, 2.072845, 2.289784", \
"1.121671, 1.434060, 1.670331, 1.892764, 2.111377, 2.327777", \
"1.126715, 1.438689, 1.674990, 1.897622, 2.116039, 2.332950");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("0.330951, 0.729758, 1.117987, 1.516073, 1.920767, 2.334663", \
"0.330792, 0.731437, 1.119706, 1.516316, 1.923795, 2.331274", \
"0.329987, 0.729459, 1.117745, 1.516594, 1.921556, 2.335169");
}
}
timing () {
related_pin : "OE";
timing_sense : "positive_unate";
when : "!A&!IE&SL";
sdf_cond : "A==1'b0&&IE==1'b0&&SL==1'b1";
timing_type : "three_state_enable_fall";
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("1.078358, 1.521217, 1.911680, 2.259849, 2.576073, 2.869625", \
"1.116841, 1.559685, 1.950016, 2.298517, 2.614809, 2.908596", \
"1.121407, 1.564314, 1.954819, 2.302998, 2.619035, 2.911887");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("0.375606, 1.090709, 1.661607, 2.156403, 2.612388, 3.045275", \
"0.376203, 1.091302, 1.662165, 2.158630, 2.612257, 3.047913", \
"0.377109, 1.091425, 1.663667, 2.160068, 2.613522, 3.044216");
}
}
timing () {
related_pin : "OE";
timing_sense : "negative_unate";
when : "!A&!IE&!SL";
sdf_cond : "A==1'b0&&IE==1'b0&&SL==1'b0";
timing_type : "three_state_disable_rise";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("0.903942, 0.903943, 0.903944, 0.903945, 0.903946, 0.903947", \
"1.039122, 1.039123, 1.039124, 1.039125, 1.039126, 1.039127", \
"1.194552, 1.195238, 1.195239, 1.195240, 1.195241, 1.195242");
}
rise_transition (scalar) {
values("0.000000");
}
}
timing () {
related_pin : "OE";
timing_sense : "negative_unate";
when : "!A&!IE&SL";
sdf_cond : "A==1'b0&&IE==1'b0&&SL==1'b1";
timing_type : "three_state_disable_rise";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("0.890743, 0.890744, 0.890745, 0.890746, 0.890747, 0.890748", \
"1.026284, 1.026285, 1.026286, 1.026287, 1.026288, 1.026289", \
"1.182842, 1.182843, 1.182844, 1.182845, 1.182846, 1.182847");
}
rise_transition (scalar) {
values("0.000000");
}
}
timing () {
related_pin : "OE";
timing_sense : "negative_unate";
when : "A&!IE&!SL";
sdf_cond : "A==1'b1&&IE==1'b0&&SL==1'b0";
timing_type : "three_state_disable_fall";
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("1.138189, 1.138190, 1.138191, 1.138192, 1.138193, 1.138194", \
"1.278145, 1.278146, 1.278147, 1.278148, 1.278149, 1.278150", \
"1.440185, 1.440186, 1.440187, 1.440188, 1.440189, 1.440190");
}
fall_transition (scalar) {
values("0.000000");
}
}
timing () {
related_pin : "OE";
timing_sense : "negative_unate";
when : "A&!IE&SL";
sdf_cond : "A==1'b1&&IE==1'b0&&SL==1'b1";
timing_type : "three_state_disable_fall";
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("3.359781, 13.359781, 23.359781, 33.359781, 43.359781, 53.359781");
values("1.142798, 1.142799, 1.142800, 1.142801, 1.142802, 1.142803", \
"1.281503, 1.281504, 1.281505, 1.281506, 1.281507, 1.281508", \
"1.441185, 1.441186, 1.441187, 1.441188, 1.441189, 1.441190");
}
fall_transition (scalar) {
values("0.000000");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("-67.275780, -67.542550, -67.679920");
}
fall_power ("power_inputs_1") {
index_1("0.080000, 0.500000, 1.000000");
values("67.508890, 68.629770, 67.645100");
}
}
}
pin ("Y") {
function : "((IE*PAD))";
direction : "output";
max_capacitance : 0.500000;
output_signal_level : "VDD";
internal_power () {
related_pin : "IE";
when : "!CS&!OE&PAD";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("6.112955, 6.062452, 5.846013, 5.592402, 5.442389, 5.355712", \
"5.533795, 5.295231, 5.161788, 4.799702, 4.825630, 4.713570", \
"5.507994, 5.326292, 5.403880, 4.782998, 4.875780, 4.705647");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("6.920416, 6.857987, 6.842293, 6.936178, 6.899858, 7.329243", \
"6.309164, 6.202240, 6.368876, 6.265963, 6.147249, 6.574666", \
"6.418351, 6.338244, 6.245384, 6.588813, 6.096323, 6.936412");
}
}
internal_power () {
related_pin : "IE";
when : "CS&!OE&PAD";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("6.916073, 6.733015, 6.553599, 6.371612, 6.314652, 6.111767", \
"6.233475, 6.079374, 5.899374, 5.765027, 5.565799, 5.514109", \
"6.268792, 6.062269, 5.890439, 5.833443, 5.606963, 5.464167");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("7.182675, 7.345109, 7.273753, 7.818349, 7.948455, 7.894771", \
"6.681417, 6.885044, 6.729692, 7.366164, 7.436064, 7.318502", \
"6.686626, 6.871509, 7.041110, 7.351488, 7.102208, 7.286806");
}
}
internal_power () {
related_pin : "PAD";
when : "!CS&IE&!OE";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("4.494870, 4.286870, 4.112650, 3.780680, 3.696110, 3.627300", \
"5.000680, 4.789480, 4.601020, 4.271550, 4.181780, 4.094750", \
"5.374660, 5.118920, 4.878180, 4.650230, 4.555650, 4.421730");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("4.454650, 4.434640, 4.526210, 4.706920, 4.752040, 4.792320", \
"4.371180, 4.363360, 4.442690, 4.626850, 4.671190, 4.706940", \
"4.579070, 4.571140, 4.652930, 4.833710, 4.880120, 4.914660");
}
}
internal_power () {
related_pin : "PAD";
when : "CS&IE&!OE";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("4.552870, 4.343850, 4.154600, 3.841290, 3.743110, 3.628780", \
"6.125750, 5.921190, 5.726530, 5.419470, 5.324080, 5.252050", \
"6.837140, 6.626830, 6.436020, 6.115960, 6.019880, 5.937610");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("6.180780, 6.204970, 6.371780, 6.828010, 6.975980, 7.083010", \
"5.142320, 5.157300, 5.341610, 5.797260, 5.946400, 6.062870", \
"6.449970, 6.457100, 6.647070, 7.101510, 7.245140, 7.350080");
}
}
internal_power () {
related_pin : "IE";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("6.514514, 6.397734, 6.199806, 5.982007, 5.878521, 5.733740", \
"5.883635, 5.687302, 5.530581, 5.282364, 5.195715, 5.113840", \
"5.888393, 5.694280, 5.647159, 5.308221, 5.241371, 5.084907");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("7.051545, 7.101548, 7.058023, 7.377263, 7.424157, 7.612007", \
"6.495290, 6.543642, 6.549284, 6.816064, 6.791657, 6.946584", \
"6.552489, 6.604876, 6.643247, 6.970150, 6.599265, 7.111609");
}
}
internal_power () {
related_pin : "PAD";
rise_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("4.523870, 4.315360, 4.133625, 3.810985, 3.719610, 3.628040", \
"5.563215, 5.355335, 5.163775, 4.845510, 4.752930, 4.673400", \
"6.105900, 5.872875, 5.657100, 5.383095, 5.287765, 5.179670");
}
fall_power ("power_outputs_1") {
index_1("0.08, 0.5, 1");
index_2("0, 0.04, 0.1, 0.3, 0.4, 0.5");
values("5.317715, 5.319805, 5.448995, 5.767465, 5.864010, 5.937665", \
"4.756750, 4.760330, 4.892150, 5.212055, 5.308795, 5.384905", \
"5.514520, 5.514120, 5.650000, 5.967610, 6.062630, 6.132370");
}
}
timing () {
related_pin : "IE";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "!CS&!OE&PAD";
sdf_cond : "CS==1'b0&&OE==1'b0&&PAD==1'b1";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.280581, 1.321067, 1.369888, 1.510750, 1.577982, 1.645109", \
"1.342959, 1.383675, 1.432797, 1.573333, 1.640642, 1.707841", \
"1.375893, 1.416642, 1.465819, 1.606124, 1.673600, 1.740936");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.192903, 0.231524, 0.306803, 0.570518, 0.707657, 0.846696", \
"0.186336, 0.234834, 0.305690, 0.569696, 0.708339, 0.847798", \
"0.186397, 0.233913, 0.305870, 0.570761, 0.706801, 0.848901");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.013342, 1.049760, 1.094855, 1.224030, 1.284065, 1.343811", \
"1.139583, 1.176037, 1.221154, 1.350086, 1.410453, 1.470085", \
"1.271504, 1.308017, 1.353133, 1.482095, 1.542423, 1.602096");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.090710, 0.137339, 0.203800, 0.419047, 0.529820, 0.640506", \
"0.089814, 0.138173, 0.203551, 0.419406, 0.527874, 0.640136", \
"0.090360, 0.138409, 0.203534, 0.418260, 0.529648, 0.638216");
}
}
timing () {
related_pin : "IE";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "CS&!OE&PAD";
sdf_cond : "CS==1'b1&&OE==1'b0&&PAD==1'b1";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.349579, 1.390670, 1.438814, 1.579662, 1.646898, 1.714029", \
"1.412774, 1.453159, 1.501931, 1.642789, 1.710033, 1.777151", \
"1.445426, 1.485878, 1.534613, 1.675604, 1.742882, 1.810047");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.187903, 0.233981, 0.306499, 0.570306, 0.708125, 0.846522", \
"0.191662, 0.231369, 0.306434, 0.570108, 0.706945, 0.847668", \
"0.190805, 0.230972, 0.306027, 0.570114, 0.707153, 0.846898");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.055868, 1.092379, 1.137284, 1.266083, 1.326516, 1.386250", \
"1.181986, 1.218414, 1.263358, 1.392184, 1.452590, 1.512335", \
"1.313788, 1.350189, 1.395321, 1.524210, 1.584578, 1.644164");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.090799, 0.138458, 0.203670, 0.419851, 0.529062, 0.639228", \
"0.090641, 0.138648, 0.203804, 0.419886, 0.528693, 0.639151", \
"0.090699, 0.138169, 0.204507, 0.420082, 0.529907, 0.640360");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "!CS&IE&!OE";
sdf_cond : "CS==1'b0&&IE==1'b1&&OE==1'b0";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.922713, 0.963340, 1.012262, 1.152373, 1.219596, 1.286912", \
"0.954916, 0.995058, 1.043716, 1.183849, 1.251490, 1.319442", \
"0.919914, 0.960552, 1.008646, 1.148790, 1.216181, 1.284248");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.186420, 0.230629, 0.304416, 0.568639, 0.708324, 0.850501", \
"0.186404, 0.230870, 0.303056, 0.570065, 0.707329, 0.849461", \
"0.186972, 0.230299, 0.303710, 0.568916, 0.707562, 0.848105");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.739461, 0.776049, 0.820881, 0.950112, 1.010103, 1.069739", \
"0.861286, 0.897732, 0.942861, 1.071373, 1.131999, 1.191727", \
"1.079913, 1.116290, 1.161297, 1.290055, 1.350431, 1.410254");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.090578, 0.138458, 0.202647, 0.418931, 0.528091, 0.638414", \
"0.090193, 0.138247, 0.203951, 0.419584, 0.529398, 0.638921", \
"0.091398, 0.138104, 0.203287, 0.419688, 0.529517, 0.638779");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "CS&IE&!OE";
sdf_cond : "CS==1'b1&&IE==1'b1&&OE==1'b0";
cell_rise ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.016249, 1.056140, 1.104640, 1.245232, 1.312738, 1.380132", \
"1.094189, 1.135033, 1.183381, 1.323427, 1.390778, 1.458115", \
"1.118186, 1.158533, 1.207016, 1.347844, 1.415473, 1.482506");
}
rise_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.186942, 0.230392, 0.303540, 0.569203, 0.709823, 0.847059", \
"0.186351, 0.230061, 0.303056, 0.568606, 0.707425, 0.848190", \
"0.186711, 0.230464, 0.303393, 0.569554, 0.707594, 0.848631");
}
cell_fall ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("1.115312, 1.151738, 1.196280, 1.325291, 1.385326, 1.445267", \
"1.194702, 1.231091, 1.275594, 1.403992, 1.464778, 1.524511", \
"1.432796, 1.469150, 1.513969, 1.642509, 1.702977, 1.762095");
}
fall_transition ("del_1_3_6") {
index_1("0.080000, 0.500000, 1.000000");
index_2("0.000000, 0.040000, 0.100000, 0.300000, 0.400000, 0.500000");
values("0.093137, 0.140370, 0.204737, 0.422063, 0.529845, 0.640286", \
"0.093378, 0.140460, 0.205502, 0.420898, 0.529652, 0.640008", \
"0.092726, 0.140434, 0.204669, 0.420871, 0.530587, 0.639652");
}
}
}
pin ("DVDD") {
direction : "inout";
capacitance : 0;
}
pin ("DVSS") {
direction : "inout";
capacitance : 0;
}
pin ("VDD") {
direction : "inout";
capacitance : 0;
}
pin ("VSS") {
direction : "inout";
capacitance : 0;
}
}