Initial version of SRAM for the GF180MCU PDK.

Signed-off-by: Tim 'mithro' Ansell <tansell@google.com>
Signed-off-by: Karthik Chandrasekaran <karthik.chandrasekaran@globalfoundries.com>
Signed-off-by: Mohamed Kassem<mkk@efabless.com>
diff --git a/AUTHORS b/AUTHORS
new file mode 100644
index 0000000..0dc06d5
--- /dev/null
+++ b/AUTHORS
@@ -0,0 +1,22 @@
+# This is the list of GlobalFoundries PDK's significant contributors.
+#
+# This does not necessarily list everyone who has contributed code,
+# especially since many employees of one corporation may be contributing.
+# To see the full list of contributors, see the revision history in
+# source control.
+
+# Companies
+Google LLC
+GlobalFoundries
+Efabless Corporation
+Mabrains LLC
+
+# Individuals
+tansell@google.com, me@mith.ro (Tim 'mithro' Ansell)
+proppy@google.com (Johan 'proppy' Euphrosine)
+tim@efabless.com (Tim Edwards)
+mkk@efabless.com (Mohamed Kassem)
+stefan.schippers@gmail.com (Stefan Schippers)
+amro_tork@mabrains.com (Amro Tork)
+farag_agoor@mabrains.com (Farag Agoor)
+mohanad_mohamed@mabrains.com (Mohanad Mohammed)
diff --git a/README.rst b/README.rst
new file mode 100644
index 0000000..f60916d
--- /dev/null
+++ b/README.rst
@@ -0,0 +1,28 @@
+GlobalFoundries 180um SRAM macros
+=================================
+
+This repository contains the "SRAM macros" as part of
+`Google's open source PDK for GlobalFoundries 180nm MCU process node <https://github.com/google/gf180mcu-pdk>`_.
+
+License
+=======
+
+The GF180MCU PDK is released under the `Apache 2.0 license <https://github.com/google/skywater-pdk/blob/master/LICENSE>`_.
+
+The copyright details (which should also be found at the top of every file) are;
+
+::
+
+   Copyright 2022 GlobalFoundries PDK Authors
+
+   Licensed under the Apache License, Version 2.0 (the "License");
+   you may not use this file except in compliance with the License.
+   You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.cdl b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.cdl
new file mode 100644
index 0000000..61921e7
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.cdl
@@ -0,0 +1,2728 @@
+* Copyright 2022 GlobalFoundries PDK Authors
+*
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     http://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+**************************************
+* Revision: 1.0
+**************************************
+
+*.SCALE METER
+
+.SUBCKT M1_PSUB_I03
+** N=2765 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I02
+** N=2077 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$47122476
+** N=5 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I08
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I04 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=6.81e-06 AD=2.9964e-12 AS=2.9964e-12 PD=1.45e-05 PS=1.45e-05 NRD=0.0646109 NRS=0.0646109 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46889004 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+X0 1 2 3 4 pmos_5p0_I04 $T=-155 0 0 0 $X=-1195 $Y=-620
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I04 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=6.81e-06 AD=2.9964e-12 AS=2.9964e-12 PD=1.45e-05 PS=1.45e-05 NRD=0.0646109 NRS=0.0646109 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47119404 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+X0 1 2 3 4 nmos_5p0_I04 $T=-155 0 0 0 $X=-835 $Y=-620
+.ENDS
+***************************************
+.SUBCKT ypass_gate vss 3 b d bb db ypass pcb vdd
+** N=26 EP=9 IP=25 FDC=5
+*.SEEDPROM
+X2 bb b pcb vdd pmos_5p0_I04 $T=1240 50985 1 0 $X=200 $Y=43555
+X3 bb db 3 vdd pmos_5p0_I04 $T=1250 43050 1 0 $X=210 $Y=35620
+X4 b d 3 vdd pmos_1p2$$46889004 $T=1405 15300 1 0 $X=-25 $Y=7790
+X5 b d ypass vss nmos_1p2$$47119404 $T=1405 24575 1 0 $X=260 $Y=17090
+X6 bb db ypass vss nmos_1p2$$47119404 $T=1405 34595 1 0 $X=260 $Y=27110
+.ENDS
+***************************************
+.SUBCKT mux821 1 2 3 4 5 6 7 8 9 13 14 15 16 17 18 19 20 21 22 23
++ 24 25 26 27 28 29 30 31 32 33 42 43 44 45 46 47 48
+** N=86 EP=37 IP=165 FDC=48
+*.SEEDPROM
+M0 13 42 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=1510 $Y=2370 $D=2
+M1 16 43 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=3750 $Y=2370 $D=2
+M2 19 44 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=7705 $Y=2370 $D=2
+M3 22 45 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=9945 $Y=2370 $D=2
+M4 25 46 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=13895 $Y=2370 $D=2
+M5 28 47 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=16135 $Y=2370 $D=2
+M6 31 48 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=20090 $Y=2370 $D=2
+M7 2 9 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=22330 $Y=2370 $D=2
+X10 5 3 7 8 pmos_5p0_I04 $T=23310 51440 1 0 $X=22270 $Y=44010
+X11 5 6 2 8 pmos_5p0_I04 $T=23320 43505 1 0 $X=22280 $Y=36075
+X12 3 4 2 8 pmos_1p2$$46889004 $T=23475 15755 1 0 $X=22045 $Y=8245
+X13 3 4 9 1 nmos_1p2$$47119404 $T=23475 25030 1 0 $X=22330 $Y=17545
+X14 5 6 9 1 nmos_1p2$$47119404 $T=23475 35050 1 0 $X=22330 $Y=27565
+X15 1 13 15 4 14 6 42 7 8 ypass_gate $T=3490 455 1 180 $X=-1160 $Y=0
+X16 1 16 18 4 17 6 43 7 8 ypass_gate $T=3490 455 0 0 $X=2385 $Y=0
+X17 1 19 21 4 20 6 44 7 8 ypass_gate $T=9685 455 1 180 $X=5035 $Y=0
+X18 1 22 24 4 23 6 45 7 8 ypass_gate $T=9685 455 0 0 $X=8580 $Y=0
+X19 1 25 27 4 26 6 46 7 8 ypass_gate $T=15875 455 1 180 $X=11225 $Y=0
+X20 1 28 30 4 29 6 47 7 8 ypass_gate $T=15875 455 0 0 $X=14770 $Y=0
+X21 1 31 33 4 32 6 48 7 8 ypass_gate $T=22070 455 1 180 $X=17420 $Y=0
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I02
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I14
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I13
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$202587180
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I06
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I15 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=3.42e-06 AD=8.892e-13 AS=1.5048e-12 PD=4.46e-06 PS=8.6e-06 NRD=0.304094 NRS=0.51462 m=1 nf=2 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I14
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$202595372
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$202586156
+** N=3 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$202596396
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I08
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I01
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT wen_wm1 vss wep 3 4 5 6 7 8 9 10 11 12 13 men vdd wen GWEN 18 19
+** N=43 EP=19 IP=113 FDC=31
+M0 3 wen vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=1765 $Y=5060 $D=2
+M1 6 men vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=3.562e-13 AS=6.028e-13 PD=1.89e-06 PS=3.62e-06 NRD=0.189781 NRS=0.321168 m=1 nf=1 $X=1765 $Y=8905 $D=2
+M2 vss GWEN 3 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=2885 $Y=5060 $D=2
+M3 vss vss 6 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=3.562e-13 PD=3.62e-06 PS=1.89e-06 NRD=0.321168 NRS=0.189781 m=1 nf=1 $X=2885 $Y=8905 $D=2
+M4 4 3 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5125 $Y=4650 $D=2
+M5 5 6 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5125 $Y=9315 $D=2
+M6 9 6 4 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=7660 $Y=8385 $D=2
+M7 7 10 vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=6.028e-13 PD=3.62e-06 PS=3.62e-06 NRD=0.321168 NRS=0.321168 m=1 nf=1 $X=8920 $Y=4240 $D=2
+M8 11 5 9 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=9970 $Y=9700 $D=2
+M9 vss 12 11 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=11090 $Y=9700 $D=2
+M10 vss 9 12 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=13330 $Y=9700 $D=2
+M11 13 12 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=14450 $Y=9700 $D=2
+M12 wep 7 vss vss nmos_5p0 L=6e-07 W=2.4e-06 AD=7.68e-13 AS=7.68e-13 PD=5.12e-06 PS=5.12e-06 NRD=1.2 NRS=1.2 m=1 nf=3 $X=12720 $Y=4810 $D=2
+M13 vss 13 8 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=6.028e-13 PD=3.62e-06 PS=3.62e-06 NRD=0.321168 NRS=0.321168 m=1 nf=1 $X=17810 $Y=9290 $D=2
+M14 men 8 10 vss nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=20050 $Y=8385 $D=2
+M15 vss 13 10 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=22290 $Y=8385 $D=2
+M16 18 wen vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=1765 $Y=600 $D=8
+M17 19 men vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=1765 $Y=12055 $D=8
+M18 3 GWEN 18 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=2885 $Y=600 $D=8
+M19 6 vss 19 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=2885 $Y=12055 $D=8
+M20 4 3 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5125 $Y=600 $D=8
+M21 5 6 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5125 $Y=12055 $D=8
+M22 9 5 4 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=1.17084e-12 AS=9.988e-13 PD=4.78598e-06 PS=5.42e-06 NRD=0.22722 NRS=0.193833 m=1 nf=1 $X=7660 $Y=12055 $D=8
+M23 11 6 9 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.87097e-13 AS=-6.48697e-13 PD=-2.78573e-06 PS=-2.70573e-06 NRD=-0.745548 NRS=-0.703882 m=1 nf=1 $X=9395 $Y=12055 $D=8
+M24 vdd 12 11 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.14386e-12 PD=5.42e-06 PS=4.72975e-06 NRD=0.193833 NRS=0.221983 m=1 nf=1 $X=11090 $Y=12055 $D=8
+M25 vdd 9 12 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=13330 $Y=12055 $D=8
+M26 13 12 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=14450 $Y=12055 $D=8
+M27 wep 7 vdd vdd pmos_5p0 L=6e-07 W=6e-06 AD=1.92e-12 AS=1.92e-12 PD=9.92e-06 PS=9.92e-06 NRD=0.48 NRS=0.48 m=1 nf=3 $X=12720 $Y=870 $D=8
+M28 men 13 10 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=20050 $Y=12055 $D=8
+X48 vdd 7 10 pmos_5p0_I15 $T=8920 2870 1 0 $X=7880 $Y=540
+X49 vdd 8 13 pmos_5p0_I15 $T=16690 12625 0 0 $X=15650 $Y=12005
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$44997676
+** N=7 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I12
+** N=6 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46286892
+** N=5 EP=0 IP=6 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I17 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=1.135e-05 AD=3.3596e-12 AS=3.3596e-12 PD=1.658e-05 PS=1.658e-05 NRD=0.651982 NRS=0.651982 m=1 nf=5 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I09 1 2 3 4 5
+** N=6 EP=5 IP=0 FDC=2
+M0 2 4 1 2 pmos_5p0 L=6e-07 W=1.2e-06 AD=3.12e-13 AS=5.28e-13 PD=1.72e-06 PS=3.28e-06 NRD=0.216667 NRS=0.366667 m=1 nf=1 $X=0 $Y=0 $D=8
+M1 3 5 2 2 pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=3.12e-13 PD=3.28e-06 PS=1.72e-06 NRD=0.366667 NRS=0.216667 m=1 nf=1 $X=1120 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I05 1 2 3 4 5
+** N=5 EP=5 IP=0 FDC=2
+M0 2 4 1 2 nmos_5p0 L=6e-07 W=6e-07 AD=1.56e-13 AS=2.64e-13 PD=1.12e-06 PS=2.08e-06 NRD=0.433333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+M1 3 5 2 2 nmos_5p0 L=6e-07 W=6e-07 AD=2.64e-13 AS=1.56e-13 PD=2.08e-06 PS=1.12e-06 NRD=0.733333 NRS=0.433333 m=1 nf=1 $X=1120 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46285868
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46281772
+** N=5 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I03
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I16 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I12
+** N=6 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT sacntl_2 vss 2 pcb 4 5 6 7 8 9 10 11 18 19 20 21 22 23 24 25 26
++ se vdd men
+** N=54 EP=23 IP=83 FDC=39
+M0 2 11 vss vss nmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=795 $Y=26115 $D=2
+M1 4 men vss vss nmos_5p0 L=6e-07 W=5.7e-06 AD=1.6872e-12 AS=1.6872e-12 PD=9.8e-06 PS=9.8e-06 NRD=1.29825 NRS=1.29825 m=1 nf=5 $X=855 $Y=4275 $D=2
+M2 vss 10 pcb vss nmos_5p0 L=6e-07 W=1.589e-05 AD=4.54e-12 AS=4.54e-12 PD=2.216e-05 PS=2.216e-05 NRD=0.881057 NRS=0.881057 m=1 nf=7 $X=1950 $Y=9235 $D=2
+M3 5 4 vss vss nmos_5p0 L=6e-07 W=2.86e-06 AD=7.436e-13 AS=1.2584e-12 PD=3.38e-06 PS=6.6e-06 NRD=0.0909091 NRS=0.153846 m=1 nf=1 $X=10910 $Y=8645 $D=2
+M4 6 11 5 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12030 $Y=8645 $D=2
+M5 7 19 6 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13150 $Y=8645 $D=2
+M6 8 19 7 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14270 $Y=8645 $D=2
+M7 9 11 8 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15390 $Y=8645 $D=2
+M8 vss 4 9 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=1.2584e-12 AS=7.436e-13 PD=6.6e-06 PS=3.38e-06 NRD=0.153846 NRS=0.0909091 m=1 nf=1 $X=16510 $Y=8645 $D=2
+M9 10 7 vss vss nmos_5p0 L=6e-07 W=5.22e-06 AD=1.3572e-12 AS=2.2968e-12 PD=6.26e-06 PS=1.22e-05 NRD=0.199234 NRS=0.337165 m=1 nf=2 $X=18750 $Y=8895 $D=2
+M10 11 20 vss vss nmos_5p0 L=6e-07 W=1.44e-06 AD=6.336e-13 AS=6.336e-13 PD=3.76e-06 PS=3.76e-06 NRD=0.305556 NRS=0.305556 m=1 nf=1 $X=21255 $Y=4090 $D=2
+M11 se 19 vss vss nmos_5p0 L=6e-07 W=9.08e-06 AD=2.3608e-12 AS=3.178e-12 PD=1.116e-05 PS=1.642e-05 NRD=0.45815 NRS=0.61674 m=1 nf=4 $X=19460 $Y=25030 $D=2
+M12 2 11 vdd vdd pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=3.0008e-12 PD=7.86e-06 PS=1.54e-05 NRD=0.152493 NRS=0.258065 m=1 nf=2 $X=795 $Y=20945 $D=8
+M13 4 men vdd vdd pmos_5p0 L=6e-07 W=1.135e-05 AD=3.3596e-12 AS=3.3596e-12 PD=1.658e-05 PS=1.658e-05 NRD=0.651982 NRS=0.651982 m=1 nf=5 $X=855 $Y=590 $D=8
+M14 19 2 vdd vdd pmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=5370 $Y=20990 $D=8
+M15 vdd 4 19 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=8730 $Y=20990 $D=8
+M16 pcb 10 vdd vdd pmos_5p0 L=6e-07 W=4.09e-05 AD=1.0634e-11 AS=1.21023e-11 PD=4.61e-05 PS=4.6818e-05 NRD=0.635697 NRS=0.723472 m=1 nf=10 $X=830 $Y=14055 $D=8
+M17 7 19 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.06e-06 PS=9.96e-06 NRD=0.0572687 NRS=0.0969163 m=1 nf=1 $X=14270 $Y=13710 $D=8
+M18 vdd 11 7 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15390 $Y=13710 $D=8
+M19 7 4 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.1804e-12 PD=9.96e-06 PS=5.06e-06 NRD=0.0969163 NRS=0.0572687 m=1 nf=1 $X=16510 $Y=13710 $D=8
+M20 vdd 25 26 vdd pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=5.28e-13 PD=3.28e-06 PS=3.28e-06 NRD=0.366667 NRS=0.366667 m=1 nf=1 $X=18950 $Y=1670 $D=8
+M21 10 7 vdd vdd pmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=4.3584e-12 PD=2.008e-05 PS=2.008e-05 NRD=0.211454 NRS=0.211454 m=1 nf=3 $X=18750 $Y=13710 $D=8
+M22 se 19 vdd vdd pmos_5p0 L=6e-07 W=2.72e-05 AD=7.072e-12 AS=8.0512e-12 PD=3.24e-05 PS=3.856e-05 NRD=0.955882 NRS=1.08824 m=1 nf=10 $X=12740 $Y=20450 $D=8
+X23 vdd 11 20 pmos_5p0_I15 $T=21255 985 0 0 $X=20215 $Y=365
+X27 vss 18 2 vss nmos_5p0_I17 $T=5370 25030 0 0 $X=4690 $Y=24410
+X28 19 18 4 vss nmos_5p0_I17 $T=12415 25030 0 0 $X=11735 $Y=24410
+X29 20 vdd 21 4 vss pmos_5p0_I09 $T=8080 1480 0 0 $X=7040 $Y=860
+X30 22 vdd 23 21 22 pmos_5p0_I09 $T=11705 1480 0 0 $X=10665 $Y=860
+X31 24 vdd 25 23 24 pmos_5p0_I09 $T=15325 1480 0 0 $X=14285 $Y=860
+X32 20 vss 21 4 vss nmos_5p0_I05 $T=8080 4420 0 0 $X=7400 $Y=3800
+X33 22 vss 23 21 22 nmos_5p0_I05 $T=11705 4420 0 0 $X=11025 $Y=3800
+X34 24 vss 25 23 24 nmos_5p0_I05 $T=15325 4420 0 0 $X=14645 $Y=3800
+X39 26 vss 25 vss nmos_5p0_I16 $T=18950 4420 0 0 $X=18270 $Y=3800
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I18
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I11
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I13
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I07
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT outbuf_oe q vss 3 4 5 15 16 17 18 vdd GWE se qp qn 24
+** N=66 EP=15 IP=48 FDC=18
+M0 vss 5 q vss nmos_5p0 L=6e-07 W=1.272e-05 AD=3.3072e-12 AS=4.0704e-12 PD=1.584e-05 PS=2.08e-05 NRD=0.735849 NRS=0.90566 m=1 nf=6 $X=395 $Y=2665 $D=2
+M1 3 GWE vss vss nmos_5p0 L=6e-07 W=1.6e-06 AD=7.04e-13 AS=7.04e-13 PD=4.08e-06 PS=4.08e-06 NRD=0.275 NRS=0.275 m=1 nf=1 $X=8145 $Y=2720 $D=2
+M2 17 3 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=10105 $Y=2700 $D=2
+M3 vss 16 4 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=13175 $Y=12845 $D=2
+M4 5 15 4 vss nmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=2.1792e-12 PD=1.1e-05 PS=1.1e-05 NRD=0.422907 NRS=0.422907 m=1 nf=3 $X=12455 $Y=2720 $D=2
+M5 vss se 15 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=17045 $Y=4035 $D=2
+M6 5 qn 18 vss nmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=1.988e-12 PD=6.72e-06 PS=9.92e-06 NRD=0.183099 NRS=0.246479 m=1 nf=2 $X=19905 $Y=1945 $D=2
+M7 vss 3 18 vss nmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=1.988e-12 PD=6.72e-06 PS=9.92e-06 NRD=0.183099 NRS=0.246479 m=1 nf=2 $X=22145 $Y=1945 $D=2
+M8 vdd 5 q vdd pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=7.2576e-12 PD=2.58e-05 PS=3.408e-05 NRD=0.412698 NRS=0.507937 m=1 nf=6 $X=395 $Y=6190 $D=8
+M9 3 GWE vdd vdd pmos_5p0 L=6e-07 W=4e-06 AD=1.76e-12 AS=1.76e-12 PD=8.88e-06 PS=8.88e-06 NRD=0.11 NRS=0.11 m=1 nf=1 $X=8145 $Y=6395 $D=8
+M10 17 3 vdd vdd pmos_5p0 L=6e-07 W=4.5e-06 AD=1.98e-12 AS=1.98e-12 PD=9.88e-06 PS=9.88e-06 NRD=0.0977778 NRS=0.0977778 m=1 nf=1 $X=10105 $Y=6175 $D=8
+M11 4 16 vdd vdd pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.24202e-12 PD=3.32e-06 PS=5.60564e-06 NRD=0.45614 NRS=0.955691 m=1 nf=2 $X=12055 $Y=10310 $D=8
+M12 5 se 4 vdd pmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=2.1792e-12 PD=1.1e-05 PS=1.1e-05 NRD=0.422907 NRS=0.422907 m=1 nf=3 $X=12455 $Y=6395 $D=8
+M13 16 5 vdd vdd pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=7.79385e-13 PD=3.28e-06 PS=2.57436e-06 NRD=0.366667 NRS=0.541239 m=1 nf=1 $X=15085 $Y=10250 $D=8
+M14 vdd se 15 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=17045 $Y=7030 $D=8
+M15 5 qp 24 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=3.969e-12 PD=1.238e-05 PS=1.841e-05 NRD=0.0917108 NRS=0.123457 m=1 nf=2 $X=19680 $Y=6685 $D=8
+M16 vdd 17 24 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=3.969e-12 PD=1.238e-05 PS=1.841e-05 NRD=0.0917108 NRS=0.123457 m=1 nf=2 $X=21920 $Y=6685 $D=8
+X22 vss 16 5 vss nmos_5p0_I16 $T=15150 13365 1 0 $X=14470 $Y=12145
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46887980 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.361e-05 AD=5.9884e-12 AS=5.9884e-12 PD=2.81e-05 PS=2.81e-05 NRD=0.0323292 NRS=0.0323292 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46273580 1 2 3
+** N=3 EP=3 IP=3 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46883884 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=1.134e-05 AD=4.9896e-12 AS=4.9896e-12 PD=2.356e-05 PS=2.356e-05 NRD=0.0388007 NRS=0.0388007 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I10 1 2 3 4 5 6
+** N=6 EP=6 IP=0 FDC=2
+M0 2 4 1 6 pmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=0 $Y=0 $D=8
+M1 3 5 2 6 pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=1120 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I20 1 2 3 4 5 6
+** N=6 EP=6 IP=0 FDC=2
+M0 2 4 1 6 nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=0 $Y=0 $D=2
+M1 3 5 2 6 nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=1120 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46563372 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT M1_NWELL_I02
+** N=4 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT din vss 2 3 4 d db 7 8 9 10 11 12 vdd datain men wep
+** N=69 EP=16 IP=73 FDC=24
+M0 2 4 vss vss nmos_5p0 L=6e-07 W=1.361e-05 AD=5.9884e-12 AS=5.9884e-12 PD=2.81e-05 PS=2.81e-05 NRD=0.0323292 NRS=0.0323292 m=1 nf=1 $X=260 $Y=10430 $D=2
+M1 3 wep vss vss nmos_5p0 L=6e-07 W=1.14e-06 AD=7.866e-13 AS=7.923e-13 PD=3.66e-06 PS=3.67e-06 NRD=0.605263 NRS=0.609649 m=1 nf=1 $X=3600 $Y=38320 $D=2
+M2 vss 10 4 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=11165 $Y=8655 $D=2
+M3 3 wep vdd vdd pmos_5p0 L=6e-07 W=2.97e-06 AD=1.13602e-12 AS=1.7523e-12 PD=4.5e-06 PS=8.3e-06 NRD=0.515152 NRS=0.794613 m=1 nf=2 $X=3025 $Y=35440 $D=8
+M4 vdd 2 7 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=4.9896e-12 AS=4.9896e-12 PD=2.356e-05 PS=2.356e-05 NRD=0.0388007 NRS=0.0388007 m=1 nf=1 $X=6980 $Y=26220 $D=8
+X5 4 vdd 10 vdd pmos_5p0_I04 $T=11165 455 0 0 $X=10125 $Y=-165
+X6 d 2 3 vdd pmos_1p2$$46889004 $T=2655 26220 0 0 $X=1225 $Y=25510
+X7 db 7 3 vdd pmos_1p2$$46889004 $T=4895 26220 0 0 $X=3465 $Y=25510
+X9 vdd 2 4 pmos_1p2$$46887980 $T=415 26220 0 0 $X=-1015 $Y=25510
+X10 vdd 12 men pmos_1p2$$46273580 $T=2920 7175 1 0 $X=1490 $Y=5355
+X11 vdd 11 4 pmos_1p2$$46273580 $T=7060 8140 1 0 $X=5630 $Y=6320
+X12 d 2 wep vss nmos_1p2$$46883884 $T=2655 12695 0 0 $X=1510 $Y=12010
+X13 db 7 wep vss nmos_1p2$$46883884 $T=4895 12695 0 0 $X=3750 $Y=12010
+X14 7 vss 2 vss nmos_1p2$$46883884 $T=7135 12695 0 0 $X=5990 $Y=12010
+X15 8 vdd 9 datain 8 vdd pmos_5p0_I10 $T=2765 3195 0 0 $X=1725 $Y=2575
+X16 9 10 11 men 12 vdd pmos_5p0_I10 $T=6905 3605 0 0 $X=5865 $Y=2985
+X17 8 vss 9 datain 8 vss nmos_5p0_I20 $T=2765 1790 1 0 $X=2085 $Y=210
+X18 9 10 11 12 men vss nmos_5p0_I20 $T=6905 725 0 0 $X=6225 $Y=105
+X19 vss 12 men vss nmos_1p2$$46563372 $T=3470 9035 0 0 $X=2325 $Y=8350
+X20 vss 11 4 vss nmos_1p2$$46563372 $T=7060 10495 1 0 $X=5915 $Y=8860
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46553132
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46897196 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46898220
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46551084
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT sa 1 vss 3 4 qn qp 7 pcb vdd d db se
+** N=105 EP=12 IP=47 FDC=27
+M0 1 vss vss vss nmos_5p0 L=6e-07 W=3.41e-06 AD=8.866e-13 AS=1.5004e-12 PD=3.93e-06 PS=7.7e-06 NRD=0.0762463 NRS=0.129032 m=1 nf=1 $X=11660 $Y=16585 $D=2
+M1 3 4 1 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12780 $Y=16585 $D=2
+M2 4 1 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=13900 $Y=16585 $D=2
+M3 7 4 vss vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=15170 $Y=8510 $D=2
+M4 1 4 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=16140 $Y=16585 $D=2
+M5 4 1 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=18380 $Y=16585 $D=2
+M6 vss 7 qp vss nmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=17410 $Y=8510 $D=2
+M7 1 4 3 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20620 $Y=16585 $D=2
+M8 qn 1 vss vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=20770 $Y=8510 $D=2
+M9 3 se vss vss nmos_5p0 L=6e-07 W=2.272e-05 AD=5.9072e-12 AS=6.9296e-12 PD=2.688e-05 PS=3.328e-05 NRD=0.732394 NRS=0.859155 m=1 nf=8 $X=12945 $Y=12550 $D=2
+M10 vss vss 1 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=1.5004e-12 AS=8.866e-13 PD=7.7e-06 PS=3.93e-06 NRD=0.129032 NRS=0.0762463 m=1 nf=1 $X=21740 $Y=16585 $D=2
+M11 4 vdd vdd vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=2.366e-13 AS=4.004e-13 PD=1.43e-06 PS=2.7e-06 NRD=0.285714 NRS=0.483516 m=1 nf=1 $X=13985 $Y=24010 $D=8
+M12 vdd 1 4 vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15105 $Y=24010 $D=8
+M13 d pcb vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=15755 $Y=30660 $D=8
+M14 7 4 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=15170 $Y=4385 $D=8
+M15 4 pcb 1 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=16875 $Y=26330 $D=8
+M16 db pcb d vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=16875 $Y=30660 $D=8
+M17 1 4 vdd vdd pmos_5p0 L=6e-07 W=1.82e-06 AD=4.732e-13 AS=4.732e-13 PD=2.86e-06 PS=2.86e-06 NRD=0.571429 NRS=0.571429 m=1 nf=2 $X=16225 $Y=24010 $D=8
+M18 vdd pcb db vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=17995 $Y=30660 $D=8
+M19 4 1 vdd vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=18465 $Y=24010 $D=8
+M20 qp 7 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.1804e-12 PD=5.58e-06 PS=5.58e-06 NRD=0.229075 NRS=0.229075 m=1 nf=2 $X=17410 $Y=4385 $D=8
+M21 vdd vdd 4 vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=4.004e-13 AS=2.366e-13 PD=2.7e-06 PS=1.43e-06 NRD=0.483516 NRS=0.285714 m=1 nf=1 $X=19585 $Y=24010 $D=8
+M22 qn 1 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=19650 $Y=4385 $D=8
+X28 db 1 se vdd pmos_1p2$$46897196 $T=12475 26330 0 0 $X=11045 $Y=25620
+X29 d 4 se vdd pmos_1p2$$46897196 $T=12475 30660 0 0 $X=11045 $Y=29950
+X30 d 4 se vdd pmos_1p2$$46897196 $T=20400 26330 0 0 $X=18970 $Y=25620
+X31 db 1 se vdd pmos_1p2$$46897196 $T=20400 30660 0 0 $X=18970 $Y=29950
+.ENDS
+***************************************
+.SUBCKT saout_m2 1 VSS q datain pcb men VDD b[0] bb[0] WEN b[7] bb[7] bb[6] b[6] b[5] bb[5] bb[4] b[4] b[3] bb[3]
++ bb[2] b[2] b[1] bb[1] 54 GWE ypass[7] ypass[6] ypass[5] ypass[4] ypass[3] ypass[2] ypass[1] ypass[0] GWEN 78 79 80 81 82
++ 83 84
+** N=135 EP=42 IP=161 FDC=187
+*.SEEDPROM
+X0 VSS 54 b[0] 74 bb[0] 77 pcb VDD ypass[0] 78 bb[7] b[7] 79 bb[6] b[6] 80 bb[5] b[5] 81 bb[4]
++ b[4] 82 bb[3] b[3] 83 bb[2] b[2] 84 bb[1] b[1] ypass[7] ypass[6] ypass[5] ypass[4] ypass[3] ypass[2] ypass[1]
++ mux821 $T=2765 83345 0 0 $X=-1345 $Y=83340
+X1 VSS 1 86 89 90 87 92 96 91 97 93 94 95 men VDD WEN GWEN 85 88 wen_wm1 $T=1610 -16845 0 0 $X=100 $Y=-17385
+X2 VSS 98 pcb 72 103 104 105 106 108 111 112 100 99 101 75 102 73 107 109 110
++ 76 VDD men
++ sacntl_2 $T=3160 150 0 0 $X=425 $Y=30
+X3 q VSS 113 115 116 118 117 114 120 VDD GWE 76 134 135 119 outbuf_oe $T=3160 27580 0 0 $X=500 $Y=25785
+X4 VSS 121 124 129 74 77 126 122 125 127 128 123 VDD datain men 1 din $T=1615 39060 0 0 $X=500 $Y=38775
+X5 130 VSS 132 131 135 134 133 pcb VDD 74 77 76 sa $T=3160 43075 0 0 $X=1375 $Y=42095
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_dummy 1 2 3 4 5 7
+** N=9 EP=6 IP=0 FDC=4
+*.SEEDPROM
+M0 1 7 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=180 $Y=260 $D=2
+M1 3 5 1 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=1710 $D=2
+M2 5 1 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=1710 $D=2
+M3 5 7 4 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=2220 $Y=260 $D=2
+.ENDS
+***************************************
+.SUBCKT ICV_4 1 3 4 5 6 7 8 9 10 11
+** N=15 EP=10 IP=18 FDC=8
+*.SEEDPROM
+X0 5 4 1 6 7 3 018SRAM_cell1_dummy $T=-3000 0 0 0 $X=-3340 $Y=-340
+X1 9 8 1 10 11 3 018SRAM_cell1_dummy $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_5 1 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19
+** N=27 EP=18 IP=30 FDC=16
+*.SEEDPROM
+X0 1 3 4 5 6 7 8 9 10 11 ICV_4 $T=-6000 0 0 0 $X=-9340 $Y=-340
+X1 1 3 12 13 14 15 16 17 18 19 ICV_4 $T=0 0 0 0 $X=-3340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_7 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22
+** N=30 EP=18 IP=33 FDC=16
+*.SEEDPROM
+X0 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 ICV_5 $T=-3000 0 0 0 $X=-12340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_2x
+** N=10 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_16
+** N=15 EP=0 IP=20 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_17
+** N=19 EP=0 IP=24 FDC=0
+.ENDS
+***************************************
+.SUBCKT dcap_103_novia
+** N=2 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_3
+** N=2 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_6
+** N=2 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1
+** N=8 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_2x 1 2 3 5 6 7 8 9 10
+** N=12 EP=9 IP=16 FDC=8
+*.SEEDPROM
+M0 1 5 7 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=180 $Y=3470 $D=2
+M1 9 6 1 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=180 $Y=4760 $D=2
+M2 3 8 7 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=1840 $D=2
+M3 3 10 9 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=6210 $D=2
+M4 8 7 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=1840 $D=2
+M5 10 9 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=6210 $D=2
+M6 2 5 8 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=2220 $Y=3470 $D=2
+M7 10 6 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=2220 $Y=4760 $D=2
+.ENDS
+***************************************
+.SUBCKT ICV_8 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
+** N=16 EP=15 IP=24 FDC=16
+*.SEEDPROM
+X0 5 6 2 3 4 9 11 10 12 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+X1 7 8 2 3 4 13 15 14 16 018SRAM_cell1_2x $T=3000 0 0 0 $X=2660 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_9 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18
+** N=26 EP=18 IP=32 FDC=40
+*.SEEDPROM
+M0 1 20 19 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=8060 $D=8
+M1 1 24 23 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=9340 $D=8
+M2 20 19 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=8060 $D=8
+M3 24 23 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=9340 $D=8
+M4 1 22 21 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=8060 $D=8
+M5 1 26 25 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=9340 $D=8
+M6 22 21 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=8060 $D=8
+M7 26 25 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=9340 $D=8
+X8 2 3 4 7 8 9 10 11 19 12 20 13 21 14 22 ICV_8 $T=0 0 0 0 $X=-340 $Y=-340
+X9 2 5 6 7 8 9 10 23 15 24 16 25 17 26 18 ICV_8 $T=0 9000 0 0 $X=-340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_10 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30
+** N=30 EP=30 IP=36 FDC=80
+*.SEEDPROM
+X0 1 2 3 4 5 6 7 8 9 10 15 16 17 18 19 20 21 22 ICV_9 $T=0 0 0 0 $X=-340 $Y=-340
+X1 1 2 3 4 5 6 11 12 13 14 23 24 25 26 27 28 29 30 ICV_9 $T=6000 0 0 0 $X=5660 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_11 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34
+** N=50 EP=34 IP=60 FDC=176
+*.SEEDPROM
+M0 1 36 35 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=17060 $D=8
+M1 1 44 43 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=18340 $D=8
+M2 36 35 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=17060 $D=8
+M3 44 43 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=18340 $D=8
+M4 1 38 37 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=17060 $D=8
+M5 1 46 45 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=18340 $D=8
+M6 38 37 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=17060 $D=8
+M7 46 45 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=18340 $D=8
+M8 1 40 39 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=17060 $D=8
+M9 1 48 47 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=18340 $D=8
+M10 40 39 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=17060 $D=8
+M11 48 47 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=18340 $D=8
+M12 1 42 41 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=17060 $D=8
+M13 1 50 49 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=18340 $D=8
+M14 42 41 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=17060 $D=8
+M15 50 49 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=18340 $D=8
+X16 1 2 3 4 5 6 11 12 13 14 15 16 17 18 19 20 21 22 35 36
++ 37 38 23 24 25 26 39 40 41 42
++ ICV_10 $T=0 0 0 0 $X=-340 $Y=-340
+X17 1 2 7 8 9 10 11 12 13 14 15 16 17 18 43 44 45 46 27 28
++ 29 30 47 48 49 50 31 32 33 34
++ ICV_10 $T=0 18000 0 0 $X=-340 $Y=17660
+.ENDS
+***************************************
+.SUBCKT ICV_12 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
+** N=16 EP=15 IP=24 FDC=16
+*.SEEDPROM
+X0 5 6 2 3 4 9 11 10 12 018SRAM_cell1_2x $T=-3000 0 0 0 $X=-3340 $Y=-340
+X1 7 8 2 3 4 13 15 14 16 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_13 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18
+** N=26 EP=18 IP=32 FDC=40
+*.SEEDPROM
+M0 1 20 19 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=8060 $D=8
+M1 1 24 23 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=9340 $D=8
+M2 20 19 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=8060 $D=8
+M3 24 23 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=9340 $D=8
+M4 1 22 21 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=8060 $D=8
+M5 1 26 25 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=9340 $D=8
+M6 22 21 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=8060 $D=8
+M7 26 25 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=9340 $D=8
+X8 2 3 4 7 8 9 10 11 19 12 20 13 21 14 22 ICV_12 $T=0 0 0 0 $X=-3340 $Y=-340
+X9 2 5 6 7 8 9 10 23 15 24 16 25 17 26 18 ICV_12 $T=0 9000 0 0 $X=-3340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_14 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30
+** N=30 EP=30 IP=36 FDC=80
+*.SEEDPROM
+X0 1 2 3 4 5 6 7 8 9 10 15 16 17 18 19 20 21 22 ICV_13 $T=-6000 0 0 0 $X=-9340 $Y=-340
+X1 1 2 3 4 5 6 11 12 13 14 23 24 25 26 27 28 29 30 ICV_13 $T=0 0 0 0 $X=-3340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_15 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34
+** N=50 EP=34 IP=60 FDC=176
+*.SEEDPROM
+M0 1 36 35 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=17060 $D=8
+M1 1 44 43 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=18340 $D=8
+M2 36 35 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=17060 $D=8
+M3 44 43 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=18340 $D=8
+M4 1 38 37 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=17060 $D=8
+M5 1 46 45 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=18340 $D=8
+M6 38 37 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=17060 $D=8
+M7 46 45 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=18340 $D=8
+M8 1 40 39 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=17060 $D=8
+M9 1 48 47 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=18340 $D=8
+M10 40 39 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=17060 $D=8
+M11 48 47 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=18340 $D=8
+M12 1 42 41 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=17060 $D=8
+M13 1 50 49 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=18340 $D=8
+M14 42 41 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=17060 $D=8
+M15 50 49 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=18340 $D=8
+X16 1 2 3 4 5 6 11 12 13 14 15 16 17 18 19 20 21 22 35 36
++ 37 38 23 24 25 26 39 40 41 42
++ ICV_14 $T=0 0 0 0 $X=-9340 $Y=-340
+X17 1 2 7 8 9 10 11 12 13 14 15 16 17 18 43 44 45 46 27 28
++ 29 30 47 48 49 50 31 32 33 34
++ ICV_14 $T=0 18000 0 0 $X=-9340 $Y=17660
+.ENDS
+***************************************
+.SUBCKT ICV_18 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
++ 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46
++ 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66
++ 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86
++ 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106
++ 107 108 109 110 111 112
+** N=112 EP=106 IP=152 FDC=704
+*.SEEDPROM
+X1 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 49 50
++ 51 52 53 54 55 56 57 58 59 60 61 62 63 64
++ ICV_11 $T=0 0 0 0 $X=-340 $Y=-340
+X2 7 8 9 10 11 12 13 14 15 16 25 26 27 28 29 30 31 32 65 66
++ 67 68 69 70 71 72 73 74 75 76 77 78 79 80
++ ICV_11 $T=12000 0 0 0 $X=11660 $Y=-340
+X3 7 8 9 10 11 12 13 14 15 16 33 34 35 36 37 38 39 40 81 82
++ 83 84 85 86 87 88 89 90 91 92 93 94 95 96
++ ICV_15 $T=30000 0 1 180 $X=26660 $Y=-340
+X4 7 8 9 10 11 12 13 14 15 16 41 42 43 44 45 46 47 48 97 98
++ 99 100 101 102 103 104 105 106 107 108 109 110 111 112
++ ICV_15 $T=42000 0 1 180 $X=38660 $Y=-340
+.ENDS
+***************************************
+.SUBCKT saout_R_m2 1 vss q pcb datain men vdd b[7] bb[7] WEN b[0] bb[0] bb[1] b[1] b[2] bb[2] bb[3] b[3] b[4] bb[4]
++ bb[5] b[5] b[6] bb[6] 54 ypass[0] ypass[1] ypass[2] GWE ypass[3] ypass[4] ypass[5] ypass[6] ypass[7] GWEN 74 75 76 77 78
++ 79 80
+** N=131 EP=42 IP=161 FDC=187
+*.SEEDPROM
+X0 vss 54 b[7] 70 bb[7] 73 pcb vdd ypass[7] 74 bb[0] b[0] 75 bb[1] b[1] 76 bb[2] b[2] 77 bb[3]
++ b[3] 78 bb[4] b[4] 79 bb[5] b[5] 80 bb[6] b[6] ypass[0] ypass[1] ypass[2] ypass[3] ypass[4] ypass[5] ypass[6]
++ mux821 $T=2765 83310 0 0 $X=-1345 $Y=83305
+X1 vss 1 82 85 86 83 88 92 87 93 89 90 91 men vdd WEN GWEN 81 84 wen_wm1 $T=1610 -16880 0 0 $X=100 $Y=-17420
+X2 vss 94 pcb 68 99 100 101 102 104 107 108 96 95 97 71 98 69 103 105 106
++ 72 vdd men
++ sacntl_2 $T=3160 115 0 0 $X=425 $Y=-5
+X3 q vss 109 111 112 114 113 110 116 vdd GWE 72 130 131 115 outbuf_oe $T=3160 27545 0 0 $X=500 $Y=25750
+X4 vss 117 120 125 70 73 122 118 121 123 124 119 vdd datain men 1 din $T=1615 39025 0 0 $X=500 $Y=38740
+X5 126 vss 128 127 131 130 129 pcb vdd 70 73 72 sa $T=3160 43040 0 0 $X=1375 $Y=42060
+.ENDS
+***************************************
+.SUBCKT new_dummyrow_unit 7 9 43 45 47 49 51 53 55 57 59 61 63 65 67 69 71 73 75 77
++ 79 81 83 85 87 89 91 93 95 97 99 101 103 105
+** N=105 EP=34 IP=120 FDC=64
+*.SEEDPROM
+X0 7 9 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 ICV_5 $T=3310 885 1 180 $X=-30 $Y=545
+X1 7 9 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 ICV_5 $T=15310 885 1 180 $X=11970 $Y=545
+X2 7 9 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 ICV_5 $T=30310 885 1 180 $X=26970 $Y=545
+X3 7 9 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 ICV_5 $T=42310 885 1 180 $X=38970 $Y=545
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_bndry
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_2x_bndry
+** N=13 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_cutPC
+** N=7 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_24 7 8 10 13 14 15 16
+** N=16 EP=7 IP=27 FDC=8
+*.SEEDPROM
+M0 8 10 14 10 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=3180 $Y=-1030 $D=2
+M1 16 10 8 10 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=3180 $Y=260 $D=2
+M2 10 13 14 10 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=3630 $Y=-2660 $D=2
+M3 10 15 16 10 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=3630 $Y=1710 $D=2
+M4 13 14 10 10 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=4770 $Y=-2660 $D=2
+M5 15 16 10 10 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=4770 $Y=1710 $D=2
+M6 7 10 13 10 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=5220 $Y=-1030 $D=2
+M7 15 10 7 10 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=5220 $Y=260 $D=2
+.ENDS
+***************************************
+.SUBCKT pmoscap_W2_5_477_R270
+** N=26 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_20
+** N=6 EP=0 IP=8 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I04
+** N=1558 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmoscap_W2_5_R270
+** N=13 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I06
+** N=1191 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I08
+** N=2001 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47513644
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I11
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47641644
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I07
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xpredec0_xa 2 3 13 29 30
+** N=40 EP=5 IP=40 FDC=4
+*.SEEDPROM
+M0 40 29 2 3 nmos_5p0 L=6e-07 W=1.225e-05 AD=3.185e-12 AS=7.2275e-12 PD=1.277e-05 PS=2.568e-05 NRD=0.0212245 NRS=0.0481633 m=1 nf=1 $X=3255 $Y=2430 $D=2
+M1 3 30 40 3 nmos_5p0 L=6e-07 W=1.225e-05 AD=7.28875e-12 AS=3.185e-12 PD=2.569e-05 PS=1.277e-05 NRD=0.0485714 NRS=0.0212245 m=1 nf=1 $X=4375 $Y=2430 $D=2
+M2 2 29 13 13 pmos_5p0 L=6e-07 W=1.52e-05 AD=3.952e-12 AS=6.688e-12 PD=1.572e-05 PS=3.128e-05 NRD=0.0171053 NRS=0.0289474 m=1 nf=1 $X=3255 $Y=19540 $D=8
+M3 13 30 2 13 pmos_5p0 L=6e-07 W=1.52e-05 AD=6.688e-12 AS=3.952e-12 PD=3.128e-05 PS=1.572e-05 NRD=0.0289474 NRS=0.0171053 m=1 nf=1 $X=4375 $Y=19540 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47330348_161 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT alatch vss ab a vdd enb en
+** N=16 EP=6 IP=24 FDC=8
+M0 ab 12 vss vss nmos_5p0 L=6e-07 W=3.64e-06 AD=9.464e-13 AS=1.6016e-12 PD=4.68e-06 PS=9.04e-06 NRD=0.285714 NRS=0.483516 m=1 nf=2 $X=2590 $Y=1475 $D=2
+M1 vss ab 11 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=3710 $Y=12935 $D=2
+M2 a en 12 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=6280 $Y=1020 $D=2
+M3 11 enb 12 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=6280 $Y=12935 $D=2
+M4 ab 12 vdd vdd pmos_5p0 L=6e-07 W=9.08e-06 AD=2.3608e-12 AS=3.9952e-12 PD=1.012e-05 PS=1.992e-05 NRD=0.114537 NRS=0.193833 m=1 nf=2 $X=2590 $Y=4695 $D=8
+M5 a enb 12 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=6280 $Y=5895 $D=8
+X10 11 vdd ab vdd pmos_1p2$$47330348_161 $T=3865 11540 1 0 $X=2435 $Y=9910
+X11 12 11 en vdd pmos_1p2$$47330348_161 $T=6435 11540 1 0 $X=5005 $Y=9910
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$47335468
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT xpredec0_bot 1 2 3 8 9 10 11
+** N=33 EP=7 IP=7 FDC=12
+M0 2 33 1 1 nmos_5p0 L=6e-07 W=7.04e-06 AD=3.0976e-12 AS=3.0976e-12 PD=1.496e-05 PS=1.496e-05 NRD=0.0625 NRS=0.0625 m=1 nf=1 $X=3755 $Y=35615 $D=2
+M1 3 2 1 1 nmos_5p0 L=6e-07 W=5.22e-06 AD=2.2968e-12 AS=2.2968e-12 PD=1.132e-05 PS=1.132e-05 NRD=0.0842912 NRS=0.0842912 m=1 nf=1 $X=6325 $Y=36010 $D=2
+M2 2 33 8 8 pmos_5p0 L=6e-07 W=1.769e-05 AD=7.7836e-12 AS=7.7836e-12 PD=3.626e-05 PS=3.626e-05 NRD=0.0248728 NRS=0.0248728 m=1 nf=1 $X=3755 $Y=16320 $D=8
+M3 3 2 8 8 pmos_5p0 L=6e-07 W=1.316e-05 AD=5.7904e-12 AS=5.7904e-12 PD=2.72e-05 PS=2.72e-05 NRD=0.0334347 NRS=0.0334347 m=1 nf=1 $X=6325 $Y=20855 $D=8
+X4 1 33 9 8 11 10 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+.ENDS
+***************************************
+.SUBCKT xpredec0 vss vdd men clk A[1] A[0] x[3] x[2] x[1] x[0]
+** N=99 EP=10 IP=158 FDC=56
+M0 x[3] 90 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=5.5388e-12 PD=2.024e-05 PS=2.514e-05 NRD=0.229075 NRS=0.268722 m=1 nf=4 $X=260 $Y=50820 $D=2
+M1 x[2] 92 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=4.7216e-12 PD=2.024e-05 PS=2.024e-05 NRD=0.229075 NRS=0.229075 m=1 nf=4 $X=4740 $Y=50820 $D=2
+M2 x[1] 94 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=4.7216e-12 PD=2.024e-05 PS=2.024e-05 NRD=0.229075 NRS=0.229075 m=1 nf=4 $X=9220 $Y=50820 $D=2
+M3 x[0] 96 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=5.5388e-12 PD=2.024e-05 PS=2.514e-05 NRD=0.229075 NRS=0.268722 m=1 nf=4 $X=13700 $Y=50820 $D=2
+M4 17 men vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=3.562e-13 AS=6.028e-13 PD=1.89e-06 PS=3.62e-06 NRD=0.189781 NRS=0.321168 m=1 nf=1 $X=21630 $Y=51200 $D=2
+M5 vss clk 17 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=3.562e-13 PD=3.62e-06 PS=1.89e-06 NRD=0.321168 NRS=0.189781 m=1 nf=1 $X=22750 $Y=51200 $D=2
+M6 x[3] 90 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.38348e-11 PD=4.744e-05 PS=5.914e-05 NRD=0.0917108 NRS=0.107584 m=1 nf=4 $X=260 $Y=38080 $D=8
+M7 x[2] 92 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.744e-05 PS=4.744e-05 NRD=0.0917108 NRS=0.0917108 m=1 nf=4 $X=4740 $Y=38080 $D=8
+M8 x[1] 94 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.744e-05 PS=4.744e-05 NRD=0.0917108 NRS=0.0917108 m=1 nf=4 $X=9220 $Y=38080 $D=8
+M9 x[0] 96 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.38348e-11 PD=4.744e-05 PS=5.914e-05 NRD=0.0917108 NRS=0.107584 m=1 nf=4 $X=13700 $Y=38080 $D=8
+M10 98 men vdd vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=4.39037e-13 AS=1.01447e-12 PD=2.22e-06 PS=4.6e-06 NRD=0.151026 NRS=0.348974 m=1 nf=1 $X=21630 $Y=47525 $D=8
+M11 17 clk 98 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=8.525e-15 AS=-8.525e-15 PD=1e-08 PS=-1e-08 NRD=0.00293255 NRS=-0.00293255 m=1 nf=1 $X=22745 $Y=47525 $D=8
+M12 99 clk 17 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=-8.525e-15 AS=8.525e-15 PD=-1e-08 PS=1e-08 NRD=-0.00293255 NRS=0.00293255 m=1 nf=1 $X=23870 $Y=47525 $D=8
+M13 vdd men 99 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=1.01447e-12 AS=4.39037e-13 PD=4.6e-06 PS=2.22e-06 NRD=0.348974 NRS=0.151026 m=1 nf=1 $X=24985 $Y=47525 $D=8
+M14 18 17 vdd vdd pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=29010 $Y=47595 $D=8
+X17 18 vss 17 vss nmos_1p2$$46563372 $T=29755 51180 0 0 $X=28610 $Y=50495
+X18 90 vss vdd 30 31 xpredec0_xa $T=-2205 170 0 0 $X=-1440 $Y=-5
+X19 92 vss vdd 30 32 xpredec0_xa $T=11165 170 1 180 $X=3000 $Y=-5
+X20 94 vss vdd 33 31 xpredec0_xa $T=6755 170 0 0 $X=7520 $Y=-5
+X21 96 vss vdd 33 32 xpredec0_xa $T=20125 170 1 180 $X=11960 $Y=-5
+X22 vss 30 33 vdd A[1] 17 18 xpredec0_bot $T=18665 3160 0 0 $X=18135 $Y=-5
+X23 vss 31 32 vdd A[0] 17 18 xpredec0_bot $T=27120 3160 0 0 $X=26590 $Y=-5
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE_I02
+** N=38 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I05
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I03
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1_ys
+** N=8 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_21 1 2 4 5 7 8
+** N=8 EP=6 IP=10 FDC=4
+*.SEEDPROM
+M0 1 7 4 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=3510 $Y=1700 $D=2
+M1 8 5 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=6870 $Y=1700 $D=2
+M2 2 7 4 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=3510 $Y=14855 $D=8
+M3 8 5 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=6870 $Y=14855 $D=8
+.ENDS
+***************************************
+.SUBCKT ICV_22 1 2 4 5 6 7 8 9 11 13
+** N=14 EP=10 IP=16 FDC=12
+*.SEEDPROM
+M0 1 14 6 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=9110 $Y=1700 $D=2
+M1 12 7 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=12470 $Y=1700 $D=2
+M2 2 14 6 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=9110 $Y=14855 $D=8
+M3 12 7 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=12470 $Y=14855 $D=8
+X4 1 2 4 5 11 14 ICV_21 $T=0 0 0 0 $X=-5 $Y=-5
+X5 1 2 8 9 12 13 ICV_21 $T=11200 0 0 0 $X=11195 $Y=-5
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47514668
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1_bot 1 2 3 10 11 12 13
+** N=34 EP=7 IP=20 FDC=12
+M0 2 30 1 1 nmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.9976e-12 PD=9.96e-06 PS=9.96e-06 NRD=0.0969163 NRS=0.0969163 m=1 nf=1 $X=3755 $Y=33350 $D=2
+M1 3 2 1 1 nmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.9976e-12 PD=9.96e-06 PS=9.96e-06 NRD=0.0969163 NRS=0.0969163 m=1 nf=1 $X=6325 $Y=33350 $D=2
+X2 10 2 30 pmos_1p2$$46887980 $T=3910 18340 0 0 $X=2480 $Y=17630
+X3 10 3 2 pmos_1p2$$46887980 $T=6480 18340 0 0 $X=5050 $Y=17630
+X4 1 30 11 10 13 12 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47821868
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47820844
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1_xa
+** N=29 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_23 1 2 5 8 9 10 11 12
+** N=22 EP=8 IP=36 FDC=16
+M0 20 10 13 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=1.73655e-12 AS=4.05195e-12 PD=7.32e-06 PS=1.481e-05 NRD=0.0374449 NRS=0.0873715 m=1 nf=1 $X=-2370 $Y=-33035 $D=2
+M1 19 9 20 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=3.405e-14 AS=-3.405e-14 PD=1e-08 PS=-1e-08 NRD=0.000734214 NRS=-0.000734214 m=1 nf=1 $X=-1260 $Y=-33035 $D=2
+M2 1 2 19 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=3.405e-14 AS=-3.405e-14 PD=1e-08 PS=-1e-08 NRD=0.000734214 NRS=-0.000734214 m=1 nf=1 $X=-140 $Y=-33035 $D=2
+M3 1 13 11 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=-2375 $Y=-2950 $D=2
+M4 21 5 1 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=-3.405e-14 AS=3.405e-14 PD=-1e-08 PS=1e-08 NRD=-0.000734214 NRS=0.000734214 m=1 nf=1 $X=990 $Y=-33035 $D=2
+M5 22 9 21 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=-3.405e-14 AS=3.405e-14 PD=-1e-08 PS=1e-08 NRD=-0.000734214 NRS=0.000734214 m=1 nf=1 $X=2110 $Y=-33035 $D=2
+M6 16 10 22 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=4.05195e-12 AS=1.73655e-12 PD=1.481e-05 PS=7.32e-06 NRD=0.0873715 NRS=0.0374449 m=1 nf=1 $X=3220 $Y=-33035 $D=2
+M7 12 16 1 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=1.7706e-12 PD=1.1e-05 PS=8.37e-06 NRD=0.422907 NRS=0.343612 m=1 nf=3 $X=985 $Y=-2950 $D=2
+M8 8 10 13 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=1.4742e-12 AS=2.4948e-12 PD=6.19e-06 PS=1.222e-05 NRD=0.0458554 NRS=0.0776014 m=1 nf=1 $X=-2375 $Y=-19360 $D=8
+M9 13 9 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=-1255 $Y=-19360 $D=8
+M10 8 2 13 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=-135 $Y=-19360 $D=8
+M11 8 13 11 8 pmos_5p0 L=6e-07 W=1.731e-05 AD=4.5006e-12 AS=5.5392e-12 PD=1.887e-05 PS=2.5e-05 NRD=0.135182 NRS=0.166378 m=1 nf=3 $X=-2375 $Y=-10125 $D=8
+M12 16 5 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=985 $Y=-19360 $D=8
+M13 8 9 16 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2105 $Y=-19360 $D=8
+M14 16 10 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=2.4948e-12 AS=1.4742e-12 PD=1.222e-05 PS=6.19e-06 NRD=0.0776014 NRS=0.0458554 m=1 nf=1 $X=3225 $Y=-19360 $D=8
+M15 12 16 8 8 pmos_5p0 L=6e-07 W=1.731e-05 AD=5.5392e-12 AS=4.5006e-12 PD=2.5e-05 PS=1.887e-05 NRD=0.166378 NRS=0.135182 m=1 nf=3 $X=985 $Y=-10125 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47109164 1 2 3
+** N=4 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=3.42e-06 AD=8.892e-13 AS=1.5048e-12 PD=4.46e-06 PS=8.6e-06 NRD=0.304094 NRS=0.51462 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47342636
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I21
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1 1 2 men clk ly[6] ly[7] ly[0] ly[1] ly[2] ly[3] ly[4] ly[5] ry[0] ry[1] ry[2] ry[3] ry[4] ry[5] ry[6] ry[7]
++ A[2] A[1] A[0]
+** N=374 EP=23 IP=151 FDC=172
+M0 367 358 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=3.9952e-12 PD=1.904e-05 PS=1.904e-05 NRD=0.0484581 NRS=0.0484581 m=1 nf=1 $X=2545 $Y=46970 $D=2
+M1 1 371 ly[3] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=21585 $Y=46970 $D=2
+M2 368 361 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=24945 $Y=46970 $D=2
+M3 188 189 1 1 nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=5.984e-13 PD=3.6e-06 PS=3.6e-06 NRD=0.323529 NRS=0.323529 m=1 nf=1 $X=31760 $Y=4985 $D=2
+M4 189 clk 1 1 nmos_5p0 L=6e-07 W=1.91e-06 AD=4.966e-13 AS=8.404e-13 PD=2.43e-06 PS=4.7e-06 NRD=0.136126 NRS=0.230366 m=1 nf=1 $X=38610 $Y=5010 $D=2
+M5 1 men 189 1 nmos_5p0 L=6e-07 W=1.91e-06 AD=8.404e-13 AS=4.966e-13 PD=4.7e-06 PS=2.43e-06 NRD=0.230366 NRS=0.136126 m=1 nf=1 $X=39730 $Y=5010 $D=2
+M6 1 372 ly[7] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=43985 $Y=46970 $D=2
+M7 369 358 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=47345 $Y=46970 $D=2
+M8 1 373 ry[3] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=66385 $Y=46970 $D=2
+M9 370 361 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=69745 $Y=46970 $D=2
+M10 1 374 ry[7] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=8.7168e-12 AS=8.7168e-12 PD=3.824e-05 PS=3.824e-05 NRD=0.105727 NRS=0.105727 m=1 nf=3 $X=88785 $Y=46970 $D=2
+M11 367 358 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=8.8e-12 PD=4.088e-05 PS=4.088e-05 NRD=0.022 NRS=0.022 m=1 nf=1 $X=2545 $Y=60125 $D=8
+M12 2 371 ly[3] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=21585 $Y=60125 $D=8
+M13 368 361 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=24945 $Y=60125 $D=8
+M14 365 men 2 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=5.915e-13 AS=1.35362e-12 PD=2.795e-06 PS=5.74e-06 NRD=0.114286 NRS=0.261538 m=1 nf=1 $X=36375 $Y=1335 $D=8
+M15 189 clk 365 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=37495 $Y=1335 $D=8
+M16 366 clk 189 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=38615 $Y=1335 $D=8
+M17 2 men 366 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=1.34225e-12 AS=5.915e-13 PD=5.73e-06 PS=2.795e-06 NRD=0.259341 NRS=0.114286 m=1 nf=1 $X=39735 $Y=1335 $D=8
+M18 2 372 ly[7] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=43985 $Y=60125 $D=8
+M19 369 358 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=47345 $Y=60125 $D=8
+M20 2 373 ry[3] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=66385 $Y=60125 $D=8
+M21 370 361 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=69745 $Y=60125 $D=8
+M22 2 374 ry[7] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.92e-11 AS=1.92e-11 PD=8.192e-05 PS=8.192e-05 NRD=0.048 NRS=0.048 m=1 nf=3 $X=88785 $Y=60125 $D=8
+X23 1 2 ly[0] 357 ly[1] 359 ly[2] 360 367 371 ICV_22 $T=1275 45270 0 0 $X=1270 $Y=45265
+X24 1 2 ly[4] 362 ly[5] 363 ly[6] 364 368 372 ICV_22 $T=23675 45270 0 0 $X=23670 $Y=45265
+X25 1 2 ry[0] 357 ry[1] 359 ry[2] 360 369 373 ICV_22 $T=46075 45270 0 0 $X=46070 $Y=45265
+X26 1 2 ry[4] 362 ry[5] 363 ry[6] 364 370 374 ICV_22 $T=68475 45270 0 0 $X=68470 $Y=45265
+X27 1 190 191 2 A[2] 189 188 ypredec1_bot $T=1920 5135 0 0 $X=1820 $Y=1970
+X28 1 192 193 2 A[1] 189 188 ypredec1_bot $T=10375 5135 0 0 $X=10275 $Y=1970
+X29 1 194 195 2 A[0] 189 188 ypredec1_bot $T=18830 5135 0 0 $X=18730 $Y=1970
+X30 1 195 194 2 192 190 363 364 ICV_23 $T=33645 42985 1 180 $X=28115 $Y=7365
+X31 1 195 194 2 193 190 361 362 ICV_23 $T=41810 42985 1 180 $X=36280 $Y=7365
+X32 1 195 194 2 192 191 359 360 ICV_23 $T=49980 42985 1 180 $X=44450 $Y=7365
+X33 1 195 194 2 193 191 358 357 ICV_23 $T=58150 42985 1 180 $X=52620 $Y=7365
+X34 2 188 189 pmos_1p2$$47109164 $T=32795 1405 1 180 $X=28795 $Y=720
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I01
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT M1_POLY2_I01
+** N=2 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I15
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$49272876_R270 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.1e-05 AD=2.86e-12 AS=4.84e-12 PD=1.204e-05 PS=2.376e-05 NRD=0.0945455 NRS=0.16 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I18
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xdec 1 2 men 6 vss 8 28 vdd
+** N=103 EP=8 IP=41 FDC=6
+*.SEEDPROM
+M0 2 6 men vss nmos_5p0 L=6e-07 W=6.6e-06 AD=2.904e-12 AS=1.716e-12 PD=1.496e-05 PS=7.64e-06 NRD=0.266667 NRS=0.157576 m=1 nf=2 $X=37460 $Y=965 $D=2
+M1 vss 8 6 vss nmos_5p0 L=6e-07 W=6.6e-07 AD=2.904e-13 AS=2.904e-13 PD=2.2e-06 PS=2.2e-06 NRD=0.666667 NRS=0.666667 m=1 nf=1 $X=45970 $Y=965 $D=2
+M2 2 8 men vdd pmos_5p0 L=6e-07 W=6.6e-06 AD=2.904e-12 AS=1.716e-12 PD=1.496e-05 PS=7.64e-06 NRD=0.266667 NRS=0.157576 m=1 nf=2 $X=32185 $Y=965 $D=8
+M3 vdd 8 6 vdd pmos_5p0 L=6e-07 W=1.59e-06 AD=6.996e-13 AS=6.996e-13 PD=4.06e-06 PS=4.06e-06 NRD=0.27673 NRS=0.27673 m=1 nf=1 $X=43020 $Y=965 $D=8
+X12 vdd 1 2 pmos_1p2$$49272876_R270 $T=29780 1120 0 90 $X=23605 $Y=-360
+X13 vdd 28 2 pmos_1p2$$49272876_R270 $T=91805 1120 1 90 $X=91120 $Y=-360
+.ENDS
+***************************************
+.SUBCKT xdec8 vss xc xb xa[1] xa[2] xa[3] xa[4] xa[5] xa[6] vdd 102 men 104 LWL[1] RWL[1] LWL[2] RWL[2] LWL[3] RWL[3] LWL[4]
++ RWL[4] LWL[5] RWL[5] LWL[6] RWL[6] 120 121 269 272 315 318
+** N=334 EP=31 IP=608 FDC=126
+*.SEEDPROM
+M0 vss 274 273 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=5740 $D=2
+M1 vss 273 LWL[1] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=7020 $D=2
+M2 vss 280 LWL[2] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=9260 $D=2
+M3 280 281 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=11660 $D=2
+M4 vss 288 287 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=14740 $D=2
+M5 vss 287 LWL[3] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=16020 $D=2
+M6 vss 294 LWL[4] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=18260 $D=2
+M7 294 295 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=20660 $D=2
+M8 vss 302 301 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=23740 $D=2
+M9 vss 301 LWL[5] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=25020 $D=2
+M10 vss 308 LWL[6] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=27260 $D=2
+M11 308 309 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=29660 $D=2
+M12 vss 276 274 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=8140 $D=2
+M13 281 283 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=9260 $D=2
+M14 vss 290 288 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=17140 $D=2
+M15 295 297 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=18260 $D=2
+M16 vss 304 302 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=26140 $D=2
+M17 309 311 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=27260 $D=2
+M18 323 xa[1] 276 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=5900 $D=2
+M19 324 xb 323 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=7020 $D=2
+M20 vss xc 324 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=8085 $D=2
+M21 326 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=9315 $D=2
+M22 325 xb 326 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=10380 $D=2
+M23 283 xa[2] 325 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=11500 $D=2
+M24 327 xa[3] 290 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=14900 $D=2
+M25 328 xb 327 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=16020 $D=2
+M26 vss xc 328 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=17085 $D=2
+M27 330 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=18315 $D=2
+M28 329 xb 330 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=19380 $D=2
+M29 297 xa[4] 329 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=20500 $D=2
+M30 331 xa[5] 304 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=23900 $D=2
+M31 332 xb 331 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=25020 $D=2
+M32 vss xc 332 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=26085 $D=2
+M33 334 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=27315 $D=2
+M34 333 xb 334 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=28380 $D=2
+M35 311 xa[6] 333 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=29500 $D=2
+M36 vss 274 279 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=5740 $D=2
+M37 vss 279 RWL[1] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=7020 $D=2
+M38 vss 286 RWL[2] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=9260 $D=2
+M39 286 281 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=11660 $D=2
+M40 vss 288 293 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=14740 $D=2
+M41 vss 293 RWL[3] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=16020 $D=2
+M42 vss 300 RWL[4] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=18260 $D=2
+M43 300 295 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=20660 $D=2
+M44 vss 302 307 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=23740 $D=2
+M45 vss 307 RWL[5] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=25020 $D=2
+M46 vss 314 RWL[6] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=27260 $D=2
+M47 314 309 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=29660 $D=2
+M48 LWL[1] 273 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=5900 $D=8
+M49 vdd 280 LWL[2] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=9260 $D=8
+M50 LWL[3] 287 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=14900 $D=8
+M51 vdd 294 LWL[4] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=18260 $D=8
+M52 LWL[5] 301 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=23900 $D=8
+M53 vdd 308 LWL[6] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=27260 $D=8
+M54 vdd xa[1] 276 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=5900 $D=8
+M55 276 xb vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=7020 $D=8
+M56 vdd xc 276 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=8140 $D=8
+M57 283 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=9260 $D=8
+M58 vdd xb 283 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=10380 $D=8
+M59 283 xa[2] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=11500 $D=8
+M60 vdd xa[3] 290 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=14900 $D=8
+M61 290 xb vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=16020 $D=8
+M62 vdd xc 290 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=17140 $D=8
+M63 297 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=18260 $D=8
+M64 vdd xb 297 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=19380 $D=8
+M65 297 xa[4] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=20500 $D=8
+M66 vdd xa[5] 304 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=23900 $D=8
+M67 304 xb vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=25020 $D=8
+M68 vdd xc 304 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=26140 $D=8
+M69 311 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=27260 $D=8
+M70 vdd xb 311 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=28380 $D=8
+M71 311 xa[6] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=29500 $D=8
+M72 RWL[1] 279 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=5900 $D=8
+M73 vdd 286 RWL[2] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=9260 $D=8
+M74 RWL[3] 293 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=14900 $D=8
+M75 vdd 300 RWL[4] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=18260 $D=8
+M76 RWL[5] 307 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=23900 $D=8
+M77 vdd 314 RWL[6] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=27260 $D=8
+X78 269 102 men 270 vss 104 272 vdd xdec $T=5 4500 1 0 $X=0 $Y=-1140
+X79 273 274 men 275 vss 276 279 vdd xdec $T=5 4500 0 0 $X=0 $Y=3385
+X80 280 281 men 282 vss 283 286 vdd xdec $T=5 13500 1 0 $X=0 $Y=7860
+X81 287 288 men 289 vss 290 293 vdd xdec $T=5 13500 0 0 $X=0 $Y=12385
+X82 294 295 men 296 vss 297 300 vdd xdec $T=5 22500 1 0 $X=0 $Y=16860
+X83 301 302 men 303 vss 304 307 vdd xdec $T=5 22500 0 0 $X=0 $Y=21385
+X84 308 309 men 310 vss 311 314 vdd xdec $T=5 31500 1 0 $X=0 $Y=25860
+X85 315 120 men 316 vss 121 318 vdd xdec $T=5 31500 0 0 $X=0 $Y=30385
+.ENDS
+***************************************
+.SUBCKT M1_NWELL$$204218412
+** N=49 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I19 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 2 nmos_5p0 L=6e-07 W=1.011e-05 AD=4.4484e-12 AS=4.4484e-12 PD=2.11e-05 PS=2.11e-05 NRD=0.0435213 NRS=0.0435213 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE$$204148780
+** N=13 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$204216364 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=2.526e-05 AD=6.5676e-12 AS=1.11144e-11 PD=2.63e-05 PS=5.228e-05 NRD=0.0411718 NRS=0.0696754 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I17 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=6.59e-06 AD=2.8996e-12 AS=2.8996e-12 PD=1.406e-05 PS=1.406e-05 NRD=0.0667678 NRS=0.0667678 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I09 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 2 nmos_5p0 L=6e-07 W=2.64e-06 AD=1.1616e-12 AS=1.1616e-12 PD=6.16e-06 PS=6.16e-06 NRD=0.166667 NRS=0.166667 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I16 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=4.72e-05 AD=1.2272e-11 AS=1.39712e-11 PD=5.24e-05 PS=6.256e-05 NRD=0.550847 NRS=0.627119 m=1 nf=10 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I02 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=6e-07 W=1.92e-05 AD=4.992e-12 AS=5.6832e-12 PD=2.44e-05 PS=2.896e-05 NRD=1.35417 NRS=1.54167 m=1 nf=10 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE_I03
+** N=7 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT wen_v2 vss vdd wen clk IGWEN GWE
+** N=50 EP=6 IP=93 FDC=30
+M0 vss wen 28 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=2545 $Y=1065 $D=2
+M1 11 wen vss vss nmos_5p0 L=6e-07 W=6e-06 AD=1.92e-12 AS=1.92e-12 PD=9.92e-06 PS=9.92e-06 NRD=0.48 NRS=0.48 m=1 nf=3 $X=1260 $Y=16070 $D=2
+M2 29 clk vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=3665 $Y=1065 $D=2
+M3 30 29 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5905 $Y=1475 $D=2
+M4 33 29 28 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=8440 $Y=545 $D=2
+M5 34 30 33 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=10750 $Y=1860 $D=2
+M6 vss 35 34 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=11870 $Y=1860 $D=2
+M7 vss 33 35 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=14110 $Y=1860 $D=2
+M8 15 35 vss vss nmos_5p0 L=6e-07 W=2.4e-06 AD=6.24e-13 AS=1.056e-12 PD=3.44e-06 PS=6.56e-06 NRD=0.433333 NRS=0.733333 m=1 nf=2 $X=16465 $Y=1620 $D=2
+M9 15 30 31 vss nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=19750 $Y=545 $D=2
+M10 32 29 31 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=23090 $Y=1240 $D=2
+M11 vss 19 32 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=24210 $Y=1240 $D=2
+M12 19 31 vss vss nmos_5p0 L=6e-07 W=6.23e-06 AD=1.78e-12 AS=1.78e-12 PD=1.112e-05 PS=1.112e-05 NRD=2.24719 NRS=2.24719 m=1 nf=7 $X=26535 $Y=1905 $D=2
+M13 vdd wen 28 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=2545 $Y=4215 $D=8
+M14 29 clk vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=3665 $Y=4215 $D=8
+M15 30 29 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5905 $Y=4215 $D=8
+M16 11 wen vdd vdd pmos_5p0 L=6e-07 W=1.488e-05 AD=3.8688e-12 AS=4.7616e-12 PD=1.8e-05 PS=2.368e-05 NRD=0.629032 NRS=0.774194 m=1 nf=6 $X=1260 $Y=9420 $D=8
+M17 33 30 28 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=1.17422e-12 AS=9.988e-13 PD=4.793e-06 PS=5.42e-06 NRD=0.227875 NRS=0.193833 m=1 nf=1 $X=8440 $Y=4215 $D=8
+M18 34 29 33 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.91897e-13 AS=-6.43897e-13 PD=-2.79573e-06 PS=-2.69573e-06 NRD=-0.750757 NRS=-0.698673 m=1 nf=1 $X=10180 $Y=4215 $D=8
+M19 vdd 35 34 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.14048e-12 PD=5.42e-06 PS=4.72272e-06 NRD=0.193833 NRS=0.221328 m=1 nf=1 $X=11870 $Y=4215 $D=8
+M20 vdd 33 35 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=14110 $Y=4215 $D=8
+M21 15 35 vdd vdd pmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=2.4992e-12 PD=6.72e-06 PS=1.312e-05 NRD=0.183099 NRS=0.309859 m=1 nf=2 $X=16465 $Y=4215 $D=8
+M22 15 29 31 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=2.13253e-12 PD=5.58e-06 PS=1.01287e-05 NRD=0.229075 NRS=0.413851 m=1 nf=2 $X=19750 $Y=4215 $D=8
+M23 32 30 31 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.59976e-13 AS=-6.40776e-13 PD=-2.72923e-06 PS=-2.68923e-06 NRD=-0.71612 NRS=-0.695287 m=1 nf=1 $X=22550 $Y=5525 $D=8
+M24 vdd 19 32 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.12024e-12 PD=5.42e-06 PS=4.68056e-06 NRD=0.193833 NRS=0.2174 m=1 nf=1 $X=24210 $Y=4215 $D=8
+M25 19 31 vdd vdd pmos_5p0 L=6e-07 W=1.54e-05 AD=4.4e-12 AS=4.4e-12 PD=2.16e-05 PS=2.16e-05 NRD=0.909091 NRS=0.909091 m=1 nf=7 $X=26535 $Y=4215 $D=8
+X46 vdd IGWEN 11 pmos_5p0_I16 $T=10115 9420 0 0 $X=9075 $Y=8800
+X47 vdd GWE 19 pmos_5p0_I16 $T=23345 9420 0 0 $X=22305 $Y=8800
+X48 vss IGWEN 11 nmos_5p0_I02 $T=10115 16070 0 0 $X=9435 $Y=15450
+X49 vss GWE 19 nmos_5p0_I02 $T=23345 16070 0 0 $X=22665 $Y=15450
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47512620
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xpredec1_xa
+** N=29 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47337516 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.633e-05 AD=7.1852e-12 AS=7.1852e-12 PD=3.354e-05 PS=3.354e-05 NRD=0.0269443 NRS=0.0269443 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47336492 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=6e-07 W=6.58e-06 AD=2.8952e-12 AS=2.8952e-12 PD=1.404e-05 PS=1.404e-05 NRD=0.0668693 NRS=0.0668693 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT xpredec1_bot 1 2 3 10 11 12 13
+** N=32 EP=7 IP=19 FDC=12
+X0 1 32 11 10 13 12 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+X2 10 2 32 pmos_1p2$$47337516 $T=3910 18340 0 0 $X=2480 $Y=17635
+X3 10 3 2 pmos_1p2$$47337516 $T=6480 18340 0 0 $X=5050 $Y=17635
+X4 1 2 32 nmos_1p2$$47336492 $T=3910 36070 0 0 $X=2765 $Y=35385
+X5 1 3 2 nmos_1p2$$47336492 $T=6480 36070 0 0 $X=5335 $Y=35385
+.ENDS
+***************************************
+.SUBCKT xpredec1 vss men vdd clk A[2] A[1] A[0] x[7] x[6] x[5] x[4] x[3] x[2] x[1] x[0]
+** N=91 EP=15 IP=199 FDC=108
+M0 77 18 51 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=1700 $Y=2310 $D=2
+M1 76 19 77 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2820 $Y=2310 $D=2
+M2 vss 20 76 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=3940 $Y=2310 $D=2
+M3 vss 51 x[7] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=1700 $Y=48000 $D=2
+M4 78 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=5060 $Y=2310 $D=2
+M5 79 19 78 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=6180 $Y=2310 $D=2
+M6 54 18 79 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=7300 $Y=2310 $D=2
+M7 x[6] 54 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=5060 $Y=48000 $D=2
+M8 81 18 57 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=9870 $Y=2310 $D=2
+M9 80 22 81 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=10990 $Y=2310 $D=2
+M10 vss 20 80 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12110 $Y=2310 $D=2
+M11 vss 57 x[5] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=9870 $Y=48000 $D=2
+M12 82 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13230 $Y=2310 $D=2
+M13 83 22 82 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14350 $Y=2310 $D=2
+M14 60 18 83 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=15470 $Y=2310 $D=2
+M15 x[4] 60 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=13230 $Y=48000 $D=2
+M16 85 23 63 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=18035 $Y=2310 $D=2
+M17 84 19 85 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=19155 $Y=2310 $D=2
+M18 vss 20 84 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20275 $Y=2310 $D=2
+M19 vss 63 x[3] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=18035 $Y=48000 $D=2
+M20 86 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=21395 $Y=2310 $D=2
+M21 87 19 86 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=22515 $Y=2310 $D=2
+M22 66 23 87 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=23635 $Y=2310 $D=2
+M23 x[2] 66 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=21395 $Y=48000 $D=2
+M24 89 23 69 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=26205 $Y=2310 $D=2
+M25 88 22 89 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=27325 $Y=2310 $D=2
+M26 vss 20 88 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=28445 $Y=2310 $D=2
+M27 vss 69 x[1] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=26205 $Y=48000 $D=2
+M28 90 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=29565 $Y=2310 $D=2
+M29 91 22 90 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=30685 $Y=2310 $D=2
+M30 72 23 91 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=31805 $Y=2310 $D=2
+M31 x[0] 72 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=29565 $Y=48000 $D=2
+M32 17 men vss vss nmos_5p0 L=6e-07 W=1.91e-06 AD=4.966e-13 AS=8.404e-13 PD=2.43e-06 PS=4.7e-06 NRD=0.136126 NRS=0.230366 m=1 nf=1 $X=37165 $Y=51200 $D=2
+M33 vss clk 17 vss nmos_5p0 L=6e-07 W=1.91e-06 AD=8.404e-13 AS=4.966e-13 PD=4.7e-06 PS=2.43e-06 NRD=0.230366 NRS=0.136126 m=1 nf=1 $X=38285 $Y=51200 $D=2
+M34 vss 17 16 vss nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=5.984e-13 PD=3.6e-06 PS=3.6e-06 NRD=0.323529 NRS=0.323529 m=1 nf=1 $X=45140 $Y=51180 $D=2
+M35 vdd 18 51 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=1700 $Y=21650 $D=8
+M36 51 19 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2820 $Y=21650 $D=8
+M37 vdd 20 51 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=3940 $Y=21650 $D=8
+M38 vdd 51 x[7] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=1700 $Y=35260 $D=8
+M39 54 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=5060 $Y=21650 $D=8
+M40 vdd 19 54 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=6180 $Y=21650 $D=8
+M41 54 18 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=7300 $Y=21650 $D=8
+M42 x[6] 54 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=5060 $Y=35260 $D=8
+M43 vdd 18 57 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=9870 $Y=21650 $D=8
+M44 57 22 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=10990 $Y=21650 $D=8
+M45 vdd 20 57 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12110 $Y=21650 $D=8
+M46 vdd 57 x[5] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=9870 $Y=35260 $D=8
+M47 60 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13230 $Y=21650 $D=8
+M48 vdd 22 60 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14350 $Y=21650 $D=8
+M49 60 18 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=15470 $Y=21650 $D=8
+M50 x[4] 60 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=13230 $Y=35260 $D=8
+M51 vdd 23 63 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=18035 $Y=21650 $D=8
+M52 63 19 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=19155 $Y=21650 $D=8
+M53 vdd 20 63 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20275 $Y=21650 $D=8
+M54 vdd 63 x[3] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=18035 $Y=35260 $D=8
+M55 66 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=21395 $Y=21650 $D=8
+M56 vdd 19 66 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=22515 $Y=21650 $D=8
+M57 66 23 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=23635 $Y=21650 $D=8
+M58 x[2] 66 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=21395 $Y=35260 $D=8
+M59 vdd 23 69 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=26205 $Y=21650 $D=8
+M60 69 22 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=27325 $Y=21650 $D=8
+M61 vdd 20 69 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=28445 $Y=21650 $D=8
+M62 vdd 69 x[1] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=26205 $Y=35260 $D=8
+M63 72 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=29565 $Y=21650 $D=8
+M64 vdd 22 72 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=30685 $Y=21650 $D=8
+M65 72 23 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=31805 $Y=21650 $D=8
+M66 x[0] 72 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=29565 $Y=35260 $D=8
+M67 74 men vdd vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=5.915e-13 AS=1.35362e-12 PD=2.795e-06 PS=5.74e-06 NRD=0.114286 NRS=0.261538 m=1 nf=1 $X=37165 $Y=47525 $D=8
+M68 17 clk 74 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=38285 $Y=47525 $D=8
+M69 75 clk 17 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=39405 $Y=47525 $D=8
+M70 vdd men 75 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=1.35362e-12 AS=5.915e-13 PD=5.74e-06 PS=2.795e-06 NRD=0.261538 NRS=0.114286 m=1 nf=1 $X=40525 $Y=47525 $D=8
+X71 vdd 16 17 pmos_1p2$$47109164 $T=44700 47595 0 0 $X=42105 $Y=46910
+X83 vss 18 23 vdd A[2] 17 16 xpredec1_bot $T=34205 3160 0 0 $X=33675 $Y=-5
+X84 vss 19 22 vdd A[1] 17 16 xpredec1_bot $T=42655 3160 0 0 $X=42125 $Y=-5
+X85 vss 20 21 vdd A[0] 17 16 xpredec1_bot $T=51110 3160 0 0 $X=50580 $Y=-5
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I06 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=1.2e-06 W=9e-07 AD=3.96e-13 AS=3.96e-13 PD=2.68e-06 PS=2.68e-06 NRD=0.488889 NRS=0.488889 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I10 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=1.2e-06 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$48624684
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47815724
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT strapx2b_bndry
+** N=10 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_19 8 11 12 13 14 15 16
+** N=16 EP=7 IP=22 FDC=8
+*.SEEDPROM
+X0 11 12 8 8 8 13 15 14 16 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT power_route_04
+** N=2 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_dummy_R
+** N=8 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_1 3 4 7 8 9 10
+** N=12 EP=6 IP=16 FDC=4
+*.SEEDPROM
+M0 4 4 7 4 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=3560 $D=8
+M1 4 4 9 4 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=4840 $D=8
+M2 8 3 4 4 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=3560 $D=8
+M3 10 3 4 4 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=4840 $D=8
+.ENDS
+***************************************
+.SUBCKT ICV_2 1 2 3 4 10 12 14 15
+** N=19 EP=8 IP=24 FDC=16
+*.SEEDPROM
+M0 1 3 16 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=180 $Y=7970 $D=2
+M1 18 3 1 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=180 $Y=9260 $D=2
+M2 3 4 16 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=6340 $D=2
+M3 3 4 18 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=10710 $D=2
+M4 17 3 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=6340 $D=2
+M5 19 3 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=10710 $D=2
+M6 2 3 17 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=2220 $Y=7970 $D=2
+M7 19 3 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=2220 $Y=9260 $D=2
+X8 3 4 10 12 16 17 ICV_1 $T=0 0 0 0 $X=-340 $Y=-340
+X9 3 4 18 19 14 15 ICV_1 $T=0 9000 0 0 $X=-340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT gf180mcu_fd_ip_sram__sram128x8m8wm1 A[6] A[5] A[4] A[3] A[2] A[1] A[0]
++ CEN CLK D[7] D[6] D[5] D[4] D[3] D[2] D[1] D[0] GWEN Q[7] Q[6] Q[5] Q[4]
++ Q[3] Q[2] Q[1] Q[0] VDD VSS WEN[7] WEN[6] WEN[5] WEN[4] WEN[3] WEN[2] WEN[1]
++ WEN[0]
+** N=5565 EP=36 IP=3508 FDC=9725
+M0 4646 VSS 733 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=120390 $Y=176390 $D=2
+M1 733 VSS 4648 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=120390 $Y=256100 $D=2
+M2 VSS 4645 4646 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=120840 $Y=177840 $D=2
+M3 VSS 4647 4648 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=120840 $Y=254470 $D=2
+M4 4645 4646 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=121980 $Y=177840 $D=2
+M5 4647 4648 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=121980 $Y=254470 $D=2
+M6 4645 VSS 732 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=122430 $Y=176390 $D=2
+M7 732 VSS 4647 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=122430 $Y=256100 $D=2
+M8 VSS 4854 4222 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=168355 $Y=180895 $D=2
+M9 4854 4826 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=168355 $Y=183295 $D=2
+M10 VSS 4828 4856 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=168355 $Y=213375 $D=2
+M11 VSS 4856 4229 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=214655 $D=2
+M12 VSS 4858 4230 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=216895 $D=2
+M13 4858 4830 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=168355 $Y=219295 $D=2
+M14 VSS 4832 4860 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=168355 $Y=249375 $D=2
+M15 VSS 4860 4237 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=168355 $Y=250655 $D=2
+M16 4826 4827 VSS VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=198635 $Y=180895 $D=2
+M17 VSS 4829 4828 VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=198635 $Y=215775 $D=2
+M18 4830 4831 VSS VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=198635 $Y=216895 $D=2
+M19 VSS 4833 4832 VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=198635 $Y=251775 $D=2
+M20 2 VDD 1 VSS nmos_5p0 L=6e-07 W=6.59e-06 AD=2.8996e-12 AS=2.8996e-12 PD=1.406e-05 PS=1.406e-05 NRD=0.0667678 NRS=0.0667678 m=1 nf=1 $X=204815 $Y=255000 $D=2
+M21 5527 VDD VSS VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=7.32375e-13 AS=2.079e-12 PD=3.615e-06 PS=7.62e-06 NRD=0.0738095 NRS=0.209524 m=1 nf=1 $X=218475 $Y=180950 $D=2
+M22 5526 918 5527 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=218475 $Y=182015 $D=2
+M23 4827 926 5526 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=218475 $Y=183135 $D=2
+M24 5528 919 4829 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=218475 $Y=213535 $D=2
+M25 5529 918 5528 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=218475 $Y=214655 $D=2
+M26 VSS VDD 5529 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=218475 $Y=215720 $D=2
+M27 5531 VDD VSS VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=218475 $Y=216950 $D=2
+M28 5530 917 5531 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=218475 $Y=218015 $D=2
+M29 4831 926 5530 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=218475 $Y=219135 $D=2
+M30 5532 919 4833 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=218475 $Y=249535 $D=2
+M31 5533 917 5532 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=218475 $Y=250655 $D=2
+M32 VSS VDD 5533 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.079e-12 AS=7.32375e-13 PD=7.62e-06 PS=3.615e-06 NRD=0.209524 NRS=0.0738095 m=1 nf=1 $X=218475 $Y=251720 $D=2
+M33 VSS 1 787 VSS nmos_5p0 L=6e-07 W=1.36e-06 AD=3.536e-13 AS=5.984e-13 PD=1.88e-06 PS=3.6e-06 NRD=0.191176 NRS=0.323529 m=1 nf=1 $X=233770 $Y=54135 $D=2
+M34 787 CLK VSS VSS nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=3.536e-13 PD=3.6e-06 PS=1.88e-06 NRD=0.323529 NRS=0.191176 m=1 nf=1 $X=234890 $Y=54135 $D=2
+M35 616 619 VSS VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=242235 $Y=54135 $D=2
+M36 281 865 VSS VSS nmos_5p0 L=1e-06 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=243265 $Y=46010 $D=2
+M37 CEN 787 619 VSS nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=245925 $Y=54135 $D=2
+M38 250 468 VSS VSS nmos_5p0 L=6e-07 W=4.99e-05 AD=1.47704e-11 AS=1.47704e-11 PD=6.284e-05 PS=6.284e-05 NRD=0.148297 NRS=0.148297 m=1 nf=5 $X=241995 $Y=72320 $D=2
+M39 317 281 VSS VSS nmos_5p0 L=6e-07 W=7.5e-07 AD=3.3e-13 AS=3.3e-13 PD=2.38e-06 PS=2.38e-06 NRD=0.586667 NRS=0.586667 m=1 nf=1 $X=246495 $Y=46075 $D=2
+M40 354 317 VSS VSS nmos_5p0 L=6e-07 W=3.02e-06 AD=1.3288e-12 AS=1.3288e-12 PD=6.92e-06 PS=6.92e-06 NRD=0.145695 NRS=0.145695 m=1 nf=1 $X=249065 $Y=46070 $D=2
+M41 4811 354 VSS VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=1.34946e-11 PD=2.32e-05 PS=4.655e-05 NRD=0.0114638 NRS=0.0262346 m=1 nf=1 $X=256125 $Y=28435 $D=2
+M42 VSS 4855 4238 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=252270 $Y=180895 $D=2
+M43 4855 4826 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=252270 $Y=183295 $D=2
+M44 VSS 4828 4857 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=252270 $Y=213375 $D=2
+M45 VSS 4857 4245 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=214655 $D=2
+M46 VSS 4859 4246 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=216895 $D=2
+M47 4859 4830 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=252270 $Y=219295 $D=2
+M48 VSS 4832 4861 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=252270 $Y=249375 $D=2
+M49 VSS 4861 4253 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=252270 $Y=250655 $D=2
+M50 4812 CLK 4811 VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=257245 $Y=28435 $D=2
+M51 445 616 4812 VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=1.33812e-11 AS=5.8968e-12 PD=4.654e-05 PS=2.32e-05 NRD=0.0260141 NRS=0.0114638 m=1 nf=1 $X=258365 $Y=28435 $D=2
+M52 4813 495 VSS VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=4.7177e-12 AS=1.07963e-11 PD=1.8665e-05 PS=3.748e-05 NRD=0.014329 NRS=0.0327914 m=1 nf=1 $X=262120 $Y=29545 $D=2
+M53 468 445 4813 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=263240 $Y=29545 $D=2
+M54 4814 445 468 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=264360 $Y=29545 $D=2
+M55 VSS 495 4814 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=1.07055e-11 AS=4.7177e-12 PD=3.747e-05 PS=1.8665e-05 NRD=0.0325158 NRS=0.014329 m=1 nf=1 $X=265480 $Y=29545 $D=2
+M56 4815 468 VSS VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=1.16905e-12 AS=2.7013e-12 PD=5.055e-06 PS=1.027e-05 NRD=0.0567181 NRS=0.131057 m=1 nf=1 $X=268545 $Y=43150 $D=2
+M57 495 607 4815 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=2.27e-14 AS=-2.27e-14 PD=1e-08 PS=-1e-08 NRD=0.00110132 NRS=-0.00110132 m=1 nf=1 $X=269660 $Y=43150 $D=2
+M58 4816 607 495 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=-2.27e-14 AS=2.27e-14 PD=-1e-08 PS=1e-08 NRD=-0.00110132 NRS=0.00110132 m=1 nf=1 $X=270785 $Y=43150 $D=2
+M59 VSS 468 4816 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=2.7013e-12 AS=1.16905e-12 PD=1.027e-05 PS=5.055e-06 NRD=0.131057 NRS=0.0567181 m=1 nf=1 $X=271900 $Y=43150 $D=2
+M60 1 250 VSS VSS nmos_5p0 L=6e-07 W=0.0001474 AD=3.8324e-11 AS=4.09772e-11 PD=0.0001578 PS=0.00017326 NRD=0.705563 NRS=0.75441 m=1 nf=20 $X=253180 $Y=76320 $D=2
+M61 4650 VSS 735 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=304270 $Y=176390 $D=2
+M62 735 VSS 4652 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=304270 $Y=256100 $D=2
+M63 VSS 4649 4650 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=304720 $Y=177840 $D=2
+M64 VSS 4651 4652 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=304720 $Y=254470 $D=2
+M65 4649 4650 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=305860 $Y=177840 $D=2
+M66 4651 4652 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=305860 $Y=254470 $D=2
+M67 4649 VSS 734 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=306310 $Y=176390 $D=2
+M68 734 VSS 4651 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=306310 $Y=256100 $D=2
+M69 4838 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=418270 $Y=176390 $D=2
+M70 614 VSS 4840 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=193100 $D=2
+M71 4842 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=194390 $D=2
+M72 614 VSS 4844 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=211100 $D=2
+M73 4846 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=212390 $D=2
+M74 614 VSS 4848 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=229100 $D=2
+M75 4850 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=230390 $D=2
+M76 614 861 4852 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=247100 $D=2
+M77 4834 861 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=248390 $D=2
+M78 614 861 4836 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=418270 $Y=256100 $D=2
+M79 VSS VDD 4838 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=177840 $D=2
+M80 VSS VDD 4840 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=191470 $D=2
+M81 VSS VDD 4842 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=195840 $D=2
+M82 VSS VDD 4844 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=209470 $D=2
+M83 VSS VDD 4846 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=213840 $D=2
+M84 VSS VDD 4848 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=227470 $D=2
+M85 VSS VDD 4850 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=231840 $D=2
+M86 VSS VDD 4852 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=245470 $D=2
+M87 VSS VDD 4834 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=249840 $D=2
+M88 VSS VDD 4836 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=254470 $D=2
+M89 4839 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=177840 $D=2
+M90 4841 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=191470 $D=2
+M91 4843 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=195840 $D=2
+M92 4845 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=209470 $D=2
+M93 4847 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=213840 $D=2
+M94 4849 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=227470 $D=2
+M95 4851 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=231840 $D=2
+M96 4853 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=245470 $D=2
+M97 4835 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=249840 $D=2
+M98 4837 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=254470 $D=2
+M99 606 614 VSS VSS nmos_5p0 L=6e-07 W=2.76e-06 AD=7.176e-13 AS=1.2144e-12 PD=3.8e-06 PS=7.28e-06 NRD=0.376812 NRS=0.637681 m=1 nf=2 $X=418770 $Y=94540 $D=2
+M100 607 606 VSS VSS nmos_5p0 L=6e-07 W=1.7e-05 AD=4.42e-12 AS=7.48e-12 PD=1.804e-05 PS=3.576e-05 NRD=0.0611765 NRS=0.103529 m=1 nf=2 $X=418790 $Y=79115 $D=2
+M101 613 VDD VSS VSS nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=5.016e-13 PD=2.18e-06 PS=4.04e-06 NRD=0.912281 NRS=1.54386 m=1 nf=2 $X=419015 $Y=110805 $D=2
+M102 4839 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=420310 $Y=176390 $D=2
+M103 615 VSS 4841 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=193100 $D=2
+M104 4843 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=194390 $D=2
+M105 615 VSS 4845 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=211100 $D=2
+M106 4847 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=212390 $D=2
+M107 615 VSS 4849 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=229100 $D=2
+M108 4851 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=230390 $D=2
+M109 615 861 4853 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=247100 $D=2
+M110 4835 861 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=248390 $D=2
+M111 615 861 4837 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=420310 $Y=256100 $D=2
+M112 VDD 4482 4484 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=179690 $D=8
+M113 VDD 4891 4890 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=180970 $D=8
+M114 VDD 4899 4898 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=215690 $D=8
+M115 VDD 4955 4954 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=216970 $D=8
+M116 VDD 4963 4962 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=251690 $D=8
+M117 VDD 4498 4500 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=252970 $D=8
+M118 4482 4484 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=179690 $D=8
+M119 4891 4890 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=180970 $D=8
+M120 4899 4898 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=215690 $D=8
+M121 4955 4954 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=216970 $D=8
+M122 4963 4962 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=251690 $D=8
+M123 4498 4500 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=252970 $D=8
+M124 4699 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=13620 $Y=160970 $D=8
+M125 4700 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=13620 $Y=164845 $D=8
+M126 4862 4664 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=13835 $Y=112830 $D=8
+M127 VDD 4478 4480 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=179690 $D=8
+M128 VDD 4893 4892 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=180970 $D=8
+M129 VDD 4901 4900 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=215690 $D=8
+M130 VDD 4957 4956 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=216970 $D=8
+M131 VDD 4965 4964 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=251690 $D=8
+M132 VDD 4494 4496 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=252970 $D=8
+M133 4478 4480 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=179690 $D=8
+M134 4893 4892 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=180970 $D=8
+M135 4901 4900 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=215690 $D=8
+M136 4957 4956 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=216970 $D=8
+M137 4965 4964 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=251690 $D=8
+M138 4494 4496 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=252970 $D=8
+M139 4863 4663 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=16365 $Y=112830 $D=8
+M140 4702 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=16720 $Y=160970 $D=8
+M141 4701 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=16720 $Y=164845 $D=8
+M142 VDD 4474 4476 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=179690 $D=8
+M143 VDD 4895 4894 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=180970 $D=8
+M144 VDD 4903 4902 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=215690 $D=8
+M145 VDD 4959 4958 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=216970 $D=8
+M146 VDD 4967 4966 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=251690 $D=8
+M147 VDD 4490 4492 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=252970 $D=8
+M148 4474 4476 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=179690 $D=8
+M149 4895 4894 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=180970 $D=8
+M150 4903 4902 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=215690 $D=8
+M151 4959 4958 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=216970 $D=8
+M152 4967 4966 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=251690 $D=8
+M153 4490 4492 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=252970 $D=8
+M154 4703 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=19815 $Y=160970 $D=8
+M155 4704 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=19815 $Y=164845 $D=8
+M156 4864 4662 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=20030 $Y=112830 $D=8
+M157 VDD 4470 4472 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=179690 $D=8
+M158 VDD 4897 4896 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=180970 $D=8
+M159 VDD 4905 4904 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=215690 $D=8
+M160 VDD 4961 4960 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=216970 $D=8
+M161 VDD 4969 4968 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=251690 $D=8
+M162 VDD 4486 4488 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=252970 $D=8
+M163 4470 4472 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=179690 $D=8
+M164 4897 4896 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=180970 $D=8
+M165 4905 4904 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=215690 $D=8
+M166 4961 4960 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=216970 $D=8
+M167 4969 4968 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=251690 $D=8
+M168 4486 4488 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=252970 $D=8
+M169 4865 4661 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=22560 $Y=112830 $D=8
+M170 4706 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=22915 $Y=160970 $D=8
+M171 4705 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=22915 $Y=164845 $D=8
+M172 VDD 4266 4268 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=179690 $D=8
+M173 VDD 4907 4906 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=180970 $D=8
+M174 VDD 4915 4914 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=215690 $D=8
+M175 VDD 4971 4970 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=216970 $D=8
+M176 VDD 4979 4978 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=251690 $D=8
+M177 VDD 4338 4340 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=252970 $D=8
+M178 4266 4268 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=179690 $D=8
+M179 4907 4906 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=180970 $D=8
+M180 4915 4914 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=215690 $D=8
+M181 4971 4970 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=216970 $D=8
+M182 4979 4978 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=251690 $D=8
+M183 4338 4340 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=252970 $D=8
+M184 4707 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=26005 $Y=160970 $D=8
+M185 4708 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=26005 $Y=164845 $D=8
+M186 4866 4660 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=26220 $Y=112830 $D=8
+M187 VDD 4262 4264 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=179690 $D=8
+M188 VDD 4909 4908 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=180970 $D=8
+M189 VDD 4917 4916 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=215690 $D=8
+M190 VDD 4973 4972 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=216970 $D=8
+M191 VDD 4981 4980 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=251690 $D=8
+M192 VDD 4334 4336 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=252970 $D=8
+M193 4262 4264 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=179690 $D=8
+M194 4909 4908 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=180970 $D=8
+M195 4917 4916 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=215690 $D=8
+M196 4973 4972 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=216970 $D=8
+M197 4981 4980 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=251690 $D=8
+M198 4334 4336 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=252970 $D=8
+M199 4867 4659 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=28750 $Y=112830 $D=8
+M200 4710 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=29105 $Y=160970 $D=8
+M201 4709 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=29105 $Y=164845 $D=8
+M202 VDD 4274 4276 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=179690 $D=8
+M203 VDD 4911 4910 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=180970 $D=8
+M204 VDD 4919 4918 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=215690 $D=8
+M205 VDD 4975 4974 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=216970 $D=8
+M206 VDD 4983 4982 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=251690 $D=8
+M207 VDD 4330 4332 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=252970 $D=8
+M208 4274 4276 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=179690 $D=8
+M209 4911 4910 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=180970 $D=8
+M210 4919 4918 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=215690 $D=8
+M211 4975 4974 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=216970 $D=8
+M212 4983 4982 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=251690 $D=8
+M213 4330 4332 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=252970 $D=8
+M214 4711 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=32200 $Y=160970 $D=8
+M215 4712 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=32200 $Y=164845 $D=8
+M216 4868 4658 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=32415 $Y=112830 $D=8
+M217 VDD 4270 4272 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=179690 $D=8
+M218 VDD 4913 4912 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=180970 $D=8
+M219 VDD 4921 4920 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=215690 $D=8
+M220 VDD 4977 4976 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=216970 $D=8
+M221 VDD 4985 4984 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=251690 $D=8
+M222 VDD 4326 4328 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=252970 $D=8
+M223 4270 4272 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=179690 $D=8
+M224 4913 4912 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=180970 $D=8
+M225 4921 4920 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=215690 $D=8
+M226 4977 4976 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=216970 $D=8
+M227 4985 4984 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=251690 $D=8
+M228 4326 4328 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=252970 $D=8
+M229 4818 4657 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=34945 $Y=112830 $D=8
+M230 938 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=35120 $Y=160970 $D=8
+M231 939 4218 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=35120 $Y=164845 $D=8
+M232 950 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=38575 $Y=160970 $D=8
+M233 951 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=38575 $Y=164845 $D=8
+M234 VDD 4514 4516 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=179690 $D=8
+M235 VDD 4928 4929 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=180970 $D=8
+M236 VDD 4936 4937 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=215690 $D=8
+M237 VDD 4992 4993 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=216970 $D=8
+M238 VDD 5000 5001 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=251690 $D=8
+M239 VDD 4530 4532 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=252970 $D=8
+M240 4822 4664 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=38620 $Y=112830 $D=8
+M241 4514 4516 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=179690 $D=8
+M242 4928 4929 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=180970 $D=8
+M243 4936 4937 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=215690 $D=8
+M244 4992 4993 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=216970 $D=8
+M245 5000 5001 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=251690 $D=8
+M246 4530 4532 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=252970 $D=8
+M247 5408 4663 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=41145 $Y=112830 $D=8
+M248 4791 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=41500 $Y=160970 $D=8
+M249 4792 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=41500 $Y=164845 $D=8
+M250 VDD 4510 4512 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=179690 $D=8
+M251 VDD 4926 4927 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=180970 $D=8
+M252 VDD 4934 4935 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=215690 $D=8
+M253 VDD 4990 4991 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=216970 $D=8
+M254 VDD 4998 4999 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=251690 $D=8
+M255 VDD 4526 4528 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=252970 $D=8
+M256 4510 4512 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=179690 $D=8
+M257 4926 4927 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=180970 $D=8
+M258 4934 4935 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=215690 $D=8
+M259 4990 4991 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=216970 $D=8
+M260 4998 4999 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=251690 $D=8
+M261 4526 4528 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=252970 $D=8
+M262 4790 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=44595 $Y=160970 $D=8
+M263 4789 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=44595 $Y=164845 $D=8
+M264 VDD 4506 4508 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=179690 $D=8
+M265 VDD 4924 4925 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=180970 $D=8
+M266 VDD 4932 4933 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=215690 $D=8
+M267 VDD 4988 4989 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=216970 $D=8
+M268 VDD 4996 4997 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=251690 $D=8
+M269 VDD 4522 4524 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=252970 $D=8
+M270 5407 4662 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=44810 $Y=112830 $D=8
+M271 4506 4508 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=179690 $D=8
+M272 4924 4925 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=180970 $D=8
+M273 4932 4933 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=215690 $D=8
+M274 4988 4989 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=216970 $D=8
+M275 4996 4997 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=251690 $D=8
+M276 4522 4524 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=252970 $D=8
+M277 5406 4661 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=47340 $Y=112830 $D=8
+M278 4787 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=47695 $Y=160970 $D=8
+M279 4788 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=47695 $Y=164845 $D=8
+M280 VDD 4502 4504 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=179690 $D=8
+M281 VDD 4922 4923 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=180970 $D=8
+M282 VDD 4930 4931 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=215690 $D=8
+M283 VDD 4986 4987 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=216970 $D=8
+M284 VDD 4994 4995 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=251690 $D=8
+M285 VDD 4518 4520 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=252970 $D=8
+M286 4502 4504 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=179690 $D=8
+M287 4922 4923 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=180970 $D=8
+M288 4930 4931 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=215690 $D=8
+M289 4986 4987 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=216970 $D=8
+M290 4994 4995 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=251690 $D=8
+M291 4518 4520 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=252970 $D=8
+M292 VDD 4354 4356 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=179690 $D=8
+M293 VDD 4944 4945 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=180970 $D=8
+M294 VDD 4952 4953 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=215690 $D=8
+M295 VDD 5008 5009 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=216970 $D=8
+M296 VDD 5016 5017 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=251690 $D=8
+M297 VDD 4370 4372 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=252970 $D=8
+M298 4762 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=50785 $Y=160970 $D=8
+M299 4761 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=50785 $Y=164845 $D=8
+M300 5405 4660 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=51000 $Y=112830 $D=8
+M301 4354 4356 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=179690 $D=8
+M302 4944 4945 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=180970 $D=8
+M303 4952 4953 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=215690 $D=8
+M304 5008 5009 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=216970 $D=8
+M305 5016 5017 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=251690 $D=8
+M306 4370 4372 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=252970 $D=8
+M307 5404 4659 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=53530 $Y=112830 $D=8
+M308 VDD 4350 4352 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=179690 $D=8
+M309 VDD 4942 4943 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=180970 $D=8
+M310 VDD 4950 4951 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=215690 $D=8
+M311 VDD 5006 5007 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=216970 $D=8
+M312 VDD 5014 5015 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=251690 $D=8
+M313 VDD 4366 4368 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=252970 $D=8
+M314 4759 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=53885 $Y=160970 $D=8
+M315 4760 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=53885 $Y=164845 $D=8
+M316 4350 4352 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=179690 $D=8
+M317 4942 4943 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=180970 $D=8
+M318 4950 4951 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=215690 $D=8
+M319 5006 5007 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=216970 $D=8
+M320 5014 5015 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=251690 $D=8
+M321 4366 4368 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=252970 $D=8
+M322 VDD 4346 4348 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=179690 $D=8
+M323 VDD 4940 4941 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=180970 $D=8
+M324 VDD 4948 4949 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=215690 $D=8
+M325 VDD 5004 5005 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=216970 $D=8
+M326 VDD 5012 5013 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=251690 $D=8
+M327 VDD 4362 4364 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=252970 $D=8
+M328 4758 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=56980 $Y=160970 $D=8
+M329 4757 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=56980 $Y=164845 $D=8
+M330 5403 4658 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=57195 $Y=112830 $D=8
+M331 4346 4348 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=179690 $D=8
+M332 4940 4941 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=180970 $D=8
+M333 4948 4949 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=215690 $D=8
+M334 5004 5005 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=216970 $D=8
+M335 5012 5013 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=251690 $D=8
+M336 4362 4364 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=252970 $D=8
+M337 VDD 4342 4344 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=179690 $D=8
+M338 VDD 4938 4939 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=180970 $D=8
+M339 VDD 4946 4947 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=215690 $D=8
+M340 VDD 5002 5003 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=216970 $D=8
+M341 VDD 5010 5011 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=251690 $D=8
+M342 VDD 4358 4360 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=252970 $D=8
+M343 5402 4657 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=59725 $Y=112830 $D=8
+M344 4755 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=60080 $Y=160970 $D=8
+M345 4756 948 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=60080 $Y=164845 $D=8
+M346 4342 4344 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=179690 $D=8
+M347 4938 4939 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=180970 $D=8
+M348 4946 4947 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=215690 $D=8
+M349 5002 5003 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=216970 $D=8
+M350 5010 5011 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=251690 $D=8
+M351 4358 4360 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=252970 $D=8
+M352 VDD 4546 4548 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=179690 $D=8
+M353 VDD 5019 5018 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=180970 $D=8
+M354 VDD 5027 5026 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=215690 $D=8
+M355 VDD 5083 5082 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=216970 $D=8
+M356 VDD 5091 5090 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=251690 $D=8
+M357 VDD 5436 5437 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=252970 $D=8
+M358 4546 4548 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=179690 $D=8
+M359 5019 5018 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=180970 $D=8
+M360 5027 5026 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=215690 $D=8
+M361 5083 5082 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=216970 $D=8
+M362 5091 5090 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=251690 $D=8
+M363 5436 5437 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=252970 $D=8
+M364 4713 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=67620 $Y=160970 $D=8
+M365 4714 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=67620 $Y=164845 $D=8
+M366 4869 4664 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=67835 $Y=112830 $D=8
+M367 VDD 4542 4544 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=179690 $D=8
+M368 VDD 5021 5020 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=180970 $D=8
+M369 VDD 5029 5028 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=215690 $D=8
+M370 VDD 5085 5084 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=216970 $D=8
+M371 VDD 5093 5092 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=251690 $D=8
+M372 VDD 5434 5435 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=252970 $D=8
+M373 4542 4544 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=179690 $D=8
+M374 5021 5020 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=180970 $D=8
+M375 5029 5028 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=215690 $D=8
+M376 5085 5084 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=216970 $D=8
+M377 5093 5092 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=251690 $D=8
+M378 5434 5435 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=252970 $D=8
+M379 4870 4663 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=70365 $Y=112830 $D=8
+M380 4716 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=70720 $Y=160970 $D=8
+M381 4715 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=70720 $Y=164845 $D=8
+M382 VDD 4538 4540 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=179690 $D=8
+M383 VDD 5023 5022 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=180970 $D=8
+M384 VDD 5031 5030 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=215690 $D=8
+M385 VDD 5087 5086 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=216970 $D=8
+M386 VDD 5095 5094 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=251690 $D=8
+M387 VDD 5432 5433 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=252970 $D=8
+M388 4538 4540 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=179690 $D=8
+M389 5023 5022 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=180970 $D=8
+M390 5031 5030 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=215690 $D=8
+M391 5087 5086 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=216970 $D=8
+M392 5095 5094 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=251690 $D=8
+M393 5432 5433 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=252970 $D=8
+M394 4717 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=73815 $Y=160970 $D=8
+M395 4718 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=73815 $Y=164845 $D=8
+M396 4871 4662 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=74030 $Y=112830 $D=8
+M397 VDD 4534 4536 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=179690 $D=8
+M398 VDD 5025 5024 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=180970 $D=8
+M399 VDD 5033 5032 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=215690 $D=8
+M400 VDD 5089 5088 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=216970 $D=8
+M401 VDD 5097 5096 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=251690 $D=8
+M402 VDD 5430 5431 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=252970 $D=8
+M403 4534 4536 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=179690 $D=8
+M404 5025 5024 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=180970 $D=8
+M405 5033 5032 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=215690 $D=8
+M406 5089 5088 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=216970 $D=8
+M407 5097 5096 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=251690 $D=8
+M408 5430 5431 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=252970 $D=8
+M409 4872 4661 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=76560 $Y=112830 $D=8
+M410 4720 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=76915 $Y=160970 $D=8
+M411 4719 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=76915 $Y=164845 $D=8
+M412 VDD 4282 4284 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=179690 $D=8
+M413 VDD 5035 5034 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=180970 $D=8
+M414 VDD 5043 5042 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=215690 $D=8
+M415 VDD 5099 5098 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=216970 $D=8
+M416 VDD 5107 5106 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=251690 $D=8
+M417 VDD 5444 5445 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=252970 $D=8
+M418 4282 4284 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=179690 $D=8
+M419 5035 5034 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=180970 $D=8
+M420 5043 5042 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=215690 $D=8
+M421 5099 5098 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=216970 $D=8
+M422 5107 5106 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=251690 $D=8
+M423 5444 5445 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=252970 $D=8
+M424 4721 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=80005 $Y=160970 $D=8
+M425 4722 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=80005 $Y=164845 $D=8
+M426 4873 4660 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=80220 $Y=112830 $D=8
+M427 VDD 4278 4280 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=179690 $D=8
+M428 VDD 5037 5036 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=180970 $D=8
+M429 VDD 5045 5044 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=215690 $D=8
+M430 VDD 5101 5100 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=216970 $D=8
+M431 VDD 5109 5108 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=251690 $D=8
+M432 VDD 5442 5443 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=252970 $D=8
+M433 4278 4280 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=179690 $D=8
+M434 5037 5036 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=180970 $D=8
+M435 5045 5044 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=215690 $D=8
+M436 5101 5100 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=216970 $D=8
+M437 5109 5108 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=251690 $D=8
+M438 5442 5443 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=252970 $D=8
+M439 4874 4659 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=82750 $Y=112830 $D=8
+M440 4724 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=83105 $Y=160970 $D=8
+M441 4723 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=83105 $Y=164845 $D=8
+M442 VDD 4290 4292 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=179690 $D=8
+M443 VDD 5039 5038 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=180970 $D=8
+M444 VDD 5047 5046 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=215690 $D=8
+M445 VDD 5103 5102 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=216970 $D=8
+M446 VDD 5111 5110 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=251690 $D=8
+M447 VDD 5440 5441 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=252970 $D=8
+M448 4290 4292 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=179690 $D=8
+M449 5039 5038 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=180970 $D=8
+M450 5047 5046 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=215690 $D=8
+M451 5103 5102 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=216970 $D=8
+M452 5111 5110 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=251690 $D=8
+M453 5440 5441 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=252970 $D=8
+M454 4725 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=86200 $Y=160970 $D=8
+M455 4726 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=86200 $Y=164845 $D=8
+M456 4875 4658 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=86415 $Y=112830 $D=8
+M457 VDD 4286 4288 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=179690 $D=8
+M458 VDD 5041 5040 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=180970 $D=8
+M459 VDD 5049 5048 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=215690 $D=8
+M460 VDD 5105 5104 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=216970 $D=8
+M461 VDD 5113 5112 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=251690 $D=8
+M462 VDD 5438 5439 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=252970 $D=8
+M463 4286 4288 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=179690 $D=8
+M464 5041 5040 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=180970 $D=8
+M465 5049 5048 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=215690 $D=8
+M466 5105 5104 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=216970 $D=8
+M467 5113 5112 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=251690 $D=8
+M468 5438 5439 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=252970 $D=8
+M469 4819 4657 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=88945 $Y=112830 $D=8
+M470 940 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=89120 $Y=160970 $D=8
+M471 941 4219 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=89120 $Y=164845 $D=8
+M472 953 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=92575 $Y=160970 $D=8
+M473 954 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=92575 $Y=164845 $D=8
+M474 VDD 4562 4564 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=179690 $D=8
+M475 VDD 5056 5057 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=180970 $D=8
+M476 VDD 5064 5065 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=215690 $D=8
+M477 VDD 5120 5121 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=216970 $D=8
+M478 VDD 5128 5129 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=251690 $D=8
+M479 VDD 5452 5453 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=252970 $D=8
+M480 4823 4664 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=92620 $Y=112830 $D=8
+M481 4562 4564 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=179690 $D=8
+M482 5056 5057 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=180970 $D=8
+M483 5064 5065 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=215690 $D=8
+M484 5120 5121 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=216970 $D=8
+M485 5128 5129 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=251690 $D=8
+M486 5452 5453 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=252970 $D=8
+M487 5415 4663 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=95145 $Y=112830 $D=8
+M488 4797 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=95500 $Y=160970 $D=8
+M489 4798 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=95500 $Y=164845 $D=8
+M490 VDD 4558 4560 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=179690 $D=8
+M491 VDD 5054 5055 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=180970 $D=8
+M492 VDD 5062 5063 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=215690 $D=8
+M493 VDD 5118 5119 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=216970 $D=8
+M494 VDD 5126 5127 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=251690 $D=8
+M495 VDD 5450 5451 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=252970 $D=8
+M496 4558 4560 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=179690 $D=8
+M497 5054 5055 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=180970 $D=8
+M498 5062 5063 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=215690 $D=8
+M499 5118 5119 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=216970 $D=8
+M500 5126 5127 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=251690 $D=8
+M501 5450 5451 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=252970 $D=8
+M502 4796 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=98595 $Y=160970 $D=8
+M503 4795 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=98595 $Y=164845 $D=8
+M504 VDD 4554 4556 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=179690 $D=8
+M505 VDD 5052 5053 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=180970 $D=8
+M506 VDD 5060 5061 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=215690 $D=8
+M507 VDD 5116 5117 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=216970 $D=8
+M508 VDD 5124 5125 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=251690 $D=8
+M509 VDD 5448 5449 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=252970 $D=8
+M510 5414 4662 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=98810 $Y=112830 $D=8
+M511 4554 4556 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=179690 $D=8
+M512 5052 5053 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=180970 $D=8
+M513 5060 5061 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=215690 $D=8
+M514 5116 5117 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=216970 $D=8
+M515 5124 5125 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=251690 $D=8
+M516 5448 5449 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=252970 $D=8
+M517 5413 4661 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=101340 $Y=112830 $D=8
+M518 4793 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=101695 $Y=160970 $D=8
+M519 4794 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=101695 $Y=164845 $D=8
+M520 VDD 4550 4552 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=179690 $D=8
+M521 VDD 5050 5051 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=180970 $D=8
+M522 VDD 5058 5059 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=215690 $D=8
+M523 VDD 5114 5115 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=216970 $D=8
+M524 VDD 5122 5123 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=251690 $D=8
+M525 VDD 5446 5447 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=252970 $D=8
+M526 4550 4552 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=179690 $D=8
+M527 5050 5051 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=180970 $D=8
+M528 5058 5059 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=215690 $D=8
+M529 5114 5115 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=216970 $D=8
+M530 5122 5123 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=251690 $D=8
+M531 5446 5447 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=252970 $D=8
+M532 VDD 4386 4388 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=179690 $D=8
+M533 VDD 5072 5073 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=180970 $D=8
+M534 VDD 5080 5081 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=215690 $D=8
+M535 VDD 5136 5137 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=216970 $D=8
+M536 VDD 5144 5145 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=251690 $D=8
+M537 VDD 5460 5461 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=252970 $D=8
+M538 4770 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=104785 $Y=160970 $D=8
+M539 4769 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=104785 $Y=164845 $D=8
+M540 5412 4660 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=105000 $Y=112830 $D=8
+M541 4386 4388 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=179690 $D=8
+M542 5072 5073 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=180970 $D=8
+M543 5080 5081 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=215690 $D=8
+M544 5136 5137 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=216970 $D=8
+M545 5144 5145 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=251690 $D=8
+M546 5460 5461 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=252970 $D=8
+M547 5411 4659 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=107530 $Y=112830 $D=8
+M548 VDD 4382 4384 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=179690 $D=8
+M549 VDD 5070 5071 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=180970 $D=8
+M550 VDD 5078 5079 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=215690 $D=8
+M551 VDD 5134 5135 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=216970 $D=8
+M552 VDD 5142 5143 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=251690 $D=8
+M553 VDD 5458 5459 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=252970 $D=8
+M554 4767 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=107885 $Y=160970 $D=8
+M555 4768 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=107885 $Y=164845 $D=8
+M556 4382 4384 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=179690 $D=8
+M557 5070 5071 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=180970 $D=8
+M558 5078 5079 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=215690 $D=8
+M559 5134 5135 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=216970 $D=8
+M560 5142 5143 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=251690 $D=8
+M561 5458 5459 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=252970 $D=8
+M562 VDD 4378 4380 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=179690 $D=8
+M563 VDD 5068 5069 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=180970 $D=8
+M564 VDD 5076 5077 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=215690 $D=8
+M565 VDD 5132 5133 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=216970 $D=8
+M566 VDD 5140 5141 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=251690 $D=8
+M567 VDD 5456 5457 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=252970 $D=8
+M568 4766 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=110980 $Y=160970 $D=8
+M569 4765 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=110980 $Y=164845 $D=8
+M570 5410 4658 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=111195 $Y=112830 $D=8
+M571 4378 4380 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=179690 $D=8
+M572 5068 5069 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=180970 $D=8
+M573 5076 5077 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=215690 $D=8
+M574 5132 5133 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=216970 $D=8
+M575 5140 5141 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=251690 $D=8
+M576 5456 5457 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=252970 $D=8
+M577 VDD 4374 4376 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=179690 $D=8
+M578 VDD 5066 5067 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=180970 $D=8
+M579 VDD 5074 5075 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=215690 $D=8
+M580 VDD 5130 5131 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=216970 $D=8
+M581 VDD 5138 5139 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=251690 $D=8
+M582 VDD 5454 5455 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=252970 $D=8
+M583 5409 4657 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=113725 $Y=112830 $D=8
+M584 4763 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=114080 $Y=160970 $D=8
+M585 4764 952 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=114080 $Y=164845 $D=8
+M586 4374 4376 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=179690 $D=8
+M587 5066 5067 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=180970 $D=8
+M588 5074 5075 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=215690 $D=8
+M589 5130 5131 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=216970 $D=8
+M590 5138 5139 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=251690 $D=8
+M591 5454 5455 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=252970 $D=8
+M592 VDD 4645 4646 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=179690 $D=8
+M593 VDD 5494 5495 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=180970 $D=8
+M594 VDD 5496 5497 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=188690 $D=8
+M595 VDD 5498 5499 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=189970 $D=8
+M596 VDD 5500 5501 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=197690 $D=8
+M597 VDD 5502 5503 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=198970 $D=8
+M598 VDD 5504 5505 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=206690 $D=8
+M599 VDD 5506 5507 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=207970 $D=8
+M600 VDD 5508 5509 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=215690 $D=8
+M601 VDD 5510 5511 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=216970 $D=8
+M602 VDD 5512 5513 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=224690 $D=8
+M603 VDD 5514 5515 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=225970 $D=8
+M604 VDD 5516 5517 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=233690 $D=8
+M605 VDD 5518 5519 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=234970 $D=8
+M606 VDD 5520 5521 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=242690 $D=8
+M607 VDD 5522 5523 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=243970 $D=8
+M608 VDD 5524 5525 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=251690 $D=8
+M609 VDD 4647 4648 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=252970 $D=8
+M610 VDD VSS VDD VDD pmos_5p0 L=2.365e-06 W=8.19e-05 AD=0 AS=6.1309e-11 PD=0 PS=0.000217698 NRD=0 NRS=11.8457 m=1 nf=36 $X=10835 $Y=171065 $D=8
+M611 4645 4646 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=179690 $D=8
+M612 5494 5495 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=180970 $D=8
+M613 5496 5497 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=188690 $D=8
+M614 5498 5499 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=189970 $D=8
+M615 5500 5501 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=197690 $D=8
+M616 5502 5503 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=198970 $D=8
+M617 5504 5505 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=206690 $D=8
+M618 5506 5507 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=207970 $D=8
+M619 5508 5509 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=215690 $D=8
+M620 5510 5511 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=216970 $D=8
+M621 5512 5513 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=224690 $D=8
+M622 5514 5515 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=225970 $D=8
+M623 5516 5517 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=233690 $D=8
+M624 5518 5519 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=234970 $D=8
+M625 5520 5521 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=242690 $D=8
+M626 5522 5523 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=243970 $D=8
+M627 5524 5525 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=251690 $D=8
+M628 4647 4648 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=252970 $D=8
+M629 VDD VSS VDD VDD pmos_5p0 L=3.94e-06 W=9.3415e-05 AD=0 AS=5.61039e-11 PD=0 PS=0.00021824 NRD=0 NRS=1.85805 m=1 nf=17 $X=146370 $Y=180915 $D=8
+M630 VDD 4854 4222 VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=156680 $Y=180895 $D=8
+M631 4229 4856 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=156680 $Y=213535 $D=8
+M632 VDD 4858 4230 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=156680 $Y=216895 $D=8
+M633 4237 4860 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=156680 $Y=249535 $D=8
+M634 4827 VDD VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=180895 $D=8
+M635 VDD 918 4827 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=182015 $D=8
+M636 4827 926 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=183135 $D=8
+M637 VDD 919 4829 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=213535 $D=8
+M638 4829 918 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=214655 $D=8
+M639 VDD VDD 4829 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=215775 $D=8
+M640 4831 VDD VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=216895 $D=8
+M641 VDD 917 4831 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=218015 $D=8
+M642 4831 926 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=219135 $D=8
+M643 VDD 919 4833 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=249535 $D=8
+M644 4833 917 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=250655 $D=8
+M645 VDD VDD 4833 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=251775 $D=8
+M646 4817 1 VDD VDD pmos_5p0 L=5.95e-07 W=2.28e-06 AD=5.985e-13 AS=1.3566e-12 PD=2.805e-06 PS=5.75e-06 NRD=0.115132 NRS=0.260965 m=1 nf=1 $X=233770 $Y=57780 $D=8
+M647 617 787 VDD VDD pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=233770 $Y=63100 $D=8
+M648 787 CLK 4817 VDD pmos_5p0 L=5.95e-07 W=2.28e-06 AD=1.3566e-12 AS=5.985e-13 PD=5.75e-06 PS=2.805e-06 NRD=0.260965 NRS=0.115132 m=1 nf=1 $X=234890 $Y=57780 $D=8
+M649 616 619 VDD VDD pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=4.9896e-12 PD=1.238e-05 PS=2.444e-05 NRD=0.0917108 NRS=0.155203 m=1 nf=2 $X=242235 $Y=57810 $D=8
+M650 281 865 VDD VDD pmos_5p0 L=1e-06 W=9e-07 AD=3.96e-13 AS=3.96e-13 PD=2.68e-06 PS=2.68e-06 NRD=0.488889 NRS=0.488889 m=1 nf=1 $X=243265 $Y=42525 $D=8
+M651 CEN 617 619 VDD pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=245925 $Y=59010 $D=8
+M652 618 787 619 VDD pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=245925 $Y=64875 $D=8
+M653 317 281 VDD VDD pmos_5p0 L=6e-07 W=1.89e-06 AD=8.316e-13 AS=8.316e-13 PD=4.66e-06 PS=4.66e-06 NRD=0.232804 NRS=0.232804 m=1 nf=1 $X=246495 $Y=41535 $D=8
+M654 354 317 VDD VDD pmos_5p0 L=6e-07 W=7.54e-06 AD=1.9604e-12 AS=3.3176e-12 PD=8.58e-06 PS=1.684e-05 NRD=0.137931 NRS=0.233422 m=1 nf=2 $X=249065 $Y=39655 $D=8
+M655 250 468 VDD VDD pmos_5p0 L=6e-07 W=0.0001248 AD=3.2448e-11 AS=3.69283e-11 PD=0.00013 PS=0.000130718 NRD=0.208333 NRS=0.237099 m=1 nf=10 $X=240535 $Y=94430 $D=8
+M656 445 354 VDD VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=5.07e-12 AS=8.58e-12 PD=2.002e-05 PS=3.988e-05 NRD=0.0133333 NRS=0.0225641 m=1 nf=1 $X=256125 $Y=53590 $D=8
+M657 VDD CLK 445 VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=257245 $Y=53590 $D=8
+M658 445 616 VDD VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=8.58e-12 AS=5.07e-12 PD=3.988e-05 PS=2.002e-05 NRD=0.0225641 NRS=0.0133333 m=1 nf=1 $X=258365 $Y=53590 $D=8
+M659 VDD 495 468 VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=9.9792e-12 PD=2.32e-05 PS=4.624e-05 NRD=0.0114638 NRS=0.0194004 m=1 nf=1 $X=262120 $Y=50420 $D=8
+M660 468 445 VDD VDD pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.64e-05 PS=4.64e-05 NRD=0.0229277 NRS=0.0229277 m=1 nf=2 $X=263240 $Y=50420 $D=8
+M661 468 495 VDD VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=9.9792e-12 AS=5.8968e-12 PD=4.624e-05 PS=2.32e-05 NRD=0.0194004 NRS=0.0114638 m=1 nf=1 $X=265480 $Y=50420 $D=8
+M662 VDD 4855 4238 VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=258945 $Y=180895 $D=8
+M663 4245 4857 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=258945 $Y=213535 $D=8
+M664 VDD 4859 4246 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=258945 $Y=216895 $D=8
+M665 4253 4861 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=258945 $Y=249535 $D=8
+M666 VDD 468 495 VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=9.9792e-12 PD=2.32e-05 PS=4.624e-05 NRD=0.0114638 NRS=0.0194004 m=1 nf=1 $X=268545 $Y=50420 $D=8
+M667 495 607 VDD VDD pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.64e-05 PS=4.64e-05 NRD=0.0229277 NRS=0.0229277 m=1 nf=2 $X=269665 $Y=50420 $D=8
+M668 495 468 VDD VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=9.9792e-12 AS=5.8968e-12 PD=4.624e-05 PS=2.32e-05 NRD=0.0194004 NRS=0.0114638 m=1 nf=1 $X=271905 $Y=50420 $D=8
+M669 1 250 VDD VDD pmos_5p0 L=6e-07 W=0.0003674 AD=9.5524e-11 AS=1.02119e-10 PD=0.0003778 PS=0.000378518 NRD=0.28307 NRS=0.302613 m=1 nf=20 $X=253180 $Y=88540 $D=8
+M670 VDD VSS VDD VDD pmos_5p0 L=3.94e-06 W=9.3415e-05 AD=0 AS=5.61039e-11 PD=0 PS=0.00021824 NRD=0 NRS=1.85805 m=1 nf=17 $X=273750 $Y=180915 $D=8
+M671 VDD 4649 4650 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=179690 $D=8
+M672 VDD 5534 5536 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=180970 $D=8
+M673 VDD 5535 5537 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=188690 $D=8
+M674 VDD 5538 5540 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=189970 $D=8
+M675 VDD 5539 5541 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=197690 $D=8
+M676 VDD 5542 5544 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=198970 $D=8
+M677 VDD 5543 5545 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=206690 $D=8
+M678 VDD 5546 5548 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=207970 $D=8
+M679 VDD 5547 5549 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=215690 $D=8
+M680 VDD 5550 5552 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=216970 $D=8
+M681 VDD 5551 5553 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=224690 $D=8
+M682 VDD 5554 5556 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=225970 $D=8
+M683 VDD 5555 5557 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=233690 $D=8
+M684 VDD 5558 5560 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=234970 $D=8
+M685 VDD 5559 5561 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=242690 $D=8
+M686 VDD 5562 5564 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=243970 $D=8
+M687 VDD 5563 5565 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=251690 $D=8
+M688 VDD 4651 4652 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=252970 $D=8
+M689 4649 4650 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=179690 $D=8
+M690 5534 5536 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=180970 $D=8
+M691 5535 5537 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=188690 $D=8
+M692 5538 5540 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=189970 $D=8
+M693 5539 5541 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=197690 $D=8
+M694 5542 5544 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=198970 $D=8
+M695 5543 5545 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=206690 $D=8
+M696 5546 5548 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=207970 $D=8
+M697 5547 5549 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=215690 $D=8
+M698 5550 5552 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=216970 $D=8
+M699 5551 5553 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=224690 $D=8
+M700 5554 5556 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=225970 $D=8
+M701 5555 5557 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=233690 $D=8
+M702 5558 5560 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=234970 $D=8
+M703 5559 5561 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=242690 $D=8
+M704 5562 5564 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=243970 $D=8
+M705 5563 5565 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=251690 $D=8
+M706 4651 4652 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=252970 $D=8
+M707 VDD 4578 4580 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=179690 $D=8
+M708 VDD 5147 5146 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=180970 $D=8
+M709 VDD 5155 5154 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=215690 $D=8
+M710 VDD 5211 5210 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=216970 $D=8
+M711 VDD 5219 5218 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=251690 $D=8
+M712 VDD 4402 4404 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=252970 $D=8
+M713 4578 4580 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=179690 $D=8
+M714 5147 5146 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=180970 $D=8
+M715 5155 5154 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=215690 $D=8
+M716 5211 5210 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=216970 $D=8
+M717 5219 5218 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=251690 $D=8
+M718 4402 4404 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=252970 $D=8
+M719 4727 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=311500 $Y=160970 $D=8
+M720 4728 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=311500 $Y=164845 $D=8
+M721 4876 4666 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=311715 $Y=112830 $D=8
+M722 VDD 4574 4576 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=179690 $D=8
+M723 VDD 5149 5148 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=180970 $D=8
+M724 VDD 5157 5156 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=215690 $D=8
+M725 VDD 5213 5212 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=216970 $D=8
+M726 VDD 5221 5220 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=251690 $D=8
+M727 VDD 4398 4400 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=252970 $D=8
+M728 4574 4576 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=179690 $D=8
+M729 5149 5148 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=180970 $D=8
+M730 5157 5156 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=215690 $D=8
+M731 5213 5212 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=216970 $D=8
+M732 5221 5220 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=251690 $D=8
+M733 4398 4400 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=252970 $D=8
+M734 4877 4667 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=314245 $Y=112830 $D=8
+M735 4730 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=314600 $Y=160970 $D=8
+M736 4729 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=314600 $Y=164845 $D=8
+M737 VDD 4570 4572 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=179690 $D=8
+M738 VDD 5151 5150 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=180970 $D=8
+M739 VDD 5159 5158 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=215690 $D=8
+M740 VDD 5215 5214 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=216970 $D=8
+M741 VDD 5223 5222 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=251690 $D=8
+M742 VDD 4394 4396 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=252970 $D=8
+M743 4570 4572 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=179690 $D=8
+M744 5151 5150 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=180970 $D=8
+M745 5159 5158 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=215690 $D=8
+M746 5215 5214 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=216970 $D=8
+M747 5223 5222 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=251690 $D=8
+M748 4394 4396 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=252970 $D=8
+M749 4731 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=317695 $Y=160970 $D=8
+M750 4732 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=317695 $Y=164845 $D=8
+M751 4878 4668 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=317910 $Y=112830 $D=8
+M752 VDD 4566 4568 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=179690 $D=8
+M753 VDD 5153 5152 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=180970 $D=8
+M754 VDD 5161 5160 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=215690 $D=8
+M755 VDD 5217 5216 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=216970 $D=8
+M756 VDD 5225 5224 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=251690 $D=8
+M757 VDD 4390 4392 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=252970 $D=8
+M758 4566 4568 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=179690 $D=8
+M759 5153 5152 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=180970 $D=8
+M760 5161 5160 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=215690 $D=8
+M761 5217 5216 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=216970 $D=8
+M762 5225 5224 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=251690 $D=8
+M763 4390 4392 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=252970 $D=8
+M764 4879 4669 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=320440 $Y=112830 $D=8
+M765 4734 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=320795 $Y=160970 $D=8
+M766 4733 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=320795 $Y=164845 $D=8
+M767 VDD 4298 4300 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=179690 $D=8
+M768 VDD 5163 5162 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=180970 $D=8
+M769 VDD 5171 5170 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=215690 $D=8
+M770 VDD 5227 5226 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=216970 $D=8
+M771 VDD 5235 5234 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=251690 $D=8
+M772 VDD 4418 4420 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=252970 $D=8
+M773 4298 4300 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=179690 $D=8
+M774 5163 5162 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=180970 $D=8
+M775 5171 5170 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=215690 $D=8
+M776 5227 5226 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=216970 $D=8
+M777 5235 5234 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=251690 $D=8
+M778 4418 4420 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=252970 $D=8
+M779 4735 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=323885 $Y=160970 $D=8
+M780 4736 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=323885 $Y=164845 $D=8
+M781 4880 4670 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=324100 $Y=112830 $D=8
+M782 VDD 4294 4296 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=179690 $D=8
+M783 VDD 5165 5164 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=180970 $D=8
+M784 VDD 5173 5172 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=215690 $D=8
+M785 VDD 5229 5228 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=216970 $D=8
+M786 VDD 5237 5236 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=251690 $D=8
+M787 VDD 4414 4416 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=252970 $D=8
+M788 4294 4296 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=179690 $D=8
+M789 5165 5164 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=180970 $D=8
+M790 5173 5172 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=215690 $D=8
+M791 5229 5228 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=216970 $D=8
+M792 5237 5236 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=251690 $D=8
+M793 4414 4416 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=252970 $D=8
+M794 4881 4671 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=326630 $Y=112830 $D=8
+M795 4738 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=326985 $Y=160970 $D=8
+M796 4737 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=326985 $Y=164845 $D=8
+M797 VDD 4306 4308 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=179690 $D=8
+M798 VDD 5167 5166 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=180970 $D=8
+M799 VDD 5175 5174 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=215690 $D=8
+M800 VDD 5231 5230 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=216970 $D=8
+M801 VDD 5239 5238 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=251690 $D=8
+M802 VDD 4410 4412 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=252970 $D=8
+M803 4306 4308 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=179690 $D=8
+M804 5167 5166 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=180970 $D=8
+M805 5175 5174 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=215690 $D=8
+M806 5231 5230 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=216970 $D=8
+M807 5239 5238 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=251690 $D=8
+M808 4410 4412 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=252970 $D=8
+M809 4739 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=330080 $Y=160970 $D=8
+M810 4740 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=330080 $Y=164845 $D=8
+M811 4882 4672 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=330295 $Y=112830 $D=8
+M812 VDD 4302 4304 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=179690 $D=8
+M813 VDD 5169 5168 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=180970 $D=8
+M814 VDD 5177 5176 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=215690 $D=8
+M815 VDD 5233 5232 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=216970 $D=8
+M816 VDD 5241 5240 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=251690 $D=8
+M817 VDD 4406 4408 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=252970 $D=8
+M818 4302 4304 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=179690 $D=8
+M819 5169 5168 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=180970 $D=8
+M820 5177 5176 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=215690 $D=8
+M821 5233 5232 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=216970 $D=8
+M822 5241 5240 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=251690 $D=8
+M823 4406 4408 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=252970 $D=8
+M824 4820 4673 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=332825 $Y=112830 $D=8
+M825 943 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=333000 $Y=160970 $D=8
+M826 944 4220 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=333000 $Y=164845 $D=8
+M827 957 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=336455 $Y=160970 $D=8
+M828 958 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=336455 $Y=164845 $D=8
+M829 VDD 4594 4596 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=179690 $D=8
+M830 VDD 5184 5185 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=180970 $D=8
+M831 VDD 5192 5193 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=215690 $D=8
+M832 VDD 5248 5249 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=216970 $D=8
+M833 VDD 5256 5257 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=251690 $D=8
+M834 VDD 4610 4612 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=252970 $D=8
+M835 4824 4666 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=336500 $Y=112830 $D=8
+M836 4594 4596 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=179690 $D=8
+M837 5184 5185 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=180970 $D=8
+M838 5192 5193 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=215690 $D=8
+M839 5248 5249 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=216970 $D=8
+M840 5256 5257 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=251690 $D=8
+M841 4610 4612 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=252970 $D=8
+M842 5422 4667 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=339025 $Y=112830 $D=8
+M843 4803 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=339380 $Y=160970 $D=8
+M844 4804 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=339380 $Y=164845 $D=8
+M845 VDD 4590 4592 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=179690 $D=8
+M846 VDD 5182 5183 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=180970 $D=8
+M847 VDD 5190 5191 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=215690 $D=8
+M848 VDD 5246 5247 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=216970 $D=8
+M849 VDD 5254 5255 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=251690 $D=8
+M850 VDD 4606 4608 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=252970 $D=8
+M851 4590 4592 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=179690 $D=8
+M852 5182 5183 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=180970 $D=8
+M853 5190 5191 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=215690 $D=8
+M854 5246 5247 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=216970 $D=8
+M855 5254 5255 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=251690 $D=8
+M856 4606 4608 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=252970 $D=8
+M857 4802 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=342475 $Y=160970 $D=8
+M858 4801 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=342475 $Y=164845 $D=8
+M859 VDD 4586 4588 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=179690 $D=8
+M860 VDD 5180 5181 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=180970 $D=8
+M861 VDD 5188 5189 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=215690 $D=8
+M862 VDD 5244 5245 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=216970 $D=8
+M863 VDD 5252 5253 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=251690 $D=8
+M864 VDD 4602 4604 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=252970 $D=8
+M865 5421 4668 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=342690 $Y=112830 $D=8
+M866 4586 4588 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=179690 $D=8
+M867 5180 5181 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=180970 $D=8
+M868 5188 5189 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=215690 $D=8
+M869 5244 5245 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=216970 $D=8
+M870 5252 5253 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=251690 $D=8
+M871 4602 4604 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=252970 $D=8
+M872 5420 4669 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=345220 $Y=112830 $D=8
+M873 4799 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=345575 $Y=160970 $D=8
+M874 4800 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=345575 $Y=164845 $D=8
+M875 VDD 4582 4584 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=179690 $D=8
+M876 VDD 5178 5179 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=180970 $D=8
+M877 VDD 5186 5187 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=215690 $D=8
+M878 VDD 5242 5243 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=216970 $D=8
+M879 VDD 5250 5251 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=251690 $D=8
+M880 VDD 4598 4600 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=252970 $D=8
+M881 4582 4584 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=179690 $D=8
+M882 5178 5179 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=180970 $D=8
+M883 5186 5187 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=215690 $D=8
+M884 5242 5243 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=216970 $D=8
+M885 5250 5251 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=251690 $D=8
+M886 4598 4600 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=252970 $D=8
+M887 VDD 4434 4436 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=179690 $D=8
+M888 VDD 5200 5201 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=180970 $D=8
+M889 VDD 5208 5209 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=215690 $D=8
+M890 VDD 5264 5265 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=216970 $D=8
+M891 VDD 5272 5273 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=251690 $D=8
+M892 VDD 4450 4452 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=252970 $D=8
+M893 4778 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=348665 $Y=160970 $D=8
+M894 4777 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=348665 $Y=164845 $D=8
+M895 5419 4670 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=348880 $Y=112830 $D=8
+M896 4434 4436 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=179690 $D=8
+M897 5200 5201 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=180970 $D=8
+M898 5208 5209 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=215690 $D=8
+M899 5264 5265 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=216970 $D=8
+M900 5272 5273 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=251690 $D=8
+M901 4450 4452 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=252970 $D=8
+M902 5418 4671 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=351410 $Y=112830 $D=8
+M903 VDD 4430 4432 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=179690 $D=8
+M904 VDD 5198 5199 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=180970 $D=8
+M905 VDD 5206 5207 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=215690 $D=8
+M906 VDD 5262 5263 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=216970 $D=8
+M907 VDD 5270 5271 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=251690 $D=8
+M908 VDD 4446 4448 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=252970 $D=8
+M909 4775 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=351765 $Y=160970 $D=8
+M910 4776 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=351765 $Y=164845 $D=8
+M911 4430 4432 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=179690 $D=8
+M912 5198 5199 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=180970 $D=8
+M913 5206 5207 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=215690 $D=8
+M914 5262 5263 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=216970 $D=8
+M915 5270 5271 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=251690 $D=8
+M916 4446 4448 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=252970 $D=8
+M917 VDD 4426 4428 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=179690 $D=8
+M918 VDD 5196 5197 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=180970 $D=8
+M919 VDD 5204 5205 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=215690 $D=8
+M920 VDD 5260 5261 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=216970 $D=8
+M921 VDD 5268 5269 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=251690 $D=8
+M922 VDD 4442 4444 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=252970 $D=8
+M923 4774 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=354860 $Y=160970 $D=8
+M924 4773 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=354860 $Y=164845 $D=8
+M925 5417 4672 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=355075 $Y=112830 $D=8
+M926 4426 4428 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=179690 $D=8
+M927 5196 5197 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=180970 $D=8
+M928 5204 5205 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=215690 $D=8
+M929 5260 5261 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=216970 $D=8
+M930 5268 5269 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=251690 $D=8
+M931 4442 4444 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=252970 $D=8
+M932 VDD 4422 4424 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=179690 $D=8
+M933 VDD 5194 5195 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=180970 $D=8
+M934 VDD 5202 5203 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=215690 $D=8
+M935 VDD 5258 5259 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=216970 $D=8
+M936 VDD 5266 5267 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=251690 $D=8
+M937 VDD 4438 4440 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=252970 $D=8
+M938 5416 4673 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=357605 $Y=112830 $D=8
+M939 4771 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=357960 $Y=160970 $D=8
+M940 4772 955 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=357960 $Y=164845 $D=8
+M941 4422 4424 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=179690 $D=8
+M942 5194 5195 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=180970 $D=8
+M943 5202 5203 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=215690 $D=8
+M944 5258 5259 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=216970 $D=8
+M945 5266 5267 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=251690 $D=8
+M946 4438 4440 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=252970 $D=8
+M947 VDD 4626 4628 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=179690 $D=8
+M948 VDD 5275 5274 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=180970 $D=8
+M949 VDD 5283 5282 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=215690 $D=8
+M950 VDD 5339 5338 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=216970 $D=8
+M951 VDD 5347 5346 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=251690 $D=8
+M952 VDD 5468 5469 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=252970 $D=8
+M953 4626 4628 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=179690 $D=8
+M954 5275 5274 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=180970 $D=8
+M955 5283 5282 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=215690 $D=8
+M956 5339 5338 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=216970 $D=8
+M957 5347 5346 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=251690 $D=8
+M958 5468 5469 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=252970 $D=8
+M959 4741 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=365500 $Y=160970 $D=8
+M960 4742 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=365500 $Y=164845 $D=8
+M961 4883 4666 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=365715 $Y=112830 $D=8
+M962 VDD 4622 4624 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=179690 $D=8
+M963 VDD 5277 5276 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=180970 $D=8
+M964 VDD 5285 5284 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=215690 $D=8
+M965 VDD 5341 5340 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=216970 $D=8
+M966 VDD 5349 5348 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=251690 $D=8
+M967 VDD 5466 5467 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=252970 $D=8
+M968 4622 4624 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=179690 $D=8
+M969 5277 5276 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=180970 $D=8
+M970 5285 5284 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=215690 $D=8
+M971 5341 5340 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=216970 $D=8
+M972 5349 5348 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=251690 $D=8
+M973 5466 5467 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=252970 $D=8
+M974 4884 4667 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=368245 $Y=112830 $D=8
+M975 4744 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=368600 $Y=160970 $D=8
+M976 4743 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=368600 $Y=164845 $D=8
+M977 VDD 4618 4620 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=179690 $D=8
+M978 VDD 5279 5278 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=180970 $D=8
+M979 VDD 5287 5286 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=215690 $D=8
+M980 VDD 5343 5342 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=216970 $D=8
+M981 VDD 5351 5350 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=251690 $D=8
+M982 VDD 5464 5465 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=252970 $D=8
+M983 4618 4620 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=179690 $D=8
+M984 5279 5278 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=180970 $D=8
+M985 5287 5286 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=215690 $D=8
+M986 5343 5342 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=216970 $D=8
+M987 5351 5350 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=251690 $D=8
+M988 5464 5465 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=252970 $D=8
+M989 4745 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=371695 $Y=160970 $D=8
+M990 4746 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=371695 $Y=164845 $D=8
+M991 4885 4668 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=371910 $Y=112830 $D=8
+M992 VDD 4614 4616 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=179690 $D=8
+M993 VDD 5281 5280 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=180970 $D=8
+M994 VDD 5289 5288 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=215690 $D=8
+M995 VDD 5345 5344 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=216970 $D=8
+M996 VDD 5353 5352 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=251690 $D=8
+M997 VDD 5462 5463 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=252970 $D=8
+M998 4614 4616 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=179690 $D=8
+M999 5281 5280 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=180970 $D=8
+M1000 5289 5288 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=215690 $D=8
+M1001 5345 5344 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=216970 $D=8
+M1002 5353 5352 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=251690 $D=8
+M1003 5462 5463 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=252970 $D=8
+M1004 4886 4669 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=374440 $Y=112830 $D=8
+M1005 4748 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=374795 $Y=160970 $D=8
+M1006 4747 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=374795 $Y=164845 $D=8
+M1007 VDD 4314 4316 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=179690 $D=8
+M1008 VDD 5291 5290 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=180970 $D=8
+M1009 VDD 5299 5298 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=215690 $D=8
+M1010 VDD 5355 5354 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=216970 $D=8
+M1011 VDD 5363 5362 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=251690 $D=8
+M1012 VDD 5476 5477 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=252970 $D=8
+M1013 4314 4316 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=179690 $D=8
+M1014 5291 5290 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=180970 $D=8
+M1015 5299 5298 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=215690 $D=8
+M1016 5355 5354 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=216970 $D=8
+M1017 5363 5362 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=251690 $D=8
+M1018 5476 5477 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=252970 $D=8
+M1019 4749 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=377885 $Y=160970 $D=8
+M1020 4750 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=377885 $Y=164845 $D=8
+M1021 4887 4670 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=378100 $Y=112830 $D=8
+M1022 VDD 4310 4312 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=179690 $D=8
+M1023 VDD 5293 5292 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=180970 $D=8
+M1024 VDD 5301 5300 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=215690 $D=8
+M1025 VDD 5357 5356 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=216970 $D=8
+M1026 VDD 5365 5364 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=251690 $D=8
+M1027 VDD 5474 5475 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=252970 $D=8
+M1028 4310 4312 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=179690 $D=8
+M1029 5293 5292 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=180970 $D=8
+M1030 5301 5300 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=215690 $D=8
+M1031 5357 5356 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=216970 $D=8
+M1032 5365 5364 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=251690 $D=8
+M1033 5474 5475 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=252970 $D=8
+M1034 4888 4671 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=380630 $Y=112830 $D=8
+M1035 4752 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=380985 $Y=160970 $D=8
+M1036 4751 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=380985 $Y=164845 $D=8
+M1037 VDD 4322 4324 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=179690 $D=8
+M1038 VDD 5295 5294 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=180970 $D=8
+M1039 VDD 5303 5302 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=215690 $D=8
+M1040 VDD 5359 5358 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=216970 $D=8
+M1041 VDD 5367 5366 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=251690 $D=8
+M1042 VDD 5472 5473 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=252970 $D=8
+M1043 4322 4324 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=179690 $D=8
+M1044 5295 5294 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=180970 $D=8
+M1045 5303 5302 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=215690 $D=8
+M1046 5359 5358 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=216970 $D=8
+M1047 5367 5366 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=251690 $D=8
+M1048 5472 5473 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=252970 $D=8
+M1049 4753 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=384080 $Y=160970 $D=8
+M1050 4754 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=384080 $Y=164845 $D=8
+M1051 4889 4672 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=384295 $Y=112830 $D=8
+M1052 VDD 4318 4320 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=179690 $D=8
+M1053 VDD 5297 5296 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=180970 $D=8
+M1054 VDD 5305 5304 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=215690 $D=8
+M1055 VDD 5361 5360 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=216970 $D=8
+M1056 VDD 5369 5368 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=251690 $D=8
+M1057 VDD 5470 5471 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=252970 $D=8
+M1058 4318 4320 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=179690 $D=8
+M1059 5297 5296 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=180970 $D=8
+M1060 5305 5304 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=215690 $D=8
+M1061 5361 5360 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=216970 $D=8
+M1062 5369 5368 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=251690 $D=8
+M1063 5470 5471 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=252970 $D=8
+M1064 4821 4673 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=386825 $Y=112830 $D=8
+M1065 946 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=387000 $Y=160970 $D=8
+M1066 947 4221 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=387000 $Y=164845 $D=8
+M1067 960 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=390455 $Y=160970 $D=8
+M1068 961 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=390455 $Y=164845 $D=8
+M1069 VDD 4642 4644 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=179690 $D=8
+M1070 VDD 5312 5313 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=180970 $D=8
+M1071 VDD 5320 5321 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=215690 $D=8
+M1072 VDD 5376 5377 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=216970 $D=8
+M1073 VDD 5384 5385 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=251690 $D=8
+M1074 VDD 5484 5485 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=252970 $D=8
+M1075 4825 4666 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=390500 $Y=112830 $D=8
+M1076 4642 4644 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=179690 $D=8
+M1077 5312 5313 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=180970 $D=8
+M1078 5320 5321 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=215690 $D=8
+M1079 5376 5377 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=216970 $D=8
+M1080 5384 5385 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=251690 $D=8
+M1081 5484 5485 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=252970 $D=8
+M1082 5429 4667 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=393025 $Y=112830 $D=8
+M1083 4809 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=393380 $Y=160970 $D=8
+M1084 4810 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=393380 $Y=164845 $D=8
+M1085 VDD 4638 4640 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=179690 $D=8
+M1086 VDD 5310 5311 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=180970 $D=8
+M1087 VDD 5318 5319 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=215690 $D=8
+M1088 VDD 5374 5375 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=216970 $D=8
+M1089 VDD 5382 5383 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=251690 $D=8
+M1090 VDD 5482 5483 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=252970 $D=8
+M1091 4638 4640 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=179690 $D=8
+M1092 5310 5311 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=180970 $D=8
+M1093 5318 5319 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=215690 $D=8
+M1094 5374 5375 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=216970 $D=8
+M1095 5382 5383 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=251690 $D=8
+M1096 5482 5483 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=252970 $D=8
+M1097 4808 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=396475 $Y=160970 $D=8
+M1098 4807 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=396475 $Y=164845 $D=8
+M1099 VDD 4634 4636 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=179690 $D=8
+M1100 VDD 5308 5309 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=180970 $D=8
+M1101 VDD 5316 5317 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=215690 $D=8
+M1102 VDD 5372 5373 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=216970 $D=8
+M1103 VDD 5380 5381 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=251690 $D=8
+M1104 VDD 5480 5481 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=252970 $D=8
+M1105 5428 4668 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=396690 $Y=112830 $D=8
+M1106 4634 4636 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=179690 $D=8
+M1107 5308 5309 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=180970 $D=8
+M1108 5316 5317 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=215690 $D=8
+M1109 5372 5373 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=216970 $D=8
+M1110 5380 5381 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=251690 $D=8
+M1111 5480 5481 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=252970 $D=8
+M1112 5427 4669 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=399220 $Y=112830 $D=8
+M1113 4805 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=399575 $Y=160970 $D=8
+M1114 4806 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=399575 $Y=164845 $D=8
+M1115 VDD 4630 4632 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=179690 $D=8
+M1116 VDD 5306 5307 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=180970 $D=8
+M1117 VDD 5314 5315 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=215690 $D=8
+M1118 VDD 5370 5371 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=216970 $D=8
+M1119 VDD 5378 5379 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=251690 $D=8
+M1120 VDD 5478 5479 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=252970 $D=8
+M1121 4630 4632 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=179690 $D=8
+M1122 5306 5307 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=180970 $D=8
+M1123 5314 5315 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=215690 $D=8
+M1124 5370 5371 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=216970 $D=8
+M1125 5378 5379 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=251690 $D=8
+M1126 5478 5479 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=252970 $D=8
+M1127 VDD 4466 4468 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=179690 $D=8
+M1128 VDD 5328 5329 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=180970 $D=8
+M1129 VDD 5336 5337 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=215690 $D=8
+M1130 VDD 5392 5393 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=216970 $D=8
+M1131 VDD 5400 5401 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=251690 $D=8
+M1132 VDD 5492 5493 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=252970 $D=8
+M1133 4786 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=402665 $Y=160970 $D=8
+M1134 4785 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=402665 $Y=164845 $D=8
+M1135 5426 4670 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=402880 $Y=112830 $D=8
+M1136 4466 4468 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=179690 $D=8
+M1137 5328 5329 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=180970 $D=8
+M1138 5336 5337 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=215690 $D=8
+M1139 5392 5393 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=216970 $D=8
+M1140 5400 5401 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=251690 $D=8
+M1141 5492 5493 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=252970 $D=8
+M1142 5425 4671 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=405410 $Y=112830 $D=8
+M1143 VDD 4462 4464 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=179690 $D=8
+M1144 VDD 5326 5327 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=180970 $D=8
+M1145 VDD 5334 5335 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=215690 $D=8
+M1146 VDD 5390 5391 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=216970 $D=8
+M1147 VDD 5398 5399 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=251690 $D=8
+M1148 VDD 5490 5491 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=252970 $D=8
+M1149 4783 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=405765 $Y=160970 $D=8
+M1150 4784 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=405765 $Y=164845 $D=8
+M1151 4462 4464 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=179690 $D=8
+M1152 5326 5327 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=180970 $D=8
+M1153 5334 5335 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=215690 $D=8
+M1154 5390 5391 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=216970 $D=8
+M1155 5398 5399 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=251690 $D=8
+M1156 5490 5491 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=252970 $D=8
+M1157 VDD 4458 4460 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=179690 $D=8
+M1158 VDD 5324 5325 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=180970 $D=8
+M1159 VDD 5332 5333 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=215690 $D=8
+M1160 VDD 5388 5389 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=216970 $D=8
+M1161 VDD 5396 5397 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=251690 $D=8
+M1162 VDD 5488 5489 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=252970 $D=8
+M1163 4782 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=408860 $Y=160970 $D=8
+M1164 4781 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=408860 $Y=164845 $D=8
+M1165 5424 4672 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=409075 $Y=112830 $D=8
+M1166 4458 4460 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=179690 $D=8
+M1167 5324 5325 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=180970 $D=8
+M1168 5332 5333 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=215690 $D=8
+M1169 5388 5389 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=216970 $D=8
+M1170 5396 5397 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=251690 $D=8
+M1171 5488 5489 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=252970 $D=8
+M1172 VDD 4454 4456 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=179690 $D=8
+M1173 VDD 5322 5323 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=180970 $D=8
+M1174 VDD 5330 5331 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=215690 $D=8
+M1175 VDD 5386 5387 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=216970 $D=8
+M1176 VDD 5394 5395 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=251690 $D=8
+M1177 VDD 5486 5487 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=252970 $D=8
+M1178 5423 4673 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=411605 $Y=112830 $D=8
+M1179 4779 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=411960 $Y=160970 $D=8
+M1180 4780 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=411960 $Y=164845 $D=8
+M1181 4454 4456 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=179690 $D=8
+M1182 5322 5323 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=180970 $D=8
+M1183 5330 5331 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=215690 $D=8
+M1184 5386 5387 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=216970 $D=8
+M1185 5394 5395 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=251690 $D=8
+M1186 5486 5487 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=252970 $D=8
+M1187 VDD VSS VDD VDD pmos_5p0 L=2.365e-06 W=8.19e-05 AD=0 AS=6.1309e-11 PD=0 PS=0.000217698 NRD=0 NRS=11.8457 m=1 nf=36 $X=304360 $Y=171065 $D=8
+M1188 614 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=6.35965e-12 PD=7.86e-06 PS=1.737e-05 NRD=0.152493 NRS=0.546921 m=1 nf=2 $X=418655 $Y=160970 $D=8
+M1189 615 936 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=6.35965e-12 PD=7.86e-06 PS=1.737e-05 NRD=0.152493 NRS=0.546921 m=1 nf=2 $X=418655 $Y=164845 $D=8
+M1190 606 614 VDD VDD pmos_5p0 L=6e-07 W=7.02e-06 AD=1.8252e-12 AS=3.0888e-12 PD=8.06e-06 PS=1.58e-05 NRD=0.148148 NRS=0.250712 m=1 nf=2 $X=418770 $Y=97440 $D=8
+M1191 607 606 VDD VDD pmos_5p0 L=6e-07 W=2.128e-05 AD=5.5328e-12 AS=9.3632e-12 PD=2.232e-05 PS=4.432e-05 NRD=0.0488722 NRS=0.0827068 m=1 nf=2 $X=418790 $Y=67070 $D=8
+M1192 613 VDD VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=2.33887e-12 PD=4.29e-06 PS=9.09e-06 NRD=0.444444 NRS=1.06061 m=1 nf=2 $X=418870 $Y=112830 $D=8
+X1198 614 614 613 VDD pmos_5p0_I04 $T=419815 124190 0 180 $X=418175 $Y=116760
+X1199 615 615 613 VDD pmos_5p0_I04 $T=419815 151940 0 180 $X=418175 $Y=144510
+X1200 615 614 936 VDD pmos_5p0_I04 $T=419825 159875 0 180 $X=418185 $Y=152445
+X1201 614 614 VDD VSS nmos_5p0_I04 $T=419815 133465 0 180 $X=418535 $Y=126035
+X1202 615 615 VDD VSS nmos_5p0_I04 $T=419815 143485 0 180 $X=418535 $Y=136055
+X1208 VDD 618 616 pmos_1p2$$46273580 $T=242390 65835 1 0 $X=240960 $Y=64015
+X1209 VSS 617 787 VSS nmos_1p2$$46563372 $T=233925 66830 0 0 $X=232780 $Y=66145
+X1210 618 VSS 616 VSS nmos_1p2$$46563372 $T=243510 68190 1 0 $X=242365 $Y=66555
+X1211 619 618 617 VSS nmos_1p2$$46563372 $T=246080 68190 1 0 $X=244935 $Y=66555
+X1213 937 VSS Q[0] D[0] 4218 1 VDD 938 939 WEN[0] 4699 4700 4701 4702 4703 4704 4705 4706 4707 4708
++ 4709 4710 4711 4712 4818 927 4664 4663 4662 4661 4660 4659 4658 4657 4665 4862 4863 4864 4865 4866
++ 4867 4868
++ saout_m2 $T=9775 25090 0 0 $X=8430 $Y=7315
+X1214 912 VSS Q[2] D[2] 4219 1 VDD 940 941 WEN[2] 4713 4714 4715 4716 4717 4718 4719 4720 4721 4722
++ 4723 4724 4725 4726 4819 927 4664 4663 4662 4661 4660 4659 4658 4657 4665 4869 4870 4871 4872 4873
++ 4874 4875
++ saout_m2 $T=63775 25090 0 0 $X=62430 $Y=7315
+X1215 942 VSS Q[4] D[4] 4220 1 VDD 943 944 WEN[4] 4727 4728 4729 4730 4731 4732 4733 4734 4735 4736
++ 4737 4738 4739 4740 4820 927 4666 4667 4668 4669 4670 4671 4672 4673 4665 4876 4877 4878 4879 4880
++ 4881 4882
++ saout_m2 $T=307655 25090 0 0 $X=306310 $Y=7315
+X1216 945 VSS Q[6] D[6] 4221 1 VDD 946 947 WEN[6] 4741 4742 4743 4744 4745 4746 4747 4748 4749 4750
++ 4751 4752 4753 4754 4821 927 4666 4667 4668 4669 4670 4671 4672 4673 4665 4883 4884 4885 4886 4887
++ 4888 4889
++ saout_m2 $T=361655 25090 0 0 $X=360310 $Y=7315
+X1217 VSS VSS 4261 4262 4263 4264 4265 4266 4267 4268 ICV_4 $T=27210 176130 1 180 $X=23870 $Y=175790
+X1218 VSS VSS 4269 4270 4271 4272 4273 4274 4275 4276 ICV_4 $T=33210 176130 1 180 $X=29870 $Y=175790
+X1219 VSS VSS 4277 4278 4279 4280 4281 4282 4283 4284 ICV_4 $T=81210 176130 1 180 $X=77870 $Y=175790
+X1220 VSS VSS 4285 4286 4287 4288 4289 4290 4291 4292 ICV_4 $T=87210 176130 1 180 $X=83870 $Y=175790
+X1221 VSS VSS 4293 4294 4295 4296 4297 4298 4299 4300 ICV_4 $T=325090 176130 1 180 $X=321750 $Y=175790
+X1222 VSS VSS 4301 4302 4303 4304 4305 4306 4307 4308 ICV_4 $T=331090 176130 1 180 $X=327750 $Y=175790
+X1223 VSS VSS 4309 4310 4311 4312 4313 4314 4315 4316 ICV_4 $T=379090 176130 1 180 $X=375750 $Y=175790
+X1224 VSS VSS 4317 4318 4319 4320 4321 4322 4323 4324 ICV_4 $T=385090 176130 1 180 $X=381750 $Y=175790
+X1225 VSS VSS 4325 4326 4327 4328 4329 4330 4331 4332 4333 4334 4335 4336 4337 4338 4339 4340 ICV_5 $T=27210 257130 0 180 $X=23870 $Y=252290
+X1226 VSS VSS 4341 4342 4343 4344 4345 4346 4347 4348 4349 4350 4351 4352 4353 4354 4355 4356 ICV_5 $T=54210 176130 1 180 $X=50870 $Y=175790
+X1227 VSS VSS 4357 4358 4359 4360 4361 4362 4363 4364 4365 4366 4367 4368 4369 4370 4371 4372 ICV_5 $T=54210 257130 0 180 $X=50870 $Y=252290
+X1228 VSS VSS 4373 4374 4375 4376 4377 4378 4379 4380 4381 4382 4383 4384 4385 4386 4387 4388 ICV_5 $T=108210 176130 1 180 $X=104870 $Y=175790
+X1229 VSS 861 4389 4390 4391 4392 4393 4394 4395 4396 4397 4398 4399 4400 4401 4402 4403 4404 ICV_5 $T=313090 257130 0 180 $X=309750 $Y=252290
+X1230 VSS 861 4405 4406 4407 4408 4409 4410 4411 4412 4413 4414 4415 4416 4417 4418 4419 4420 ICV_5 $T=325090 257130 0 180 $X=321750 $Y=252290
+X1231 VSS VSS 4421 4422 4423 4424 4425 4426 4427 4428 4429 4430 4431 4432 4433 4434 4435 4436 ICV_5 $T=352090 176130 1 180 $X=348750 $Y=175790
+X1232 VSS 861 4437 4438 4439 4440 4441 4442 4443 4444 4445 4446 4447 4448 4449 4450 4451 4452 ICV_5 $T=352090 257130 0 180 $X=348750 $Y=252290
+X1233 VSS VSS 4453 4454 4455 4456 4457 4458 4459 4460 4461 4462 4463 4464 4465 4466 4467 4468 ICV_5 $T=406090 176130 1 180 $X=402750 $Y=175790
+X1237 VSS VSS 4469 4470 4471 4472 4473 4474 4475 4476 4477 4478 4479 4480 4481 4482 4483 4484 ICV_7 $T=12210 176130 1 180 $X=8870 $Y=175790
+X1238 VSS VSS 4485 4486 4487 4488 4489 4490 4491 4492 4493 4494 4495 4496 4497 4498 4499 4500 ICV_7 $T=12210 257130 0 180 $X=8870 $Y=252290
+X1239 VSS VSS 4501 4502 4503 4504 4505 4506 4507 4508 4509 4510 4511 4512 4513 4514 4515 4516 ICV_7 $T=39210 176130 1 180 $X=35870 $Y=175790
+X1240 VSS VSS 4517 4518 4519 4520 4521 4522 4523 4524 4525 4526 4527 4528 4529 4530 4531 4532 ICV_7 $T=39210 257130 0 180 $X=35870 $Y=252290
+X1241 VSS VSS 4533 4534 4535 4536 4537 4538 4539 4540 4541 4542 4543 4544 4545 4546 4547 4548 ICV_7 $T=66210 176130 1 180 $X=62870 $Y=175790
+X1242 VSS VSS 4549 4550 4551 4552 4553 4554 4555 4556 4557 4558 4559 4560 4561 4562 4563 4564 ICV_7 $T=93210 176130 1 180 $X=89870 $Y=175790
+X1243 VSS VSS 4565 4566 4567 4568 4569 4570 4571 4572 4573 4574 4575 4576 4577 4578 4579 4580 ICV_7 $T=310090 176130 1 180 $X=306750 $Y=175790
+X1244 VSS VSS 4581 4582 4583 4584 4585 4586 4587 4588 4589 4590 4591 4592 4593 4594 4595 4596 ICV_7 $T=337090 176130 1 180 $X=333750 $Y=175790
+X1245 VSS 861 4597 4598 4599 4600 4601 4602 4603 4604 4605 4606 4607 4608 4609 4610 4611 4612 ICV_7 $T=337090 257130 0 180 $X=333750 $Y=252290
+X1246 VSS VSS 4613 4614 4615 4616 4617 4618 4619 4620 4621 4622 4623 4624 4625 4626 4627 4628 ICV_7 $T=364090 176130 1 180 $X=360750 $Y=175790
+X1247 VSS VSS 4629 4630 4631 4632 4633 4634 4635 4636 4637 4638 4639 4640 4641 4642 4643 4644 ICV_7 $T=391090 176130 1 180 $X=387750 $Y=175790
+X1281 VDD VSS 4222 4223 4224 4225 4226 4227 4228 4229 4699 4700 4701 4702 4703 4704 4705 4706 4707 4708
++ 4709 4710 4711 4712 939 938 4787 4788 4789 4790 4791 4792 951 950 4755 4756 4757 4758 4759 4760
++ 4761 4762 4890 4891 4892 4893 4894 4895 4896 4897 4898 4899 4900 4901 4902 4903 4904 4905 4906 4907
++ 4908 4909 4910 4911 4912 4913 4914 4915 4916 4917 4918 4919 4920 4921 4922 4923 4924 4925 4926 4927
++ 4928 4929 4930 4931 4932 4933 4934 4935 4936 4937 4938 4939 4940 4941 4942 4943 4944 4945 4946 4947
++ 4948 4949 4950 4951 4952 4953
++ ICV_18 $T=12210 180630 0 0 $X=11870 $Y=180290
+X1282 VDD VSS 4230 4231 4232 4233 4234 4235 4236 4237 4699 4700 4701 4702 4703 4704 4705 4706 4707 4708
++ 4709 4710 4711 4712 939 938 4787 4788 4789 4790 4791 4792 951 950 4755 4756 4757 4758 4759 4760
++ 4761 4762 4954 4955 4956 4957 4958 4959 4960 4961 4962 4963 4964 4965 4966 4967 4968 4969 4970 4971
++ 4972 4973 4974 4975 4976 4977 4978 4979 4980 4981 4982 4983 4984 4985 4986 4987 4988 4989 4990 4991
++ 4992 4993 4994 4995 4996 4997 4998 4999 5000 5001 5002 5003 5004 5005 5006 5007 5008 5009 5010 5011
++ 5012 5013 5014 5015 5016 5017
++ ICV_18 $T=12210 216630 0 0 $X=11870 $Y=216290
+X1283 VDD VSS 4222 4223 4224 4225 4226 4227 4228 4229 4713 4714 4715 4716 4717 4718 4719 4720 4721 4722
++ 4723 4724 4725 4726 941 940 4793 4794 4795 4796 4797 4798 954 953 4763 4764 4765 4766 4767 4768
++ 4769 4770 5018 5019 5020 5021 5022 5023 5024 5025 5026 5027 5028 5029 5030 5031 5032 5033 5034 5035
++ 5036 5037 5038 5039 5040 5041 5042 5043 5044 5045 5046 5047 5048 5049 5050 5051 5052 5053 5054 5055
++ 5056 5057 5058 5059 5060 5061 5062 5063 5064 5065 5066 5067 5068 5069 5070 5071 5072 5073 5074 5075
++ 5076 5077 5078 5079 5080 5081
++ ICV_18 $T=66210 180630 0 0 $X=65870 $Y=180290
+X1284 VDD VSS 4230 4231 4232 4233 4234 4235 4236 4237 4713 4714 4715 4716 4717 4718 4719 4720 4721 4722
++ 4723 4724 4725 4726 941 940 4793 4794 4795 4796 4797 4798 954 953 4763 4764 4765 4766 4767 4768
++ 4769 4770 5082 5083 5084 5085 5086 5087 5088 5089 5090 5091 5092 5093 5094 5095 5096 5097 5098 5099
++ 5100 5101 5102 5103 5104 5105 5106 5107 5108 5109 5110 5111 5112 5113 5114 5115 5116 5117 5118 5119
++ 5120 5121 5122 5123 5124 5125 5126 5127 5128 5129 5130 5131 5132 5133 5134 5135 5136 5137 5138 5139
++ 5140 5141 5142 5143 5144 5145
++ ICV_18 $T=66210 216630 0 0 $X=65870 $Y=216290
+X1285 VDD VSS 4238 4239 4240 4241 4242 4243 4244 4245 4727 4728 4729 4730 4731 4732 4733 4734 4735 4736
++ 4737 4738 4739 4740 944 943 4799 4800 4801 4802 4803 4804 958 957 4771 4772 4773 4774 4775 4776
++ 4777 4778 5146 5147 5148 5149 5150 5151 5152 5153 5154 5155 5156 5157 5158 5159 5160 5161 5162 5163
++ 5164 5165 5166 5167 5168 5169 5170 5171 5172 5173 5174 5175 5176 5177 5178 5179 5180 5181 5182 5183
++ 5184 5185 5186 5187 5188 5189 5190 5191 5192 5193 5194 5195 5196 5197 5198 5199 5200 5201 5202 5203
++ 5204 5205 5206 5207 5208 5209
++ ICV_18 $T=310090 180630 0 0 $X=309750 $Y=180290
+X1286 VDD VSS 4246 4247 4248 4249 4250 4251 4252 4253 4727 4728 4729 4730 4731 4732 4733 4734 4735 4736
++ 4737 4738 4739 4740 944 943 4799 4800 4801 4802 4803 4804 958 957 4771 4772 4773 4774 4775 4776
++ 4777 4778 5210 5211 5212 5213 5214 5215 5216 5217 5218 5219 5220 5221 5222 5223 5224 5225 5226 5227
++ 5228 5229 5230 5231 5232 5233 5234 5235 5236 5237 5238 5239 5240 5241 5242 5243 5244 5245 5246 5247
++ 5248 5249 5250 5251 5252 5253 5254 5255 5256 5257 5258 5259 5260 5261 5262 5263 5264 5265 5266 5267
++ 5268 5269 5270 5271 5272 5273
++ ICV_18 $T=310090 216630 0 0 $X=309750 $Y=216290
+X1287 VDD VSS 4238 4239 4240 4241 4242 4243 4244 4245 4741 4742 4743 4744 4745 4746 4747 4748 4749 4750
++ 4751 4752 4753 4754 947 946 4805 4806 4807 4808 4809 4810 961 960 4779 4780 4781 4782 4783 4784
++ 4785 4786 5274 5275 5276 5277 5278 5279 5280 5281 5282 5283 5284 5285 5286 5287 5288 5289 5290 5291
++ 5292 5293 5294 5295 5296 5297 5298 5299 5300 5301 5302 5303 5304 5305 5306 5307 5308 5309 5310 5311
++ 5312 5313 5314 5315 5316 5317 5318 5319 5320 5321 5322 5323 5324 5325 5326 5327 5328 5329 5330 5331
++ 5332 5333 5334 5335 5336 5337
++ ICV_18 $T=364090 180630 0 0 $X=363750 $Y=180290
+X1288 VDD VSS 4246 4247 4248 4249 4250 4251 4252 4253 4741 4742 4743 4744 4745 4746 4747 4748 4749 4750
++ 4751 4752 4753 4754 947 946 4805 4806 4807 4808 4809 4810 961 960 4779 4780 4781 4782 4783 4784
++ 4785 4786 5338 5339 5340 5341 5342 5343 5344 5345 5346 5347 5348 5349 5350 5351 5352 5353 5354 5355
++ 5356 5357 5358 5359 5360 5361 5362 5363 5364 5365 5366 5367 5368 5369 5370 5371 5372 5373 5374 5375
++ 5376 5377 5378 5379 5380 5381 5382 5383 5384 5385 5386 5387 5388 5389 5390 5391 5392 5393 5394 5395
++ 5396 5397 5398 5399 5400 5401
++ ICV_18 $T=364090 216630 0 0 $X=363750 $Y=216290
+X1289 949 VSS Q[1] 948 D[1] 1 VDD 950 951 WEN[1] 4755 4756 4757 4758 4759 4760 4761 4762 4787 4788
++ 4789 4790 4791 4792 4822 4657 4658 4659 927 4660 4661 4662 4663 4664 4665 5402 5403 5404 5405 5406
++ 5407 5408
++ saout_R_m2 $T=65645 25125 1 180 $X=27480 $Y=6815
+X1290 913 VSS Q[3] 952 D[3] 1 VDD 953 954 WEN[3] 4763 4764 4765 4766 4767 4768 4769 4770 4793 4794
++ 4795 4796 4797 4798 4823 4657 4658 4659 927 4660 4661 4662 4663 4664 4665 5409 5410 5411 5412 5413
++ 5414 5415
++ saout_R_m2 $T=119645 25125 1 180 $X=81480 $Y=6815
+X1291 956 VSS Q[5] 955 D[5] 1 VDD 957 958 WEN[5] 4771 4772 4773 4774 4775 4776 4777 4778 4799 4800
++ 4801 4802 4803 4804 4824 4673 4672 4671 927 4670 4669 4668 4667 4666 4665 5416 5417 5418 5419 5420
++ 5421 5422
++ saout_R_m2 $T=363525 25125 1 180 $X=325360 $Y=6815
+X1292 959 VSS Q[7] 936 D[7] 1 VDD 960 961 WEN[7] 4779 4780 4781 4782 4783 4784 4785 4786 4805 4806
++ 4807 4808 4809 4810 4825 4673 4672 4671 927 4670 4669 4668 4667 4666 4665 5423 5424 5425 5426 5427
++ 5428 5429
++ saout_R_m2 $T=417525 25125 1 180 $X=379360 $Y=6815
+X1293 VSS VSS 5430 5431 5432 5433 5434 5435 5436 5437 5438 5439 5440 5441 5442 5443 5444 5445 5446 5447
++ 5448 5449 5450 5451 5452 5453 5454 5455 5456 5457 5458 5459 5460 5461
++ new_dummyrow_unit $T=65900 258015 1 0 $X=65870 $Y=252290
+X1294 VSS 861 5462 5463 5464 5465 5466 5467 5468 5469 5470 5471 5472 5473 5474 5475 5476 5477 5478 5479
++ 5480 5481 5482 5483 5484 5485 5486 5487 5488 5489 5490 5491 5492 5493
++ new_dummyrow_unit $T=363780 258015 1 0 $X=363750 $Y=252290
+X1297 732 733 VSS 5494 5495 5496 5497 ICV_24 $T=117210 185130 0 0 $X=116870 $Y=180290
+X1298 732 733 VSS 5498 5499 5500 5501 ICV_24 $T=117210 194130 0 0 $X=116870 $Y=189290
+X1299 732 733 VSS 5502 5503 5504 5505 ICV_24 $T=117210 203130 0 0 $X=116870 $Y=198290
+X1300 732 733 VSS 5506 5507 5508 5509 ICV_24 $T=117210 212130 0 0 $X=116870 $Y=207290
+X1301 732 733 VSS 5510 5511 5512 5513 ICV_24 $T=117210 221130 0 0 $X=116870 $Y=216290
+X1302 732 733 VSS 5514 5515 5516 5517 ICV_24 $T=117210 230130 0 0 $X=116870 $Y=225290
+X1303 732 733 VSS 5518 5519 5520 5521 ICV_24 $T=117210 239130 0 0 $X=116870 $Y=234290
+X1304 732 733 VSS 5522 5523 5524 5525 ICV_24 $T=117210 248130 0 0 $X=116870 $Y=243290
+X1322 VSS VDD 1 CLK VSS VSS 4682 4683 4687 4688 xpredec0 $T=146075 111460 0 0 $X=144630 $Y=111455
+X1323 VSS VDD 1 CLK VSS A[6] 4689 4690 917 918 xpredec0 $T=182970 111460 0 0 $X=181525 $Y=111455
+X1328 VSS VDD 1 CLK 4663 4664 4657 4658 4659 4660 4661 4662 4673 4672 4671 4670 4669 4668 4667 4666
++ A[2] A[1] A[0]
++ ypredec1 $T=145470 26355 0 0 $X=146365 $Y=26735
+X1329 VSS VDD 918 925 924 923 922 921 920 VDD 4826 1 4827 4223 4239 4224 4240 4225 4241 4226
++ 4242 4227 4243 4228 4244 4828 4829 4854 4855 4856 4857
++ xdec8 $T=152015 180635 0 0 $X=152015 $Y=179495
+X1330 VSS VDD 917 925 924 923 922 921 920 VDD 4830 1 4831 4231 4247 4232 4248 4233 4249 4234
++ 4250 4235 4251 4236 4252 4832 4833 4858 4859 4860 4861
++ xdec8 $T=152015 216635 0 0 $X=152015 $Y=215495
+X1333 860 VSS 862 nmos_5p0_I19 $T=175115 254995 0 90 $X=164385 $Y=254315
+X1334 861 VSS 863 nmos_5p0_I19 $T=260115 254995 0 90 $X=249385 $Y=254315
+X1338 VDD 860 862 pmos_1p2$$204216364 $T=189610 255150 0 90 $X=176320 $Y=253670
+X1339 VDD 861 863 pmos_1p2$$204216364 $T=248135 255150 0 90 $X=234845 $Y=253670
+X1340 862 VDD 2 VDD pmos_5p0_I17 $T=198405 254995 0 90 $X=191195 $Y=253955
+X1341 1 2 VSS VDD pmos_5p0_I17 $T=219905 254995 0 90 $X=212695 $Y=253955
+X1342 863 VDD 2 VDD pmos_5p0_I17 $T=233255 254995 0 90 $X=226045 $Y=253955
+X1343 862 VSS 2 nmos_5p0_I09 $T=202950 254995 0 90 $X=199690 $Y=254315
+X1344 863 VSS 2 nmos_5p0_I09 $T=224800 254995 0 90 $X=221540 $Y=254315
+X1345 VSS VDD GWEN CLK 4665 927 wen_v2 $T=208415 16605 0 0 $X=208280 $Y=15275
+X1346 VSS 1 VDD CLK A[5] A[4] A[3] 919 920 921 922 923 924 925 926 xpredec1 $T=219860 111460 0 0 $X=219855 $Y=111455
+X1347 VDD 864 CLK pmos_5p0_I06 $T=234280 43425 1 0 $X=233240 $Y=41905
+X1348 VDD 865 864 pmos_5p0_I06 $T=239670 43425 1 0 $X=238630 $Y=41905
+X1349 VSS 864 CLK nmos_5p0_I10 $T=234280 46585 1 0 $X=233600 $Y=45365
+X1350 VSS 865 864 nmos_5p0_I10 $T=239670 46585 1 0 $X=238990 $Y=45365
+X1370 VSS 734 735 5534 5535 5536 5537 ICV_19 $T=307090 180630 1 180 $X=303750 $Y=180290
+X1371 VSS 734 735 5538 5539 5540 5541 ICV_19 $T=307090 189630 1 180 $X=303750 $Y=189290
+X1372 VSS 734 735 5542 5543 5544 5545 ICV_19 $T=307090 198630 1 180 $X=303750 $Y=198290
+X1373 VSS 734 735 5546 5547 5548 5549 ICV_19 $T=307090 207630 1 180 $X=303750 $Y=207290
+X1374 VSS 734 735 5550 5551 5552 5553 ICV_19 $T=307090 216630 1 180 $X=303750 $Y=216290
+X1375 VSS 734 735 5554 5555 5556 5557 ICV_19 $T=307090 225630 1 180 $X=303750 $Y=225290
+X1376 VSS 734 735 5558 5559 5560 5561 ICV_19 $T=307090 234630 1 180 $X=303750 $Y=234290
+X1377 VSS 734 735 5562 5563 5564 5565 ICV_19 $T=307090 243630 1 180 $X=303750 $Y=243290
+X1379 VSS VDD 4834 4835 4836 4837 ICV_1 $T=418090 248130 0 0 $X=417750 $Y=247790
+X1380 614 615 VSS VDD 4838 4839 4840 4841 ICV_2 $T=418090 176130 0 0 $X=417750 $Y=175790
+X1381 614 615 VSS VDD 4842 4843 4844 4845 ICV_2 $T=418090 194130 0 0 $X=417750 $Y=193790
+X1382 614 615 VSS VDD 4846 4847 4848 4849 ICV_2 $T=418090 212130 0 0 $X=417750 $Y=211790
+X1383 614 615 VSS VDD 4850 4851 4852 4853 ICV_2 $T=418090 230130 0 0 $X=417750 $Y=229790
+.ENDS
+***************************************
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.gds b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.gds
new file mode 100644
index 0000000..5397ff3
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.gds
Binary files differ
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.lef b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.lef
new file mode 100644
index 0000000..9f2e2be
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.lef
@@ -0,0 +1,1855 @@
+# Copyright 2022 GlobalFoundries PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+#=====================================
+# Revision: 1.1
+#=====================================
+
+VERSION 5.8 ;
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+
+UNITS
+  DATABASE MICRONS   1000 ;
+END UNITS
+
+MANUFACTURINGGRID   0.005 ;
+
+
+
+MACRO gf180mcu_fd_ip_sram__sram128x8m8wm1
+  CLASS BLOCK ;
+  ORIGIN 0 0 ;
+  FOREIGN gf180mcu_fd_ip_sram__sram128x8m8wm1 0 0 ;
+  SIZE 431.86 BY 268.88 ;
+  SYMMETRY X Y R90 ;
+  PIN A[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 171.215 0 172.335 1 ;
+    END
+  END A[0]
+  PIN A[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 162.76 0 163.88 1 ;
+    END
+  END A[1]
+  PIN A[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 154.295 0 155.415 1 ;
+    END
+  END A[2]
+  PIN A[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 281.325 0 282.445 1 ;
+    END
+  END A[3]
+  PIN A[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 275.82 0 276.94 1 ;
+    END
+  END A[4]
+  PIN A[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 272.085 0 273.205 1 ;
+    END
+  END A[5]
+  PIN A[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 268.86 0 269.98 1 ;
+    END
+  END A[6]
+  PIN CEN
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 251.71 0 252.83 1 ;
+    END
+  END CEN
+  PIN CLK
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 44.7066 LAYER Metal3 ;
+      ANTENNAGATEAREA 2.868 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 139.68 0 140.8 1 ;
+    END
+  END CLK
+  PIN D[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 9.32 0 10.44 1 ;
+    END
+  END D[0]
+  PIN D[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 61.03 0 62.15 1 ;
+    END
+  END D[1]
+  PIN D[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 67.27 0 68.39 1 ;
+    END
+  END D[2]
+  PIN D[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 118.975 0 120.095 1 ;
+    END
+  END D[3]
+  PIN D[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 307.235 0 308.355 1 ;
+    END
+  END D[4]
+  PIN D[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 358.91 0 360.03 1 ;
+    END
+  END D[5]
+  PIN D[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 365.15 0 366.27 1 ;
+    END
+  END D[6]
+  PIN D[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 416.86 0 417.98 1 ;
+    END
+  END D[7]
+  PIN GWEN
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 14.466 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 202.94 0 204.06 1 ;
+    END
+  END GWEN
+  PIN Q[0]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 16.9 0 18.02 1 ;
+    END
+  END Q[0]
+  PIN Q[1]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 57.665 0 58.785 1 ;
+    END
+  END Q[1]
+  PIN Q[2]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 70.635 0 71.755 1 ;
+    END
+  END Q[2]
+  PIN Q[3]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 111.395 0 112.515 1 ;
+    END
+  END Q[3]
+  PIN Q[4]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 314.79 0 315.91 1 ;
+    END
+  END Q[4]
+  PIN Q[5]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 355.545 0 356.665 1 ;
+    END
+  END Q[5]
+  PIN Q[6]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 368.515 0 369.635 1 ;
+    END
+  END Q[6]
+  PIN Q[7]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 409.275 0 410.395 1 ;
+    END
+  END Q[7]
+  PIN WEN[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 12.695 0 13.815 1 ;
+    END
+  END WEN[0]
+  PIN WEN[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 63.02 0 64.14 1 ;
+    END
+  END WEN[1]
+  PIN WEN[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 65.27 0 66.39 1 ;
+    END
+  END WEN[2]
+  PIN WEN[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 117.02 0 118.14 1 ;
+    END
+  END WEN[3]
+  PIN WEN[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 310.575 0 311.695 1 ;
+    END
+  END WEN[4]
+  PIN WEN[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 360.9 0 362.02 1 ;
+    END
+  END WEN[5]
+  PIN WEN[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 363.15 0 364.27 1 ;
+    END
+  END WEN[6]
+  PIN WEN[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 413.475 0 414.595 1 ;
+    END
+  END WEN[7]
+  PIN VDD
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER Metal3 ;
+        RECT 0 250.88 8.53 254.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 241.88 8.53 245.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 232.88 8.53 236.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 223.88 8.53 227.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 214.88 8.53 218.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 205.88 8.53 209.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 196.88 8.53 200.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 187.88 8.53 191.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 178.88 8.53 182.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 40.76 15.055 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 40.765 121.25 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 140.89 35.42 143.645 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 40.77 143.645 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.685 33.72 173.11 38.26 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 140.89 35.42 173.11 38.26 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 7.005 259.88 12.005 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 20.685 259.88 25.685 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 34.005 259.88 39.005 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 47.685 259.88 52.685 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 61.005 259.88 66.005 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74.685 259.88 79.685 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 88.005 259.88 93.005 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 103.265 259.88 108.265 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 117.415 259.88 122.415 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 132.86 259.88 137.86 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 153.55 259.88 158.55 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 177.075 259.88 182.075 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 192.925 259.88 197.925 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 206.15 259.88 211.15 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 225.345 259.88 230.345 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 231.565 259.88 236.565 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 244.505 259.88 249.505 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 262.845 259.88 267.845 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 271.31 259.88 276.31 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 287.735 259.88 292.735 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 304.885 259.88 309.885 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 318.565 259.88 323.565 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 331.885 259.88 336.885 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 345.565 259.88 350.565 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 358.885 259.88 363.885 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 372.565 259.88 377.565 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 385.885 259.88 390.885 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 401.145 259.88 406.145 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.295 259.88 420.295 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 259.88 428.33 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 259.88 431.86 264.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 250.88 431.86 254.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 241.88 431.86 245.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 232.88 431.86 236.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 223.88 431.86 227.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 214.88 431.86 218.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 205.88 431.86 209.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 196.88 431.86 200.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 187.88 431.86 191.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 178.88 431.86 182.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 147.15 8.53 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 10.475 161.575 10.94 170.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.575 15.055 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.58 125.425 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.59 136.07 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 157.43 291.755 160.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 136.91 291.755 150.525 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 157.43 291.755 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.265 161.575 361.915 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 161.575 431.86 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 147.15 431.86 148.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 147.15 431.86 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 363.265 161.575 431.86 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 114.69 8.53 119.69 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 114.69 136.07 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.85 116.85 291.74 121.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 114.685 418.815 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 114.69 431.86 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 114.69 431.86 119.69 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 90.08 121.25 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.565 99.845 278.225 108.125 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.605 99.845 278.225 108.535 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 222.16 99.845 278.225 108.54 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 90.075 418.815 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.035 90.08 431.86 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 99.845 431.86 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 60.18 8.53 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.105 60.23 173.805 64.235 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 67.305 136.07 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 60.18 121.25 64.23 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 60.23 136.07 70.895 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 60.23 173.805 64.67 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 173.705 49.86 207.58 62.87 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 53.78 207.58 62.87 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 58.485 291.755 62.87 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 59.22 291.755 62.87 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 60.175 292.105 69.33 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 299.13 60.175 300.13 70.085 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 67.305 431.86 69.33 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.035 67.305 362.145 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 308.865 67.305 431.86 70.885 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.845 60.175 421.105 64.235 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.845 67.305 421.105 70.895 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 60.18 431.86 64.23 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 60.175 424.995 62.87 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 60.18 431.86 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 363.035 67.305 431.86 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.01 40.765 431.86 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 40.77 311.39 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 40.76 431.86 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 40.76 431.86 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.3 8.56 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 25.865 15.055 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 25.87 121.25 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.3 121.705 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.435 25.875 136.07 28.15 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.545 20.83 312.145 23.095 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.82 296.615 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 20.83 312.145 23.105 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 25.875 312.145 28.15 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 308.94 20.3 431.86 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 25.865 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.01 25.87 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.3 20.3 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 3.53 0 8.53 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 10.195 0 15.195 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 17.21 0 22.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 29.21 0 34.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 35.21 0 40.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 41.21 0 46.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 53.21 0 58.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 62.215 0 67.215 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 71.21 0 76.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 83.21 0 88.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 89.21 0 94.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 95.21 0 100.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 109.55 0 114.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 115.55 0 120.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 122.05 0 127.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 128.55 0 133.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 135.05 0 140.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 141.55 0 146.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 148.05 0 153.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 180.155 0 185.155 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 196.14 0 201.14 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 212.165 0 217.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 224.165 0 229.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 236.165 0 241.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 242.83 0 247.83 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 249.38 0 254.38 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 272.29 0 277.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 278.79 0 283.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 285.29 0 290.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 291.79 0 296.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 298.29 0 303.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 304.79 0 309.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 311.475 0 316.475 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 327.09 0 332.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 333.09 0 338.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 339.09 0 344.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 351.09 0 356.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 360.085 0 365.085 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 369.09 0 374.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 381.09 0 386.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 387.09 0 392.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 393.09 0 398.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 405.09 0 410.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 412.095 0 417.095 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 0 428.33 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 6.16 431.86 11.16 ;
+    END
+  END VDD
+  PIN VSS
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER Metal3 ;
+        RECT 13.13 265.84 18.13 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 23.21 0 28.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 26.81 265.84 31.81 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 40.13 265.84 45.13 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 47.21 0 52.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 53.81 265.84 58.81 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 67.13 265.84 72.13 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 77.21 0 82.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 80.81 265.84 85.81 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 94.13 265.84 99.13 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 101.21 0 106.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 111.29 265.84 116.29 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 125.79 265.84 130.79 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 172.68 139.14 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 34.605 132.17 40.815 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 88.605 132.17 94.815 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 132.175 130.35 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 132.175 139.14 134.45 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 50.88 15.055 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.245 50.87 121.25 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.145 50.875 121.25 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 50.88 139.14 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 139.385 265.84 144.385 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 146.365 265.84 151.365 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 156.62 0 161.62 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 161.905 265.84 166.905 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 165.11 0 170.11 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 170.12 265.84 175.12 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.155 0 179.155 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 184.74 265.84 189.74 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 190.14 0 195.14 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 199.41 265.84 204.41 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 206.165 0 211.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 212.15 265.84 217.15 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 218.165 0 223.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 218.565 265.84 223.565 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 230.165 0 235.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 237.69 265.84 242.69 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 252.325 265.84 257.325 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 256.165 0 261.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 262.39 0 267.39 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 279.95 265.84 284.95 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.955 265.84 298.955 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 311.01 265.84 316.01 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 321.09 0 326.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 324.69 265.84 329.69 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 338.01 265.84 343.01 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 345.09 0 350.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 351.69 265.84 356.69 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 365.01 265.84 370.01 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 375.09 0 380.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 378.69 265.84 383.69 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 392.01 265.84 397.01 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 399.09 0 404.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 409.17 265.84 414.17 268.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 255.38 5.07 258.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 256.13 138.895 258.13 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.01 256.63 273.11 257.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 256.635 431.86 257.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.955 256.29 297.585 257.955 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.955 256.38 431.86 257.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 255.38 431.86 258.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 246.38 5.07 249.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 247.38 136.36 248.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 247.63 273.11 248.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 247.63 431.86 248.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 247.38 431.86 248.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 246.38 431.86 249.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 237.38 5.07 240.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 238.38 136.36 239.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 238.63 273.11 239.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 238.63 431.86 239.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 238.38 431.86 239.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 237.38 431.86 240.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 228.38 5.07 231.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 229.38 136.36 230.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 229.63 273.11 230.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 229.63 431.86 230.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 229.38 431.86 230.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 228.38 431.86 231.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 219.38 5.07 222.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 220.38 136.36 221.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 220.63 273.11 221.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 220.63 431.86 221.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 220.38 431.86 221.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 219.38 431.86 222.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 210.38 5.07 213.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 211.38 136.36 212.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 211.63 273.11 212.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 211.63 431.86 212.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 211.38 431.86 212.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 210.38 431.86 213.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 201.38 5.07 204.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 202.38 136.36 203.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 202.63 273.11 203.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 202.63 431.86 203.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 202.38 431.86 203.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 201.38 431.86 204.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 192.38 5.07 195.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 193.38 136.36 194.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 193.63 273.11 194.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 193.63 431.86 194.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 193.38 431.86 194.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 192.38 431.86 195.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 183.38 5.07 186.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 184.38 136.36 185.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 184.63 273.11 185.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 184.63 431.86 185.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 184.38 431.86 185.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 183.38 431.86 186.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.845 172.68 431.86 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 132.175 431.86 134.45 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 332.485 132.17 338.695 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 386.485 132.17 392.695 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.925 132.175 431.86 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 106.41 5.07 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.18 109.13 139.13 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 109.135 139.13 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 109.13 139.13 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.13 288.385 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 111.455 288.385 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.13 418.815 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 106.41 431.86 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.135 431.86 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 71.64 118.39 88.65 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 71.64 121.25 82.985 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.555 71.645 139.14 82.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 66.215 229.885 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 66.225 229.885 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 72.455 238.415 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 207.465 65.39 248.875 68.8 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 74.68 258.8 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 74.83 278.225 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 234.91 74.84 431.86 83.92 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 71.635 418.815 83.92 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.035 71.64 431.86 88.65 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 211.305 53.7 288.68 57.635 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 50.88 431.86 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 50.865 422.41 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.025 50.875 422.41 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 50.88 431.86 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 5.07 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 15.055 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 34.91 15.055 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.245 34.9 121.25 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.13 34.905 121.25 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.125 34.91 139.14 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.435 30.885 206.985 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.29 28.325 173.11 32.865 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 173.11 30.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.29 30.885 206.985 32.865 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.3 30.885 206.985 42.91 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.565 39.5 206.985 42.91 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.3 32.96 277.41 36.96 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 209.285 45.825 257.15 52.1 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.025 277.41 47.51 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 34.92 288.68 44.44 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 34.91 431.86 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.83 312.145 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 34.92 313.735 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.83 431.86 30.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 34.9 423.935 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.01 34.905 423.935 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 28.83 431.86 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 28.83 431.86 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 34.91 431.86 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 12.51 5 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 17.1 15.055 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 17.105 121.705 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 137.19 17.62 138.89 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 143.82 17.62 144.47 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 208.87 17.62 209.52 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 211.495 17.62 212.145 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 234.365 17.62 235.015 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 236.605 17.62 237.255 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 238.845 17.62 239.495 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 241.085 17.62 241.735 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.545 17.62 306.075 19.375 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.725 17.62 306.075 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 12.51 431.86 14.27 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 304.43 17.1 431.86 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 12.51 431.86 18.86 ;
+    END
+  END VSS
+  OBS
+    LAYER Metal1 ;
+      RECT 0 0 431.86 268.88 ;
+    LAYER Metal2 ;
+      POLYGON 431.86 268.88 0 268.88 0 0 9.04 0 9.04 1.28 10.72 1.28 10.72 0 12.415 0 12.415 1.28 14.095 1.28 14.095 0 16.62 0 16.62 1.28 18.3 1.28 18.3 0 57.385 0 57.385 1.28 59.065 1.28 59.065 0 60.75 0 60.75 1.28 62.43 1.28 62.43 0 62.74 0 62.74 1.28 64.42 1.28 64.42 0 64.99 0 64.99 1.28 66.67 1.28 66.67 0 66.99 0 66.99 1.28 68.67 1.28 68.67 0 70.355 0 70.355 1.28 72.035 1.28 72.035 0 111.115 0 111.115 1.28 112.795 1.28 112.795 0 116.74 0 116.74 1.28 118.42 1.28 118.42 0 118.695 0 118.695 1.28 120.375 1.28 120.375 0 139.4 0 139.4 1.28 141.08 1.28 141.08 0 154.015 0 154.015 1.28 155.695 1.28 155.695 0 162.48 0 162.48 1.28 164.16 1.28 164.16 0 170.935 0 170.935 1.28 172.615 1.28 172.615 0 202.66 0 202.66 1.28 204.34 1.28 204.34 0 251.43 0 251.43 1.28 253.11 1.28 253.11 0 268.58 0 268.58 1.28 270.26 1.28 270.26 0 271.805 0 271.805 1.28 273.485 1.28 273.485 0 275.54 0 275.54 1.28 277.22 1.28 277.22 0 281.045 0 281.045 1.28 282.725 1.28 282.725 0 306.955 0 306.955 1.28 308.635 1.28 308.635 0 310.295 0 310.295 1.28 311.975 1.28 311.975 0 314.51 0 314.51 1.28 316.19 1.28 316.19 0 355.265 0 355.265 1.28 356.945 1.28 356.945 0 358.63 0 358.63 1.28 360.31 1.28 360.31 0 360.62 0 360.62 1.28 362.3 1.28 362.3 0 362.87 0 362.87 1.28 364.55 1.28 364.55 0 364.87 0 364.87 1.28 366.55 1.28 366.55 0 368.235 0 368.235 1.28 369.915 1.28 369.915 0 408.995 0 408.995 1.28 410.675 1.28 410.675 0 413.195 0 413.195 1.28 414.875 1.28 414.875 0 416.58 0 416.58 1.28 418.26 1.28 418.26 0 431.86 0 ;
+    LAYER Metal3 ;
+      RECT 0 265.16 6.725 268.88 ;
+      POLYGON 33.725 268.88 32.09 268.88 32.09 265.56 26.53 265.56 26.53 268.88 25.965 268.88 25.965 265.16 33.725 265.16 ;
+      POLYGON 431.86 131.895 392.975 131.895 392.975 131.89 386.205 131.89 386.205 131.895 338.975 131.895 338.975 131.89 332.205 131.89 332.205 131.895 286.195 131.895 286.195 134.73 293.645 134.73 293.645 142.36 431.86 142.36 431.86 146.87 292.035 146.87 292.035 136.63 133.58 136.63 133.58 146.87 0 146.87 0 142.36 130.63 142.36 130.63 134.73 139.42 134.73 139.42 131.895 95.095 131.895 95.095 131.89 88.325 131.89 88.325 131.895 41.095 131.895 41.095 131.89 34.325 131.89 34.325 131.895 0 131.895 0 119.97 8.81 119.97 8.81 117.18 133.57 117.18 133.57 121.67 292.02 121.67 292.02 117.18 423.05 117.18 423.05 119.97 431.86 119.97 ;
+      POLYGON 195.86 5.88 185.435 5.88 185.435 0 189.86 0 189.86 4.94 195.42 4.94 195.42 0 195.86 0 ;
+      POLYGON 426.51 34.63 424.215 34.63 424.215 34.62 308.845 34.62 308.845 34.625 308.73 34.625 308.73 34.63 286.195 34.63 286.195 34.64 277.69 34.64 277.69 31.275 312.425 31.275 312.425 31.27 416.525 31.27 416.525 31.275 426.51 31.275 ;
+      RECT 0 11.44 431.86 12.23 ;
+      RECT 417.375 0 423.05 5.88 ;
+      POLYGON 431.86 89.8 419.095 89.8 419.095 89.795 308.845 89.795 308.845 89.8 308.755 89.8 308.755 99.565 121.53 99.565 121.53 89.8 0 89.8 0 88.93 118.67 88.93 118.67 83.265 120.275 83.265 120.275 83.27 139.42 83.27 139.42 75.355 234.63 75.355 234.63 84.2 308.755 84.2 308.755 88.93 431.86 88.93 ;
+      RECT 297.07 0 298.01 5.88 ;
+      RECT 88.49 0 88.93 5.88 ;
+      POLYGON 431.86 205.6 423.05 205.6 423.05 209.66 431.86 209.66 431.86 210.1 426.51 210.1 426.51 211.1 296.825 211.1 296.825 211.35 136.64 211.35 136.64 211.1 5.35 211.1 5.35 210.1 0 210.1 0 209.66 8.81 209.66 8.81 205.6 0 205.6 0 205.16 5.35 205.16 5.35 204.16 136.64 204.16 136.64 203.91 151.735 203.91 151.735 203.92 273.39 203.92 273.39 203.91 296.825 203.91 296.825 204.16 426.51 204.16 426.51 205.16 431.86 205.16 ;
+      POLYGON 372.285 268.88 370.29 268.88 370.29 265.56 364.73 265.56 364.73 268.88 364.165 268.88 364.165 265.16 372.285 265.16 ;
+      RECT 420.575 265.16 423.05 268.88 ;
+      RECT 241.445 0 242.55 5.88 ;
+      POLYGON 287.455 268.88 285.23 268.88 285.23 265.56 279.67 265.56 279.67 268.88 276.59 268.88 276.59 265.16 287.455 265.16 ;
+      POLYGON 132.58 268.88 131.07 268.88 131.07 265.56 125.51 265.56 125.51 268.88 122.695 268.88 122.695 265.16 132.58 265.16 ;
+      POLYGON 47.405 268.88 45.41 268.88 45.41 265.56 39.85 265.56 39.85 268.88 39.285 268.88 39.285 265.16 47.405 265.16 ;
+      POLYGON 223.885 5.88 217.445 5.88 217.445 0 217.885 0 217.885 4.94 223.445 4.94 223.445 0 223.885 0 ;
+      RECT 133.83 0 134.77 5.88 ;
+      RECT 292.385 64.51 298.85 67.025 ;
+      RECT 34.49 0 34.93 5.88 ;
+      RECT 268.125 265.16 271.03 268.88 ;
+      RECT 58.49 0 61.935 5.88 ;
+      POLYGON 404.81 5.88 398.37 5.88 398.37 0 398.81 0 398.81 4.94 404.37 4.94 404.37 0 404.81 0 ;
+      POLYGON 82.93 5.88 76.49 5.88 76.49 0 76.93 0 76.93 4.94 82.49 4.94 82.49 0 82.93 0 ;
+      POLYGON 350.81 5.88 344.37 5.88 344.37 0 344.81 0 344.81 4.94 350.37 4.94 350.37 0 350.81 0 ;
+      RECT 356.37 0 359.805 5.88 ;
+      POLYGON 87.725 268.88 86.09 268.88 86.09 265.56 80.53 265.56 80.53 268.88 79.965 268.88 79.965 265.16 87.725 265.16 ;
+      POLYGON 358.605 268.88 356.97 268.88 356.97 265.56 351.41 265.56 351.41 268.88 350.845 268.88 350.845 265.16 358.605 265.16 ;
+      POLYGON 431.86 71.36 419.095 71.36 419.095 71.355 286.195 71.355 286.195 74.56 278.505 74.56 278.505 74.55 259.08 74.55 259.08 74.4 238.695 74.4 238.695 72.175 230.165 72.175 230.165 69.08 249.155 69.08 249.155 65.11 207.185 65.11 207.185 65.935 147.11 65.935 147.11 65.945 136.655 65.945 136.655 71.365 121.53 71.365 121.53 71.36 0 71.36 0 71.17 119.955 71.17 119.955 71.175 136.35 71.175 136.35 64.95 174.085 64.95 174.085 63.15 250.86 63.15 250.86 69.61 298.85 69.61 298.85 70.365 300.41 70.365 300.41 69.61 308.585 69.61 308.585 71.165 308.755 71.165 308.755 71.17 362.425 71.17 362.425 71.165 362.755 71.165 362.755 71.17 415.565 71.17 415.565 71.175 421.385 71.175 421.385 71.17 431.86 71.17 ;
+      POLYGON 225.065 268.88 223.845 268.88 223.845 265.56 218.285 265.56 218.285 268.88 217.43 268.88 217.43 265.56 211.87 265.56 211.87 268.88 211.43 268.88 211.43 265.16 225.065 265.16 ;
+      RECT 248.11 0 249.1 5.88 ;
+      RECT 392.37 0 392.81 5.88 ;
+      RECT 140.33 0 141.27 5.88 ;
+      RECT 0 0 3.25 5.88 ;
+      POLYGON 192.645 268.88 190.02 268.88 190.02 265.56 184.46 265.56 184.46 268.88 182.355 268.88 182.355 265.16 192.645 265.16 ;
+      POLYGON 272.01 5.88 254.66 5.88 254.66 0 255.885 0 255.885 4.94 261.445 4.94 261.445 0 262.11 0 262.11 4.94 267.67 4.94 267.67 0 272.01 0 ;
+      POLYGON 345.285 268.88 343.29 268.88 343.29 265.56 337.73 265.56 337.73 268.88 337.165 268.88 337.165 265.16 345.285 265.16 ;
+      RECT 284.07 0 285.01 5.88 ;
+      RECT 332.37 0 332.81 5.88 ;
+      POLYGON 176.795 268.88 175.4 268.88 175.4 265.56 169.84 265.56 169.84 268.88 167.185 268.88 167.185 265.56 161.625 265.56 161.625 268.88 158.83 268.88 158.83 265.16 176.795 265.16 ;
+      RECT 338.37 0 338.81 5.88 ;
+      POLYGON 431.86 28.55 277.69 28.55 277.69 27.745 254.33 27.745 254.33 32.68 207.265 32.68 207.265 30.605 173.39 30.605 173.39 28.045 147.01 28.045 147.01 28.55 0 28.55 0 28.425 118.155 28.425 118.155 28.43 136.35 28.43 136.35 25.595 121.53 25.595 121.53 25.59 15.335 25.59 15.335 25.585 8.84 25.585 8.84 22.855 119.265 22.855 119.265 23.375 289.265 23.375 289.265 23.385 312.425 23.385 312.425 22.855 423.02 22.855 423.02 25.585 416.525 25.585 416.525 25.59 308.73 25.59 308.73 25.595 289.265 25.595 289.265 28.43 312.425 28.43 312.425 28.425 431.86 28.425 ;
+      POLYGON 380.81 5.88 374.37 5.88 374.37 0 374.81 0 374.81 4.94 380.37 4.94 380.37 0 380.81 0 ;
+      RECT 386.37 0 386.81 5.88 ;
+      POLYGON 244.225 268.88 242.97 268.88 242.97 265.56 237.41 265.56 237.41 268.88 236.845 268.88 236.845 265.16 244.225 265.16 ;
+      RECT 94.49 0 94.93 5.88 ;
+      RECT 40.49 0 40.93 5.88 ;
+      POLYGON 318.285 268.88 316.29 268.88 316.29 265.56 310.73 265.56 310.73 268.88 310.165 268.88 310.165 265.16 318.285 265.16 ;
+      RECT 127.33 0 128.27 5.88 ;
+      RECT 15.475 0 16.93 5.88 ;
+      POLYGON 431.86 114.41 419.095 114.41 419.095 114.405 289.26 114.405 289.26 116.57 136.35 116.57 136.35 114.41 0 114.41 0 111.69 136.655 111.69 136.655 116.275 288.665 116.275 288.665 111.69 431.86 111.69 ;
+      POLYGON 20.405 268.88 18.41 268.88 18.41 265.56 12.85 265.56 12.85 268.88 12.285 268.88 12.285 265.16 20.405 265.16 ;
+      POLYGON 431.86 223.6 423.05 223.6 423.05 227.66 431.86 227.66 431.86 228.1 426.51 228.1 426.51 229.1 296.825 229.1 296.825 229.35 136.64 229.35 136.64 229.1 5.35 229.1 5.35 228.1 0 228.1 0 227.66 8.81 227.66 8.81 223.6 0 223.6 0 223.16 5.35 223.16 5.35 222.16 136.64 222.16 136.64 221.91 151.735 221.91 151.735 221.92 273.39 221.92 273.39 221.91 296.825 221.91 296.825 222.16 426.51 222.16 426.51 223.16 431.86 223.16 ;
+      POLYGON 102.985 268.88 99.41 268.88 99.41 265.56 93.85 265.56 93.85 268.88 93.285 268.88 93.285 265.16 102.985 265.16 ;
+      POLYGON 431.86 187.6 423.05 187.6 423.05 191.66 431.86 191.66 431.86 192.1 426.51 192.1 426.51 193.1 296.825 193.1 296.825 193.35 136.64 193.35 136.64 193.1 5.35 193.1 5.35 192.1 0 192.1 0 191.66 8.81 191.66 8.81 187.6 0 187.6 0 187.16 5.35 187.16 5.35 186.16 136.64 186.16 136.64 185.91 151.735 185.91 151.735 185.92 273.39 185.92 273.39 185.91 296.825 185.91 296.825 186.16 426.51 186.16 426.51 187.16 431.86 187.16 ;
+      RECT 8.81 0 9.915 5.88 ;
+      POLYGON 304.605 268.88 299.235 268.88 299.235 265.56 293.675 265.56 293.675 268.88 293.015 268.88 293.015 265.16 304.605 265.16 ;
+      POLYGON 431.86 106.13 426.51 106.13 426.51 108.855 419.095 108.855 419.095 108.85 280.11 108.85 280.11 111.175 139.41 111.175 139.41 108.85 119.9 108.85 119.9 108.855 5.35 108.855 5.35 106.13 0 106.13 0 103.975 147.285 103.975 147.285 108.405 147.325 108.405 147.325 108.815 221.88 108.815 221.88 108.82 278.505 108.82 278.505 103.975 431.86 103.975 ;
+      POLYGON 431.86 40.48 308.845 40.48 308.845 40.485 308.73 40.485 308.73 40.49 289.265 40.49 289.265 47.86 311.67 47.86 311.67 47.85 416.525 47.85 416.525 47.855 431.86 47.855 431.86 50.6 422.69 50.6 422.69 50.585 308.845 50.585 308.845 50.595 308.745 50.595 308.745 50.6 286.195 50.6 286.195 53.42 211.025 53.42 211.025 57.915 288.96 57.915 288.96 57.735 308.845 57.735 308.845 57.745 431.86 57.745 431.86 59.9 425.275 59.9 425.275 59.895 292.035 59.895 292.035 58.205 207.86 58.205 207.86 49.58 173.425 49.58 173.425 53.5 147.11 53.5 147.11 58.94 133.58 58.94 133.58 59.95 121.53 59.95 121.53 59.9 0 59.9 0 57.745 15.335 57.745 15.335 57.735 119.955 57.735 119.955 57.745 139.42 57.745 139.42 50.6 121.53 50.6 121.53 50.59 10.965 50.59 10.965 50.595 10.865 50.595 10.865 50.6 0 50.6 0 47.855 119.955 47.855 119.955 47.86 143.925 47.86 143.925 38.54 173.39 38.54 173.39 33.44 147.405 33.44 147.405 35.14 140.61 35.14 140.61 40.49 121.53 40.49 121.53 40.485 15.335 40.485 15.335 40.48 0 40.48 0 38.26 15.335 38.26 15.335 38.255 117.845 38.255 117.845 38.26 139.42 38.26 139.42 34.63 121.53 34.63 121.53 34.62 10.965 34.62 10.965 34.625 10.85 34.625 10.85 34.63 5.35 34.63 5.35 31.275 15.335 31.275 15.335 31.27 118.155 31.27 118.155 31.275 147.01 31.275 147.01 33.145 174.02 33.145 174.02 39.22 147.285 39.22 147.285 43.19 207.265 43.19 207.265 37.24 254.33 37.24 254.33 45.545 209.005 45.545 209.005 52.38 257.43 52.38 257.43 47.79 277.69 47.79 277.69 44.72 288.96 44.72 288.96 38.26 314.015 38.26 314.015 38.255 416.525 38.255 416.525 38.26 431.86 38.26 ;
+      RECT 428.61 265.16 431.86 268.88 ;
+      POLYGON 431.86 214.6 423.05 214.6 423.05 218.66 431.86 218.66 431.86 219.1 426.51 219.1 426.51 220.1 296.825 220.1 296.825 220.35 136.64 220.35 136.64 220.1 5.35 220.1 5.35 219.1 0 219.1 0 218.66 8.81 218.66 8.81 214.6 0 214.6 0 214.16 5.35 214.16 5.35 213.16 136.64 213.16 136.64 212.91 151.735 212.91 151.735 212.92 273.39 212.92 273.39 212.91 296.825 212.91 296.825 213.16 426.51 213.16 426.51 214.16 431.86 214.16 ;
+      POLYGON 52.93 5.88 46.49 5.88 46.49 0 46.93 0 46.93 4.94 52.49 4.94 52.49 0 52.93 0 ;
+      POLYGON 119.955 67.025 8.81 67.025 8.81 64.51 118.825 64.51 118.825 64.515 119.955 64.515 ;
+      POLYGON 117.135 268.88 116.57 268.88 116.57 265.56 111.01 265.56 111.01 268.88 108.545 268.88 108.545 265.16 117.135 265.16 ;
+      POLYGON 60.725 268.88 59.09 268.88 59.09 265.56 53.53 265.56 53.53 268.88 52.965 268.88 52.965 265.16 60.725 265.16 ;
+      POLYGON 431.86 241.6 423.05 241.6 423.05 245.66 431.86 245.66 431.86 246.1 426.51 246.1 426.51 247.1 296.825 247.1 296.825 247.35 136.64 247.35 136.64 247.1 5.35 247.1 5.35 246.1 0 246.1 0 245.66 8.81 245.66 8.81 241.6 0 241.6 0 241.16 5.35 241.16 5.35 240.16 136.64 240.16 136.64 239.91 151.735 239.91 151.735 239.92 273.39 239.92 273.39 239.91 296.825 239.91 296.825 240.16 426.51 240.16 426.51 241.16 431.86 241.16 ;
+      POLYGON 262.565 268.88 257.605 268.88 257.605 265.56 252.045 265.56 252.045 268.88 249.785 268.88 249.785 265.16 262.565 265.16 ;
+      RECT 428.61 0 431.86 5.88 ;
+      RECT 365.365 0 368.81 5.88 ;
+      POLYGON 179.875 5.88 153.33 5.88 153.33 0 156.34 0 156.34 4.94 161.9 4.94 161.9 0 164.83 0 164.83 4.94 170.39 4.94 170.39 0 173.875 0 173.875 4.94 179.435 4.94 179.435 0 179.875 0 ;
+      POLYGON 431.86 259.6 0 259.6 0 259.16 5.35 259.16 5.35 258.41 139.175 258.41 139.175 257.92 293.675 257.92 293.675 258.235 297.865 258.235 297.865 258.16 426.51 258.16 426.51 259.16 431.86 259.16 ;
+      POLYGON 431.86 196.6 423.05 196.6 423.05 200.66 431.86 200.66 431.86 201.1 426.51 201.1 426.51 202.1 296.825 202.1 296.825 202.35 136.64 202.35 136.64 202.1 5.35 202.1 5.35 201.1 0 201.1 0 200.66 8.81 200.66 8.81 196.6 0 196.6 0 196.16 5.35 196.16 5.35 195.16 136.64 195.16 136.64 194.91 151.735 194.91 151.735 194.92 273.39 194.92 273.39 194.91 296.825 194.91 296.825 195.16 426.51 195.16 426.51 196.16 431.86 196.16 ;
+      POLYGON 431.86 250.6 423.05 250.6 423.05 254.66 431.86 254.66 431.86 255.1 426.51 255.1 426.51 256.1 297.865 256.1 297.865 256.01 293.675 256.01 293.675 256.355 273.39 256.355 273.39 256.35 151.73 256.35 151.73 256.355 139.175 256.355 139.175 255.85 5.35 255.85 5.35 255.1 0 255.1 0 254.66 8.81 254.66 8.81 250.6 0 250.6 0 250.16 5.35 250.16 5.35 249.16 136.64 249.16 136.64 248.91 151.735 248.91 151.735 248.92 273.39 248.92 273.39 248.91 296.825 248.91 296.825 249.16 426.51 249.16 426.51 250.16 431.86 250.16 ;
+      POLYGON 431.86 232.6 423.05 232.6 423.05 236.66 431.86 236.66 431.86 237.1 426.51 237.1 426.51 238.1 296.825 238.1 296.825 238.35 136.64 238.35 136.64 238.1 5.35 238.1 5.35 237.1 0 237.1 0 236.66 8.81 236.66 8.81 232.6 0 232.6 0 232.16 5.35 232.16 5.35 231.16 136.64 231.16 136.64 230.91 151.735 230.91 151.735 230.92 273.39 230.92 273.39 230.91 296.825 230.91 296.825 231.16 426.51 231.16 426.51 232.16 431.86 232.16 ;
+      POLYGON 28.93 5.88 22.49 5.88 22.49 0 22.93 0 22.93 4.94 28.49 4.94 28.49 0 28.93 0 ;
+      POLYGON 205.87 268.88 204.69 268.88 204.69 265.56 199.13 265.56 199.13 268.88 198.205 268.88 198.205 265.16 205.87 265.16 ;
+      POLYGON 415.015 268.88 414.45 268.88 414.45 265.56 408.89 265.56 408.89 268.88 406.425 268.88 406.425 265.16 415.015 265.16 ;
+      POLYGON 109.27 5.88 100.49 5.88 100.49 0 100.93 0 100.93 4.94 106.49 4.94 106.49 0 109.27 0 ;
+      RECT 146.83 0 147.77 5.88 ;
+      POLYGON 326.81 5.88 316.755 5.88 316.755 0 320.81 0 320.81 4.94 326.37 4.94 326.37 0 326.81 0 ;
+      RECT 303.57 0 304.51 5.88 ;
+      POLYGON 400.865 268.88 397.29 268.88 397.29 265.56 391.73 265.56 391.73 268.88 391.165 268.88 391.165 265.16 400.865 265.16 ;
+      POLYGON 153.27 268.88 151.645 268.88 151.645 265.56 146.085 265.56 146.085 268.88 144.665 268.88 144.665 265.56 139.105 265.56 139.105 268.88 138.14 268.88 138.14 265.16 153.27 265.16 ;
+      POLYGON 431.86 20.02 308.66 20.02 308.66 20.55 296.895 20.55 296.895 20.54 121.985 20.54 121.985 20.02 0 20.02 0 19.14 119.265 19.14 119.265 19.655 136.91 19.655 136.91 19.66 139.17 19.66 139.17 19.655 143.54 19.655 143.54 19.66 144.75 19.66 144.75 19.655 208.59 19.655 208.59 19.66 209.8 19.66 209.8 19.655 211.215 19.655 211.215 19.66 212.425 19.66 212.425 19.655 234.085 19.655 234.085 19.66 235.295 19.66 235.295 19.655 236.325 19.655 236.325 19.66 237.535 19.66 237.535 19.655 238.565 19.655 238.565 19.66 239.775 19.66 239.775 19.655 240.805 19.655 240.805 19.66 242.015 19.66 242.015 19.655 286.445 19.655 286.445 19.66 306.355 19.66 306.355 19.14 431.86 19.14 ;
+      POLYGON 385.605 268.88 383.97 268.88 383.97 265.56 378.41 265.56 378.41 268.88 377.845 268.88 377.845 265.16 385.605 265.16 ;
+      RECT 230.625 265.16 231.285 268.88 ;
+      RECT 277.57 0 278.51 5.88 ;
+      POLYGON 74.405 268.88 72.41 268.88 72.41 265.56 66.85 265.56 66.85 268.88 66.285 268.88 66.285 265.16 74.405 265.16 ;
+      POLYGON 331.605 268.88 329.97 268.88 329.97 265.56 324.41 265.56 324.41 268.88 323.845 268.88 323.845 265.16 331.605 265.16 ;
+      RECT 120.83 0 121.77 5.88 ;
+      RECT 114.83 0 115.27 5.88 ;
+      RECT 310.07 0 311.195 5.88 ;
+      POLYGON 235.885 5.88 229.445 5.88 229.445 0 229.885 0 229.885 4.94 235.445 4.94 235.445 0 235.885 0 ;
+      RECT 290.57 0 291.51 5.88 ;
+      RECT 410.37 0 411.815 5.88 ;
+      POLYGON 426.51 16.82 304.15 16.82 304.15 17.34 121.985 17.34 121.985 16.825 15.335 16.825 15.335 16.82 5.28 16.82 5.28 14.55 426.51 14.55 ;
+      POLYGON 211.885 5.88 201.42 5.88 201.42 0 205.885 0 205.885 4.94 211.445 4.94 211.445 0 211.885 0 ;
+      POLYGON 423.05 67.025 300.41 67.025 300.41 64.51 415.565 64.51 415.565 64.515 421.385 64.515 421.385 64.51 423.05 64.51 ;
+      POLYGON 431.86 172.4 286.565 172.4 286.565 176.91 431.86 176.91 431.86 178.6 423.05 178.6 423.05 182.66 431.86 182.66 431.86 183.1 426.51 183.1 426.51 184.1 296.825 184.1 296.825 184.35 136.64 184.35 136.64 184.1 5.35 184.1 5.35 183.1 0 183.1 0 182.66 8.81 182.66 8.81 178.6 0 178.6 0 176.91 139.42 176.91 139.42 172.4 0 172.4 0 170.905 10.195 170.905 10.195 170.91 11.22 170.91 11.22 170.905 125.705 170.905 125.705 170.9 136.35 170.9 136.35 161.31 125.705 161.31 125.705 161.3 15.335 161.3 15.335 161.295 8.81 161.295 8.81 148.85 133.58 148.85 133.58 150.805 292.035 150.805 292.035 148.85 423.05 148.85 423.05 161.295 292.035 161.295 292.035 157.15 133.58 157.15 133.58 161.275 289.26 161.275 289.26 170.9 308.985 170.9 308.985 170.905 362.195 170.905 362.195 170.9 362.985 170.9 362.985 170.905 431.86 170.905 ;
+      RECT 67.495 0 70.93 5.88 ;
+    LAYER Via1 ;
+      RECT 0 0 431.86 268.88 ;
+    LAYER Via2 ;
+      RECT 0 0 431.86 268.88 ;
+  END
+
+END gf180mcu_fd_ip_sram__sram128x8m8wm1
+
+END LIBRARY
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.v b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.v
new file mode 100644
index 0000000..6df1091
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1.v
@@ -0,0 +1,457 @@
+/*
+ * $Id: $
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http:www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * Project:             018 5VGREEN SRAM
+ * Author:              GlobalFoundries PDK Authors
+ * Data Created:        05-06-2014
+ * Revision:		0.0	
+ *
+ * Description:         gf180mcu_fd_ip_sram__sram128x8m8wm1 Simulation Model
+ */
+
+`timescale 1 ps / 1 ps
+
+module gf180mcu_fd_ip_sram__sram128x8m8wm1 (
+	CLK,
+	CEN,
+	GWEN,
+	WEN,
+	A,
+	D,
+	Q,
+	VDD,
+	VSS
+);
+
+input           CLK;
+input           CEN;    //Chip Enable
+input           GWEN;   //Global Write Enable
+input   [7:0]  	WEN;    //Write Enable
+input   [6:0]   A;
+input   [7:0]  	D;
+output	[7:0]	Q;
+inout		VDD;
+inout		VSS;
+
+reg	[7:0]	mem[127:0];
+reg	[7:0]	qo_reg;
+
+wire		cen_flag;
+wire		write_flag;
+wire		read_flag;
+
+reg             ntf_Tcyc;	//notifier for clock period/low/high pulse
+reg             ntf_Tckh;
+reg             ntf_Tckl;
+
+reg		ntf_tcs;	//notifier for setup time
+reg		ntf_tas;
+reg		ntf_tds;
+reg		ntf_tws;
+reg		ntf_twis;
+
+reg             ntf_tch;	//notifier for hold time
+reg             ntf_tah;
+reg             ntf_tdh;
+reg             ntf_twh;
+reg             ntf_twih;
+
+wire		no_st_viol;	//no setup violation
+wire		no_hd_viol;	//no hold violation
+wire		no_ck_viol;	//no clock related violation
+
+reg             clk_dly;        //for read/write
+reg             write_flag_dly; //for write invalidation
+reg             read_flag_dly;  //for read invalidation
+reg             cen_dly;
+reg             cen_fell;       //detect CEN 1 -> 0 transition
+reg             cen_not_rst;    //detect CEN is not reset initially
+
+wire    [7:0]  we;       	//inversion of WEN
+wire    [7:0]  cd2;
+wire    [7:0]  cd4;
+wire    [7:0]  cd5;
+reg    	[7:0]  cdx;
+
+reg	[6:0]	marked_a;
+
+integer         i;
+
+assign Q = qo_reg;
+
+//---- for debugging
+wire    [7:0]  mem_0;
+wire	[7:0]  mem_1;
+wire	[7:0]  mem_2;
+wire	[7:0]  mem_3;
+assign mem_0 = mem[0];
+assign mem_1 = mem[1];
+assign mem_2 = mem[2];
+assign mem_3 = mem[3];
+
+always @(CEN) cen_dly = #100 CEN;
+always @(CEN or cen_dly) begin
+  if (!CEN & cen_dly) cen_fell = 1'b1;
+end
+
+always @(posedge CLK) begin
+  if (!CEN & !cen_fell & !cen_not_rst) cen_not_rst = 1;
+end
+
+always @(posedge cen_not_rst) begin
+  $display("-------- WARNING: CEN is not reset, memory is not operational ---------");
+  $display("-------- @Time %0t: scope = %m", $realtime, " ---------");
+end
+
+always @(posedge cen_fell) begin
+  $display("-------- MESSAGE: CEN is just reset, memory is operational ---------");
+  $display("-------- @Time %0t: scope = %m", $realtime, " ---------");
+end
+
+assign cen_flag   =  cen_fell & !CEN;
+assign write_flag =  cen_fell & !CEN & !GWEN & !(&WEN);
+assign read_flag  =  cen_fell & !CEN &  GWEN;
+
+reg cen_flag_dly;
+always @(cen_flag) cen_flag_dly = #100 cen_flag;
+
+specify
+  specparam Tcyc = 55600 : 55600 : 55600;
+  specparam Tckh = 25000 : 25000 : 25000;
+  specparam Tckl = 25000 : 25000 : 25000;
+
+  specparam tcs  = 5000 : 5000 : 5000;
+  specparam tas  = 5000 : 5000 : 5000;
+  specparam tds  = 5000 : 5000 : 5000;
+  specparam tws  = 5000 : 5000 : 5000;
+  specparam twis = 5000 : 5000 : 5000;
+
+  specparam tch  = 10000 : 10000 : 10000;
+  specparam tah  = 10000 : 10000 : 10000;
+  specparam tdh  = 10000 : 10000 : 10000;
+  specparam twh  = 10000 : 10000 : 10000;
+  specparam twih = 10000 : 10000 : 10000;
+
+  specparam ta   = 45000 : 45000 : 45000;
+
+  specparam Tdly  = 100 : 100: 100;
+
+//---- CLK period/pulse timing
+  $period (negedge CLK, Tcyc, ntf_Tcyc);
+  $width  (posedge CLK, Tckh, 0, ntf_Tckh);
+  $width  (negedge CLK, Tckl, 0, ntf_Tckl);
+
+//---- CEN setup/hold timing
+  $setup (negedge CEN, posedge CLK &&& cen_flag, tcs, ntf_tcs);
+  $setup (posedge CEN, posedge CLK &&& cen_flag, tcs, ntf_tcs);
+
+  $hold  (posedge CLK &&& cen_flag_dly, posedge CEN, tch, ntf_tch);
+  $hold  (posedge CLK &&& cen_flag,     negedge CEN, tch, ntf_tch);
+
+//---- GWEN setup/hold timing
+  $setup (negedge GWEN,  posedge CLK &&& cen_flag, tws, ntf_tws);
+  $setup (posedge GWEN,  posedge CLK &&& cen_flag, tws, ntf_tws);
+
+  $hold  (posedge CLK &&& cen_flag, posedge GWEN, twh, ntf_twh);
+  $hold  (posedge CLK &&& cen_flag, negedge GWEN, twh, ntf_twh);
+
+//---- WEN[7:0] setup/hold timing
+  $setup (negedge WEN[0],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[1],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[2],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[3],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[4],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[5],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[6],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[7],  posedge CLK &&& write_flag, twis, ntf_twis);
+
+  $setup (posedge WEN[0],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[1],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[2],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[3],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[4],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[5],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[6],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[7],  posedge CLK &&& write_flag, twis, ntf_twis);
+
+  $hold  (posedge CLK &&& write_flag, posedge WEN[0],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[1],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[2],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[3],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[4],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[5],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[6],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[7],  twih, ntf_twih);
+
+  $hold  (posedge CLK &&& write_flag, negedge WEN[0],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[1],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[2],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[3],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[4],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[5],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[6],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[7],  twih, ntf_twih);
+
+//---- A[6:0] setup/hold timing
+  $setup (posedge A[0],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[1],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[2],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[3],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[4],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[5],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[6],  posedge CLK &&& cen_flag, tas, ntf_tas);
+
+  $setup (negedge A[0],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[1],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[2],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[3],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[4],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[5],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[6],  posedge CLK &&& cen_flag, tas, ntf_tas);
+
+  $hold  (posedge CLK &&& cen_flag, negedge A[0],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[1],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[2],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[3],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[4],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[5],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[6],  tah, ntf_tah);
+
+  $hold  (posedge CLK &&& cen_flag, posedge A[0],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[1],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[2],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[3],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[4],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[5],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[6],  tah, ntf_tah);
+
+//---- D[7:0] setup/hold timing
+  $setup (posedge D[0],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[1],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[2],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[3],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[4],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[5],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[6],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[7],  posedge CLK &&& write_flag, tds, ntf_tds);
+
+  $setup (negedge D[0],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[1],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[2],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[3],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[4],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[5],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[6],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[7],  posedge CLK &&& write_flag, tds, ntf_tds);
+
+  $hold  (posedge CLK &&& write_flag, negedge D[0],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[1],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[2],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[3],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[4],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[5],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[6],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[7],  tdh, ntf_tdh);
+
+  $hold  (posedge CLK &&& write_flag, posedge D[0],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[1],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[2],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[3],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[4],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[5],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[6],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[7],  tdh, ntf_tdh);
+
+//---- Output delay
+// rise transition:     0->1, z->1, Ta
+// fall transition:     1->0, 1->z, Ta
+// turn-off transition: 0->z, 1->z, Tcqx
+//if (!CEN & GWEN) (posedge CLK => (Q : 8'bx)) = (Ta, Ta, Tcqx);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[0]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[1]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[2]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[3]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[4]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[5]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[6]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[7]  : 1'bx)) = (ta, ta);
+endspecify
+
+assign no_st_viol = ~(|{ntf_tcs, ntf_tas, ntf_tds, ntf_tws, ntf_twis});
+assign no_hd_viol = ~(|{ntf_tch, ntf_tah, ntf_tdh, ntf_twh, ntf_twih});
+assign no_ck_viol = ~(|{ntf_Tcyc, ntf_Tckh, ntf_Tckl});
+
+always @(CLK) clk_dly        = #Tdly CLK;
+always @(CLK) write_flag_dly = #200 write_flag;
+always @(CLK) read_flag_dly  = #200 read_flag;
+
+always @(posedge CLK) marked_a = A;
+
+assign we  = ~WEN;
+assign cd2 = mem[A] & WEN;	//set write bits to 0, others unchanged
+assign cd4 = D & we;		//set write bits to 0/1, others = 0
+assign cd5 = cd2 | cd4;		//memory content after write
+
+always @(posedge CLK) cdx = {8{1'bx}} & we;    //latch cdx
+
+always @(posedge clk_dly) begin
+  if (write_flag) begin 	//write
+    if (no_st_viol) begin 	//write, no viol
+      mem[A] = cd5;
+    end
+    else begin                 	//write, with viol
+      mem[A] = mem[A] ^ cdx;    //1^x = x
+      qo_reg = qo_reg ^ cdx;
+    end
+  end //write
+  else if (read_flag) begin     //read
+    if (no_st_viol) begin 	//read, no viol
+      qo_reg = mem[marked_a];
+    end
+    else begin                  //read, with viol
+      qo_reg = 8'bx;
+    end
+  end //read
+end
+
+always @(negedge clk_dly) begin         	//invalidate write/read when hold/clk viol
+  if (no_hd_viol == 0 | no_ck_viol == 0) begin
+    if (write_flag_dly) begin
+      if (ntf_twh) begin
+        mem[marked_a] = mem[marked_a] ^ 8'bx; //GWEN can't be used to generate cdx
+        qo_reg        = qo_reg ^ 8'bx;
+      end
+      else begin
+        mem[marked_a] = mem[marked_a] ^ cdx;
+        qo_reg        = qo_reg ^ cdx;
+      end
+    end
+    else if (read_flag_dly) begin
+      qo_reg = 8'bx;
+    end
+
+    #100;
+    ntf_tch  = 0;
+    ntf_tah  = 0;
+    ntf_tdh  = 0;
+    ntf_twh  = 0;
+    ntf_twih = 0;
+
+    ntf_Tcyc  = 0;
+    ntf_Tckh  = 0;
+    ntf_Tckl  = 0;
+  end
+  else begin
+    #100;
+    ntf_tch  = 0;
+    ntf_tah  = 0;
+    ntf_tdh  = 0;
+    ntf_twh  = 0;
+    ntf_twih = 0;
+
+    ntf_Tcyc  = 0;
+    ntf_Tckh  = 0;
+    ntf_Tckl  = 0;
+  end
+end
+
+always @(posedge ntf_tcs or posedge ntf_tas or posedge ntf_tds or
+         posedge ntf_tws or posedge ntf_twis or
+         posedge ntf_tch or posedge ntf_tah or posedge ntf_tdh or
+         posedge ntf_twh or posedge ntf_twih or
+         posedge ntf_Tcyc or posedge ntf_Tckh or posedge ntf_Tckl) begin
+  if (cen_fell) begin
+    #Tdly;
+    if (ntf_tcs)  $display("---- ERROR: CEN setup violation! ----");
+    if (ntf_tas)  $display("---- ERROR: A setup violation! ----");
+    if (ntf_tds)  $display("---- ERROR: D setup violation! ----");
+    if (ntf_tws)  $display("---- ERROR: GWEN setup violation! ----");
+    if (ntf_twis) $display("---- ERROR: WEN setup violation! ----");
+
+    if (ntf_tch)  $display("---- ERROR: CEN hold violation! ----");
+    if (ntf_tah)  $display("---- ERROR: A hold violation! ----");
+    if (ntf_tdh)  $display("---- ERROR: D hold violation! ----");
+    if (ntf_twh)  $display("---- ERROR: GWEN hold violation! ----");
+    if (ntf_twih) $display("---- ERROR: WEN hold violation! ----");
+
+    if (ntf_Tcyc) $display("---- ERROR: CLK period violation! ----");
+    if (ntf_Tckh) $display("---- ERROR: CLK pulse width high violation! ----");
+    if (ntf_Tckl) $display("---- ERROR: CLK pulse width low violation! ----");
+  end
+end
+
+always @(posedge cen_fell) begin	//reset fasle notifiers
+  ntf_tcs  = 0;				//after CEN reset (CEN from 1 to 0)
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+end
+
+always @(negedge clk_dly) begin	//reset setup/hold notifiers
+  #100;
+  ntf_tcs  = 0;
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+end
+
+initial begin			//initialization
+  ntf_Tcyc  = 0;
+  ntf_Tckh  = 0;
+  ntf_Tckl  = 0;
+
+  ntf_tcs  = 0;
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+
+  marked_a = 7'd0;
+
+  qo_reg         = 8'd0;
+  clk_dly        = 0;
+  write_flag_dly = 0;
+  read_flag_dly  = 0;
+  cen_dly        = 0;
+  cen_fell       = 0;
+  cen_not_rst    = 0;
+
+  for(i=0; i<128; i=i+1) begin
+    mem[i] = 8'd0;
+  end
+end
+
+endmodule
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_1v98.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_1v98.lib
new file mode 100644
index 0000000..f462f4c
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_1v98.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_1v98) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 1.98;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 3.235;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.98);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_1p98v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 1.98;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_1p98v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.018;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+			  index_2 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "10.27524, 10.32228, 10.46364, 10.71708, 11.04288, 11.53104, 12.1548", \
+                            "10.29564, 10.3386, 10.48596, 10.74792, 11.07036, 11.55264, 12.1656", \
+                            "10.3224, 10.36464, 10.51692, 10.75176, 11.09844, 11.57616, 12.1944", \
+                            "10.35252, 10.3938, 10.54452, 10.78224, 11.1168, 11.58492, 12.2172", \
+                            "10.39752, 10.4754, 10.59324, 10.84416, 11.19372, 11.64588, 12.2676", \
+                            "10.443, 10.49292, 10.617, 10.87584, 11.22756, 11.69556, 12.3204", \
+                            "10.4526, 10.50432, 10.64796, 10.88628, 11.226, 11.7012, 12.3024" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+  	                     "0.398952, 0.469272, 0.687852, 1.1048, 1.77096, 2.73984, 3.99984" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "10.75104, 10.7916, 10.91112, 11.10372, 11.33556, 11.61288, 11.95872", \
+                            "10.77396, 10.81584, 10.93824, 11.13396, 11.35356, 11.64024, 11.9796", \
+                            "10.80012, 10.83612, 10.9668, 11.15196, 11.38608, 11.6664, 12.0036", \
+                            "10.82508, 10.85856, 10.9848, 11.16972, 11.40192, 11.67936, 12.0204", \
+                            "10.8714, 10.94784, 11.04528, 11.24436, 11.48496, 11.73312, 12.0792", \
+                            "10.92456, 10.9602, 11.05524, 11.26644, 11.50632, 11.78436, 12.1332", \
+                            "10.9278, 10.96776, 11.10312, 11.27196, 11.508, 11.78928, 12.1164" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "0.395868, 0.445152, 0.584028, 0.803784, 1.12232, 1.53864, 2.07072" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.27565;
+                  clock                : true;
+                  max_transition       : 3.235;
+                  min_pulse_width_high : 3.77334;
+                  min_pulse_width_low  : 5.14851;
+                  min_period           : 14.0727;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("125.948, 125.948, 125.948, 125.948, 125.948, 125.948, 125.948");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("125.948, 125.948, 125.948, 125.948, 125.948, 125.948, 125.948");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("0.00159443, 0.00159443, 0.00159443, 0.00159443, 0.00159443, 0.00159443, 0.00159443");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("0.00159443, 0.00159443, 0.00159443, 0.00159443, 0.00159443, 0.00159443, 0.00159443");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("114.038, 114.038, 114.038, 114.038, 114.038, 114.038, 114.038");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("114.038, 114.038, 114.038, 114.038, 114.038, 114.038, 114.038");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0170277;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.971311, 0.966251, 0.960234, 0.998822, 1.11, 1.23105, 1.33221", \
+                            "0.960685, 0.954723, 0.949333, 0.987932, 1.0991, 1.22016, 1.32451", \
+                            "0.921239, 0.913066, 0.907247, 0.945835, 1.05701, 1.17808, 1.27928", \
+                            "0.848661, 0.843568, 0.839069, 0.873147, 0.981728, 1.10279, 1.20399", \
+                            "0.849981, 0.843315, 0.838816, 0.862081, 0.97372, 1.04984, 1.14103", \
+                            "0.849926, 0.843062, 0.838761, 0.872839, 0.97229, 1.0498, 1.11456", \
+                            "0.849211, 0.842831, 0.83831, 0.861586, 0.97185, 1.04936, 1.12574" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.800503, 0.805563, 0.845988, 0.942249, 1.09478, 1.3019, 1.52526", \
+                            "0.789789, 0.799073, 0.839421, 0.932008, 1.08485, 1.29734, 1.51437", \
+                            "0.789448, 0.798809, 0.839146, 0.931744, 1.08461, 1.29707, 1.51261", \
+                            "0.789261, 0.798545, 0.838948, 0.931546, 1.08434, 1.29688, 1.51239", \
+                            "0.789052, 0.798358, 0.838717, 0.931293, 1.08416, 1.29661, 1.51206", \
+                            "0.788942, 0.798314, 0.838662, 0.931227, 1.08407, 1.29656, 1.51206", \
+                            "0.788502, 0.797863, 0.838222, 0.930787, 1.08367, 1.29612, 1.51162" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "2.74604, 2.75242, 2.75693, 2.72283, 2.62339, 2.54595, 2.50184", \
+                            "2.761, 2.76793, 2.77222, 2.73812, 2.63868, 2.56113, 2.51702", \
+                            "2.79125, 2.80049, 2.80478, 2.77079, 2.67124, 2.5938, 2.54969", \
+                            "2.87837, 2.88354, 2.88794, 2.85395, 2.75451, 2.67696, 2.63285", \
+                            "2.98826, 2.99486, 2.99937, 2.97616, 2.86451, 2.78839, 2.74659", \
+                            "3.13984, 3.14677, 3.15106, 3.11696, 3.01752, 2.93997, 2.8963", \
+                            "3.24951, 3.25589, 3.2604, 3.23719, 3.12686, 3.04942, 3.00531" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "2.80676, 2.79752, 2.75704, 2.66442, 2.51163, 2.29911, 2.08362", \
+                            "2.82194, 2.8127, 2.77233, 2.67971, 2.52681, 2.3144, 2.09891", \
+                            "2.85461, 2.84526, 2.80489, 2.71238, 2.55948, 2.34696, 2.13147", \
+                            "2.93777, 2.92853, 2.88816, 2.79554, 2.64275, 2.43023, 2.21463", \
+                            "3.0492, 3.03985, 2.99948, 2.90697, 2.75407, 2.54166, 2.32617", \
+                            "3.20089, 3.19143, 3.15117, 3.05855, 2.90576, 2.69324, 2.47775", \
+                            "3.31023, 3.30088, 3.26051, 3.168, 3.0151, 2.80269, 2.58709" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0459268;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.30105, 1.30862, 1.34167, 1.41581, 1.53241, 1.6632, 1.78849", \
+                            "1.29053, 1.29809, 1.33111, 1.40525, 1.52185, 1.65451, 1.77793", \
+                            "1.26056, 1.26814, 1.30119, 1.37533, 1.49182, 1.62272, 1.74801", \
+                            "1.18457, 1.19541, 1.22607, 1.30048, 1.41911, 1.54792, 1.67321", \
+                            "1.07886, 1.08644, 1.11949, 1.19361, 1.30607, 1.44023, 1.56629", \
+                            "0.994961, 1.00235, 1.03563, 1.10975, 1.2254, 1.35718, 1.48247", \
+                            "0.943778, 0.951368, 0.984445, 1.05856, 1.17516, 1.306, 1.43132" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.53406, 1.53824, 1.58719, 1.6852, 1.84184, 2.07328, 2.35114", \
+                            "1.5235, 1.52768, 1.57663, 1.672, 1.83326, 2.06195, 2.34058", \
+                            "1.49358, 1.49952, 1.54671, 1.64208, 1.80246, 2.03082, 2.31066", \
+                            "1.41889, 1.42461, 1.4718, 1.55705, 1.72755, 1.958, 2.23586", \
+                            "1.31185, 1.31601, 1.36499, 1.45761, 1.62063, 1.85031, 2.12894", \
+                            "1.22815, 1.23395, 1.28113, 1.37588, 1.53681, 1.76638, 2.04853", \
+                            "1.17679, 1.18103, 1.22992, 1.32528, 1.48654, 1.71402, 1.99397" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.76396, 1.75373, 1.71468, 1.64439, 1.56376, 1.50161, 1.45156", \
+                            "1.76726, 1.76165, 1.72227, 1.64769, 1.56706, 1.51261, 1.45948", \
+                            "1.77188, 1.76165, 1.7226, 1.6522, 1.57157, 1.50942, 1.46234", \
+                            "1.80389, 1.79366, 1.75472, 1.68443, 1.59797, 1.54154, 1.4916", \
+                            "1.84382, 1.83359, 1.79454, 1.72425, 1.63955, 1.58125, 1.53439", \
+                            "1.88727, 1.87924, 1.83799, 1.7677, 1.683, 1.62019, 1.57498", \
+                            "1.89849, 1.88826, 1.84921, 1.77892, 1.69829, 1.63614, 1.58609" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.86856, 0.851345, 0.797137, 0.705463, 0.549736, 0.341022, 0.079728", \
+                            "0.876491, 0.854832, 0.805046, 0.713526, 0.557964, 0.345345, 0.087626", \
+                            "0.87648, 0.854447, 0.805024, 0.713515, 0.561011, 0.348865, 0.087615", \
+                            "0.907632, 0.887007, 0.837166, 0.747274, 0.59026, 0.381073, 0.119749", \
+                            "0.948442, 0.930666, 0.880847, 0.787138, 0.632995, 0.420002, 0.162231", \
+                            "0.991859, 0.97361, 0.920425, 0.829543, 0.673497, 0.463474, 0.203209", \
+                            "1.00679, 0.985369, 0.931656, 0.840136, 0.688622, 0.475178, 0.214239" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00723483;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.556875, 0.57761, 0.627935, 0.721809, 0.87703, 1.08512, 1.34629", \
+                            "0.550088, 0.568007, 0.619993, 0.713867, 0.868835, 1.07928, 1.34068", \
+                            "0.549868, 0.56892, 0.617045, 0.710633, 0.862994, 1.07858, 1.33771", \
+                            "0.517858, 0.53592, 0.586377, 0.679635, 0.836187, 1.04354, 1.30705", \
+                            "0.479556, 0.498421, 0.544742, 0.639936, 0.79266, 1.00599, 1.26671", \
+                            "0.434808, 0.458832, 0.504713, 0.598477, 0.754523, 0.965547, 1.22236", \
+                            "0.422565, 0.443289, 0.492481, 0.586058, 0.737781, 0.952204, 1.21314" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.76396, 1.75373, 1.71468, 1.64439, 1.56376, 1.50161, 1.45651", \
+                            "1.76748, 1.76165, 1.72227, 1.64769, 1.56706, 1.51261, 1.46443", \
+                            "1.77188, 1.76165, 1.7226, 1.6522, 1.57157, 1.50942, 1.46443", \
+                            "1.80389, 1.79366, 1.75472, 1.68443, 1.59797, 1.54154, 1.49655", \
+                            "1.84382, 1.83359, 1.79454, 1.72425, 1.63955, 1.58125, 1.53604", \
+                            "1.88727, 1.87924, 1.83799, 1.7677, 1.683, 1.62019, 1.57982", \
+                            "1.89849, 1.88826, 1.84921, 1.77892, 1.69829, 1.63614, 1.59104" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.86856, 0.851345, 0.797137, 0.705463, 0.549736, 0.341022, 0.079728", \
+                            "0.876491, 0.858209, 0.805046, 0.713526, 0.558591, 0.347545, 0.087626", \
+                            "0.87648, 0.854447, 0.805024, 0.713515, 0.561011, 0.348865, 0.087615", \
+                            "0.907632, 0.887007, 0.837166, 0.747274, 0.59026, 0.381073, 0.119749", \
+                            "0.948442, 0.930666, 0.880847, 0.787138, 0.632995, 0.420002, 0.162231", \
+                            "0.991859, 0.97361, 0.920425, 0.829543, 0.673497, 0.463474, 0.203209", \
+                            "1.00679, 0.985369, 0.931656, 0.840136, 0.688622, 0.475178, 0.214239" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0368678;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.16537, 1.16246, 1.15401, 1.20223, 1.30528, 1.43649, 1.54352", \
+                            "1.1618, 1.15487, 1.14899, 1.19188, 1.30342, 1.43011, 1.53769", \
+                            "1.15172, 1.15135, 1.14275, 1.18731, 1.29883, 1.42087, 1.52999", \
+                            "1.12316, 1.1212, 1.11225, 1.15342, 1.26717, 1.39436, 1.50238", \
+                            "1.08511, 1.08277, 1.07761, 1.11602, 1.23043, 1.3574, 1.46685", \
+                            "1.04038, 1.03828, 1.03242, 1.07149, 1.17925, 1.31176, 1.41911", \
+                            "1.03076, 1.02757, 1.02499, 1.06724, 1.17316, 1.29901, 1.40866" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.45475, 1.45783, 1.49765, 1.59456, 1.75285, 1.95646, 2.19802", \
+                            "1.44749, 1.45024, 1.4817, 1.58444, 1.74537, 1.94986, 2.19769", \
+                            "1.44353, 1.44595, 1.47774, 1.58169, 1.73877, 1.94953, 2.19065", \
+                            "1.41449, 1.41856, 1.44705, 1.54836, 1.70643, 1.91576, 2.16117", \
+                            "1.37764, 1.36631, 1.40899, 1.50975, 1.67112, 1.8788, 2.11816", \
+                            "1.33023, 1.33738, 1.36609, 1.46641, 1.62855, 1.83623, 2.07361", \
+                            "1.32011, 1.32561, 1.3552, 1.45607, 1.61843, 1.82743, 2.06822" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.9482, 0.954646, 0.958573, 0.90486, 0.849035, 0.796026, 0.764258", \
+                            "0.959475, 0.965899, 0.969848, 0.91641, 0.860387, 0.807136, 0.775533", \
+                            "1.00147, 1.0039, 1.00784, 0.954118, 0.898381, 0.845152, 0.813791", \
+                            "1.07112, 1.07754, 1.0815, 1.02806, 0.972037, 0.919028, 0.887172", \
+                            "1.17765, 1.18407, 1.18802, 1.13458, 1.07856, 1.02556, 0.993707", \
+                            "1.30671, 1.31313, 1.31706, 1.26365, 1.2076, 1.15429, 1.12295", \
+                            "1.39139, 1.39788, 1.40184, 1.34838, 1.29234, 1.23908, 1.20748" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.03617, 1.02675, 0.985171, 0.904739, 0.833635, 0.773014, 0.719631", \
+                            "1.04749, 1.03703, 0.996457, 0.916036, 0.84491, 0.78298, 0.730433", \
+                            "1.08645, 1.07595, 1.03444, 0.95403, 0.882904, 0.820963, 0.768416", \
+                            "1.16018, 1.14868, 1.10808, 1.02766, 0.959145, 0.894619, 0.842072", \
+                            "1.26592, 1.26067, 1.21462, 1.13421, 1.06308, 1.00114, 0.948596", \
+                            "1.3948, 1.38435, 1.34365, 1.26324, 1.19221, 1.13154, 1.07765", \
+                            "1.47939, 1.46894, 1.42835, 1.34794, 1.27686, 1.21493, 1.16237" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0154868;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.05883, 1.06712, 1.10741, 1.15677, 1.16557, 1.15485, 1.10505", \
+                            "1.04985, 1.07048, 1.1029, 1.13986, 1.15715, 1.14353, 1.09397", \
+                            "1.04578, 1.05506, 1.09417, 1.13132, 1.15544, 1.13402, 1.08802", \
+                            "1.00837, 1.03333, 1.06418, 1.10569, 1.1243, 1.12091, 1.05578", \
+                            "0.980958, 0.98527, 1.0211, 1.06715, 1.08924, 1.06856, 1.01818", \
+                            "0.934681, 0.94468, 0.983576, 1.03246, 1.03939, 1.03072, 0.984731", \
+                            "0.928983, 0.936771, 0.968451, 1.00705, 1.0359, 1.02593, 0.966273" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.133432, 0.125703, 0.165253, 0.270305, 0.439648, 0.66352, 0.920854", \
+                            "0.125793, 0.117664, 0.157627, 0.262213, 0.432014, 0.655897, 0.913231", \
+                            "0.121761, 0.119496, 0.153637, 0.258492, 0.428032, 0.650683, 0.909238", \
+                            "0.091036, 0.088902, 0.122784, 0.227965, 0.397309, 0.621181, 0.878427", \
+                            "0.051601, 0.049192, 0.083303, 0.188489, 0.357819, 0.581713, 0.839036", \
+                            "0.009075, 0.006545, 0.040898, 0.145955, 0.315285, 0.53702, 0.796422", \
+                            "0, 0, 0.030558, 0.135414, 0.304944, 0.528836, 0.786159" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.756052, 0.748803, 0.70378, 0.666468, 0.648956, 0.655369, 0.705859", \
+                            "0.761464, 0.753225, 0.70884, 0.671363, 0.654225, 0.664224, 0.710754", \
+                            "0.756646, 0.759506, 0.717893, 0.677644, 0.65571, 0.666699, 0.717035", \
+                            "0.79838, 0.789844, 0.748231, 0.707487, 0.690635, 0.6941, 0.747549", \
+                            "0.825737, 0.82819, 0.786731, 0.746537, 0.723272, 0.735042, 0.785895", \
+                            "0.878394, 0.870496, 0.826353, 0.788073, 0.765743, 0.778085, 0.820391", \
+                            "0.890505, 0.878867, 0.841203, 0.80157, 0.783409, 0.790042, 0.840345" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.3541, 1.35674, 1.32319, 1.22188, 1.04809, 0.825033, 0.571021", \
+                            "1.35916, 1.36191, 1.32825, 1.22693, 1.05314, 0.830082, 0.57607", \
+                            "1.36554, 1.36818, 1.33452, 1.23321, 1.05942, 0.836363, 0.582351", \
+                            "1.39579, 1.39865, 1.36488, 1.26356, 1.08976, 0.866712, 0.612689", \
+                            "1.43418, 1.43682, 1.40327, 1.3019, 1.12812, 0.905058, 0.651035", \
+                            "1.47675, 1.47939, 1.44573, 1.34442, 1.17061, 0.947562, 0.69355", \
+                            "1.48885, 1.49149, 1.45783, 1.35652, 1.18272, 0.959673, 0.70565" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0015944346;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_3v60.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_3v60.lib
new file mode 100644
index 0000000..f24fe3e
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_3v60.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_3v60) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 3.6;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.3877;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.6);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_3p6v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 3.6;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_3p6v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0626;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+			  index_2 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "5.50104, 5.51772, 5.59452, 5.7186, 5.8986, 6.15288, 6.47928", \
+                            "5.4972, 5.5206, 5.59212, 5.71884, 5.89944, 6.14856, 6.47928", \
+                            "5.50464, 5.52912, 5.6022, 5.7234, 5.89788, 6.15756, 6.4818", \
+                            "5.5116, 5.53152, 5.60592, 5.73072, 5.91276, 6.1644, 6.4926", \
+                            "5.51724, 5.53932, 5.61636, 5.73636, 5.92032, 6.17088, 6.49908", \
+                            "5.51112, 5.53992, 5.6064, 5.73804, 5.91564, 6.16812, 6.49728", \
+                            "5.48652, 5.50968, 5.57808, 5.706, 5.88828, 6.14136, 6.46608" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+  	                     "0.23364, 0.266388, 0.386628, 0.614532, 0.983172, 1.52004, 2.2212" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "5.68668, 5.7048, 5.77812, 5.88336, 6.02508, 6.20352, 6.41796", \
+                            "5.68416, 5.70588, 5.77968, 5.8884, 6.02832, 6.20196, 6.42084", \
+                            "5.6898, 5.71356, 5.78544, 5.88924, 6.02532, 6.20772, 6.42", \
+                            "5.6976, 5.72028, 5.78844, 5.89716, 6.03888, 6.21564, 6.4326", \
+                            "5.70708, 5.72604, 5.80032, 5.90424, 6.04524, 6.22104, 6.43656", \
+                            "5.70096, 5.7234, 5.79516, 5.9028, 6.0414, 6.21708, 6.43776", \
+                            "5.67144, 5.69052, 5.76276, 5.8764, 6.01716, 6.19272, 6.4068" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "0.239496, 0.265608, 0.34698, 0.477432, 0.677724, 0.957156, 1.31292" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.282536;
+                  clock                : true;
+                  max_transition       : 2.3877;
+                  min_pulse_width_high : 2.549295;
+                  min_pulse_width_low  : 3.32799;
+                  min_period           : 7.23747;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("460.764, 460.764, 460.764, 460.764, 460.764, 460.764, 460.764");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("460.764, 460.764, 460.764, 460.764, 460.764, 460.764, 460.764");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("0.00490968, 0.00490968, 0.00490968, 0.00490968, 0.00490968, 0.00490968, 0.00490968");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("0.00490968, 0.00490968, 0.00490968, 0.00490968, 0.00490968, 0.00490968, 0.00490968");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("413.478, 413.478, 413.478, 413.478, 413.478, 413.478, 413.478");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("413.478, 413.478, 413.478, 413.478, 413.478, 413.478, 413.478");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0168727;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.536844, 0.531201, 0.528154, 0.547811, 0.595463, 0.626692, 0.65395", \
+                            "0.530409, 0.524557, 0.521411, 0.541783, 0.5819, 0.623018, 0.647823", \
+                            "0.521103, 0.515405, 0.511951, 0.531003, 0.567919, 0.602228, 0.627044", \
+                            "0.520487, 0.515163, 0.51172, 0.530761, 0.559614, 0.572748, 0.596211", \
+                            "0.520597, 0.514591, 0.511445, 0.530486, 0.559339, 0.572462, 0.594484", \
+                            "0.52096, 0.515185, 0.511522, 0.528066, 0.560461, 0.594759, 0.619575", \
+                            "0.569712, 0.564212, 0.561066, 0.58333, 0.628364, 0.662662, 0.687489" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.496793, 0.501688, 0.52371, 0.575575, 0.664433, 0.766183, 0.87857", \
+                            "0.49643, 0.50193, 0.52349, 0.575344, 0.660693, 0.764797, 0.87835", \
+                            "0.496265, 0.501633, 0.523226, 0.575069, 0.663949, 0.765688, 0.878086", \
+                            "0.496034, 0.501413, 0.522951, 0.574827, 0.663696, 0.765446, 0.877844", \
+                            "0.495748, 0.501226, 0.521906, 0.574563, 0.663432, 0.765171, 0.877569", \
+                            "0.495715, 0.501182, 0.522775, 0.574618, 0.663487, 0.765237, 0.877635", \
+                            "0.50215, 0.509784, 0.531256, 0.582307, 0.669911, 0.771991, 0.885973" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.47818, 1.48412, 1.4872, 1.47103, 1.43935, 1.42626, 1.42054", \
+                            "1.48753, 1.49358, 1.49699, 1.48005, 1.45794, 1.43605, 1.43011", \
+                            "1.50755, 1.51327, 1.51668, 1.49765, 1.46883, 1.45563, 1.4498", \
+                            "1.54781, 1.55309, 1.5565, 1.53747, 1.50865, 1.49556, 1.48962", \
+                            "1.61128, 1.61722, 1.62041, 1.60138, 1.57256, 1.55936, 1.55353", \
+                            "1.66089, 1.66672, 1.67035, 1.65374, 1.62239, 1.6093, 1.60336", \
+                            "1.69202, 1.69763, 1.70104, 1.68201, 1.65319, 1.6401, 1.63416" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.50293, 1.49798, 1.47598, 1.42406, 1.33529, 1.2335, 1.12111", \
+                            "1.51283, 1.50733, 1.48577, 1.43385, 1.3486, 1.24445, 1.1309", \
+                            "1.53241, 1.52702, 1.50546, 1.45354, 1.36466, 1.26297, 1.15057", \
+                            "1.57223, 1.56684, 1.54528, 1.49347, 1.40459, 1.30284, 1.19043", \
+                            "1.63614, 1.63064, 1.60996, 1.55727, 1.46839, 1.36664, 1.2543", \
+                            "1.68608, 1.68069, 1.65913, 1.60721, 1.51833, 1.41658, 1.30427", \
+                            "1.71688, 1.71138, 1.68982, 1.63812, 1.54913, 1.44738, 1.33496" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0482781;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.742005, 0.745129, 0.76516, 0.807323, 0.873378, 0.941314, 0.991375", \
+                            "0.737121, 0.739013, 0.759572, 0.802318, 0.86779, 0.935737, 0.985798", \
+                            "0.720511, 0.723657, 0.743666, 0.786412, 0.851884, 0.919831, 0.969881", \
+                            "0.682924, 0.686345, 0.706376, 0.748539, 0.814594, 0.88253, 0.932591", \
+                            "0.627341, 0.630949, 0.649638, 0.69278, 0.758714, 0.82665, 0.876722", \
+                            "0.591382, 0.594737, 0.614757, 0.65692, 0.722975, 0.790911, 0.840972", \
+                            "0.568557, 0.571516, 0.591712, 0.634458, 0.69993, 0.767877, 0.817927" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.812086, 0.816123, 0.848837, 0.913858, 1.01964, 1.16013, 1.31593", \
+                            "0.80663, 0.810018, 0.84326, 0.90805, 1.01406, 1.15434, 1.31747", \
+                            "0.790592, 0.794101, 0.827343, 0.892144, 0.998151, 1.13843, 1.29448", \
+                            "0.753159, 0.756811, 0.790064, 0.854678, 0.960861, 1.10142, 1.25496", \
+                            "0.697433, 0.701349, 0.733755, 0.799194, 0.904981, 1.04526, 1.20122", \
+                            "0.661551, 0.665181, 0.698434, 0.763444, 0.869231, 1.00953, 1.1656", \
+                            "0.638484, 0.642136, 0.6754, 0.74041, 0.846197, 0.98648, 1.14029" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.02399, 1.02203, 1.00223, 0.963578, 0.931051, 0.916201, 0.923362", \
+                            "1.02503, 1.02308, 1.00327, 0.964612, 0.932096, 0.917224, 0.924396", \
+                            "1.03003, 1.02806, 1.00826, 0.969617, 0.937112, 0.92224, 0.929401", \
+                            "1.03759, 1.03553, 1.01572, 0.977064, 0.944548, 0.929687, 0.936848", \
+                            "1.04383, 1.04189, 1.02208, 0.9834, 0.950763, 0.936045, 0.943206", \
+                            "1.04439, 1.04245, 1.02264, 0.98428, 0.951808, 0.936606, 0.943767", \
+                            "1.01747, 1.01551, 0.995709, 0.957055, 0.92455, 0.909678, 0.916839" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.562837, 0.557051, 0.526581, 0.465212, 0.357632, 0.222567, 0.064713", \
+                            "0.564575, 0.557326, 0.527626, 0.466026, 0.358666, 0.223633, 0.065219", \
+                            "0.569195, 0.562397, 0.532631, 0.471251, 0.363671, 0.228634, 0.071093", \
+                            "0.576532, 0.57002, 0.540485, 0.478478, 0.371107, 0.2359, 0.078573", \
+                            "0.582758, 0.576444, 0.547558, 0.484847, 0.377476, 0.24244, 0.0845812", \
+                            "0.60192, 0.596497, 0.564663, 0.498443, 0.387959, 0.252571, 0.102782", \
+                            "0.65989, 0.654467, 0.622633, 0.556413, 0.445929, 0.310541, 0.16299" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.0075492;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.332167, 0.338646, 0.368401, 0.430166, 0.537416, 0.673101, 0.832018", \
+                            "0.329989, 0.337469, 0.367004, 0.429462, 0.536019, 0.671682, 0.831941", \
+                            "0.325886, 0.332805, 0.361317, 0.424325, 0.531311, 0.667117, 0.826243", \
+                            "0.317966, 0.324918, 0.35442, 0.416405, 0.523512, 0.659615, 0.818257", \
+                            "0.310343, 0.318604, 0.348007, 0.409673, 0.517506, 0.65274, 0.811899", \
+                            "0.311025, 0.318472, 0.34771, 0.409783, 0.516758, 0.652553, 0.811294", \
+                            "0.33825, 0.34573, 0.374286, 0.436854, 0.544115, 0.680284, 0.838871" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.02399, 1.02203, 1.00282, 0.963578, 0.931579, 0.916201, 0.923362", \
+                            "1.02503, 1.02308, 1.00385, 0.964612, 0.932613, 0.917224, 0.924396", \
+                            "1.03003, 1.02806, 1.00885, 0.969617, 0.937618, 0.92224, 0.929401", \
+                            "1.03759, 1.03553, 1.01628, 0.977064, 0.945043, 0.929687, 0.936848", \
+                            "1.04383, 1.04189, 1.02265, 0.9834, 0.951412, 0.936045, 0.943206", \
+                            "1.04439, 1.04245, 1.02323, 0.98428, 0.951984, 0.936606, 0.943767", \
+                            "1.01747, 1.01551, 0.996292, 0.957055, 0.925067, 0.909678, 0.916839" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.562837, 0.557051, 0.526581, 0.465212, 0.357632, 0.222567, 0.064713", \
+                            "0.564575, 0.557326, 0.527626, 0.466026, 0.358666, 0.223633, 0.065219", \
+                            "0.569195, 0.562397, 0.532631, 0.471251, 0.363671, 0.228634, 0.071093", \
+                            "0.576532, 0.57002, 0.540485, 0.478478, 0.371107, 0.2359, 0.078573", \
+                            "0.582758, 0.576444, 0.547558, 0.484847, 0.377476, 0.24244, 0.0845812", \
+                            "0.583781, 0.57673, 0.546997, 0.485617, 0.378037, 0.242996, 0.0851367", \
+                            "0.556809, 0.549769, 0.520476, 0.458469, 0.35112, 0.216067, 0.058201" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0367128;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.648593, 0.645711, 0.657635, 0.677699, 0.722557, 0.747868, 0.774422", \
+                            "0.645986, 0.645931, 0.656656, 0.676786, 0.722084, 0.744557, 0.772266", \
+                            "0.640882, 0.640805, 0.651893, 0.674102, 0.718905, 0.742654, 0.769461", \
+                            "0.636394, 0.631543, 0.643511, 0.666226, 0.707344, 0.732611, 0.759572", \
+                            "0.627539, 0.625493, 0.636295, 0.659406, 0.704143, 0.726935, 0.754116", \
+                            "0.631103, 0.627, 0.637703, 0.659505, 0.70554, 0.727276, 0.75383", \
+                            "0.655556, 0.652707, 0.665335, 0.686917, 0.731368, 0.754567, 0.781407" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.858319, 0.857032, 0.882959, 0.934835, 1.02982, 1.14316, 1.27942", \
+                            "0.857131, 0.861069, 0.881243, 0.933482, 1.02861, 1.14249, 1.27871", \
+                            "0.855074, 0.85833, 0.876161, 0.928213, 1.02451, 1.1403, 1.27497", \
+                            "0.845933, 0.851147, 0.86966, 0.921525, 1.0166, 1.13081, 1.26598", \
+                            "0.838057, 0.843678, 0.861729, 0.915112, 1.00701, 1.12449, 1.25957", \
+                            "0.838684, 0.842765, 0.862774, 0.915233, 1.00948, 1.12598, 1.26403", \
+                            "0.86493, 0.868428, 0.89034, 0.942161, 1.03709, 1.15133, 1.2867" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.615725, 0.618563, 0.607409, 0.5962, 0.591492, 0.597828, 0.594858", \
+                            "0.622523, 0.625328, 0.614196, 0.602965, 0.598268, 0.604538, 0.601623", \
+                            "0.640684, 0.643522, 0.632368, 0.621148, 0.616451, 0.622776, 0.619806", \
+                            "0.678029, 0.681604, 0.670461, 0.659241, 0.654533, 0.660869, 0.657899", \
+                            "0.732589, 0.735427, 0.724273, 0.713053, 0.708356, 0.714615, 0.711711", \
+                            "0.777524, 0.78111, 0.769967, 0.758747, 0.754039, 0.760309, 0.757405", \
+                            "0.794959, 0.797797, 0.786665, 0.775434, 0.770737, 0.776996, 0.774092" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.636977, 0.632368, 0.60973, 0.583264, 0.573749, 0.57673, 0.584386", \
+                            "0.643753, 0.638979, 0.616506, 0.59004, 0.580404, 0.583506, 0.591162", \
+                            "0.6622, 0.657327, 0.634667, 0.608223, 0.598576, 0.601546, 0.609345", \
+                            "0.699996, 0.69498, 0.672749, 0.646305, 0.636669, 0.639639, 0.647438", \
+                            "0.754083, 0.748814, 0.726451, 0.700128, 0.690481, 0.693451, 0.70125", \
+                            "0.799766, 0.794673, 0.772255, 0.745811, 0.736175, 0.739145, 0.742005", \
+                            "0.816464, 0.81147, 0.788832, 0.762487, 0.752862, 0.755832, 0.763631" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0156583;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.573199, 0.577533, 0.594121, 0.601051, 0.584584, 0.538824, 0.462088", \
+                            "0.573518, 0.57354, 0.592273, 0.597223, 0.581592, 0.535326, 0.459646", \
+                            "0.565675, 0.569822, 0.587466, 0.594077, 0.578336, 0.532752, 0.455169", \
+                            "0.558547, 0.56298, 0.580822, 0.587345, 0.570251, 0.527406, 0.446523", \
+                            "0.555038, 0.557216, 0.573991, 0.580624, 0.561462, 0.517616, 0.440176", \
+                            "0.554081, 0.557601, 0.574156, 0.578589, 0.561176, 0.52063, 0.443344", \
+                            "0.580514, 0.585684, 0.60038, 0.606089, 0.590975, 0.546128, 0.470646" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.135729, 0.133591, 0.166021, 0.243972, 0.366069, 0.525338, 0.723998", \
+                            "0.134132, 0.132792, 0.164387, 0.242341, 0.364441, 0.523655, 0.722293", \
+                            "0.128983, 0.128853, 0.160368, 0.238334, 0.360481, 0.519739, 0.718476", \
+                            "0.12061, 0.120853, 0.152147, 0.230522, 0.352209, 0.511731, 0.710039", \
+                            "0.113023, 0.114161, 0.145739, 0.223694, 0.345774, 0.505054, 0.703626", \
+                            "0.115607, 0.114785, 0.146093, 0.224, 0.346148, 0.505395, 0.703615", \
+                            "0.142804, 0.141083, 0.172982, 0.250935, 0.373043, 0.53229, 0.730873" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.543697, 0.542311, 0.521796, 0.517616, 0.534292, 0.577874, 0.652531", \
+                            "0.544599, 0.5456, 0.52294, 0.519057, 0.53625, 0.579084, 0.653686", \
+                            "0.553553, 0.550154, 0.527417, 0.525261, 0.540727, 0.583561, 0.663025", \
+                            "0.557568, 0.558613, 0.535953, 0.533885, 0.548449, 0.592031, 0.671561", \
+                            "0.566038, 0.564718, 0.542058, 0.538945, 0.556193, 0.601084, 0.6776", \
+                            "0.564245, 0.562947, 0.542168, 0.539737, 0.556336, 0.59972, 0.672903", \
+                            "0.537218, 0.536338, 0.514899, 0.512831, 0.528154, 0.572033, 0.645645" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.801383, 0.801559, 0.771045, 0.692373, 0.570064, 0.412049, 0.215711", \
+                            "0.802505, 0.802648, 0.772156, 0.693473, 0.571164, 0.41316, 0.216821", \
+                            "0.80696, 0.807114, 0.776622, 0.697939, 0.57563, 0.417626, 0.221286", \
+                            "0.816761, 0.815661, 0.785169, 0.706486, 0.584177, 0.426162, 0.229834", \
+                            "0.825231, 0.821788, 0.791285, 0.712591, 0.590392, 0.432278, 0.235938", \
+                            "0.821898, 0.82192, 0.791428, 0.712734, 0.590436, 0.432421, 0.236089", \
+                            "0.794453, 0.794607, 0.764126, 0.685432, 0.563123, 0.405108, 0.208781" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.00490968;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_5v50.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_5v50.lib
new file mode 100644
index 0000000..8d9a4df
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_5v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_125C_5v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 5.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.394;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_5p5v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 5.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_5p5v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.115;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+			  index_2 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "4.12332, 4.14348, 4.19532, 4.2834, 4.422, 4.60692, 4.85148", \
+                            "4.122, 4.14768, 4.1982, 4.28988, 4.42656, 4.60668, 4.85496", \
+                            "4.12236, 4.1376, 4.19424, 4.28544, 4.41936, 4.60392, 4.85256", \
+                            "4.12536, 4.14288, 4.19244, 4.2858, 4.4214, 4.60488, 4.85304", \
+                            "4.1292, 4.14948, 4.20216, 4.29384, 4.42704, 4.61124, 4.85808", \
+                            "4.1262, 4.1472, 4.2006, 4.28904, 4.42428, 4.60776, 4.8564", \
+                            "4.11336, 4.13064, 4.19052, 4.27716, 4.41456, 4.59684, 4.84728" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+  	                     "0.190068, 0.211872, 0.29586, 0.46758, 0.73842, 1.14275, 1.66824" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "4.23564, 4.2546, 4.30596, 4.39488, 4.51008, 4.65492, 4.84116", \
+                            "4.23552, 4.2564, 4.31124, 4.39824, 4.515, 4.66008, 4.84632", \
+                            "4.23432, 4.24704, 4.3092, 4.39344, 4.51068, 4.65348, 4.84536", \
+                            "4.23576, 4.25244, 4.30836, 4.39536, 4.51104, 4.65684, 4.84368", \
+                            "4.23972, 4.2594, 4.31496, 4.40292, 4.5156, 4.66176, 4.84884", \
+                            "4.24032, 4.25868, 4.31304, 4.39896, 4.51404, 4.65756, 4.84992", \
+                            "4.22676, 4.24524, 4.30464, 4.38732, 4.5048, 4.64868, 4.83936" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "0.18786, 0.208776, 0.273756, 0.385644, 0.556128, 0.798912, 1.11881" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.28538;
+                  clock                : true;
+                  max_transition       : 1.394;
+                  min_pulse_width_high : 2.176275;
+                  min_pulse_width_low  : 2.304825;
+                  min_period           : 5.44035;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1146.72, 1146.72, 1146.72, 1146.72, 1146.72, 1146.72, 1146.72");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1146.72, 1146.72, 1146.72, 1146.72, 1146.72, 1146.72, 1146.72");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("0.0125246, 0.0125246, 0.0125246, 0.0125246, 0.0125246, 0.0125246, 0.0125246");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("0.0125246, 0.0125246, 0.0125246, 0.0125246, 0.0125246, 0.0125246, 0.0125246");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1036.97, 1036.97, 1036.97, 1036.97, 1036.97, 1036.97, 1036.97");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1036.97, 1036.97, 1036.97, 1036.97, 1036.97, 1036.97, 1036.97");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0167033;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.411015, 0.407594, 0.401819, 0.404811, 0.423445, 0.448019, 0.472824", \
+                            "0.411554, 0.407429, 0.401753, 0.404646, 0.42328, 0.445852, 0.470657", \
+                            "0.409651, 0.407187, 0.401445, 0.404404, 0.423038, 0.440693, 0.462143", \
+                            "0.410333, 0.406934, 0.401214, 0.405515, 0.419727, 0.436909, 0.453123", \
+                            "0.410157, 0.406747, 0.40106, 0.403953, 0.422587, 0.440242, 0.45287", \
+                            "0.410102, 0.406912, 0.400895, 0.403755, 0.423203, 0.440165, 0.454322", \
+                            "0.419397, 0.415712, 0.409673, 0.41547, 0.435831, 0.464816, 0.490094" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.389499, 0.390863, 0.399102, 0.420244, 0.465333, 0.519596, 0.5808", \
+                            "0.389356, 0.390148, 0.39897, 0.418968, 0.465168, 0.519453, 0.580635", \
+                            "0.389081, 0.389917, 0.398772, 0.419837, 0.464926, 0.519244, 0.580393", \
+                            "0.389378, 0.389719, 0.398574, 0.420112, 0.463188, 0.519057, 0.579667", \
+                            "0.388883, 0.389994, 0.398321, 0.419848, 0.464475, 0.518793, 0.579942", \
+                            "0.388564, 0.387904, 0.398244, 0.419782, 0.461604, 0.518716, 0.579865", \
+                            "0.3883, 0.389378, 0.398222, 0.41976, 0.464387, 0.518705, 0.579843" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "1.07909, 1.08251, 1.08829, 1.08529, 1.06666, 1.04902, 1.03639", \
+                            "1.08943, 1.09355, 1.09923, 1.09634, 1.0777, 1.06005, 1.04743", \
+                            "1.10363, 1.10609, 1.11183, 1.10888, 1.09024, 1.07259, 1.05996", \
+                            "1.12478, 1.12817, 1.13389, 1.12959, 1.11538, 1.0982, 1.08198", \
+                            "1.15619, 1.15961, 1.16529, 1.16239, 1.14376, 1.1261, 1.11349", \
+                            "1.18247, 1.18567, 1.19169, 1.18883, 1.16938, 1.15241, 1.13979", \
+                            "1.20303, 1.2065, 1.21253, 1.20852, 1.19403, 1.17684, 1.16353" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "1.10061, 1.09924, 1.091, 1.06986, 1.02477, 0.970508, 0.909304", \
+                            "1.11163, 1.11084, 1.10201, 1.08202, 1.03582, 0.98153, 0.920348", \
+                            "1.1242, 1.12335, 1.11451, 1.09344, 1.04836, 0.994037, 0.932888", \
+                            "1.14574, 1.14539, 1.13653, 1.11499, 1.07192, 1.01606, 0.955438", \
+                            "1.17746, 1.17635, 1.16804, 1.1465, 1.10187, 1.04755, 0.986414", \
+                            "1.20402, 1.20468, 1.19433, 1.1728, 1.13098, 1.07385, 1.01272", \
+                            "1.2251, 1.22402, 1.21518, 1.19365, 1.14903, 1.0947, 1.03356" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0492412;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.561165, 0.564421, 0.570911, 0.59136, 0.627528, 0.675719, 0.719334", \
+                            "0.561055, 0.563926, 0.570361, 0.590799, 0.627044, 0.675455, 0.717948", \
+                            "0.555093, 0.558107, 0.564355, 0.585101, 0.621269, 0.669449, 0.715561", \
+                            "0.532708, 0.535777, 0.542025, 0.562463, 0.598708, 0.647108, 0.692549", \
+                            "0.498267, 0.501314, 0.507661, 0.528319, 0.564267, 0.612656, 0.658207", \
+                            "0.467841, 0.470426, 0.477092, 0.497794, 0.533896, 0.582186, 0.626527", \
+                            "0.441188, 0.443828, 0.450076, 0.470811, 0.50699, 0.55517, 0.600402" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.587554, 0.590623, 0.605561, 0.639353, 0.700359, 0.778129, 0.872454", \
+                            "0.588456, 0.590656, 0.605319, 0.639815, 0.697356, 0.779724, 0.874027", \
+                            "0.581394, 0.584606, 0.598389, 0.632896, 0.6941, 0.771859, 0.868032", \
+                            "0.559064, 0.562265, 0.576048, 0.610676, 0.669339, 0.749529, 0.845691", \
+                            "0.524513, 0.527758, 0.542509, 0.574508, 0.637703, 0.714516, 0.81125", \
+                            "0.494142, 0.497079, 0.51249, 0.545468, 0.604428, 0.686917, 0.77891", \
+                            "0.467115, 0.470327, 0.485045, 0.51843, 0.578666, 0.65758, 0.753753" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.794838, 0.793397, 0.786467, 0.769582, 0.746416, 0.732699, 0.725593", \
+                            "0.796851, 0.79607, 0.788392, 0.771793, 0.748363, 0.734646, 0.726869", \
+                            "0.794717, 0.793111, 0.786104, 0.76923, 0.746064, 0.732391, 0.721127", \
+                            "0.797115, 0.795498, 0.788546, 0.771958, 0.748539, 0.734855, 0.722282", \
+                            "0.802351, 0.80091, 0.793881, 0.777018, 0.753852, 0.740135, 0.727584", \
+                            "0.80289, 0.800734, 0.794321, 0.777194, 0.753929, 0.74019, 0.728024", \
+                            "0.7909, 0.788744, 0.782507, 0.765622, 0.742467, 0.728728, 0.716199" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.461098, 0.457875, 0.442992, 0.408782, 0.349041, 0.27335, 0.178546", \
+                            "0.463969, 0.460009, 0.444928, 0.411554, 0.351296, 0.27289, 0.180544", \
+                            "0.460394, 0.458304, 0.442354, 0.407858, 0.348689, 0.273019, 0.178259", \
+                            "0.462847, 0.46035, 0.444818, 0.410168, 0.351626, 0.275484, 0.180871", \
+                            "0.468171, 0.465597, 0.450296, 0.415844, 0.35574, 0.279686, 0.186046", \
+                            "0.471482, 0.468369, 0.452925, 0.41811, 0.357489, 0.27797, 0.188004", \
+                            "0.520091, 0.516109, 0.501534, 0.466719, 0.404657, 0.325193, 0.235476" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00767809;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.240786, 0.244145, 0.258739, 0.29403, 0.352319, 0.42812, 0.523798", \
+                            "0.238942, 0.242469, 0.257567, 0.291962, 0.351626, 0.429297, 0.522863", \
+                            "0.24232, 0.245143, 0.260412, 0.295768, 0.353639, 0.430199, 0.524645", \
+                            "0.238446, 0.242348, 0.257608, 0.292391, 0.350944, 0.427064, 0.52316", \
+                            "0.234078, 0.238003, 0.251944, 0.287034, 0.346764, 0.422653, 0.517352", \
+                            "0.233699, 0.237115, 0.252111, 0.286946, 0.345301, 0.423698, 0.516736", \
+                            "0.245672, 0.248349, 0.264087, 0.298837, 0.35695, 0.433268, 0.528583" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.794838, 0.793397, 0.786467, 0.769582, 0.746416, 0.732699, 0.725593", \
+                            "0.796851, 0.79607, 0.788392, 0.771793, 0.748363, 0.734646, 0.726869", \
+                            "0.794717, 0.793111, 0.786104, 0.76923, 0.746064, 0.732391, 0.721171", \
+                            "0.797115, 0.795498, 0.788546, 0.771958, 0.748539, 0.734855, 0.723613", \
+                            "0.802351, 0.80091, 0.793881, 0.777018, 0.753852, 0.740135, 0.728959", \
+                            "0.80289, 0.801174, 0.794321, 0.777194, 0.753929, 0.74019, 0.729487", \
+                            "0.7909, 0.789239, 0.782507, 0.765622, 0.742467, 0.728728, 0.717563" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.461098, 0.457875, 0.442992, 0.408782, 0.349041, 0.27335, 0.178546", \
+                            "0.463969, 0.460009, 0.444928, 0.411554, 0.351296, 0.27289, 0.180544", \
+                            "0.460394, 0.458304, 0.442354, 0.407858, 0.348689, 0.273019, 0.178259", \
+                            "0.462847, 0.46035, 0.444818, 0.410839, 0.351626, 0.275484, 0.180871", \
+                            "0.468171, 0.465597, 0.450296, 0.415844, 0.35574, 0.279686, 0.186046", \
+                            "0.468435, 0.465718, 0.450329, 0.415734, 0.357489, 0.27797, 0.186025", \
+                            "0.456786, 0.454388, 0.438867, 0.404283, 0.346335, 0.269369, 0.174655" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0365434;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.481305, 0.478357, 0.475552, 0.4895, 0.51425, 0.539583, 0.56342", \
+                            "0.481789, 0.477884, 0.474199, 0.488576, 0.512017, 0.535733, 0.561858", \
+                            "0.482647, 0.480469, 0.476498, 0.490809, 0.514514, 0.540804, 0.56309", \
+                            "0.480458, 0.477565, 0.474342, 0.488554, 0.513524, 0.538945, 0.561704", \
+                            "0.476784, 0.473055, 0.468677, 0.483087, 0.507727, 0.533687, 0.557282", \
+                            "0.475233, 0.472483, 0.468842, 0.482966, 0.507309, 0.533401, 0.557524", \
+                            "0.487762, 0.485177, 0.47938, 0.494131, 0.518617, 0.544148, 0.566995" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.655809, 0.658394, 0.667062, 0.687291, 0.733755, 0.799557, 0.876634", \
+                            "0.655919, 0.658416, 0.666402, 0.686411, 0.732677, 0.797236, 0.875127", \
+                            "0.658306, 0.657888, 0.668107, 0.68805, 0.734349, 0.799634, 0.878009", \
+                            "0.655556, 0.657778, 0.665533, 0.685927, 0.733084, 0.797654, 0.876469", \
+                            "0.650122, 0.65164, 0.660638, 0.67914, 0.727529, 0.792121, 0.870023", \
+                            "0.64988, 0.651068, 0.660649, 0.679052, 0.72721, 0.792858, 0.870452", \
+                            "0.660253, 0.663531, 0.670164, 0.692208, 0.738419, 0.804298, 0.882013" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.493944, 0.496716, 0.497948, 0.489742, 0.491139, 0.492261, 0.494582", \
+                            "0.497508, 0.500247, 0.501501, 0.493306, 0.494703, 0.495814, 0.498135", \
+                            "0.506033, 0.508816, 0.51018, 0.50182, 0.503228, 0.50435, 0.506671", \
+                            "0.524172, 0.526944, 0.528319, 0.51997, 0.521367, 0.522489, 0.52481", \
+                            "0.552519, 0.555302, 0.556875, 0.548317, 0.549714, 0.550836, 0.553223", \
+                            "0.582747, 0.585354, 0.586586, 0.57838, 0.579777, 0.580888, 0.583286", \
+                            "0.605495, 0.608267, 0.609488, 0.601282, 0.602679, 0.603801, 0.606122" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.502293, 0.500434, 0.491194, 0.480546, 0.479402, 0.485309, 0.492294", \
+                            "0.506044, 0.503987, 0.494736, 0.48411, 0.482966, 0.488862, 0.495847", \
+                            "0.514019, 0.512523, 0.503272, 0.492646, 0.491502, 0.497398, 0.504383", \
+                            "0.532807, 0.530651, 0.5214, 0.510774, 0.509311, 0.515537, 0.522522", \
+                            "0.560945, 0.559009, 0.54967, 0.540199, 0.538648, 0.543884, 0.550869", \
+                            "0.590997, 0.589061, 0.579832, 0.570251, 0.568029, 0.573936, 0.580921", \
+                            "0.613833, 0.611919, 0.602855, 0.592097, 0.590953, 0.596849, 0.603834" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0158508;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.411092, 0.412588, 0.422444, 0.425337, 0.417065, 0.393723, 0.347424", \
+                            "0.411059, 0.41118, 0.41998, 0.424061, 0.414975, 0.392029, 0.347941", \
+                            "0.412467, 0.415228, 0.423687, 0.426481, 0.417384, 0.392568, 0.34991", \
+                            "0.408331, 0.413039, 0.421179, 0.424721, 0.414293, 0.392865, 0.349008", \
+                            "0.404349, 0.407715, 0.414656, 0.418682, 0.410058, 0.388003, 0.34331", \
+                            "0.404723, 0.406373, 0.415657, 0.418143, 0.408023, 0.385902, 0.34331", \
+                            "0.417879, 0.419122, 0.426426, 0.429792, 0.420068, 0.396935, 0.353859" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.102184, 0.102461, 0.11784, 0.165262, 0.23831, 0.33616, 0.460064", \
+                            "0.101281, 0.101702, 0.11779, 0.164396, 0.23752, 0.335445, 0.45925", \
+                            "0.103374, 0.103223, 0.119843, 0.166526, 0.239514, 0.337436, 0.461241", \
+                            "0.101241, 0.102582, 0.117701, 0.164441, 0.236276, 0.335863, 0.459382", \
+                            "0.095733, 0.0950059, 0.113315, 0.159081, 0.232357, 0.329582, 0.453783", \
+                            "0.0953997, 0.0962544, 0.112818, 0.158462, 0.231724, 0.329615, 0.453442", \
+                            "0.107142, 0.107381, 0.123244, 0.170221, 0.243254, 0.34133, 0.464519" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.471966, 0.468644, 0.461494, 0.45661, 0.466862, 0.490215, 0.535326", \
+                            "0.472395, 0.471251, 0.463452, 0.457226, 0.468501, 0.493262, 0.536151", \
+                            "0.470228, 0.468193, 0.459811, 0.455015, 0.465762, 0.490468, 0.530057", \
+                            "0.473055, 0.470393, 0.462737, 0.457523, 0.468314, 0.491975, 0.533225", \
+                            "0.478357, 0.475552, 0.469315, 0.463166, 0.473528, 0.4972, 0.539671", \
+                            "0.477972, 0.475024, 0.469007, 0.463639, 0.474254, 0.4983, 0.541574", \
+                            "0.465498, 0.464211, 0.456742, 0.452969, 0.46211, 0.485133, 0.529485" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.635162, 0.635129, 0.618277, 0.572429, 0.499345, 0.401522, 0.279081", \
+                            "0.636284, 0.63624, 0.619388, 0.57354, 0.500456, 0.402633, 0.280203", \
+                            "0.634084, 0.634051, 0.617188, 0.571329, 0.498245, 0.400422, 0.277992", \
+                            "0.636097, 0.635415, 0.61919, 0.573342, 0.500258, 0.402435, 0.279994", \
+                            "0.6424, 0.642147, 0.625295, 0.579447, 0.506352, 0.40854, 0.286099", \
+                            "0.642455, 0.64229, 0.62535, 0.579502, 0.506418, 0.408595, 0.286165", \
+                            "0.630102, 0.630047, 0.613195, 0.567347, 0.494263, 0.39644, 0.27401" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0125246;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_1v98.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_1v98.lib
new file mode 100644
index 0000000..0b021ee
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_1v98.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_1v98) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 1.98;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.315;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.98);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_1p98v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 1.98;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_1p98v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 0.973;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+			  index_2 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "7.54308, 7.587, 7.70196, 7.87524, 8.13504, 8.46828, 8.92932", \
+                            "7.575, 7.60644, 7.70592, 7.88148, 8.13324, 8.46996, 8.9226", \
+                            "7.60536, 7.635, 7.73676, 7.91088, 8.1642, 8.50884, 8.96292", \
+                            "7.64076, 7.67172, 7.78956, 7.95504, 8.20176, 8.54712, 9.0108", \
+                            "7.69656, 7.72308, 7.83408, 8.00556, 8.27544, 8.6064, 9.07164", \
+                            "7.75536, 7.7646, 7.887, 8.05392, 8.3178, 8.66112, 9.11472", \
+                            "7.8006, 7.82916, 7.94964, 8.10864, 8.37276, 8.71104, 9.16596" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+  	                     "0.256272, 0.310944, 0.449688, 0.735024, 1.18511, 1.84944, 2.71164" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "7.91376, 7.95864, 8.0592, 8.18952, 8.3508, 8.53608, 8.77488", \
+                            "7.94352, 7.97928, 8.05512, 8.19192, 8.35308, 8.53416, 8.77248", \
+                            "7.97952, 8.00304, 8.09604, 8.22036, 8.38392, 8.56812, 8.8104", \
+                            "8.01636, 8.04588, 8.14368, 8.27088, 8.42148, 8.61108, 8.85756", \
+                            "8.07, 8.09436, 8.1894, 8.31744, 8.49132, 8.66844, 8.92068", \
+                            "8.12904, 8.13432, 8.24208, 8.3628, 8.53752, 8.72748, 8.96652", \
+                            "8.16756, 8.20068, 8.29548, 8.41896, 8.59344, 8.775, 9.00984" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "0.25668, 0.287976, 0.388644, 0.518544, 0.725616, 1.01522, 1.38096" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.270753;
+                  clock                : true;
+                  max_transition       : 2.315;
+                  min_pulse_width_high : 2.69679;
+                  min_pulse_width_low  : 3.722595;
+                  min_period           : 10.276665;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("115.503, 115.503, 115.503, 115.503, 115.503, 115.503, 115.503");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("115.503, 115.503, 115.503, 115.503, 115.503, 115.503, 115.503");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("3.1484e-05, 3.1484e-05, 3.1484e-05, 3.1484e-05, 3.1484e-05, 3.1484e-05, 3.1484e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("3.1484e-05, 3.1484e-05, 3.1484e-05, 3.1484e-05, 3.1484e-05, 3.1484e-05, 3.1484e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("105.088, 105.088, 105.088, 105.088, 105.088, 105.088, 105.088");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("105.088, 105.088, 105.088, 105.088, 105.088, 105.088, 105.088");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0165507;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.796818, 0.79101, 0.789811, 0.834999, 0.938773, 1.09287, 1.25063", \
+                            "0.789085, 0.779053, 0.779944, 0.825132, 0.928917, 1.08301, 1.24078", \
+                            "0.754468, 0.748671, 0.747472, 0.79266, 0.896445, 1.05053, 1.20831", \
+                            "0.706651, 0.700909, 0.697345, 0.742588, 0.837661, 0.986084, 1.14417", \
+                            "0.706464, 0.700348, 0.698962, 0.742412, 0.837485, 0.969078, 1.08177", \
+                            "0.706321, 0.700205, 0.698808, 0.742258, 0.837331, 0.95546, 1.04848", \
+                            "0.706431, 0.700689, 0.698929, 0.742368, 0.837441, 0.969045, 1.06726" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.6534, 0.66242, 0.691977, 0.762696, 0.883399, 1.05633, 1.25964", \
+                            "0.650441, 0.657844, 0.688974, 0.758527, 0.879747, 1.05307, 1.24977", \
+                            "0.64999, 0.657426, 0.688655, 0.758186, 0.879395, 1.05273, 1.24909", \
+                            "0.649759, 0.657129, 0.688314, 0.757845, 0.879065, 1.05239, 1.24876", \
+                            "0.649616, 0.656909, 0.688138, 0.757669, 0.878878, 1.05222, 1.24859", \
+                            "0.649385, 0.656832, 0.688017, 0.757515, 0.878713, 1.05206, 1.24843", \
+                            "0.64944, 0.656942, 0.688083, 0.757636, 0.878845, 1.05218, 1.24854" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "2.00013, 2.00585, 2.00761, 1.96416, 1.86912, 1.73756, 1.63933", \
+                            "2.00299, 2.01036, 2.01212, 1.96867, 1.87363, 1.74207, 1.64384", \
+                            "2.04325, 2.04897, 2.05073, 2.00728, 1.91213, 1.78057, 1.68234", \
+                            "2.10595, 2.11167, 2.11519, 2.06998, 1.97494, 1.84327, 1.74504", \
+                            "2.21122, 2.21738, 2.21881, 2.17536, 2.08021, 1.94865, 1.85042", \
+                            "2.34729, 2.35334, 2.35477, 2.31132, 2.21628, 2.09814, 2.00508", \
+                            "2.47214, 2.47786, 2.47962, 2.43617, 2.34113, 2.20957, 2.11134" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "2.05711, 2.04963, 2.0185, 1.94898, 1.82776, 1.6544, 1.45805", \
+                            "2.06151, 2.05414, 2.02301, 1.95349, 1.83227, 1.65891, 1.46256", \
+                            "2.10023, 2.09275, 2.06151, 1.99199, 1.87077, 1.69741, 1.50106", \
+                            "2.16282, 2.15545, 2.12421, 2.05469, 1.93347, 1.76022, 1.56376", \
+                            "2.26809, 2.26083, 2.22959, 2.16007, 2.03885, 1.86549, 1.66914", \
+                            "2.40416, 2.39679, 2.36555, 2.29603, 2.17481, 2.00156, 1.8051", \
+                            "2.52912, 2.52164, 2.49051, 2.42099, 2.29977, 2.12641, 1.93006" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0442008;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.00481, 1.01309, 1.04727, 1.10783, 1.20559, 1.31868, 1.43088", \
+                            "0.990605, 1.0036, 1.03303, 1.0936, 1.19131, 1.30445, 1.41669", \
+                            "0.965085, 0.973302, 1.00757, 1.06806, 1.16581, 1.2789, 1.39117", \
+                            "0.909106, 0.917378, 0.951599, 1.01209, 1.10985, 1.22294, 1.33518", \
+                            "0.818422, 0.830643, 0.860959, 0.921448, 1.01921, 1.1323, 1.24452", \
+                            "0.73447, 0.746691, 0.777007, 0.837496, 0.935253, 1.04834, 1.16057", \
+                            "0.67386, 0.682143, 0.716364, 0.776853, 0.87461, 0.987712, 1.09993" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.18974, 1.19226, 1.23824, 1.31404, 1.44815, 1.62437, 1.83898", \
+                            "1.1755, 1.17803, 1.22399, 1.2998, 1.43396, 1.61018, 1.82479", \
+                            "1.14996, 1.1525, 1.19846, 1.27426, 1.40844, 1.58466, 1.79916", \
+                            "1.09398, 1.09651, 1.14249, 1.21597, 1.35245, 1.52867, 1.74328", \
+                            "1.00337, 1.00588, 1.05185, 1.12765, 1.2618, 1.43803, 1.65264", \
+                            "0.919402, 0.921921, 0.967901, 1.0437, 1.17912, 1.3541, 1.5686", \
+                            "0.858759, 0.861289, 0.907258, 0.983059, 1.1172, 1.29341, 1.50799" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.31513, 1.30838, 1.27285, 1.21589, 1.13762, 1.07268, 1.01426", \
+                            "1.32044, 1.31375, 1.27875, 1.22134, 1.14308, 1.08008, 1.0197", \
+                            "1.34321, 1.3365, 1.30109, 1.24412, 1.16586, 1.09988, 1.04249", \
+                            "1.37687, 1.37016, 1.33529, 1.27777, 1.19951, 1.13663, 1.07613", \
+                            "1.42846, 1.41911, 1.38677, 1.32935, 1.25113, 1.18814, 1.12776", \
+                            "1.48324, 1.47367, 1.44133, 1.38391, 1.30568, 1.24266, 1.1823", \
+                            "1.52603, 1.51932, 1.48445, 1.42703, 1.34871, 1.28576, 1.22537" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.683463, 0.666688, 0.629992, 0.547404, 0.420255, 0.248624, 0.048741", \
+                            "0.688578, 0.673904, 0.637318, 0.553982, 0.427669, 0.254305, 0.056155", \
+                            "0.711469, 0.695871, 0.65989, 0.575839, 0.449669, 0.277077, 0.078188", \
+                            "0.744997, 0.730477, 0.693704, 0.611556, 0.484198, 0.31073, 0.112686", \
+                            "0.796851, 0.781924, 0.745393, 0.662035, 0.535711, 0.362351, 0.164194", \
+                            "0.851301, 0.836429, 0.802142, 0.716584, 0.590821, 0.416911, 0.218728", \
+                            "0.894355, 0.879604, 0.84524, 0.759473, 0.633336, 0.459998, 0.261809" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00700372;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0, 0, 0, 0, 0.035288, 0.105501, 0.160127", \
+                            "0, 0, 0, 0, 0.031482, 0.101541, 0.156318", \
+                            "0, 0, 0, 0, 0.007216, 0.077473, 0.132059", \
+                            "0, 0, 0, 0, 0, 0.045639, 0.100309", \
+                            "0, 0, 0, 0, 0, 0, 0.04653", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.487839, 0.500093, 0.535975, 0.618464, 0.750013, 0.921624, 1.12217", \
+                            "0.484902, 0.49632, 0.532092, 0.614196, 0.743787, 0.917851, 1.11667", \
+                            "0.463001, 0.472186, 0.507727, 0.590425, 0.719587, 0.893398, 1.09351", \
+                            "0.431244, 0.44033, 0.477532, 0.560725, 0.69014, 0.861817, 1.06174", \
+                            "0.375155, 0.386584, 0.423236, 0.503932, 0.633787, 0.80806, 1.00716", \
+                            "0.320663, 0.333454, 0.367983, 0.45078, 0.582582, 0.754886, 0.955042", \
+                            "0.279202, 0.292586, 0.326542, 0.409629, 0.541167, 0.71313, 0.912989" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.31725, 1.30838, 1.27829, 1.21756, 1.14237, 1.07268, 1.01426", \
+                            "1.32275, 1.31375, 1.28376, 1.22302, 1.14784, 1.08008, 1.0197", \
+                            "1.34475, 1.3365, 1.30577, 1.24502, 1.16985, 1.09988, 1.04249", \
+                            "1.37929, 1.37016, 1.34035, 1.27962, 1.20443, 1.13663, 1.07613", \
+                            "1.43077, 1.42164, 1.39183, 1.33111, 1.25588, 1.18814, 1.12776", \
+                            "1.48533, 1.4762, 1.44639, 1.38556, 1.31043, 1.24266, 1.1823", \
+                            "1.52834, 1.51932, 1.4894, 1.42868, 1.35344, 1.28576, 1.22537" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.683463, 0.666688, 0.631576, 0.54802, 0.421905, 0.248624, 0.051271", \
+                            "0.688578, 0.673904, 0.637318, 0.553982, 0.427669, 0.254305, 0.056155", \
+                            "0.711469, 0.695871, 0.65989, 0.575839, 0.449669, 0.277077, 0.078188", \
+                            "0.744997, 0.730477, 0.693704, 0.611556, 0.484198, 0.31073, 0.113322", \
+                            "0.796851, 0.781924, 0.745393, 0.662035, 0.535711, 0.362351, 0.164779", \
+                            "0.851301, 0.836429, 0.802142, 0.716584, 0.590821, 0.416911, 0.218728", \
+                            "0.894355, 0.879604, 0.84524, 0.759473, 0.633336, 0.459998, 0.261809" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0363908;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.960234, 0.953821, 0.967307, 0.998349, 1.1005, 1.24382, 1.41724", \
+                            "0.955515, 0.9515, 0.964491, 0.992244, 1.09623, 1.24105, 1.40921", \
+                            "0.932712, 0.937233, 0.939774, 0.966702, 1.07152, 1.21526, 1.38688", \
+                            "0.900548, 0.906191, 0.907885, 0.933768, 1.04121, 1.18403, 1.35399", \
+                            "0.847286, 0.853083, 0.856537, 0.882266, 0.988207, 1.1308, 1.30159", \
+                            "0.790262, 0.802263, 0.799931, 0.829213, 0.933207, 1.07755, 1.25069", \
+                            "0.74976, 0.754094, 0.755777, 0.785213, 0.887986, 1.03325, 1.20368" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.18819, 1.18787, 1.21767, 1.28596, 1.41031, 1.5851, 1.80565", \
+                            "1.17428, 1.18395, 1.21245, 1.28331, 1.40074, 1.58191, 1.80323", \
+                            "1.14745, 1.16141, 1.19063, 1.25859, 1.37786, 1.55804, 1.77826", \
+                            "1.12013, 1.12571, 1.15986, 1.22613, 1.34728, 1.52416, 1.74658", \
+                            "1.0713, 1.07346, 1.10612, 1.17128, 1.29642, 1.47136, 1.68487", \
+                            "1.02199, 1.01729, 1.05086, 1.11883, 1.24347, 1.41779, 1.63757", \
+                            "0.974633, 0.974468, 1.00731, 1.07534, 1.1954, 1.3717, 1.59687" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.764808, 0.768955, 0.751487, 0.717574, 0.651673, 0.55374, 0.440902", \
+                            "0.77704, 0.781198, 0.763719, 0.729817, 0.663597, 0.565796, 0.453761", \
+                            "0.801229, 0.79046, 0.787908, 0.753995, 0.687632, 0.590612, 0.477081", \
+                            "0.866239, 0.85547, 0.852918, 0.819016, 0.75295, 0.655556, 0.542289", \
+                            "0.95315, 0.942436, 0.939884, 0.905971, 0.839916, 0.742522, 0.629255", \
+                            "1.07847, 1.06582, 1.06374, 1.02979, 0.963732, 0.866338, 0.752433", \
+                            "1.18725, 1.17649, 1.17405, 1.1401, 1.07404, 0.976327, 0.862928" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.840554, 0.835582, 0.803418, 0.743578, 0.679921, 0.608883, 0.539264", \
+                            "0.855822, 0.847814, 0.815661, 0.755821, 0.692164, 0.621115, 0.551507", \
+                            "0.879967, 0.871992, 0.839817, 0.779999, 0.716342, 0.645304, 0.575674", \
+                            "0.944977, 0.937002, 0.904827, 0.845009, 0.781352, 0.710314, 0.640695", \
+                            "1.03006, 1.02397, 0.991815, 0.931964, 0.868318, 0.79728, 0.730763", \
+                            "1.15277, 1.14771, 1.11563, 1.05577, 0.992123, 0.921085, 0.851301", \
+                            "1.26385, 1.25809, 1.22589, 1.16608, 1.10243, 1.03139, 0.962885" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.015364;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.92752, 0.939598, 0.969848, 1.02186, 1.05302, 1.0672, 1.06403", \
+                            "0.930149, 0.936595, 0.965987, 1.01957, 1.053, 1.05745, 1.05865", \
+                            "0.902627, 0.91674, 0.942194, 0.989868, 1.02391, 1.04344, 1.0343", \
+                            "0.868769, 0.884004, 0.910492, 0.963446, 0.992277, 1.00577, 1.00145", \
+                            "0.818917, 0.829367, 0.856163, 0.906796, 0.941446, 0.953843, 0.95337", \
+                            "0.761453, 0.773003, 0.803495, 0.85371, 0.886358, 0.899657, 0.894883", \
+                            "0.71808, 0.732028, 0.763323, 0.804551, 0.841302, 0.864578, 0.851422" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.184745, 0.182879, 0.217614, 0.295145, 0.418924, 0.597421, 0.79112", \
+                            "0.182009, 0.180029, 0.214105, 0.292674, 0.416075, 0.590535, 0.788271", \
+                            "0.156019, 0.155243, 0.189317, 0.267511, 0.391292, 0.565752, 0.763488", \
+                            "0.123959, 0.123083, 0.157106, 0.235072, 0.359128, 0.533588, 0.731324", \
+                            "0.071929, 0.071126, 0.105215, 0.183422, 0.307175, 0.485397, 0.679371", \
+                            "0.018414, 0.0165, 0.050589, 0.128802, 0.252556, 0.42702, 0.624756", \
+                            "0, 0, 0.006138, 0.084392, 0.208163, 0.382624, 0.58036" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.557425, 0.547547, 0.517198, 0.467687, 0.434148, 0.421311, 0.417846", \
+                            "0.556336, 0.541046, 0.516285, 0.466653, 0.429484, 0.420299, 0.416823", \
+                            "0.584672, 0.569382, 0.544511, 0.495, 0.457809, 0.442354, 0.445181", \
+                            "0.614823, 0.599533, 0.574673, 0.525151, 0.487971, 0.478797, 0.475321", \
+                            "0.671924, 0.664763, 0.634469, 0.582692, 0.54835, 0.535898, 0.532422", \
+                            "0.725406, 0.715979, 0.68519, 0.636097, 0.598499, 0.589325, 0.585849", \
+                            "0.763884, 0.747615, 0.723723, 0.674663, 0.637054, 0.627737, 0.624481" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.04844, 1.05091, 1.01498, 0.938817, 0.814946, 0.638341, 0.445467", \
+                            "1.04731, 1.04985, 1.01396, 0.937794, 0.813923, 0.637318, 0.444444", \
+                            "1.07572, 1.07818, 1.04229, 0.96613, 0.842259, 0.665654, 0.47278", \
+                            "1.10589, 1.10835, 1.07246, 0.996292, 0.872421, 0.695816, 0.502942", \
+                            "1.16302, 1.16545, 1.12956, 1.05339, 0.929522, 0.752917, 0.560043", \
+                            "1.2165, 1.21897, 1.18307, 1.10691, 0.983037, 0.806432, 0.613558", \
+                            "1.25488, 1.25744, 1.22152, 1.14535, 1.02148, 0.844877, 0.652003" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 3.148398e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_3v60.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_3v60.lib
new file mode 100644
index 0000000..c0a48b5
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_3v60.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_3v60) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 3.6;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.7498;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.6);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_3p6v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 3.6;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_3p6v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0614;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+			  index_2 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "3.73812, 3.7542, 3.80772, 3.89724, 4.01832, 4.20672, 4.43712", \
+                            "3.73728, 3.75456, 3.7992, 3.89004, 4.02468, 4.1988, 4.4364", \
+                            "3.74604, 3.75876, 3.81144, 3.9012, 4.03104, 4.21188, 4.4406", \
+                            "3.75156, 3.76452, 3.82272, 3.91104, 4.03836, 4.21956, 4.45812", \
+                            "3.76608, 3.78144, 3.82896, 3.91872, 4.04748, 4.22604, 4.46496", \
+                            "3.76356, 3.7806, 3.83136, 3.92172, 4.0458, 4.22796, 4.46316", \
+                            "3.75456, 3.76692, 3.81756, 3.90204, 4.03488, 4.20996, 4.4502" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+  	                     "0.134928, 0.158604, 0.243024, 0.398412, 0.640776, 1.00032, 1.46784" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "3.873, 3.88872, 3.9408, 4.0158, 4.10676, 4.23528, 4.38528", \
+                            "3.87456, 3.89064, 3.93084, 4.0104, 4.11084, 4.23072, 4.38648", \
+                            "3.88416, 3.8934, 3.94464, 4.01976, 4.11852, 4.24572, 4.39068", \
+                            "3.88764, 3.9012, 3.954, 4.02912, 4.12632, 4.24836, 4.40664", \
+                            "3.89952, 3.91452, 3.95856, 4.0356, 4.13472, 4.25664, 4.41516", \
+                            "3.89784, 3.91656, 3.96096, 4.04196, 4.13496, 4.25976, 4.4148", \
+                            "3.88608, 3.89868, 3.95052, 4.0188, 4.12356, 4.24116, 4.40028" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "0.140664, 0.158532, 0.211416, 0.303504, 0.438876, 0.627216, 0.877452" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.280214;
+                  clock                : true;
+                  max_transition       : 1.7498;
+                  min_pulse_width_high : 2.444295;
+                  min_pulse_width_low  : 1.98567;
+                  min_period           : 4.89609;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("427.68, 427.68, 427.68, 427.68, 427.68, 427.68, 427.68");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("427.68, 427.68, 427.68, 427.68, 427.68, 427.68, 427.68");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("0.000104476, 0.000104476, 0.000104476, 0.000104476, 0.000104476, 0.000104476, 0.000104476");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("0.000104476, 0.000104476, 0.000104476, 0.000104476, 0.000104476, 0.000104476, 0.000104476");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("386.604, 386.604, 386.604, 386.604, 386.604, 386.604, 386.604");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("386.604, 386.604, 386.604, 386.604, 386.604, 386.604, 386.604");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0165403;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.425909, 0.420574, 0.418616, 0.438504, 0.474529, 0.500929, 0.520223", \
+                            "0.423445, 0.418737, 0.415514, 0.433983, 0.470008, 0.496408, 0.515702", \
+                            "0.422785, 0.418407, 0.414887, 0.432751, 0.456742, 0.480194, 0.499488", \
+                            "0.422664, 0.418286, 0.415063, 0.432399, 0.456632, 0.468479, 0.475387", \
+                            "0.422873, 0.417934, 0.414711, 0.432047, 0.45628, 0.468127, 0.474914", \
+                            "0.422268, 0.416779, 0.414018, 0.430914, 0.456236, 0.467808, 0.475794", \
+                            "0.422455, 0.417758, 0.4147, 0.430265, 0.465168, 0.491568, 0.508607" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.40535, 0.40601, 0.423797, 0.460108, 0.521928, 0.592746, 0.674168", \
+                            "0.405141, 0.407781, 0.423577, 0.459888, 0.521697, 0.592526, 0.673948", \
+                            "0.404789, 0.40744, 0.423247, 0.459536, 0.521367, 0.592185, 0.673618", \
+                            "0.404679, 0.40733, 0.423137, 0.459426, 0.521257, 0.592075, 0.673508", \
+                            "0.404327, 0.404976, 0.422774, 0.459074, 0.520894, 0.591723, 0.673145", \
+                            "0.404283, 0.406945, 0.42273, 0.45903, 0.52085, 0.591668, 0.673101", \
+                            "0.40414, 0.406791, 0.422598, 0.458898, 0.520718, 0.591536, 0.672969" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "1.01879, 1.02318, 1.0264, 1.00906, 0.98483, 0.972983, 0.966196", \
+                            "1.02281, 1.02752, 1.03074, 1.01341, 0.989175, 0.977328, 0.970541", \
+                            "1.03709, 1.04147, 1.04498, 1.02713, 1.00312, 0.991276, 0.984489", \
+                            "1.0762, 1.08057, 1.08381, 1.06647, 1.04224, 1.03039, 1.02361", \
+                            "1.11557, 1.1205, 1.12374, 1.1064, 1.08217, 1.07032, 1.06354", \
+                            "1.15818, 1.16367, 1.16643, 1.14953, 1.12421, 1.11265, 1.10481", \
+                            "1.17146, 1.17616, 1.17922, 1.16366, 1.13782, 1.12597, 1.12237" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "1.03678, 1.03612, 1.01834, 0.982025, 0.920216, 0.849387, 0.767965", \
+                            "1.04112, 1.03848, 1.02268, 0.98637, 0.92455, 0.853732, 0.77231", \
+                            "1.05508, 1.05243, 1.03663, 1.00033, 0.938509, 0.86768, 0.786258", \
+                            "1.09419, 1.09154, 1.07573, 1.03943, 0.977614, 0.906796, 0.825363", \
+                            "1.13411, 1.13346, 1.11566, 1.07936, 1.01754, 0.946726, 0.865293", \
+                            "1.17616, 1.1735, 1.15772, 1.12142, 1.0596, 0.988779, 0.907346", \
+                            "1.18978, 1.18713, 1.17132, 1.13502, 1.0732, 1.00239, 0.920953" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0474456;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.556996, 0.562353, 0.57585, 0.611523, 0.663135, 0.711128, 0.755623", \
+                            "0.553905, 0.559262, 0.572594, 0.608432, 0.660055, 0.708048, 0.752532", \
+                            "0.538329, 0.543686, 0.557018, 0.592856, 0.644479, 0.692472, 0.736967", \
+                            "0.505351, 0.510774, 0.52404, 0.559955, 0.61149, 0.659307, 0.703978", \
+                            "0.47069, 0.47608, 0.489247, 0.525195, 0.576807, 0.624811, 0.669306", \
+                            "0.434214, 0.439637, 0.452782, 0.488818, 0.540353, 0.588357, 0.632852", \
+                            "0.414579, 0.419947, 0.433158, 0.469194, 0.52074, 0.568733, 0.613228" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.599896, 0.60621, 0.624393, 0.676049, 0.751366, 0.855415, 0.968352", \
+                            "0.596794, 0.602932, 0.621313, 0.671418, 0.748264, 0.851895, 0.965261", \
+                            "0.580338, 0.587543, 0.605726, 0.655842, 0.732699, 0.836869, 0.949685", \
+                            "0.548251, 0.554565, 0.573573, 0.624415, 0.699655, 0.803286, 0.916707", \
+                            "0.513568, 0.519882, 0.538065, 0.589732, 0.665038, 0.769098, 0.882024", \
+                            "0.477114, 0.483428, 0.501622, 0.553278, 0.628584, 0.732644, 0.84557", \
+                            "0.457512, 0.463815, 0.481998, 0.533654, 0.60896, 0.713064, 0.825946" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.753786, 0.749958, 0.734679, 0.703967, 0.682814, 0.661287, 0.652806", \
+                            "0.760221, 0.756393, 0.741125, 0.710534, 0.689249, 0.667722, 0.659241", \
+                            "0.766249, 0.762421, 0.747329, 0.716419, 0.695277, 0.673739, 0.665258", \
+                            "0.77088, 0.767052, 0.751773, 0.721193, 0.699908, 0.67837, 0.669889", \
+                            "0.78309, 0.779262, 0.763994, 0.733282, 0.712118, 0.690591, 0.68211", \
+                            "0.784234, 0.780395, 0.764797, 0.734547, 0.713262, 0.691735, 0.683254", \
+                            "0.770143, 0.766304, 0.751047, 0.720456, 0.699171, 0.677644, 0.669163" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.440704, 0.435534, 0.414832, 0.36399, 0.29004, 0.193113, 0.081147", \
+                            "0.447238, 0.44198, 0.421267, 0.371866, 0.296478, 0.199426, 0.0875402", \
+                            "0.453486, 0.447975, 0.427471, 0.377839, 0.302687, 0.205523, 0.093621", \
+                            "0.457842, 0.452606, 0.430331, 0.381249, 0.307076, 0.210091, 0.098241", \
+                            "0.470052, 0.464849, 0.444147, 0.393261, 0.319286, 0.222294, 0.110452", \
+                            "0.509971, 0.505109, 0.484231, 0.433565, 0.355157, 0.258729, 0.152392", \
+                            "0.551199, 0.546436, 0.52547, 0.474804, 0.396407, 0.299884, 0.193637" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00743749;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0, 0, 0, 0.024915, 0.049995, 0.068596, 0.07788", \
+                            "0, 0, 0, 0.018986, 0.044517, 0.063052, 0.072402", \
+                            "0, 0, 0, 0.012903, 0.038687, 0.057288, 0.066572", \
+                            "0, 0, 0, 0.008019, 0.033539, 0.052294, 0.061424", \
+                            "0, 0, 0, 0, 0.021549, 0.040084, 0.049434", \
+                            "0, 0, 0, 0, 0.021054, 0.0396, 0.048939", \
+                            "0, 0, 0, 0.00781, 0.033418, 0.05203, 0.061314" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.287045, 0.292965, 0.313412, 0.363847, 0.436997, 0.534578, 0.647449", \
+                            "0.281218, 0.287475, 0.307725, 0.357038, 0.432509, 0.529617, 0.641817", \
+                            "0.275048, 0.281673, 0.301896, 0.351472, 0.426833, 0.523908, 0.635547", \
+                            "0.270794, 0.276339, 0.298669, 0.347556, 0.421465, 0.51887, 0.631158", \
+                            "0.258925, 0.264339, 0.284781, 0.335313, 0.408221, 0.505879, 0.618904", \
+                            "0.258308, 0.263138, 0.284295, 0.335137, 0.408903, 0.506286, 0.617892", \
+                            "0.270369, 0.276236, 0.296631, 0.347292, 0.420123, 0.516186, 0.630839" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.753786, 0.749958, 0.734679, 0.70411, 0.682814, 0.661287, 0.652806", \
+                            "0.760221, 0.756393, 0.741125, 0.710556, 0.689249, 0.667722, 0.659241", \
+                            "0.766271, 0.762421, 0.747329, 0.716771, 0.695277, 0.673739, 0.665258", \
+                            "0.77088, 0.767052, 0.751773, 0.721215, 0.699908, 0.67837, 0.669889", \
+                            "0.78309, 0.779262, 0.763994, 0.733425, 0.712118, 0.690591, 0.68211", \
+                            "0.784234, 0.780395, 0.764797, 0.73458, 0.713262, 0.691735, 0.683254", \
+                            "0.770143, 0.766304, 0.751047, 0.720478, 0.699171, 0.677644, 0.669163" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.440704, 0.435534, 0.415008, 0.36399, 0.29004, 0.193113, 0.081147", \
+                            "0.447238, 0.44198, 0.421454, 0.371866, 0.296478, 0.199426, 0.0875402", \
+                            "0.453508, 0.447975, 0.42768, 0.377839, 0.302687, 0.205523, 0.093621", \
+                            "0.457842, 0.452606, 0.430331, 0.381249, 0.307109, 0.210091, 0.098241", \
+                            "0.470052, 0.464849, 0.444334, 0.393261, 0.319319, 0.222294, 0.110452", \
+                            "0.471196, 0.466004, 0.445478, 0.394438, 0.320463, 0.2231, 0.111597", \
+                            "0.457182, 0.452056, 0.431387, 0.380347, 0.306405, 0.211156, 0.0974501" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0363804;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.505219, 0.504834, 0.509487, 0.526999, 0.564773, 0.592251, 0.613217", \
+                            "0.49973, 0.499818, 0.504614, 0.524645, 0.559438, 0.583396, 0.609114", \
+                            "0.495803, 0.491502, 0.498168, 0.518903, 0.555082, 0.577379, 0.602734", \
+                            "0.488367, 0.487421, 0.492327, 0.513854, 0.550033, 0.572572, 0.597905", \
+                            "0.477851, 0.476828, 0.481173, 0.501611, 0.537526, 0.561066, 0.585563", \
+                            "0.476344, 0.474375, 0.480799, 0.500676, 0.536877, 0.560252, 0.584804", \
+                            "0.488697, 0.486233, 0.49148, 0.513238, 0.548933, 0.57211, 0.597388" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.676247, 0.682781, 0.696289, 0.732226, 0.798039, 0.879681, 0.978021", \
+                            "0.671022, 0.677556, 0.691196, 0.727012, 0.794442, 0.874632, 0.97339", \
+                            "0.666743, 0.670681, 0.685333, 0.722667, 0.787732, 0.868505, 0.968088", \
+                            "0.659879, 0.666534, 0.679866, 0.717255, 0.782661, 0.863302, 0.964623", \
+                            "0.648065, 0.654907, 0.666743, 0.706387, 0.770913, 0.852368, 0.950323", \
+                            "0.647636, 0.654533, 0.667491, 0.704803, 0.769109, 0.851587, 0.949872", \
+                            "0.659417, 0.66627, 0.679514, 0.715726, 0.782045, 0.864017, 0.962247" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.495374, 0.497266, 0.490534, 0.486332, 0.486937, 0.486057, 0.485408", \
+                            "0.50028, 0.502095, 0.495451, 0.490941, 0.491832, 0.490985, 0.490303", \
+                            "0.515438, 0.517253, 0.510609, 0.506088, 0.50699, 0.506132, 0.505461", \
+                            "0.548603, 0.550363, 0.543719, 0.539198, 0.5401, 0.539242, 0.538571", \
+                            "0.590436, 0.592262, 0.585607, 0.581108, 0.581988, 0.581119, 0.58047", \
+                            "0.628144, 0.629948, 0.623304, 0.618805, 0.619696, 0.618827, 0.618167", \
+                            "0.654588, 0.656403, 0.649748, 0.645249, 0.64614, 0.64526, 0.644611" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.50842, 0.504812, 0.48972, 0.474925, 0.471449, 0.475211, 0.483032", \
+                            "0.513304, 0.509696, 0.494637, 0.479831, 0.476355, 0.480106, 0.487729", \
+                            "0.528473, 0.524854, 0.509784, 0.494989, 0.491513, 0.495264, 0.502887", \
+                            "0.561572, 0.557964, 0.542894, 0.528099, 0.524612, 0.528132, 0.533764", \
+                            "0.603471, 0.599852, 0.584793, 0.569987, 0.566511, 0.570273, 0.577885", \
+                            "0.641179, 0.637549, 0.622479, 0.607695, 0.604208, 0.60797, 0.615791", \
+                            "0.667546, 0.664191, 0.648934, 0.634128, 0.630652, 0.634414, 0.64185" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0157189;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.472362, 0.480293, 0.492305, 0.496837, 0.490985, 0.468853, 0.4224", \
+                            "0.467115, 0.47454, 0.48653, 0.491667, 0.486695, 0.463562, 0.41745", \
+                            "0.462638, 0.468534, 0.480612, 0.485166, 0.480337, 0.45771, 0.411752", \
+                            "0.455587, 0.462825, 0.474001, 0.479897, 0.475167, 0.451836, 0.407407", \
+                            "0.444268, 0.451286, 0.463397, 0.470657, 0.461769, 0.441265, 0.39446", \
+                            "0.445093, 0.450989, 0.462627, 0.468523, 0.462528, 0.438977, 0.395021", \
+                            "0.457237, 0.461824, 0.474859, 0.479677, 0.474287, 0.4499, 0.403755" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.161201, 0.16288, 0.186429, 0.242055, 0.326304, 0.435809, 0.569041", \
+                            "0.157275, 0.157623, 0.180499, 0.23621, 0.321057, 0.430672, 0.563981", \
+                            "0.148348, 0.151522, 0.174389, 0.230254, 0.314974, 0.42493, 0.5577", \
+                            "0.143929, 0.146184, 0.1689, 0.22561, 0.309617, 0.419452, 0.552871", \
+                            "0.132369, 0.134643, 0.15737, 0.213774, 0.298091, 0.408155, 0.540815", \
+                            "0.131547, 0.13379, 0.156517, 0.212985, 0.297238, 0.407154, 0.539957", \
+                            "0.144168, 0.145826, 0.168555, 0.225024, 0.309276, 0.41888, 0.552167" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.422389, 0.418407, 0.405031, 0.397397, 0.40513, 0.428087, 0.472736", \
+                            "0.428142, 0.424281, 0.412082, 0.403601, 0.410894, 0.433851, 0.478489", \
+                            "0.43593, 0.43076, 0.419419, 0.410487, 0.417758, 0.440715, 0.485364", \
+                            "0.440792, 0.435985, 0.423841, 0.416086, 0.422708, 0.445676, 0.490314", \
+                            "0.453618, 0.447964, 0.435182, 0.427658, 0.434819, 0.457776, 0.502425", \
+                            "0.451825, 0.448833, 0.437382, 0.429484, 0.435842, 0.458799, 0.503448", \
+                            "0.440154, 0.436876, 0.424644, 0.416075, 0.423588, 0.448371, 0.491689" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.59994, 0.597982, 0.575905, 0.519486, 0.434368, 0.325446, 0.193019", \
+                            "0.605704, 0.603746, 0.581658, 0.52525, 0.440121, 0.331199, 0.198779", \
+                            "0.613162, 0.61061, 0.588522, 0.532114, 0.446985, 0.337975, 0.205655", \
+                            "0.618112, 0.61556, 0.593483, 0.537075, 0.451946, 0.343002, 0.210609", \
+                            "0.63019, 0.627671, 0.605583, 0.549175, 0.464046, 0.355124, 0.222712", \
+                            "0.631257, 0.628694, 0.606617, 0.550253, 0.46508, 0.356158, 0.22374", \
+                            "0.618167, 0.616209, 0.594121, 0.537713, 0.452584, 0.343673, 0.211244" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0001044756;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_5v50.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_5v50.lib
new file mode 100644
index 0000000..ec5e919
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_5v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ff_n40C_5v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 5.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.087;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_5p5v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 5.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_5p5v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.165;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+			  index_2 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "2.84268, 2.85732, 2.89716, 2.96664, 3.072, 3.21792, 3.40968", \
+                            "2.84388, 2.85888, 2.89632, 2.96712, 3.07116, 3.21804, 3.40944", \
+                            "2.84232, 2.85588, 2.8962, 2.96652, 3.06984, 3.21552, 3.40836", \
+                            "2.8482, 2.8614, 2.90088, 2.97036, 3.0744, 3.22128, 3.41496", \
+                            "2.85384, 2.86764, 2.90988, 2.979, 3.08484, 3.22716, 3.42192", \
+                            "2.85372, 2.86848, 2.90772, 2.97876, 3.0822, 3.22704, 3.4188", \
+                            "2.84988, 2.86068, 2.90052, 2.96988, 3.07164, 3.21624, 3.41412" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+  	                     "0.11136, 0.127308, 0.19266, 0.318288, 0.521664, 0.817188, 1.20636" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "2.93004, 2.94672, 2.98656, 3.0492, 3.13368, 3.24096, 3.37872", \
+                            "2.93208, 2.94624, 2.98848, 3.04896, 3.13344, 3.23964, 3.37824", \
+                            "2.93028, 2.94636, 2.98464, 3.05064, 3.1338, 3.23904, 3.3762", \
+                            "2.9346, 2.95008, 2.9898, 3.05388, 3.13656, 3.2436, 3.38316", \
+                            "2.9436, 2.95836, 2.99892, 3.0612, 3.14544, 3.25164, 3.39204", \
+                            "2.94264, 2.95788, 2.99844, 3.06324, 3.14544, 3.25284, 3.3894", \
+                            "2.93724, 2.9496, 2.99088, 3.05328, 3.13596, 3.24144, 3.38352" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "0.11329, 0.129156, 0.175428, 0.253704, 0.373716, 0.54708, 0.775368" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.284021;
+                  clock                : true;
+                  max_transition       : 1.087;
+                  min_pulse_width_high : 1.4110335;
+                  min_pulse_width_low  : 1.30293;
+                  min_period           : 3.809895;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1091.34, 1091.34, 1091.34, 1091.34, 1091.34, 1091.34, 1091.34");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1091.34, 1091.34, 1091.34, 1091.34, 1091.34, 1091.34, 1091.34");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("0.000244332, 0.000244332, 0.000244332, 0.000244332, 0.000244332, 0.000244332, 0.000244332");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("0.000244332, 0.000244332, 0.000244332, 0.000244332, 0.000244332, 0.000244332, 0.000244332");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("983.758, 983.758, 983.758, 983.758, 983.758, 983.758, 983.758");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("983.758, 983.758, 983.758, 983.758, 983.758, 983.758, 983.758");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0164412;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.337205, 0.334785, 0.328614, 0.331815, 0.348293, 0.366619, 0.388102", \
+                            "0.337568, 0.334609, 0.328735, 0.331155, 0.348117, 0.364716, 0.385231", \
+                            "0.336963, 0.334367, 0.328603, 0.331397, 0.347292, 0.363209, 0.374715", \
+                            "0.336765, 0.333157, 0.328306, 0.331199, 0.347677, 0.363, 0.377223", \
+                            "0.336105, 0.333146, 0.327723, 0.330935, 0.347413, 0.362736, 0.376167", \
+                            "0.336908, 0.333487, 0.327657, 0.330869, 0.347347, 0.362681, 0.375815", \
+                            "0.336754, 0.333894, 0.327712, 0.330924, 0.347402, 0.366795, 0.388267" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.323345, 0.323862, 0.330198, 0.344542, 0.376156, 0.416163, 0.461274", \
+                            "0.323114, 0.323664, 0.33011, 0.344355, 0.375969, 0.415976, 0.461087", \
+                            "0.322894, 0.323433, 0.329274, 0.344036, 0.375738, 0.415745, 0.460856", \
+                            "0.32274, 0.32329, 0.329703, 0.343915, 0.375892, 0.415536, 0.460647", \
+                            "0.322234, 0.322971, 0.328185, 0.343574, 0.37191, 0.413809, 0.460383", \
+                            "0.322366, 0.322916, 0.328097, 0.343508, 0.37521, 0.415217, 0.460328", \
+                            "0.322443, 0.322971, 0.329296, 0.342881, 0.375606, 0.415261, 0.460372" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.764687, 0.767107, 0.773289, 0.770077, 0.753599, 0.738276, 0.724845", \
+                            "0.766876, 0.769835, 0.775709, 0.773289, 0.756327, 0.741004, 0.728662", \
+                            "0.772948, 0.775533, 0.781308, 0.778514, 0.762619, 0.746702, 0.737693", \
+                            "0.789998, 0.793606, 0.798457, 0.795564, 0.779086, 0.763763, 0.74954", \
+                            "0.814297, 0.817245, 0.822668, 0.819456, 0.802978, 0.787655, 0.774235", \
+                            "0.830951, 0.834383, 0.840202, 0.837001, 0.820512, 0.805189, 0.792055", \
+                            "0.833998, 0.836858, 0.84304, 0.839828, 0.82335, 0.808027, 0.794607" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.778558, 0.77803, 0.771694, 0.757361, 0.725736, 0.68574, 0.640629", \
+                            "0.78133, 0.780769, 0.774323, 0.760089, 0.728464, 0.688468, 0.643346", \
+                            "0.787017, 0.786478, 0.780637, 0.765875, 0.734173, 0.694166, 0.649055", \
+                            "0.804023, 0.803473, 0.79706, 0.782837, 0.750871, 0.711216, 0.666105", \
+                            "0.828157, 0.82742, 0.822217, 0.806828, 0.778492, 0.736582, 0.690008", \
+                            "0.845504, 0.844954, 0.839773, 0.824351, 0.79266, 0.752653, 0.707542", \
+                            "0.848309, 0.847781, 0.841456, 0.827871, 0.795146, 0.755491, 0.71038" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0487739;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.434357, 0.4367, 0.443784, 0.461637, 0.49544, 0.531773, 0.569338", \
+                            "0.432245, 0.434632, 0.441705, 0.460108, 0.493361, 0.529694, 0.56727", \
+                            "0.42647, 0.428813, 0.436524, 0.453871, 0.487553, 0.52404, 0.561462", \
+                            "0.408716, 0.410531, 0.418704, 0.436051, 0.46948, 0.506066, 0.543642", \
+                            "0.379533, 0.381293, 0.388773, 0.407011, 0.440429, 0.476762, 0.514327", \
+                            "0.352308, 0.354552, 0.361702, 0.379533, 0.413116, 0.449427, 0.487278", \
+                            "0.332101, 0.334345, 0.341198, 0.359425, 0.392964, 0.42955, 0.467126" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.454388, 0.456841, 0.468831, 0.495957, 0.541728, 0.603592, 0.675983", \
+                            "0.451935, 0.454927, 0.466796, 0.494076, 0.538538, 0.601524, 0.67397", \
+                            "0.446512, 0.448987, 0.460889, 0.488268, 0.532741, 0.595716, 0.668107", \
+                            "0.428747, 0.431178, 0.443069, 0.470943, 0.51491, 0.577885, 0.650342", \
+                            "0.399377, 0.402182, 0.413479, 0.440946, 0.484495, 0.546557, 0.621027", \
+                            "0.372031, 0.374847, 0.386782, 0.414491, 0.458557, 0.521521, 0.593967", \
+                            "0.352176, 0.354783, 0.365937, 0.393888, 0.438394, 0.50138, 0.573826" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.596475, 0.595837, 0.589996, 0.573507, 0.554444, 0.537614, 0.524183", \
+                            "0.597993, 0.597355, 0.591173, 0.574508, 0.555962, 0.539132, 0.525701", \
+                            "0.598884, 0.598246, 0.59202, 0.575663, 0.556853, 0.540023, 0.526592", \
+                            "0.601722, 0.60027, 0.594594, 0.578743, 0.55968, 0.54285, 0.529419", \
+                            "0.6061, 0.604439, 0.599016, 0.582912, 0.563849, 0.547019, 0.533588", \
+                            "0.608069, 0.607431, 0.600952, 0.58509, 0.566027, 0.548955, 0.536701", \
+                            "0.599764, 0.599126, 0.592394, 0.57684, 0.557733, 0.540903, 0.527461" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.365398, 0.363396, 0.351252, 0.322828, 0.278872, 0.218927, 0.153014", \
+                            "0.366718, 0.365024, 0.351912, 0.323499, 0.281039, 0.220447, 0.154658", \
+                            "0.367719, 0.365959, 0.352682, 0.324401, 0.28193, 0.221339, 0.15542", \
+                            "0.369996, 0.368742, 0.355663, 0.328526, 0.284746, 0.224104, 0.158257", \
+                            "0.374825, 0.372537, 0.359744, 0.332024, 0.287947, 0.229873, 0.162484", \
+                            "0.400477, 0.398035, 0.386089, 0.357676, 0.311707, 0.251318, 0.183154", \
+                            "0.434379, 0.432003, 0.420596, 0.392304, 0.345609, 0.285219, 0.217055" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00761544;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0, 0, 0, 0.01397, 0.033869, 0.049368, 0.0610247", \
+                            "0, 0, 0, 0.012848, 0.032747, 0.048235, 0.0598939", \
+                            "0, 0, 0, 0.012067, 0.031966, 0.047388, 0.0590436", \
+                            "0, 0, 0, 0.009317, 0.029216, 0.044715, 0.0563728", \
+                            "0, 0, 0, 0.005313, 0.025212, 0.040425, 0.05236", \
+                            "0, 0, 0, 0.003058, 0.022957, 0.038357, 0.04983", \
+                            "0, 0, 0, 0.011055, 0.030943, 0.046156, 0.0578281" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.221842, 0.223793, 0.235378, 0.264569, 0.307813, 0.368522, 0.434148", \
+                            "0.220168, 0.223108, 0.235157, 0.26322, 0.306383, 0.367114, 0.433323", \
+                            "0.219473, 0.222361, 0.234399, 0.263281, 0.305844, 0.366366, 0.432322", \
+                            "0.217879, 0.219695, 0.231242, 0.259961, 0.303193, 0.363737, 0.430265", \
+                            "0.212961, 0.215436, 0.227505, 0.256047, 0.299992, 0.357995, 0.42603", \
+                            "0.210671, 0.213415, 0.225227, 0.252545, 0.296901, 0.357566, 0.423731", \
+                            "0.218425, 0.22076, 0.232232, 0.261547, 0.304832, 0.365706, 0.431552" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.596475, 0.595837, 0.589996, 0.573507, 0.554444, 0.537614, 0.524183", \
+                            "0.597993, 0.597355, 0.591173, 0.574508, 0.555962, 0.539132, 0.525701", \
+                            "0.598884, 0.598246, 0.59202, 0.575663, 0.556853, 0.540023, 0.526592", \
+                            "0.601722, 0.600292, 0.594594, 0.578743, 0.55968, 0.54285, 0.529419", \
+                            "0.6061, 0.60445, 0.599016, 0.582912, 0.563849, 0.547019, 0.533588", \
+                            "0.608069, 0.607431, 0.600952, 0.58509, 0.566027, 0.548955, 0.536701", \
+                            "0.599764, 0.599126, 0.592394, 0.57684, 0.557733, 0.540903, 0.527461" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.365398, 0.363396, 0.352352, 0.322828, 0.278872, 0.218927, 0.153014", \
+                            "0.366718, 0.365024, 0.352979, 0.323763, 0.281039, 0.220447, 0.154658", \
+                            "0.367719, 0.365959, 0.353859, 0.324588, 0.28193, 0.221339, 0.15542", \
+                            "0.369996, 0.368742, 0.356686, 0.328526, 0.284746, 0.224104, 0.158257", \
+                            "0.374858, 0.372537, 0.360635, 0.332024, 0.287947, 0.229873, 0.162484", \
+                            "0.376772, 0.375001, 0.363055, 0.33484, 0.291126, 0.230519, 0.164667", \
+                            "0.36861, 0.366784, 0.355641, 0.325699, 0.282788, 0.22221, 0.156364" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0362813;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.383438, 0.377817, 0.373945, 0.387541, 0.404723, 0.42691, 0.451462", \
+                            "0.381183, 0.376684, 0.37213, 0.385715, 0.40282, 0.426811, 0.449592", \
+                            "0.38093, 0.375232, 0.371745, 0.385308, 0.403535, 0.426239, 0.44935", \
+                            "0.378653, 0.374495, 0.369743, 0.383119, 0.399883, 0.422268, 0.44814", \
+                            "0.373571, 0.36927, 0.364991, 0.377905, 0.395626, 0.417692, 0.442189", \
+                            "0.372306, 0.367114, 0.363638, 0.376178, 0.391952, 0.414876, 0.438141", \
+                            "0.379236, 0.373989, 0.370326, 0.384054, 0.403293, 0.425161, 0.448041" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.533731, 0.535535, 0.541739, 0.556237, 0.591965, 0.640893, 0.700381", \
+                            "0.53339, 0.533896, 0.539968, 0.554532, 0.58971, 0.639199, 0.698148", \
+                            "0.531707, 0.533049, 0.539396, 0.55374, 0.589061, 0.63899, 0.698115", \
+                            "0.530167, 0.530706, 0.536877, 0.551529, 0.58641, 0.636669, 0.6952", \
+                            "0.52525, 0.525723, 0.530948, 0.546293, 0.581548, 0.631554, 0.690261", \
+                            "0.523666, 0.524557, 0.529518, 0.544511, 0.579535, 0.629651, 0.688677", \
+                            "0.5313, 0.532158, 0.537713, 0.553102, 0.588159, 0.637681, 0.696762" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.401313, 0.405108, 0.407561, 0.406252, 0.408881, 0.40997, 0.410333", \
+                            "0.404514, 0.408232, 0.410773, 0.409464, 0.412093, 0.413171, 0.413545", \
+                            "0.41107, 0.414865, 0.417329, 0.41602, 0.418649, 0.419727, 0.42009", \
+                            "0.428076, 0.431959, 0.434203, 0.433103, 0.435743, 0.437448, 0.437184", \
+                            "0.453772, 0.457578, 0.459745, 0.458722, 0.461351, 0.463056, 0.462803", \
+                            "0.47806, 0.481866, 0.48411, 0.48301, 0.485639, 0.486728, 0.487091", \
+                            "0.501336, 0.505142, 0.507309, 0.506286, 0.508915, 0.509993, 0.510367" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.407066, 0.405746, 0.399223, 0.396792, 0.400807, 0.407407, 0.411598", \
+                            "0.410278, 0.409013, 0.40249, 0.400004, 0.404019, 0.410608, 0.414799", \
+                            "0.416812, 0.415558, 0.409046, 0.406549, 0.410564, 0.417219, 0.421355", \
+                            "0.434049, 0.432894, 0.426151, 0.423643, 0.427669, 0.434313, 0.438449", \
+                            "0.459668, 0.45837, 0.452166, 0.449262, 0.453277, 0.459921, 0.464002", \
+                            "0.483813, 0.482482, 0.47641, 0.47355, 0.477565, 0.484209, 0.488345", \
+                            "0.507078, 0.505802, 0.499477, 0.494538, 0.50083, 0.507485, 0.511621" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0157485;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.358974, 0.362274, 0.368203, 0.372636, 0.36586, 0.349932, 0.31779", \
+                            "0.359106, 0.360877, 0.367246, 0.370557, 0.364606, 0.348249, 0.315579", \
+                            "0.356752, 0.360426, 0.365761, 0.369996, 0.363649, 0.346632, 0.315282", \
+                            "0.356851, 0.358512, 0.364232, 0.368346, 0.361493, 0.344949, 0.312917", \
+                            "0.351406, 0.352066, 0.358842, 0.36344, 0.356532, 0.338976, 0.308231", \
+                            "0.349415, 0.351087, 0.358006, 0.361515, 0.355014, 0.338756, 0.306779", \
+                            "0.35684, 0.358765, 0.365321, 0.369116, 0.362153, 0.346071, 0.314391" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.128488, 0.130613, 0.14407, 0.180507, 0.235664, 0.310827, 0.4037", \
+                            "0.126837, 0.12855, 0.142428, 0.17882, 0.234046, 0.309199, 0.402259", \
+                            "0.126225, 0.128363, 0.14184, 0.177062, 0.233417, 0.308561, 0.401555", \
+                            "0.123963, 0.126184, 0.139575, 0.17626, 0.231164, 0.306196, 0.399333", \
+                            "0.119049, 0.120782, 0.134659, 0.170392, 0.226219, 0.301433, 0.394427", \
+                            "0.11714, 0.119397, 0.132883, 0.169156, 0.224528, 0.299607, 0.392436", \
+                            "0.124781, 0.126675, 0.140572, 0.177007, 0.23218, 0.307329, 0.400213" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.363066, 0.361515, 0.355333, 0.350273, 0.357335, 0.374759, 0.405405", \
+                            "0.364452, 0.362824, 0.356565, 0.35156, 0.358952, 0.376057, 0.406703", \
+                            "0.363242, 0.362956, 0.356455, 0.35123, 0.35882, 0.376255, 0.406901", \
+                            "0.367279, 0.365552, 0.359942, 0.354915, 0.361669, 0.379478, 0.409299", \
+                            "0.372086, 0.370447, 0.363374, 0.359502, 0.366553, 0.383625, 0.414315", \
+                            "0.373483, 0.371734, 0.365409, 0.360789, 0.367268, 0.383933, 0.414799", \
+                            "0.366663, 0.364749, 0.357929, 0.353881, 0.361097, 0.378037, 0.408683" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.484264, 0.482372, 0.468446, 0.432157, 0.376959, 0.302104, 0.209926", \
+                            "0.485562, 0.48422, 0.469755, 0.433455, 0.378257, 0.303402, 0.211226", \
+                            "0.48576, 0.483868, 0.469942, 0.433653, 0.378444, 0.3036, 0.211417", \
+                            "0.488862, 0.48697, 0.473033, 0.436744, 0.381546, 0.306856, 0.214516", \
+                            "0.493163, 0.491271, 0.477345, 0.441067, 0.385869, 0.311014, 0.21883", \
+                            "0.494703, 0.492624, 0.478709, 0.44242, 0.387156, 0.312367, 0.220187", \
+                            "0.48774, 0.485639, 0.471724, 0.435435, 0.380237, 0.305382, 0.213202" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.000244332;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_1v62.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_1v62.lib
new file mode 100644
index 0000000..1768194
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_1v62.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_1v62) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 1.62;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 11.06;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.62);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_1p62v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 1.62;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_1p62v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.058;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+			  index_2 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "36.3012, 36.4872, 36.9324, 37.7292, 38.946, 40.548, 42.6984", \
+                            "36.3228, 36.5088, 36.9708, 37.8228, 38.9772, 40.5876, 42.7248", \
+                            "36.4884, 36.6816, 37.1496, 37.9788, 39.174, 40.8, 42.9072", \
+                            "36.87, 37.0872, 37.5372, 38.3256, 39.4884, 41.1672, 43.2816", \
+                            "37.3368, 37.452, 37.9344, 38.742, 39.96, 41.5704, 43.692", \
+                            "38.0556, 38.2128, 38.682, 39.5004, 40.6956, 42.348, 44.4576", \
+                            "38.7504, 38.868, 39.4068, 40.1988, 41.4156, 43.0032, 45.1512" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+  	                     "1.08058, 1.296, 2.04228, 3.33408, 5.43408, 8.41596, 12.4416" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "38.5848, 38.7552, 39.0852, 39.612, 40.3308, 41.0472, 42.0684", \
+                            "38.604, 38.7792, 39.1452, 39.708, 40.2888, 41.088, 42.0504", \
+                            "38.76, 38.9304, 39.3, 39.8292, 40.5288, 41.3328, 42.2352", \
+                            "39.1392, 39.3, 39.6708, 40.1856, 40.8324, 41.6304, 42.5904", \
+                            "39.5964, 39.7488, 40.0908, 40.6116, 41.2548, 42.0744, 43.05", \
+                            "40.3428, 40.4796, 40.8528, 41.3736, 42.0264, 42.828, 43.7892", \
+                            "41.0712, 41.1792, 41.6124, 42.108, 42.7824, 43.5516, 44.5272" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "1.08054, 1.24728, 1.58604, 2.23044, 3.03156, 4.19556, 5.6412" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.301689;
+                  clock                : true;
+                  max_transition       : 11.06;
+                  min_pulse_width_high : 13.46046;
+                  min_pulse_width_low  : 21.36195;
+                  min_period           : 51.96255;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("81.5346, 81.5346, 81.5346, 81.5346, 81.5346, 81.5346, 81.5346");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("81.5346, 81.5346, 81.5346, 81.5346, 81.5346, 81.5346, 81.5346");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("2.99425e-05, 2.99425e-05, 2.99425e-05, 2.99425e-05, 2.99425e-05, 2.99425e-05, 2.99425e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("2.99425e-05, 2.99425e-05, 2.99425e-05, 2.99425e-05, 2.99425e-05, 2.99425e-05, 2.99425e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("74.767, 74.767, 74.767, 74.767, 74.767, 74.767, 74.767");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("74.767, 74.767, 74.767, 74.767, 74.767, 74.767, 74.767");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0190207;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.62098, 3.56279, 3.59986, 3.97837, 4.68314, 5.84155, 7.3194", \
+                            "3.56147, 3.50515, 3.53661, 3.91875, 4.60977, 5.78248, 7.27485", \
+                            "3.34103, 3.28152, 3.33069, 3.69116, 4.38086, 5.57161, 7.03582", \
+                            "2.97913, 2.92985, 2.96901, 3.31089, 4.05119, 5.20421, 6.70703", \
+                            "2.90136, 2.8446, 2.88552, 3.23081, 3.95186, 5.10994, 6.54544", \
+                            "2.89333, 2.84031, 2.88486, 3.25182, 3.94691, 5.1062, 6.54324", \
+                            "2.86748, 2.84075, 2.88772, 3.25996, 3.95318, 5.07078, 6.5439" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "2.45619, 2.48776, 2.69104, 3.12664, 3.84428, 4.87762, 6.22292", \
+                            "2.40427, 2.42858, 2.63516, 3.06669, 3.78004, 4.81866, 6.16396", \
+                            "2.18053, 2.21243, 2.41637, 2.85043, 3.56191, 4.6057, 5.94781", \
+                            "2.16304, 2.20187, 2.40042, 2.83492, 3.55025, 4.59503, 5.91426", \
+                            "2.16216, 2.2011, 2.40218, 2.83525, 3.55333, 4.58513, 5.90304", \
+                            "2.15996, 2.1989, 2.4002, 2.83294, 3.5464, 4.58304, 5.90084", \
+                            "2.16931, 2.2, 2.39811, 2.83382, 3.54706, 4.58689, 5.88412" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "8.11228, 8.17036, 8.13043, 7.76281, 7.06783, 5.90557, 4.43828", \
+                            "8.17168, 8.22877, 8.18477, 7.8188, 7.12415, 5.96464, 4.48041", \
+                            "8.36484, 8.44063, 8.38002, 8.00877, 7.31434, 6.1545, 4.69612", \
+                            "8.75028, 8.80594, 8.76227, 8.4084, 7.70429, 6.54016, 5.0479", \
+                            "9.37662, 9.43349, 9.39257, 9.04728, 8.32623, 7.16815, 5.73265", \
+                            "10.2067, 10.2597, 10.2151, 9.84819, 9.1531, 7.99381, 6.55677", \
+                            "11.1792, 11.2059, 11.1589, 10.7867, 10.0935, 8.97589, 7.50277" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "8.84961, 8.81287, 8.61487, 8.17839, 7.46009, 6.42873, 5.11093", \
+                            "8.90208, 8.87194, 8.67064, 8.23845, 7.52433, 6.48758, 5.16978", \
+                            "9.09909, 9.0618, 8.86314, 8.42831, 7.71628, 6.67458, 5.35964", \
+                            "9.48618, 9.44735, 9.2488, 8.8143, 8.09897, 7.05419, 5.73496", \
+                            "10.1159, 10.077, 9.87591, 9.44284, 8.72476, 7.69285, 6.37505", \
+                            "10.94, 10.9011, 10.6998, 10.2671, 9.55361, 8.51697, 7.19917", \
+                            "11.8778, 11.847, 11.649, 11.2128, 10.4996, 9.45978, 8.16255" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0456629;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "4.15745, 4.21234, 4.39142, 4.80942, 5.41222, 6.20037, 7.14615", \
+                            "4.10762, 4.15481, 4.33059, 4.75145, 5.35524, 6.1446, 7.10127", \
+                            "3.89884, 3.95428, 4.13325, 4.53552, 5.15493, 5.94385, 6.89986", \
+                            "3.5343, 3.59062, 3.76343, 4.18627, 4.7454, 5.57898, 6.53202", \
+                            "2.90631, 2.96043, 3.13467, 3.5596, 4.10586, 4.94978, 5.90645", \
+                            "2.22233, 2.28338, 2.45619, 2.87859, 3.48293, 4.2834, 5.22786", \
+                            "1.50601, 1.5653, 1.74031, 2.1626, 2.76309, 3.57148, 4.51055" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "5.59141, 5.64124, 5.84705, 6.32764, 7.02207, 8.04529, 9.40863", \
+                            "5.53553, 5.58481, 5.79205, 6.2227, 6.96553, 7.98886, 9.3522", \
+                            "5.33621, 5.38384, 5.58998, 6.07013, 6.73211, 7.76644, 9.15167", \
+                            "4.96804, 5.01908, 5.247, 5.70581, 6.4339, 7.42324, 8.80143", \
+                            "4.34698, 4.38988, 4.59778, 5.07617, 5.77093, 6.79404, 8.15716", \
+                            "3.65068, 3.71184, 3.91897, 4.39813, 5.09762, 6.11589, 7.48627", \
+                            "2.94602, 2.99464, 3.23466, 3.68093, 4.38053, 5.37724, 6.78546" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "7.00678, 6.96619, 6.78623, 6.39133, 5.81097, 5.21301, 4.52221", \
+                            "6.66908, 6.62838, 6.44083, 6.04626, 5.52739, 4.87564, 4.18528", \
+                            "6.13635, 6.09565, 5.9004, 5.51265, 4.95044, 4.34269, 3.65695", \
+                            "5.68942, 5.64883, 5.46117, 5.06715, 4.46369, 3.89565, 3.24797", \
+                            "5.98576, 5.94495, 5.76301, 5.37779, 4.84473, 4.19188, 3.50163", \
+                            "6.50694, 6.46503, 6.27319, 5.89039, 5.31982, 4.71306, 4.03337", \
+                            "7.13625, 7.09577, 6.90833, 6.51354, 5.94979, 5.30299, 4.65234" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "4.01181, 3.93063, 3.7312, 3.29142, 2.56333, 1.55595, 0.19853", \
+                            "3.6762, 3.59326, 3.39306, 2.97286, 2.22783, 1.21731, 0", \
+                            "3.13071, 3.05998, 2.8644, 2.41901, 1.74009, 0.682506, 0", \
+                            "2.69665, 2.6136, 2.39657, 1.97175, 1.20443, 0.237999, 0", \
+                            "2.99167, 2.90928, 2.70974, 2.26809, 1.54484, 0.532301, 0", \
+                            "3.49492, 3.4298, 3.2296, 2.79675, 2.06085, 1.05389, 0", \
+                            "4.14315, 4.06021, 3.84087, 3.42001, 2.68994, 1.68267, 0.316868" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00749419;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0.481921", \
+                            "0, 0, 0, 0, 0, 0.26456, 0.898744", \
+                            "0, 0, 0, 0, 0, 0, 0.641531", \
+                            "0, 0, 0, 0, 0, 0, 0.125981", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0.041338, 0.114998, 0.32163, 0.764071, 1.48852, 2.49403, 3.84747", \
+                            "0.381711, 0.456423, 0.65802, 1.08028, 1.82578, 2.8325, 4.18253", \
+                            "0.912769, 0.985314, 1.18902, 1.62668, 2.31396, 3.36479, 4.71163", \
+                            "1.34585, 1.43825, 1.65704, 2.07614, 2.85142, 3.81865, 5.15383", \
+                            "1.06218, 1.14215, 1.342, 1.78574, 2.50679, 3.52132, 4.86783", \
+                            "0.546315, 0.619278, 0.822536, 1.26147, 1.98462, 2.99343, 4.35644", \
+                            "0, 0, 0.214556, 0.639452, 1.36378, 2.37215, 3.72559" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "7.02834, 6.96861, 6.78623, 6.40387, 5.82604, 5.21301, 4.56467", \
+                            "6.69075, 6.63135, 6.44083, 6.06617, 5.52739, 4.8895, 4.22345", \
+                            "6.15791, 6.09851, 5.90678, 5.53333, 4.96287, 4.35655, 3.68929", \
+                            "5.71131, 5.6518, 5.46403, 5.08662, 4.5089, 3.90995, 3.24797", \
+                            "6.00732, 5.94781, 5.76301, 5.38274, 4.84473, 4.23049, 3.54002", \
+                            "6.52718, 6.46767, 6.27319, 5.90271, 5.32499, 4.71306, 4.05988", \
+                            "7.15803, 7.09874, 6.90965, 6.52564, 5.95573, 5.36602, 4.68886" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "4.02402, 3.98992, 3.76321, 3.33091, 2.57587, 1.55595, 0.237565", \
+                            "3.68797, 3.65255, 3.42518, 3.00278, 2.23443, 1.21731, 0", \
+                            "3.14292, 3.11938, 2.89377, 2.4596, 1.74779, 0.717332, 0", \
+                            "2.70908, 2.67322, 2.43408, 2.01256, 1.20443, 0.237999, 0", \
+                            "3.00377, 2.96879, 2.74208, 2.30879, 1.55166, 0.532301, 0", \
+                            "3.50207, 3.4892, 3.26172, 2.82975, 2.0647, 1.05389, 0", \
+                            "4.15525, 4.11961, 3.87629, 3.45972, 2.69379, 1.71765, 0.356587" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0426026;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.57434, 3.54926, 3.5706, 3.96286, 4.69568, 5.81229, 7.25186", \
+                            "3.51406, 3.48898, 3.5112, 3.90258, 4.63529, 5.75201, 7.19565", \
+                            "3.30176, 3.27679, 3.29791, 3.69061, 4.39571, 5.51353, 6.94958", \
+                            "3.70007, 3.66278, 3.71382, 4.09948, 4.80711, 5.93725, 7.39288", \
+                            "3.40307, 3.36864, 3.42155, 3.81337, 4.50923, 5.63365, 7.08829", \
+                            "2.88409, 2.85989, 2.88871, 3.28933, 3.99047, 5.03822, 6.59659", \
+                            "2.25071, 2.23212, 2.27282, 2.66057, 3.37887, 4.49889, 5.96167" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "2.98485, 3.03985, 3.24236, 3.67301, 4.41309, 5.45281, 6.79547", \
+                            "3.33553, 3.37084, 3.56675, 4.01401, 4.75046, 5.78853, 7.13581", \
+                            "3.84494, 3.89675, 4.09772, 4.5474, 5.27197, 6.32489, 7.66194", \
+                            "4.30529, 4.34951, 4.55587, 4.99279, 5.72319, 6.75884, 8.10579", \
+                            "4.00048, 4.05438, 4.26118, 4.69634, 5.43389, 6.46954, 7.8221", \
+                            "3.48128, 3.5233, 3.73384, 4.17527, 4.89698, 5.95386, 7.27067", \
+                            "2.86088, 2.89113, 3.11047, 3.54651, 4.27603, 5.34424, 6.655" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "2.68015, 2.70633, 2.66739, 2.25764, 1.55628, 0.625603, 0", \
+                            "2.75451, 2.78069, 2.74175, 2.332, 1.63064, 0.699963, 0", \
+                            "2.96846, 2.99453, 2.9557, 2.54595, 1.84459, 0.938113, 0", \
+                            "3.32992, 3.35599, 3.31705, 2.90719, 2.20583, 1.27516, 0.252237", \
+                            "3.96099, 3.98706, 3.94801, 3.53826, 2.83701, 1.9063, 0.883388", \
+                            "4.76707, 4.79314, 4.7542, 4.34445, 3.64309, 2.75011, 1.57949", \
+                            "5.71164, 5.73749, 5.69877, 5.28913, 4.58799, 3.65728, 2.63439" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.23818, 3.19297, 2.98386, 2.54749, 1.99881, 1.33496, 0.581691", \
+                            "3.31243, 3.26722, 3.05833, 2.6224, 2.07317, 1.40932, 0.65593", \
+                            "3.52638, 3.48106, 3.27206, 2.83624, 2.28701, 1.62316, 0.869792", \
+                            "3.88762, 3.84241, 3.63341, 3.19759, 2.64836, 1.98451, 1.23123", \
+                            "4.5188, 4.47359, 4.26448, 3.82866, 3.27943, 2.61558, 1.86241", \
+                            "5.32488, 5.27978, 5.07067, 4.63485, 4.08562, 3.42177, 2.66849", \
+                            "6.26989, 6.22457, 6.01568, 5.57975, 5.03052, 4.36667, 3.61339" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.017182;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.29692, 3.34763, 3.55388, 3.94361, 4.31805, 4.75057, 5.11786", \
+                            "3.61768, 3.67884, 3.89125, 4.24666, 4.65773, 5.08651, 5.49549", \
+                            "4.18715, 4.21927, 4.41375, 4.78379, 5.17858, 5.58734, 5.9763", \
+                            "4.59701, 4.64552, 4.83054, 5.23314, 5.6155, 6.06287, 6.47284", \
+                            "4.29572, 4.37162, 4.57699, 4.95682, 5.32378, 5.76499, 6.14647", \
+                            "3.76838, 3.83295, 4.02655, 4.42497, 4.79391, 5.24557, 5.64047", \
+                            "3.14743, 3.20738, 3.41946, 3.80094, 4.15019, 4.5914, 4.95242" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.769835, 2.02796", \
+                            "0, 0, 0, 0, 0.136927, 1.10628, 2.36445", \
+                            "0, 0, 0, 0, 0.669856, 1.63922, 2.8974", \
+                            "0, 0, 0, 0.373835, 1.1146, 2.08395, 3.36655", \
+                            "0, 0, 0, 0.0803, 0.819049, 1.78926, 3.04744", \
+                            "0, 0, 0, 0, 0.299231, 1.26853, 2.53803", \
+                            "0, 0, 0, 0, 0, 0.639089, 1.89574" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.14259, 3.09045, 2.90532, 2.53352, 2.13796, 1.70522, 1.33892", \
+                            "2.81754, 2.76067, 2.57323, 2.19835, 1.79916, 1.3706, 0.984731", \
+                            "2.277, 2.21551, 2.03005, 1.65297, 1.26526, 0.835032, 0.462715", \
+                            "1.84954, 1.78541, 1.59104, 1.21589, 0.82929, 0.395263, 0.029381", \
+                            "2.13334, 2.08142, 1.89585, 1.52042, 1.12719, 0.693363, 0.326218", \
+                            "2.65716, 2.60216, 2.40031, 2.02279, 1.64219, 1.20489, 0.835758", \
+                            "3.28284, 3.23092, 3.02643, 2.68279, 2.27601, 1.84833, 1.4773" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "5.97344, 5.97135, 5.80987, 5.38131, 4.64321, 3.6575, 2.39965", \
+                            "5.63871, 5.63739, 5.47558, 5.04702, 4.30892, 3.32321, 2.06547", \
+                            "5.10477, 5.10092, 4.93911, 4.51055, 3.77234, 2.78663, 1.52889", \
+                            "4.66378, 4.66169, 4.49977, 4.07121, 3.333, 2.34729, 1.08629", \
+                            "4.96364, 4.96023, 4.79798, 4.36942, 3.63132, 2.6455, 1.38776", \
+                            "5.47338, 5.47173, 5.30992, 4.88136, 4.14326, 3.15744, 1.8997", \
+                            "6.10687, 6.10324, 5.94121, 5.51265, 4.77455, 3.78873, 2.53099" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 2.994246e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_3v00.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_3v00.lib
new file mode 100644
index 0000000..d6d6e8c
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_3v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_3v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 3.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 7.0901;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_3p0v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 3.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_3p0v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.1270;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+			  index_2 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "12.1944, 12.2508, 12.4212, 12.702, 13.0836, 13.644, 14.3652", \
+                            "12.1764, 12.2724, 12.396, 12.6828, 13.0812, 13.6608, 14.3412", \
+                            "12.2472, 12.3228, 12.4848, 12.762, 13.1484, 13.7076, 14.4228", \
+                            "12.324, 12.378, 12.5496, 12.8232, 13.23, 13.7724, 14.4972", \
+                            "12.3912, 12.4608, 12.6324, 12.9048, 13.3008, 13.8408, 14.5668", \
+                            "12.4308, 12.486, 12.6648, 12.93, 13.3368, 13.8888, 14.5992", \
+                            "12.408, 12.4548, 12.6348, 12.906, 13.3152, 13.8492, 14.5524" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+  	                     "0.431244, 0.513864, 0.783108, 1.2498, 2.00148, 3.1074, 4.54428" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "12.7032, 12.7584, 12.9096, 13.146, 13.4124, 13.7772, 14.2212", \
+                            "12.6936, 12.7824, 12.8892, 13.1256, 13.4064, 13.7988, 14.1972", \
+                            "12.7608, 12.834, 12.9768, 13.2108, 13.4844, 13.8408, 14.2788", \
+                            "12.8352, 12.894, 13.0464, 13.2768, 13.5636, 13.9152, 14.3532", \
+                            "12.9, 12.9672, 13.1232, 13.344, 13.626, 13.9668, 14.4108", \
+                            "12.942, 12.9984, 13.1508, 13.368, 13.6656, 14.0196, 14.4504", \
+                            "12.918, 12.966, 13.1316, 13.3416, 13.6452, 13.9836, 14.4048" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "0.424596, 0.481824, 0.647904, 0.924516, 1.3122, 1.8618, 2.56176" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.314003;
+                  clock                : true;
+                  max_transition       : 7.0901;
+                  min_pulse_width_high : 4.715325;
+                  min_pulse_width_low  : 5.95461;
+                  min_period           : 16.6365;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("303.885, 303.885, 303.885, 303.885, 303.885, 303.885, 303.885");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("303.885, 303.885, 303.885, 303.885, 303.885, 303.885, 303.885");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("9.5157e-05, 9.5157e-05, 9.5157e-05, 9.5157e-05, 9.5157e-05, 9.5157e-05, 9.5157e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("9.5157e-05, 9.5157e-05, 9.5157e-05, 9.5157e-05, 9.5157e-05, 9.5157e-05, 9.5157e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("276.39, 276.39, 276.39, 276.39, 276.39, 276.39, 276.39");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("276.39, 276.39, 276.39, 276.39, 276.39, 276.39, 276.39");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0190412;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.07491, 1.05817, 1.07353, 1.22163, 1.45882, 1.6368, 1.72612", \
+                            "1.04905, 1.03578, 1.04762, 1.19573, 1.43605, 1.61744, 1.70984", \
+                            "0.965646, 0.948156, 0.972323, 1.11599, 1.34596, 1.52889, 1.62723", \
+                            "0.96371, 0.947023, 0.96459, 1.09727, 1.28534, 1.4113, 1.51503", \
+                            "0.963479, 0.946066, 0.963853, 1.08914, 1.27967, 1.38303, 1.41977", \
+                            "0.962819, 0.945615, 0.963193, 1.09596, 1.28412, 1.38072, 1.42296", \
+                            "0.962005, 0.946627, 0.962368, 1.0949, 1.28328, 1.39381, 1.47015" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.85228, 0.863676, 0.96492, 1.16864, 1.47741, 1.8139, 2.15567", \
+                            "0.845603, 0.85888, 0.958144, 1.16372, 1.46201, 1.78904, 2.13631", \
+                            "0.843458, 0.856724, 0.9559, 1.16776, 1.46663, 1.78739, 2.12498", \
+                            "0.842226, 0.855085, 0.950928, 1.15999, 1.4652, 1.78541, 2.12289", \
+                            "0.841786, 0.857329, 0.953777, 1.15938, 1.46454, 1.78475, 2.10694", \
+                            "0.839993, 0.856614, 0.953106, 1.15907, 1.46388, 1.78464, 2.11882", \
+                            "0.840048, 0.855844, 0.952292, 1.15987, 1.46311, 1.78321, 2.1208" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.85626, 2.87122, 2.85593, 2.72338, 2.53484, 2.43672, 2.41318", \
+                            "2.86319, 2.87815, 2.86286, 2.73031, 2.54188, 2.4442, 2.40581", \
+                            "2.95064, 2.96813, 2.94393, 2.80896, 2.63351, 2.52813, 2.49579", \
+                            "3.12983, 3.14655, 3.12895, 2.99629, 2.80819, 2.7104, 2.67069", \
+                            "3.34774, 3.36512, 3.3473, 3.22201, 3.03149, 2.9282, 2.90235", \
+                            "3.5255, 3.54266, 3.52517, 3.3924, 3.20419, 3.10761, 3.07879", \
+                            "3.6399, 3.6553, 3.63957, 3.50702, 3.31859, 3.22201, 3.21332" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.97913, 2.96516, 2.86594, 2.66035, 2.35521, 2.03511, 1.70368", \
+                            "2.9854, 2.97209, 2.87287, 2.66728, 2.36896, 2.04193, 1.70445", \
+                            "3.07285, 3.05954, 2.96043, 2.74857, 2.44959, 2.12883, 1.79124", \
+                            "3.25138, 3.23851, 3.14259, 2.93359, 2.62845, 2.30824, 1.97065", \
+                            "3.4694, 3.45378, 3.35742, 3.15183, 2.84658, 2.52648, 2.20429", \
+                            "3.64837, 3.63165, 3.53518, 3.32926, 3.02445, 2.70369, 2.36951", \
+                            "3.76178, 3.74605, 3.64958, 3.44201, 3.13885, 2.81864, 2.48116" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0498129;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.43022, 1.45288, 1.54132, 1.71028, 1.93512, 2.14588, 2.29834", \
+                            "1.40646, 1.42912, 1.51778, 1.68443, 1.91158, 2.11948, 2.2957", \
+                            "1.32418, 1.34904, 1.43418, 1.59874, 1.82842, 2.03896, 2.19769", \
+                            "1.15892, 1.18386, 1.27059, 1.43902, 1.66507, 1.87462, 2.03434", \
+                            "0.990385, 1.01547, 1.10156, 1.26799, 1.49578, 1.7039, 1.86945", \
+                            "0.862037, 0.886952, 0.972345, 1.13917, 1.36664, 1.56904, 1.73712", \
+                            "0.773564, 0.796004, 0.884521, 1.05076, 1.27821, 1.48071, 1.65847" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.64285, 1.67277, 1.77397, 1.99342, 2.34938, 2.76067, 3.21189", \
+                            "1.62217, 1.64945, 1.75043, 1.96999, 2.3254, 2.73713, 3.1889", \
+                            "1.53901, 1.56662, 1.66782, 1.88815, 2.24323, 2.65309, 3.10651", \
+                            "1.37247, 1.40173, 1.50931, 1.72216, 2.07812, 2.49018, 2.94107", \
+                            "1.20394, 1.23307, 1.3354, 1.55375, 1.90971, 2.31836, 2.77277", \
+                            "1.07654, 1.10452, 1.20689, 1.43341, 1.78134, 2.19076, 2.64528", \
+                            "0.987987, 1.01604, 1.11722, 1.34442, 1.69268, 2.10518, 2.55563" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.06272, 2.046, 1.95899, 1.81577, 1.67981, 1.60006, 1.55628", \
+                            "2.03907, 2.01872, 1.93424, 1.78662, 1.65638, 1.58037, 1.5312", \
+                            "2.0603, 2.04479, 1.95712, 1.81401, 1.67882, 1.59962, 1.5521", \
+                            "2.11343, 2.09737, 2.00552, 1.86472, 1.72821, 1.64714, 1.60523", \
+                            "2.18614, 2.17041, 2.08241, 1.93479, 1.80444, 1.7259, 1.67684", \
+                            "2.21936, 2.20363, 2.11596, 1.96801, 1.83766, 1.76253, 1.7171", \
+                            "2.18933, 2.17052, 2.08571, 1.94073, 1.80774, 1.73261, 1.68487" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.99022, 0.964788, 0.856317, 0.637626, 0.307857, 0, 0", \
+                            "0.964546, 0.937981, 0.831556, 0.610775, 0.278694, 0, 0", \
+                            "0.987877, 0.962665, 0.854095, 0.634359, 0.30514, 0, 0", \
+                            "1.03996, 1.0139, 0.905135, 0.688369, 0.358853, 0, 0", \
+                            "1.17069, 1.14412, 1.04149, 0.818213, 0.464112, 0.0842699, 0", \
+                            "1.38204, 1.35454, 1.25186, 1.01979, 0.674465, 0.293664, 0", \
+                            "1.55265, 1.52625, 1.42362, 1.19149, 0.846241, 0.465377, 0.053295" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00804241;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0.015664", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.525228, 0.546667, 0.655985, 0.879153, 1.20856, 1.60435, 2.04798", \
+                            "0.550979, 0.568238, 0.675763, 0.899074, 1.2278, 1.62349, 2.07405", \
+                            "0.524656, 0.545699, 0.654555, 0.878669, 1.20425, 1.60193, 2.05106", \
+                            "0.475332, 0.497618, 0.611028, 0.825814, 1.15698, 1.54946, 2.00299", \
+                            "0.409046, 0.426305, 0.536404, 0.75856, 1.08811, 1.47961, 1.93391", \
+                            "0.378994, 0.394988, 0.50754, 0.731258, 1.05416, 1.4487, 1.90377", \
+                            "0.401126, 0.417351, 0.52558, 0.756547, 1.07624, 1.47246, 1.92412" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.06426, 2.046, 1.95899, 1.81577, 1.69477, 1.60501, 1.56475", \
+                            "2.04006, 2.01872, 1.93424, 1.79102, 1.66793, 1.5807, 1.5312", \
+                            "2.0625, 2.04479, 1.95712, 1.81401, 1.69301, 1.60314, 1.56299", \
+                            "2.11552, 2.09737, 2.00838, 1.86648, 1.74669, 1.65605, 1.6159", \
+                            "2.18823, 2.17041, 2.08241, 1.93919, 1.81863, 1.72887, 1.68872", \
+                            "2.21936, 2.20363, 2.11596, 1.96988, 1.84932, 1.76253, 1.7193", \
+                            "2.19164, 2.17052, 2.08571, 1.9426, 1.82204, 1.73261, 1.68487" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.99022, 0.964876, 0.856317, 0.637626, 0.309122, 0, 0", \
+                            "0.964546, 0.939279, 0.831556, 0.610775, 0.278694, 0, 0", \
+                            "0.987877, 0.963281, 0.854095, 0.634359, 0.306933, 0, 0", \
+                            "1.03996, 1.01475, 0.905135, 0.688369, 0.360283, 0, 0", \
+                            "1.11315, 1.08869, 0.982487, 0.760925, 0.433004, 0.037301, 0", \
+                            "1.1456, 1.12312, 1.01653, 0.783255, 0.463397, 0.069982, 0", \
+                            "1.11723, 1.09208, 0.98307, 0.757262, 0.436161, 0.040359, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0426231;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.29415, 1.272, 1.29987, 1.45552, 1.68718, 1.87638, 1.99474", \
+                            "1.31296, 1.29014, 1.3211, 1.47543, 1.71094, 1.88749, 1.9932", \
+                            "1.29299, 1.27111, 1.29965, 1.45761, 1.69026, 1.87814, 1.9976", \
+                            "1.23982, 1.22107, 1.25116, 1.40503, 1.63911, 1.81423, 1.925", \
+                            "1.17646, 1.15146, 1.17841, 1.33023, 1.56838, 1.75659, 1.87792", \
+                            "1.13597, 1.11964, 1.14833, 1.30328, 1.54011, 1.70984, 1.82171", \
+                            "1.16008, 1.14426, 1.17513, 1.31593, 1.55991, 1.72744, 1.84745" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.68234, 1.70335, 1.80015, 2.01102, 2.33442, 2.68037, 3.06636", \
+                            "1.70192, 1.72403, 1.81973, 2.0284, 2.35796, 2.69841, 3.08462", \
+                            "1.67805, 1.70489, 1.80048, 2.00376, 2.33134, 2.67773, 3.06614", \
+                            "1.62921, 1.6511, 1.7523, 1.96108, 2.28129, 2.63219, 3.0118", \
+                            "1.56398, 1.58576, 1.67794, 1.88925, 2.21364, 2.55992, 2.94107", \
+                            "1.52966, 1.54528, 1.64879, 1.85405, 2.18141, 2.52725, 2.91346", \
+                            "1.54836, 1.57663, 1.67013, 1.87902, 2.20583, 2.55057, 2.93436" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.14113, 1.15424, 1.11445, 1.03877, 0.987151, 0.954261, 0.9119", \
+                            "1.16985, 1.18378, 1.14381, 1.06823, 1.01647, 0.984401, 0.937684", \
+                            "1.25709, 1.27037, 1.2304, 1.15159, 1.10339, 1.06921, 1.03044", \
+                            "1.42109, 1.43418, 1.39436, 1.31879, 1.26702, 1.23497, 1.18824", \
+                            "1.63317, 1.64648, 1.606, 1.52713, 1.47851, 1.44595, 1.40371", \
+                            "1.82138, 1.83524, 1.79487, 1.71974, 1.66793, 1.63592, 1.58917", \
+                            "1.94634, 1.95954, 1.91961, 1.8403, 1.79212, 1.75945, 1.71347" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.22935, 1.20768, 1.11176, 0.993421, 0.90211, 0.849992, 0.810678", \
+                            "1.25884, 1.23702, 1.14109, 1.02275, 0.931436, 0.879318, 0.839993", \
+                            "1.34552, 1.32363, 1.22772, 1.10938, 1.01807, 0.965954, 0.92664", \
+                            "1.5092, 1.48753, 1.39161, 1.27331, 1.18199, 1.12991, 1.09056", \
+                            "1.71919, 1.69983, 1.60369, 1.48544, 1.39414, 1.342, 1.30262", \
+                            "1.90839, 1.8909, 1.79256, 1.6742, 1.58301, 1.53087, 1.49149", \
+                            "2.03478, 2.01256, 1.91686, 1.79861, 1.70731, 1.65517, 1.61579" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0174714;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.26305, 1.2827, 1.35454, 1.41262, 1.41295, 1.34046, 1.2176", \
+                            "1.28424, 1.30185, 1.38336, 1.4278, 1.43176, 1.35894, 1.25642", \
+                            "1.25863, 1.28048, 1.36015, 1.42109, 1.41933, 1.34519, 1.19567", \
+                            "1.21035, 1.2314, 1.30273, 1.37401, 1.3618, 1.30009, 1.18697", \
+                            "1.13874, 1.15927, 1.23676, 1.28767, 1.29789, 1.21899, 1.10408", \
+                            "1.10908, 1.12802, 1.20222, 1.25302, 1.25914, 1.19699, 1.0751", \
+                            "1.13349, 1.15226, 1.22891, 1.28278, 1.28268, 1.2038, 1.10056" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.104068, 0.10081, 0.207855, 0.446435, 0.782914, 1.18581, 1.64362", \
+                            "0.12414, 0.123481, 0.228181, 0.46662, 0.806476, 1.20935, 1.66012", \
+                            "0.101732, 0.100441, 0.207415, 0.444642, 0.777667, 1.18781, 1.64813", \
+                            "0.053713, 0.052679, 0.157158, 0.385044, 0.73238, 1.13773, 1.59775", \
+                            "0, 0, 0.089606, 0.326293, 0.66231, 1.06809, 1.51954", \
+                            "0, 0, 0.056793, 0.289221, 0.634502, 1.03707, 1.496", \
+                            "0, 0, 0.078782, 0.31691, 0.657492, 1.0605, 1.48687" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.861146, 0.83666, 0.764258, 0.709049, 0.708092, 0.77473, 0.87835", \
+                            "0.840015, 0.819313, 0.748077, 0.692725, 0.686257, 0.761112, 0.854854", \
+                            "0.869429, 0.846054, 0.766546, 0.704319, 0.710644, 0.778844, 0.907324", \
+                            "0.908248, 0.892089, 0.819555, 0.757922, 0.756481, 0.820886, 0.927564", \
+                            "0.985292, 0.962984, 0.885489, 0.829037, 0.82907, 0.907302, 0.998074", \
+                            "1.01494, 0.989549, 0.917906, 0.863093, 0.856284, 0.916916, 1.02786", \
+                            "0.9889, 0.967285, 0.894927, 0.838387, 0.837287, 0.907313, 1.01387" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.53439, 1.52922, 1.42857, 1.19261, 0.853886, 0.451407, 0", \
+                            "1.51525, 1.51008, 1.40943, 1.17349, 0.834438, 0.433939, 0", \
+                            "1.53967, 1.53439, 1.43385, 1.19791, 0.859177, 0.45672, 0", \
+                            "1.5862, 1.58125, 1.4806, 1.24453, 0.905586, 0.505087, 0.045672", \
+                            "1.65561, 1.65033, 1.54968, 1.31373, 0.975062, 0.574222, 0.114812", \
+                            "1.68564, 1.68014, 1.57949, 1.34343, 1.00481, 0.603977, 0.144562", \
+                            "1.66221, 1.65715, 1.5565, 1.32055, 0.981871, 0.58102, 0.153635" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 9.5157e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_4v50.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_4v50.lib
new file mode 100644
index 0000000..0ef4b19
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_4v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_125C_4v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 4.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.775;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 4.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_4p5v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 4.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_4p5v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.202;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+			  index_2 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "7.95276, 7.98996, 8.10696, 8.2866, 8.54256, 8.91684, 9.38136", \
+                            "7.9602, 8.00232, 8.10372, 8.2842, 8.55216, 8.91972, 9.39144", \
+                            "7.96344, 8.007, 8.11524, 8.29464, 8.56368, 8.92176, 9.40152", \
+                            "7.98984, 8.01672, 8.12184, 8.30472, 8.57412, 8.93796, 9.40776", \
+                            "8.00364, 8.03652, 8.15724, 8.34276, 8.60064, 8.96736, 9.43632", \
+                            "8.02176, 8.05308, 8.16432, 8.34372, 8.61252, 8.979, 9.44952", \
+                            "8.01732, 8.05308, 8.15988, 8.34312, 8.60556, 8.96976, 9.44436" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+  	                     "0.299952, 0.349116, 0.527856, 0.85086, 1.3782, 2.13624, 3.12336" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "8.21556, 8.25696, 8.37012, 8.52696, 8.73528, 9.01044, 9.33528", \
+                            "8.2284, 8.265, 8.36928, 8.52444, 8.7408, 9.01212, 9.34176", \
+                            "8.22444, 8.27184, 8.37528, 8.5362, 8.74956, 9.012, 9.35352", \
+                            "8.25732, 8.283, 8.38764, 8.54844, 8.76516, 9.02748, 9.36084", \
+                            "8.26716, 8.30604, 8.41608, 8.58264, 8.79048, 9.06132, 9.387", \
+                            "8.28768, 8.32128, 8.42676, 8.59164, 8.80104, 9.0696, 9.40404", \
+                            "8.28372, 8.31624, 8.424, 8.58396, 8.79624, 9.06168, 9.39492" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "0.303396, 0.34398, 0.46356, 0.671292, 0.97482, 1.40424, 1.95612" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.319023;
+                  clock                : true;
+                  max_transition       : 2.775;
+                  min_pulse_width_high : 4.5174;
+                  min_pulse_width_low  : 4.53114;
+                  min_period           : 10.548255;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("731.97, 731.97, 731.97, 731.97, 731.97, 731.97, 731.97");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("731.97, 731.97, 731.97, 731.97, 731.97, 731.97, 731.97");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("0.00020857, 0.00020857, 0.00020857, 0.00020857, 0.00020857, 0.00020857, 0.00020857");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("0.00020857, 0.00020857, 0.00020857, 0.00020857, 0.00020857, 0.00020857, 0.00020857");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("662.018, 662.018, 662.018, 662.018, 662.018, 662.018, 662.018");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("662.018, 662.018, 662.018, 662.018, 662.018, 662.018, 662.018");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0189488;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.659549, 0.653928, 0.648725, 0.672595, 0.739673, 0.811008, 0.867493", \
+                            "0.651706, 0.642477, 0.641003, 0.664598, 0.729256, 0.799634, 0.866437", \
+                            "0.647262, 0.640981, 0.632478, 0.653279, 0.710523, 0.773487, 0.8349", \
+                            "0.64537, 0.639749, 0.631477, 0.652223, 0.710424, 0.747472, 0.786357", \
+                            "0.644424, 0.639199, 0.630883, 0.652058, 0.706739, 0.746669, 0.76219", \
+                            "0.644424, 0.638561, 0.630773, 0.65142, 0.707289, 0.746042, 0.789239", \
+                            "0.643621, 0.63811, 0.62986, 0.650672, 0.708587, 0.751322, 0.776391" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.587433, 0.591998, 0.616682, 0.675048, 0.780043, 0.902308, 1.04326", \
+                            "0.583484, 0.586982, 0.613118, 0.671055, 0.776083, 0.899426, 1.0393", \
+                            "0.580855, 0.585409, 0.610401, 0.668426, 0.772486, 0.895708, 1.03034", \
+                            "0.579348, 0.584364, 0.609004, 0.667359, 0.772365, 0.901406, 1.03557", \
+                            "0.578732, 0.583825, 0.608597, 0.666809, 0.771815, 0.894091, 1.03488", \
+                            "0.577599, 0.583286, 0.607761, 0.664785, 0.77121, 0.893442, 1.0281", \
+                            "0.577599, 0.582637, 0.607167, 0.665533, 0.769604, 0.899074, 1.03374" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.85218, 1.8579, 1.86604, 1.84503, 1.78904, 1.74757, 1.7193", \
+                            "1.86703, 1.87693, 1.88067, 1.85966, 1.80598, 1.76517, 1.72392", \
+                            "1.89497, 1.90124, 1.90971, 1.88892, 1.83172, 1.79586, 1.76286", \
+                            "1.94634, 1.95195, 1.9602, 1.93952, 1.88133, 1.84426, 1.81247", \
+                            "2.03324, 2.03841, 2.04677, 2.02554, 1.97087, 1.93094, 1.91543", \
+                            "2.11728, 2.12311, 2.13092, 2.11024, 2.05436, 2.01564, 1.97252", \
+                            "2.16909, 2.17459, 2.18284, 2.16205, 2.10408, 2.0614, 2.03632" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.91785, 1.91323, 1.88859, 1.83029, 1.72524, 1.60303, 1.46201", \
+                            "1.93259, 1.92907, 1.90289, 1.84503, 1.73998, 1.61656, 1.47675", \
+                            "1.9613, 1.95679, 1.93182, 1.87374, 1.76968, 1.64648, 1.51184", \
+                            "2.01234, 2.00739, 1.98275, 1.92434, 1.8194, 1.69037, 1.55617", \
+                            "2.09891, 2.09385, 2.06899, 2.0108, 1.90575, 1.78354, 1.64274", \
+                            "2.18416, 2.17844, 2.15391, 2.09693, 1.99045, 1.86824, 1.7336", \
+                            "2.23509, 2.23003, 2.2055, 2.1472, 2.04314, 1.91367, 1.77903" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0514938;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.933856, 0.941567, 0.963853, 1.02038, 1.10582, 1.21338, 1.31175", \
+                            "0.928455, 0.935286, 0.95898, 1.0142, 1.10053, 1.20744, 1.3079", \
+                            "0.905795, 0.911889, 0.935858, 0.990726, 1.07638, 1.18154, 1.28172", \
+                            "0.854832, 0.86185, 0.884048, 0.940577, 1.02711, 1.13374, 1.23068", \
+                            "0.767877, 0.774774, 0.798369, 0.853666, 0.939796, 1.04468, 1.14591", \
+                            "0.692417, 0.698676, 0.721072, 0.777601, 0.863588, 0.968506, 1.06865", \
+                            "0.632148, 0.639155, 0.661584, 0.717497, 0.8041, 0.910998, 1.0089" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.01397, 1.02343, 1.05749, 1.13458, 1.25866, 1.42549, 1.61623", \
+                            "1.00785, 1.01667, 1.05106, 1.12846, 1.25396, 1.41702, 1.61007", \
+                            "0.984192, 0.993377, 1.02639, 1.10453, 1.22911, 1.39667, 1.58488", \
+                            "0.934307, 0.943283, 0.977823, 1.05482, 1.17995, 1.34486, 1.53637", \
+                            "0.847374, 0.856471, 0.889361, 0.967868, 1.09337, 1.26007, 1.44947", \
+                            "0.771012, 0.780318, 0.813923, 0.89111, 1.01716, 1.18346, 1.37313", \
+                            "0.71137, 0.72083, 0.755172, 0.831776, 0.955306, 1.12384, 1.31395" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.37181, 1.36422, 1.34123, 1.29162, 1.22914, 1.17479, 1.14062", \
+                            "1.37456, 1.3673, 1.34365, 1.29415, 1.2312, 1.17852, 1.14411", \
+                            "1.38237, 1.37511, 1.35223, 1.30251, 1.23921, 1.19012, 1.15156", \
+                            "1.39139, 1.38369, 1.36081, 1.31054, 1.24794, 1.19496, 1.16148", \
+                            "1.41592, 1.40833, 1.38622, 1.33573, 1.2716, 1.22397, 1.18742", \
+                            "1.43099, 1.42373, 1.40074, 1.35124, 1.28766, 1.23933, 1.20057", \
+                            "1.42263, 1.41504, 1.39216, 1.34277, 1.27831, 1.22626, 1.19148" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.689557, 0.681241, 0.645062, 0.568095, 0.4466, 0.286517, 0.104138", \
+                            "0.693627, 0.683782, 0.64713, 0.57101, 0.447271, 0.292061, 0.10693", \
+                            "0.699424, 0.692846, 0.655303, 0.580844, 0.457501, 0.297275, 0.114658", \
+                            "0.708928, 0.700128, 0.66429, 0.587752, 0.462374, 0.308143, 0.123684", \
+                            "0.73271, 0.725604, 0.688072, 0.612183, 0.488125, 0.33242, 0.145412", \
+                            "0.816332, 0.805475, 0.771749, 0.695629, 0.565004, 0.404173, 0.225278", \
+                            "0.912362, 0.903276, 0.867339, 0.790977, 0.662101, 0.500181, 0.320166" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00826446;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.352583, 0.360987, 0.396429, 0.473044, 0.594781, 0.754666, 0.938795", \
+                            "0.348667, 0.359238, 0.393118, 0.470437, 0.5951, 0.750717, 0.937123", \
+                            "0.34265, 0.348568, 0.385066, 0.460086, 0.583506, 0.743875, 0.928191", \
+                            "0.331452, 0.339977, 0.375111, 0.45078, 0.57816, 0.732358, 0.917972", \
+                            "0.309232, 0.31559, 0.352198, 0.429319, 0.552728, 0.70972, 0.897193", \
+                            "0.293975, 0.301719, 0.338173, 0.413974, 0.538747, 0.696828, 0.882002", \
+                            "0.300322, 0.305558, 0.340901, 0.418913, 0.543444, 0.700953, 0.885401" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.37181, 1.36631, 1.34233, 1.29162, 1.22915, 1.17744, 1.14162", \
+                            "1.37456, 1.3695, 1.34552, 1.29415, 1.23236, 1.18065, 1.14484", \
+                            "1.38237, 1.3772, 1.35322, 1.30251, 1.24007, 1.19012, 1.15254", \
+                            "1.39139, 1.38589, 1.36202, 1.31054, 1.24879, 1.19708, 1.16148", \
+                            "1.41592, 1.41053, 1.38655, 1.33573, 1.27336, 1.22397, 1.18742", \
+                            "1.43099, 1.42593, 1.40195, 1.35124, 1.28876, 1.23933, 1.20122", \
+                            "1.42263, 1.41713, 1.39315, 1.34277, 1.27996, 1.22829, 1.19248" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.692395, 0.681241, 0.646646, 0.568095, 0.4466, 0.286517, 0.104138", \
+                            "0.693627, 0.683782, 0.648604, 0.57101, 0.447271, 0.292743, 0.10693", \
+                            "0.701382, 0.692846, 0.65571, 0.580844, 0.457501, 0.297275, 0.114658", \
+                            "0.709808, 0.702482, 0.665973, 0.587752, 0.462374, 0.308143, 0.123684", \
+                            "0.734646, 0.725604, 0.689601, 0.612183, 0.488125, 0.33242, 0.145412", \
+                            "0.75097, 0.740399, 0.703076, 0.628672, 0.503514, 0.345939, 0.160178", \
+                            "0.741213, 0.733546, 0.697818, 0.620554, 0.498465, 0.337931, 0.155361" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0425306;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.844206, 0.837485, 0.830357, 0.860057, 0.928686, 1.00316, 1.06888", \
+                            "0.836319, 0.834064, 0.827189, 0.856647, 0.925848, 1.00258, 1.06796", \
+                            "0.830621, 0.825671, 0.820149, 0.848936, 0.916234, 0.992145, 1.05828", \
+                            "0.819544, 0.816222, 0.810359, 0.837199, 0.906092, 0.983488, 1.04942", \
+                            "0.797082, 0.789272, 0.788073, 0.814627, 0.883685, 0.962148, 1.02672", \
+                            "0.782199, 0.777601, 0.771276, 0.80036, 0.867306, 0.945945, 1.00909", \
+                            "0.790064, 0.782265, 0.776787, 0.80487, 0.872707, 0.952842, 1.01752" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.1569, 1.15515, 1.18689, 1.24724, 1.35729, 1.49468, 1.65429", \
+                            "1.15316, 1.15534, 1.18181, 1.24427, 1.3541, 1.49248, 1.65022", \
+                            "1.14269, 1.14831, 1.17705, 1.23615, 1.34651, 1.48247, 1.64318", \
+                            "1.1323, 1.13863, 1.16734, 1.22797, 1.33661, 1.47323, 1.6302", \
+                            "1.11262, 1.11347, 1.14268, 1.20497, 1.31318, 1.45233, 1.6093", \
+                            "1.09462, 1.09758, 1.12879, 1.18698, 1.29569, 1.4355, 1.59324", \
+                            "1.10209, 1.10109, 1.13219, 1.19365, 1.3024, 1.44023, 1.59797" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.81554, 0.82247, 0.824824, 0.807664, 0.799029, 0.792506, 0.787578", \
+                            "0.824626, 0.832205, 0.834218, 0.817399, 0.809006, 0.802252, 0.797302", \
+                            "0.849662, 0.856581, 0.858913, 0.841775, 0.833976, 0.826628, 0.8217", \
+                            "0.901538, 0.908446, 0.910756, 0.89364, 0.885841, 0.878493, 0.873554", \
+                            "0.980815, 0.98769, 0.99, 0.972884, 0.965074, 0.957671, 0.952809", \
+                            "1.07039, 1.07789, 1.08021, 1.06308, 1.05527, 1.04794, 1.04299", \
+                            "1.14369, 1.15073, 1.15275, 1.13593, 1.12813, 1.12071, 1.11584" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.849475, 0.843601, 0.815661, 0.771375, 0.743974, 0.738727, 0.745437", \
+                            "0.859199, 0.853336, 0.825407, 0.781121, 0.753698, 0.748451, 0.755183", \
+                            "0.883597, 0.878174, 0.849772, 0.805497, 0.778074, 0.772827, 0.779559", \
+                            "0.934945, 0.930017, 0.901648, 0.857373, 0.829939, 0.824692, 0.831424", \
+                            "1.01473, 1.00948, 0.980881, 0.936606, 0.909183, 0.903936, 0.910668", \
+                            "1.10498, 1.09902, 1.07108, 1.02681, 0.999383, 0.994147, 1.00086", \
+                            "1.17784, 1.17186, 1.14382, 1.09965, 1.07223, 1.067, 1.07371" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0175888;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.773718, 0.782056, 0.804287, 0.831666, 0.831754, 0.808467, 0.749144", \
+                            "0.77055, 0.777337, 0.798226, 0.829642, 0.826903, 0.803649, 0.746801", \
+                            "0.760914, 0.770473, 0.791978, 0.816244, 0.823262, 0.797984, 0.738507", \
+                            "0.751135, 0.758989, 0.782001, 0.809171, 0.812537, 0.789657, 0.727991", \
+                            "0.730147, 0.737726, 0.759462, 0.782749, 0.788392, 0.762685, 0.708125", \
+                            "0.710424, 0.721237, 0.747021, 0.772673, 0.765699, 0.748198, 0.689238", \
+                            "0.719653, 0.726781, 0.749837, 0.77825, 0.776534, 0.755117, 0.69476" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.099033, 0.0928972, 0.130921, 0.218309, 0.360514, 0.540958, 0.763433", \
+                            "0.093874, 0.0930699, 0.124974, 0.211185, 0.355223, 0.537438, 0.760078", \
+                            "0.0877294, 0.0853996, 0.117339, 0.20447, 0.347358, 0.529298, 0.750409", \
+                            "0.0724713, 0.0725483, 0.108593, 0.194952, 0.337238, 0.519244, 0.741972", \
+                            "0.054571, 0.049687, 0.0860134, 0.17383, 0.314105, 0.494692, 0.72061", \
+                            "0.034771, 0.034551, 0.0686411, 0.153512, 0.300223, 0.478269, 0.703373", \
+                            "0.043978, 0.036311, 0.0760342, 0.16362, 0.305888, 0.486519, 0.706937" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.671407, 0.661309, 0.635239, 0.610302, 0.612315, 0.634436, 0.691394", \
+                            "0.676324, 0.668239, 0.642257, 0.611622, 0.615879, 0.638088, 0.696058", \
+                            "0.683111, 0.672078, 0.651035, 0.625427, 0.623678, 0.647295, 0.70213", \
+                            "0.694496, 0.681384, 0.662024, 0.63481, 0.633325, 0.65241, 0.712624", \
+                            "0.713669, 0.709313, 0.683342, 0.658658, 0.657382, 0.680449, 0.733689", \
+                            "0.735779, 0.72226, 0.699149, 0.670373, 0.674146, 0.696256, 0.753291", \
+                            "0.725142, 0.719103, 0.689381, 0.664543, 0.665555, 0.687236, 0.742236" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.02241, 1.02512, 0.991309, 0.905135, 0.761937, 0.579909, 0.360503", \
+                            "1.02505, 1.02884, 0.995291, 0.908292, 0.765094, 0.583066, 0.363693", \
+                            "1.0338, 1.03627, 1.00288, 0.916685, 0.773487, 0.59147, 0.372042", \
+                            "1.04344, 1.04834, 1.01228, 0.92609, 0.782903, 0.600875, 0.381502", \
+                            "1.06695, 1.06964, 1.03612, 0.949938, 0.806751, 0.624349, 0.405328", \
+                            "1.08716, 1.0872, 1.05323, 0.967032, 0.823845, 0.641817, 0.422433", \
+                            "1.07669, 1.08308, 1.04556, 0.959376, 0.816189, 0.634161, 0.414744" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0002085705;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_1v62.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_1v62.lib
new file mode 100644
index 0000000..2367a74
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_1v62.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_1v62) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 1.62;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 10.6;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.62);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_1p62v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 1.62;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_1p62v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.03;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+			  index_2 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "32.9568, 33.0456, 33.498, 34.254, 35.406, 36.9768, 39.0756", \
+                            "33.0588, 33.168, 33.6348, 34.3728, 35.5176, 37.0968, 39.2412", \
+                            "33.2508, 33.3792, 33.7788, 34.5792, 35.7264, 37.3296, 39.4044", \
+                            "33.6744, 33.7932, 34.2228, 34.9896, 36.1356, 37.7244, 39.8232", \
+                            "34.2768, 34.4196, 34.8744, 35.6196, 36.7656, 38.3424, 40.4712", \
+                            "35.0052, 35.2116, 35.6304, 36.4668, 37.4868, 39.0624, 41.1984", \
+                            "36.1536, 36.216, 36.7056, 37.5024, 38.6136, 40.1904, 42.3264" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+  	                     "0.857556, 1.05036, 1.69848, 2.98212, 4.8756, 7.69992, 11.3474" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "35.4984, 35.568, 35.8608, 36.2544, 36.8052, 37.4172, 38.19", \
+                            "35.5872, 35.7192, 36.006, 36.4332, 36.9624, 37.5624, 38.34", \
+                            "35.8104, 35.922, 36.18, 36.6468, 37.158, 37.83, 38.5752", \
+                            "36.252, 36.3372, 36.6468, 37.0608, 37.578, 38.2164, 38.994", \
+                            "36.852, 36.9324, 37.2852, 37.668, 38.19, 38.7744, 39.5832", \
+                            "37.5192, 37.7184, 37.9824, 38.4408, 38.8968, 39.4956, 40.3728", \
+                            "38.6472, 38.7396, 39.0324, 39.5124, 39.984, 40.626, 41.4384" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "0.864192, 0.96318, 1.302, 1.72548, 2.3634, 3.33888, 4.45488" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.295825;
+                  clock                : true;
+                  max_transition       : 10.6;
+                  min_pulse_width_high : 21.81975;
+                  min_pulse_width_low  : 22.5675;
+                  min_period           : 48.03045;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("76.3255, 76.3255, 76.3255, 76.3255, 76.3255, 76.3255, 76.3255");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("76.3255, 76.3255, 76.3255, 76.3255, 76.3255, 76.3255, 76.3255");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("2.08381e-05, 2.08381e-05, 2.08381e-05, 2.08381e-05, 2.08381e-05, 2.08381e-05, 2.08381e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("2.08381e-05, 2.08381e-05, 2.08381e-05, 2.08381e-05, 2.08381e-05, 2.08381e-05, 2.08381e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("70.9123, 70.9123, 70.9123, 70.9123, 70.9123, 70.9123, 70.9123");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("70.9123, 70.9123, 70.9123, 70.9123, 70.9123, 70.9123, 70.9123");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.018494;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.58985, 3.55245, 3.62802, 4.05713, 4.92294, 6.11589, 7.72948", \
+                            "3.52649, 3.48898, 3.57687, 3.99377, 4.85969, 6.08146, 7.66634", \
+                            "3.27613, 3.25314, 3.32651, 3.75826, 4.62627, 5.79634, 7.48935", \
+                            "2.87298, 2.83569, 2.92259, 3.33003, 4.23401, 5.41717, 7.04", \
+                            "2.87386, 2.84042, 2.92611, 3.33256, 4.22224, 5.41882, 7.00612", \
+                            "2.86506, 2.82832, 2.92721, 3.32805, 4.23027, 5.41783, 7.07113", \
+                            "2.87463, 2.83745, 2.9227, 3.37799, 4.22301, 5.4032, 7.04506" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.37237, 2.41923, 2.63296, 3.0734, 3.85264, 4.97387, 6.46635", \
+                            "2.30923, 2.35587, 2.56971, 3.00993, 3.78785, 4.91161, 6.40574", \
+                            "2.06569, 2.12036, 2.33552, 2.77442, 3.55311, 4.67489, 6.17023", \
+                            "2.01388, 2.07108, 2.29218, 2.7368, 3.49767, 4.63397, 6.12304", \
+                            "2.01443, 2.07174, 2.29218, 2.73779, 3.5002, 4.63353, 6.12194", \
+                            "2.02521, 2.07053, 2.29251, 2.73581, 3.4991, 4.63298, 6.12128", \
+                            "2.02477, 2.07053, 2.29097, 2.73592, 3.49844, 4.63309, 6.12106" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "7.27166, 7.29993, 7.21413, 6.81032, 5.91569, 4.74375, 3.1339", \
+                            "7.33271, 7.36098, 7.26814, 6.87137, 5.97674, 4.78181, 3.19484", \
+                            "7.55359, 7.5878, 7.50332, 7.09797, 6.21841, 5.05362, 3.36303", \
+                            "7.97214, 8.00954, 7.93001, 7.51608, 6.61386, 5.43125, 3.80842", \
+                            "8.6845, 8.71794, 8.63225, 8.2258, 7.33612, 6.13954, 4.55213", \
+                            "9.65624, 9.69287, 9.59409, 9.19325, 8.29103, 7.10347, 5.45006", \
+                            "10.8182, 10.8553, 10.7701, 10.3148, 9.46979, 8.28949, 6.64774" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "8.11393, 8.06795, 7.84751, 7.40267, 6.63949, 5.50627, 4.01742", \
+                            "8.17476, 8.129, 7.90845, 7.46372, 6.70197, 5.56633, 4.07858", \
+                            "8.41313, 8.3556, 8.13373, 7.69032, 6.9278, 5.79403, 4.30518", \
+                            "8.83124, 8.77404, 8.55294, 8.10832, 7.34745, 6.21126, 4.72219", \
+                            "9.54393, 9.48662, 9.26618, 8.82057, 8.05816, 6.92483, 5.43642", \
+                            "10.4961, 10.4508, 10.2288, 9.78538, 9.0222, 7.88832, 6.40002", \
+                            "11.6677, 11.6226, 11.4015, 10.9569, 10.1944, 9.05971, 7.57174" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0436526;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.78752, 3.84703, 4.08023, 4.49614, 5.17616, 6.09048, 7.22821", \
+                            "3.72504, 3.78873, 4.00642, 4.45104, 5.11357, 6.00314, 7.17134", \
+                            "3.50647, 3.56939, 3.78719, 4.21432, 4.89346, 5.808, 6.95167", \
+                            "3.07813, 3.12752, 3.35709, 3.80391, 4.47942, 5.3559, 6.52355", \
+                            "2.37644, 2.43518, 2.66893, 3.10222, 3.7785, 4.65443, 5.82263", \
+                            "1.56343, 1.62228, 1.85559, 2.28899, 2.96527, 3.84098, 5.00896", \
+                            "0.620334, 0.678887, 0.912109, 1.3442, 2.0064, 2.89586, 4.06527" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "5.42025, 5.4824, 5.72627, 6.23491, 7.01646, 8.16882, 9.61378", \
+                            "5.35689, 5.41981, 5.6683, 6.1677, 6.94628, 8.09336, 9.59464", \
+                            "5.13733, 5.20047, 5.44445, 5.95298, 6.72705, 7.86368, 9.37541", \
+                            "4.70932, 4.75651, 4.98333, 5.52497, 6.26813, 7.44634, 8.97545", \
+                            "4.00719, 4.07121, 4.31937, 4.81877, 5.60516, 6.73365, 8.26364", \
+                            "3.1955, 3.25798, 3.50625, 4.01049, 4.79182, 5.93153, 7.39145", \
+                            "2.25236, 2.29658, 2.55816, 3.0668, 3.84087, 4.98795, 6.51761" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "6.52795, 6.47053, 6.24591, 5.79381, 5.15174, 4.39879, 3.47446", \
+                            "6.1567, 6.09862, 5.90029, 5.42751, 4.78038, 4.04778, 3.12433", \
+                            "5.5429, 5.48867, 5.28792, 4.81272, 4.19089, 3.41033, 2.50459", \
+                            "5.20751, 5.13975, 4.96672, 4.48283, 3.86155, 3.10563, 2.17316", \
+                            "5.68238, 5.63486, 5.40859, 4.95418, 4.33279, 3.58116, 2.65826", \
+                            "6.44721, 6.40079, 6.17485, 5.7277, 5.09905, 4.34797, 3.4144", \
+                            "7.36054, 7.29256, 7.08653, 6.63311, 6.00743, 5.23105, 4.31816" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.79423, 3.76376, 3.48095, 2.9623, 2.22453, 1.06066, 0", \
+                            "3.42331, 3.39141, 3.13786, 2.58599, 1.86142, 0.699919, 0", \
+                            "2.81512, 2.78212, 2.49986, 1.9811, 1.25012, 0.095744, 0", \
+                            "2.48391, 2.44486, 2.22332, 1.64824, 0.938498, 0, 0", \
+                            "2.959, 2.92567, 2.67212, 2.123, 1.38732, 0.235478, 0", \
+                            "3.7235, 3.69182, 3.43783, 2.89135, 2.15358, 0.999922, 0", \
+                            "4.62726, 4.59679, 4.31321, 3.795, 3.06416, 1.90322, 0.358853" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00722787;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.446644", \
+                            "0, 0, 0, 0, 0, 0, 0.813582", \
+                            "0, 0, 0, 0, 0, 0.517055, 1.43946", \
+                            "0, 0, 0, 0, 0.075427, 0.826298, 1.76968", \
+                            "0, 0, 0, 0, 0, 0.355146, 1.28444", \
+                            "0, 0, 0, 0, 0, 0, 0.520135", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0, 0.027225, 0.313688, 0.823339, 1.58224, 2.7335, 4.22422", \
+                            "0.37092, 0.404481, 0.649242, 1.20703, 1.93259, 3.09606, 4.66081", \
+                            "0.985798, 1.0195, 1.29257, 1.81687, 2.55343, 3.70766, 5.27725", \
+                            "1.30774, 1.35366, 1.56596, 2.14951, 2.86715, 4.04195, 5.58481", \
+                            "0.836561, 0.877239, 1.12385, 1.67079, 2.41549, 3.56719, 5.10686", \
+                            "0.067309, 0.1001, 0.345048, 0.896588, 1.64307, 2.794, 4.26679", \
+                            "0, 0, 0, 0, 0.738595, 1.88716, 3.43849" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "6.55743, 6.51332, 6.26989, 5.83726, 5.18661, 4.41694, 3.52759", \
+                            "6.18596, 6.14174, 5.90029, 5.46579, 4.81514, 4.04778, 3.15612", \
+                            "5.57612, 5.53201, 5.28902, 4.85595, 4.2053, 3.43563, 2.54628", \
+                            "5.2448, 5.20069, 4.96672, 4.52463, 3.87398, 3.10563, 2.21496", \
+                            "5.71989, 5.67578, 5.43235, 4.99972, 4.34907, 3.58116, 2.69005", \
+                            "6.48263, 6.43852, 6.19509, 5.76246, 5.11181, 4.34797, 3.45279", \
+                            "7.3898, 7.34569, 7.10094, 6.66622, 6.01909, 5.24931, 4.36007" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.80622, 3.7752, 3.49569, 2.98012, 2.24609, 1.07631, 0", \
+                            "3.4353, 3.40527, 3.1493, 2.60469, 1.88067, 0.716287, 0", \
+                            "2.82898, 2.80181, 2.51427, 1.99881, 1.26966, 0.114561, 0", \
+                            "2.49788, 2.46378, 2.22783, 1.66771, 0.957396, 0, 0", \
+                            "2.97297, 2.93953, 2.68279, 2.14115, 1.40899, 0.251461, 0", \
+                            "3.73164, 3.70249, 3.44597, 2.90543, 2.17162, 1.01306, 0", \
+                            "4.63914, 4.60944, 4.32718, 3.81271, 3.08352, 1.92005, 0.372372" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0420759;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.54035, 3.49217, 3.58193, 4.04404, 4.88983, 6.11567, 7.70979", \
+                            "3.46214, 3.43123, 3.5211, 3.9831, 4.82889, 6.05473, 7.64885", \
+                            "3.23389, 3.20199, 3.29285, 3.75485, 4.60064, 5.82648, 7.37517", \
+                            "3.47501, 3.45631, 3.54354, 4.0018, 4.82702, 6.05077, 7.61233", \
+                            "2.97473, 2.96648, 3.03721, 3.50735, 4.3307, 5.57524, 7.18674", \
+                            "2.22431, 2.21199, 2.26974, 2.7478, 3.5794, 4.81217, 6.43775", \
+                            "1.319, 1.31491, 1.39678, 1.8414, 2.68796, 3.905, 5.52673" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.76881, 2.82161, 3.03347, 3.54574, 4.31728, 5.42696, 6.94661", \
+                            "3.15051, 3.21211, 3.41781, 3.90401, 4.7047, 5.81295, 7.33095", \
+                            "3.773, 3.80787, 4.02094, 4.51319, 5.28781, 6.43401, 7.93804", \
+                            "4.09332, 4.14381, 4.35765, 4.84847, 5.63684, 6.74861, 8.26892", \
+                            "3.62681, 3.66663, 3.87838, 4.36502, 5.16274, 6.27, 7.77854", \
+                            "2.84625, 2.90213, 3.11608, 3.62285, 4.40561, 5.50946, 7.03043", \
+                            "1.96779, 2.00838, 2.21265, 2.7071, 3.49415, 4.60559, 6.12458" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.46972, 2.49667, 2.40207, 1.92786, 1.08472, 0, 0", \
+                            "2.54485, 2.57169, 2.47709, 2.00299, 1.15977, 0.018403, 0", \
+                            "2.7632, 2.79191, 2.69533, 2.22123, 1.37797, 0.236642, 0", \
+                            "3.14336, 3.1702, 3.0756, 2.6015, 1.75824, 0.616913, 0", \
+                            "3.8786, 3.90533, 3.81084, 3.33674, 2.49359, 1.35223, 0", \
+                            "4.81954, 4.84638, 4.75178, 4.27768, 3.43442, 2.29306, 0.871002", \
+                            "5.96761, 5.99445, 5.89985, 5.42575, 4.5826, 3.44124, 2.01916" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.0635, 3.01576, 2.78102, 2.31407, 1.66771, 0.83314, 0", \
+                            "3.13852, 3.09089, 2.85604, 2.3892, 1.74284, 0.905861, 0", \
+                            "3.35687, 3.30913, 3.07428, 2.60722, 1.96108, 1.1241, 0.1012", \
+                            "3.73703, 3.6894, 3.45455, 2.9876, 2.34135, 1.50667, 0.481415", \
+                            "4.47238, 4.42464, 4.1899, 3.72284, 3.07681, 2.24202, 1.2167", \
+                            "5.41332, 5.36558, 5.13073, 4.66367, 4.01753, 3.18054, 2.15754", \
+                            "6.56139, 6.51354, 6.27891, 5.81196, 5.16582, 4.33103, 3.30583" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0170438;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.41924, 3.47226, 3.69413, 4.13193, 4.64904, 5.30893, 6.00226", \
+                            "3.80545, 3.85341, 4.07902, 4.51605, 5.02997, 5.68689, 6.40981", \
+                            "4.42541, 4.45709, 4.6915, 5.10378, 5.6364, 6.29167, 7.01547", \
+                            "4.73869, 4.80513, 5.04592, 5.45699, 5.95958, 6.62497, 7.3524", \
+                            "4.24974, 4.31959, 4.53321, 4.97057, 5.46315, 6.14515, 6.84662", \
+                            "3.50031, 3.56939, 3.77916, 4.21729, 4.73352, 5.40672, 6.11226", \
+                            "2.5883, 2.67014, 2.86781, 3.30418, 3.80974, 4.47436, 5.19189" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 1.07408, 2.52857", \
+                            "0, 0, 0, 0, 0.330143, 1.44639, 2.90939", \
+                            "0, 0, 0, 0.156386, 0.937013, 2.05348, 3.51725", \
+                            "0, 0, 0, 0.491458, 1.27667, 2.38854, 3.85143", \
+                            "0, 0, 0, 0.010186, 0.794244, 1.90707, 3.37018", \
+                            "0, 0, 0, 0, 0.037895, 1.14978, 2.61327", \
+                            "0, 0, 0, 0, 0, 0.251182, 1.70621" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.07406, 3.01356, 2.78674, 2.36324, 1.82985, 1.18103, 0.474958", \
+                            "2.68554, 2.62702, 2.40009, 1.97648, 1.44342, 0.794519, 0.088451", \
+                            "2.06745, 2.024, 1.79586, 1.37104, 0.837584, 0.188753, 0", \
+                            "1.76231, 1.70247, 1.46135, 1.04178, 0.513293, 0, 0", \
+                            "2.2319, 2.16997, 1.94843, 1.52691, 0.994026, 0.344432, 0", \
+                            "3.00014, 2.94184, 2.71744, 2.29405, 1.76077, 1.11214, 0.405878", \
+                            "3.89466, 3.84307, 3.62175, 3.20001, 2.6653, 2.01784, 1.30699" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "5.76719, 5.74541, 5.55148, 5.03921, 4.257, 3.11179, 1.62393", \
+                            "5.38076, 5.35887, 5.16494, 4.65267, 3.87057, 2.72525, 1.23742", \
+                            "4.77543, 4.75332, 4.55928, 4.0469, 3.2648, 2.11948, 0.631664", \
+                            "4.45203, 4.43003, 4.23566, 3.72339, 2.9414, 1.79608, 0.308256", \
+                            "4.92668, 4.9049, 4.71053, 4.19826, 3.41616, 2.27084, 0.782969", \
+                            "5.69745, 5.67633, 5.4824, 4.97013, 4.18803, 3.04271, 1.55485", \
+                            "6.59857, 6.57668, 6.38286, 5.87048, 5.08838, 3.94295, 2.45531" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 2.083806e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_3v00.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_3v00.lib
new file mode 100644
index 0000000..620693e
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_3v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_3v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 3.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 6.4830;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_3p0v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 3.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_3p0v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.1369;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+			  index_2 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "8.3652, 8.42964, 8.51796, 8.71404, 9.01008, 9.39324, 9.8922", \
+                            "8.37048, 8.40792, 8.53536, 8.72028, 8.99532, 9.39408, 9.88812", \
+                            "8.44416, 8.47452, 8.59392, 8.79084, 9.07608, 9.44604, 9.969", \
+                            "8.53764, 8.59332, 8.6964, 8.8908, 9.16836, 9.55788, 10.053", \
+                            "8.59308, 8.63784, 8.77356, 8.93952, 9.2484, 9.6342, 10.13664", \
+                            "8.64684, 8.68644, 8.80824, 8.99724, 9.27972, 9.66516, 10.16892", \
+                            "8.63316, 8.66988, 8.79924, 8.99496, 9.27912, 9.66564, 10.16976" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+  	                     "0.268176, 0.319488, 0.490596, 0.809676, 1.317, 2.05044, 3.0204" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "8.72376, 8.784, 8.87148, 9.03252, 9.25344, 9.5046, 9.8172", \
+                            "8.7276, 8.76132, 8.88648, 9.04452, 9.23628, 9.5076, 9.81576", \
+                            "8.79708, 8.83824, 8.95092, 9.10752, 9.31788, 9.55896, 9.8994", \
+                            "8.89596, 8.94216, 9.0462, 9.20808, 9.41388, 9.67104, 9.98016", \
+                            "8.9454, 8.98932, 9.11772, 9.2538, 9.492, 9.74364, 10.05984", \
+                            "9.00324, 9.04752, 9.15264, 9.32388, 9.52344, 9.77796, 10.09716", \
+                            "8.99136, 9.02496, 9.15996, 9.30768, 9.52716, 9.77964, 10.10376" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "0.259164, 0.303564, 0.421416, 0.6084, 0.879924, 1.26588, 1.7664" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.311235;
+                  clock                : true;
+                  max_transition       : 6.4830;
+                  min_pulse_width_high : 3.67743;
+                  min_pulse_width_low  : 4.4364;
+                  min_period           : 11.28699;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("284.31, 284.31, 284.31, 284.31, 284.31, 284.31, 284.31");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("284.31, 284.31, 284.31, 284.31, 284.31, 284.31, 284.31");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("7.1898e-05, 7.1898e-05, 7.1898e-05, 7.1898e-05, 7.1898e-05, 7.1898e-05, 7.1898e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("7.1898e-05, 7.1898e-05, 7.1898e-05, 7.1898e-05, 7.1898e-05, 7.1898e-05, 7.1898e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("262.08, 262.08, 262.08, 262.08, 262.08, 262.08, 262.08");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("262.08, 262.08, 262.08, 262.08, 262.08, 262.08, 262.08");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0186689;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.806553, 0.791483, 0.829807, 1.01016, 1.24407, 1.41119, 1.54594", \
+                            "0.784476, 0.768174, 0.806124, 0.986744, 1.22047, 1.40448, 1.52372", \
+                            "0.754578, 0.738287, 0.774367, 0.942942, 1.1353, 1.31087, 1.44144", \
+                            "0.752939, 0.73689, 0.773322, 0.94116, 1.11498, 1.21622, 1.3244", \
+                            "0.7524, 0.73612, 0.772739, 0.940797, 1.11443, 1.21891, 1.28132", \
+                            "0.752125, 0.73689, 0.771793, 0.940247, 1.11412, 1.21576, 1.2806", \
+                            "0.75119, 0.734349, 0.771309, 0.932184, 1.11048, 1.22989, 1.31967" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.657481, 0.676555, 0.765358, 0.957616, 1.22438, 1.5125, 1.80323", \
+                            "0.653961, 0.672661, 0.761343, 0.953579, 1.22032, 1.50029, 1.79399", \
+                            "0.650738, 0.668536, 0.757438, 0.945054, 1.21639, 1.49919, 1.79014", \
+                            "0.648439, 0.663421, 0.75581, 0.948057, 1.2148, 1.49732, 1.78849", \
+                            "0.647966, 0.666512, 0.751795, 0.947529, 1.21429, 1.49347, 1.78794", \
+                            "0.647515, 0.662585, 0.754974, 0.947243, 1.21398, 1.49325, 1.78761", \
+                            "0.646426, 0.665379, 0.754193, 0.94644, 1.21318, 1.49589, 1.78684" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.90641, 1.92137, 1.88529, 1.71699, 1.54308, 1.44353, 1.37676", \
+                            "1.92775, 1.94381, 1.90817, 1.73954, 1.56585, 1.44507, 1.39755", \
+                            "2.01498, 2.03126, 1.99518, 1.82666, 1.65286, 1.55078, 1.48643", \
+                            "2.1912, 2.20726, 2.17085, 2.00299, 1.82908, 1.72788, 1.66276", \
+                            "2.37006, 2.38634, 2.34971, 2.18163, 2.00794, 1.90355, 1.84107", \
+                            "2.51185, 2.52714, 2.49216, 2.32375, 2.14984, 2.0482, 1.98341", \
+                            "2.59303, 2.60986, 2.5729, 2.41197, 2.23377, 2.11431, 2.06415" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "2.01014, 1.991, 1.90223, 1.70995, 1.4432, 1.16395, 0.869528", \
+                            "2.03225, 2.01355, 1.92489, 1.73272, 1.46597, 1.18601, 0.892243", \
+                            "2.11882, 2.101, 2.01212, 1.82446, 1.5532, 1.27036, 0.979429", \
+                            "2.2957, 2.28074, 2.18834, 1.99606, 1.72931, 1.44683, 1.15559", \
+                            "2.47445, 2.45586, 2.37061, 2.17492, 1.90817, 1.62888, 1.33441", \
+                            "2.61646, 2.60139, 2.50899, 2.31671, 2.04996, 1.77067, 1.47631", \
+                            "2.69775, 2.67883, 2.58995, 2.39778, 2.13103, 1.84822, 1.55727" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0488339;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.0287, 1.04734, 1.13808, 1.30119, 1.50568, 1.68377, 1.83018", \
+                            "1.00816, 1.02677, 1.11753, 1.28064, 1.48511, 1.65473, 1.80312", \
+                            "0.924572, 0.943162, 1.03392, 1.19704, 1.40151, 1.57245, 1.72436", \
+                            "0.764841, 0.78342, 0.874159, 1.0373, 1.2418, 1.41999, 1.57003", \
+                            "0.631554, 0.650155, 0.740916, 0.904046, 1.10854, 1.28366, 1.43297", \
+                            "0.528946, 0.540925, 0.638275, 0.801405, 1.00588, 1.18399, 1.33034", \
+                            "0.478324, 0.497827, 0.588731, 0.752147, 0.954657, 1.12561, 1.2827" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.15916, 1.18637, 1.29613, 1.51052, 1.82842, 2.18933, 2.58104", \
+                            "1.14465, 1.16766, 1.27444, 1.49006, 1.80785, 2.17426, 2.56047", \
+                            "1.05957, 1.08404, 1.19118, 1.4091, 1.72425, 2.08549, 2.47687", \
+                            "0.895763, 0.920029, 1.03107, 1.24669, 1.56453, 1.92962, 2.31737", \
+                            "0.762641, 0.789228, 0.89463, 1.11341, 1.43121, 1.79575, 2.18383", \
+                            "0.660253, 0.683452, 0.792671, 1.01075, 1.32858, 1.6896, 2.0812", \
+                            "0.608817, 0.633292, 0.745701, 0.960135, 1.27788, 1.63922, 2.0306" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.44331, 1.42406, 1.33496, 1.20722, 1.0955, 1.01207, 0.97735", \
+                            "1.452, 1.43275, 1.34365, 1.21593, 1.1042, 1.023, 0.986887", \
+                            "1.50502, 1.48555, 1.39645, 1.26874, 1.15838, 1.07586, 1.04305", \
+                            "1.57949, 1.56013, 1.47103, 1.34332, 1.23158, 1.15918, 1.11344", \
+                            "1.65396, 1.6346, 1.5455, 1.41779, 1.30746, 1.22983, 1.18874", \
+                            "1.68025, 1.66892, 1.57179, 1.44419, 1.33375, 1.24898, 1.21507", \
+                            "1.6797, 1.66056, 1.57102, 1.44353, 1.33188, 1.26298, 1.21458" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.71566, 0.682385, 0.571043, 0.363594, 0.063811, 0, 0", \
+                            "0.719543, 0.689392, 0.579766, 0.371888, 0.071896, 0, 0", \
+                            "0.770715, 0.742049, 0.630762, 0.419848, 0.124979, 0, 0", \
+                            "0.848485, 0.819291, 0.706783, 0.498938, 0.199296, 0, 0", \
+                            "1.03212, 1.00853, 0.899624, 0.676742, 0.369435, 0.043153, 0", \
+                            "1.20446, 1.18557, 1.07295, 0.853545, 0.546216, 0.221941, 0", \
+                            "1.34068, 1.31692, 1.20125, 0.984863, 0.677534, 0.352286, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00791289;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0, 0, 0, 0, 0.102069, 0.184997, 0.225557", \
+                            "0, 0, 0, 0, 0.0940808, 0.173274, 0.217582", \
+                            "0, 0, 0, 0, 0.036597, 0.120458, 0.16234", \
+                            "0, 0, 0, 0, 0, 0.042119, 0.0895004", \
+                            "0, 0, 0, 0, 0, 0, 0.016995", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.440979, 0.476201, 0.589446, 0.797863, 1.09671, 1.43979, 1.83194", \
+                            "0.44242, 0.468952, 0.581856, 0.789272, 1.09096, 1.43759, 1.82677", \
+                            "0.388047, 0.41481, 0.528275, 0.739893, 1.03366, 1.3772, 1.77045", \
+                            "0.311102, 0.34111, 0.454091, 0.661023, 0.960872, 1.30856, 1.69873", \
+                            "0.241223, 0.267805, 0.381997, 0.588665, 0.88473, 1.23695, 1.62624", \
+                            "0.214099, 0.235558, 0.352517, 0.561374, 0.8613, 1.20426, 1.59819", \
+                            "0.209532, 0.237844, 0.353518, 0.561088, 0.862114, 1.20192, 1.59852" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.4443, 1.42923, 1.33859, 1.20722, 1.09821, 1.0213, 0.97735", \
+                            "1.45299, 1.43792, 1.34728, 1.21593, 1.10692, 1.03001, 0.986887", \
+                            "1.50568, 1.49061, 1.39997, 1.26874, 1.15963, 1.08272, 1.04305", \
+                            "1.58037, 1.5653, 1.47466, 1.34332, 1.23428, 1.15918, 1.11344", \
+                            "1.65484, 1.63977, 1.54913, 1.41779, 1.30878, 1.23184, 1.18874", \
+                            "1.68124, 1.66892, 1.57553, 1.44419, 1.33507, 1.2582, 1.21507", \
+                            "1.68058, 1.66551, 1.57487, 1.44353, 1.33452, 1.26298, 1.21458" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.715814, 0.68277, 0.574035, 0.365695, 0.06974, 0, 0", \
+                            "0.719543, 0.689447, 0.582736, 0.373615, 0.077979, 0, 0", \
+                            "0.775379, 0.742049, 0.633732, 0.419848, 0.131135, 0, 0", \
+                            "0.850113, 0.821865, 0.710127, 0.501105, 0.20535, 0, 0", \
+                            "0.922361, 0.893497, 0.782034, 0.575443, 0.279805, 0, 0", \
+                            "0.950697, 0.924198, 0.812427, 0.60181, 0.306163, 0, 0", \
+                            "0.950532, 0.92433, 0.810392, 0.601227, 0.305613, 0, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0422508;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.990792, 0.981717, 1.03287, 1.20749, 1.43044, 1.64318, 1.78772", \
+                            "0.986766, 0.97493, 1.02698, 1.19859, 1.42351, 1.63636, 1.78046", \
+                            "0.931425, 0.92015, 0.970277, 1.14385, 1.36708, 1.57938, 1.7226", \
+                            "0.856768, 0.846725, 0.898216, 1.07079, 1.29016, 1.51173, 1.65187", \
+                            "0.785675, 0.773212, 0.823295, 0.998338, 1.21834, 1.43495, 1.57509", \
+                            "0.759792, 0.745327, 0.798061, 0.972631, 1.19433, 1.41328, 1.55496", \
+                            "0.75889, 0.746152, 0.797753, 0.969496, 1.20054, 1.40701, 1.55331" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.32, 1.33177, 1.4289, 1.62767, 1.91433, 2.22618, 2.55365", \
+                            "1.3145, 1.32286, 1.41746, 1.62162, 1.90817, 2.21716, 2.54617", \
+                            "1.25853, 1.26712, 1.36378, 1.56739, 1.85339, 2.1648, 2.48952", \
+                            "1.18375, 1.19531, 1.2888, 1.49545, 1.77793, 2.08681, 2.41461", \
+                            "1.10979, 1.12362, 1.21802, 1.42406, 1.70621, 2.01344, 2.34311", \
+                            "1.08655, 1.09828, 1.19259, 1.39623, 1.68201, 1.99188, 2.31935", \
+                            "1.07958, 1.09256, 1.19161, 1.39326, 1.67849, 1.98803, 2.31429" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.878768, 0.890549, 0.839773, 0.755359, 0.690118, 0.608575, 0.541288", \
+                            "0.904596, 0.916509, 0.865601, 0.781198, 0.715946, 0.626263, 0.563585", \
+                            "0.989483, 1.00129, 0.950521, 0.866118, 0.800866, 0.711161, 0.651706", \
+                            "1.15177, 1.16403, 1.11313, 1.02872, 0.963468, 0.881925, 0.814638", \
+                            "1.34772, 1.35982, 1.30911, 1.22464, 1.1594, 1.07786, 1.01057", \
+                            "1.51503, 1.5268, 1.47609, 1.39271, 1.32638, 1.24485, 1.1774", \
+                            "1.64384, 1.65583, 1.60512, 1.52064, 1.45541, 1.3739, 1.30658" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.941743, 0.923318, 0.837892, 0.746647, 0.669108, 0.592625, 0.549428", \
+                            "0.967252, 0.949179, 0.863731, 0.772475, 0.694947, 0.618453, 0.575267", \
+                            "1.05223, 1.03401, 0.94864, 0.857384, 0.779922, 0.703549, 0.660264", \
+                            "1.21499, 1.19667, 1.11125, 1.01999, 0.942535, 0.865975, 0.822877", \
+                            "1.41108, 1.3926, 1.30713, 1.21593, 1.1384, 1.06191, 1.01871", \
+                            "1.57773, 1.55958, 1.47422, 1.38292, 1.30537, 1.22907, 1.18581", \
+                            "1.70709, 1.68861, 1.60325, 1.51195, 1.4344, 1.35795, 1.31483" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.017406;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.01317, 1.03808, 1.10243, 1.16015, 1.1768, 1.11988, 1.03993", \
+                            "1.00427, 1.02838, 1.09472, 1.15488, 1.16686, 1.11569, 1.02849", \
+                            "0.951566, 0.974468, 1.04909, 1.09636, 1.11131, 1.05383, 0.977845", \
+                            "0.877525, 0.896544, 0.970255, 1.02111, 1.03631, 0.985094, 0.906158", \
+                            "0.804441, 0.82731, 0.891484, 0.951346, 0.966713, 0.917026, 0.829983", \
+                            "0.779207, 0.796686, 0.871673, 0.923835, 0.940687, 0.885643, 0.813208", \
+                            "0.779801, 0.799194, 0.866921, 0.924187, 0.937585, 0.887546, 0.807158" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.173778, 0.181393, 0.287217, 0.508343, 0.788535, 1.11742, 1.49963", \
+                            "0.163617, 0.173557, 0.280938, 0.50105, 0.781528, 1.10971, 1.49193", \
+                            "0.108316, 0.118342, 0.225909, 0.445335, 0.726396, 1.05457, 1.43671", \
+                            "0.036454, 0.043846, 0.150262, 0.36993, 0.651717, 0.97988, 1.36202", \
+                            "0, 0, 0.078144, 0.298156, 0.578545, 0.907324, 1.28898", \
+                            "0, 0, 0.053988, 0.273964, 0.554455, 0.882618, 1.26638", \
+                            "0, 0, 0.051612, 0.271615, 0.551958, 0.881045, 1.26246" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.612843, 0.590953, 0.519354, 0.459481, 0.448602, 0.495363, 0.577346", \
+                            "0.620873, 0.60049, 0.528286, 0.473187, 0.457468, 0.505626, 0.580679", \
+                            "0.678073, 0.654478, 0.581493, 0.527241, 0.51106, 0.562639, 0.635305", \
+                            "0.74822, 0.728574, 0.651156, 0.596266, 0.584078, 0.632742, 0.711029", \
+                            "0.821953, 0.801317, 0.730246, 0.672419, 0.659538, 0.703505, 0.786973", \
+                            "0.846252, 0.826452, 0.75449, 0.697334, 0.682792, 0.7282, 0.805112", \
+                            "0.844459, 0.82698, 0.754127, 0.696773, 0.683144, 0.73084, 0.811041" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.0883, 1.0807, 0.974413, 0.755645, 0.476135, 0.149227, 0", \
+                            "1.0966, 1.08902, 0.982608, 0.76395, 0.484429, 0.157539, 0", \
+                            "1.15288, 1.14444, 1.03803, 0.819368, 0.539847, 0.212958, 0", \
+                            "1.22445, 1.21697, 1.11056, 0.891891, 0.612381, 0.285496, 0", \
+                            "1.29855, 1.29116, 1.18474, 0.966075, 0.68662, 0.359678, 0", \
+                            "1.32176, 1.31428, 1.20793, 0.989274, 0.709742, 0.382866, 0.000209", \
+                            "1.32275, 1.31516, 1.2088, 0.990143, 0.710611, 0.383735, 0.001078" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 7.1898e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_4v50.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_4v50.lib
new file mode 100644
index 0000000..f9c3e2c
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_4v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__ss_n40C_4v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 4.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.008;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 4.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_4p5v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 4.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_4p5v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.253;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+			  index_2 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "5.39904, 5.42688, 5.50332, 5.63472, 5.82348, 6.08964, 6.43908", \
+                            "5.403, 5.4312, 5.50812, 5.6388, 5.82588, 6.09204, 6.44388", \
+                            "5.40648, 5.43768, 5.51196, 5.64564, 5.82084, 6.09276, 6.44256", \
+                            "5.427, 5.45148, 5.52996, 5.6658, 5.85336, 6.11376, 6.46236", \
+                            "5.44392, 5.47152, 5.54832, 5.67876, 5.8674, 6.13176, 6.48", \
+                            "5.4672, 5.49012, 5.57232, 5.6982, 5.8884, 6.1566, 6.50496", \
+                            "5.46492, 5.48928, 5.5638, 5.69664, 5.88636, 6.15312, 6.5004" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+  	                     "0.17556, 0.217584, 0.333636, 0.560532, 0.921924, 1.446, 2.12808" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "5.58336, 5.61756, 5.69076, 5.81424, 5.97324, 6.17004, 6.43176", \
+                            "5.58972, 5.6202, 5.69724, 5.8182, 5.97228, 6.17388, 6.43416", \
+                            "5.58996, 5.62572, 5.69904, 5.82252, 5.9658, 6.17736, 6.43716", \
+                            "5.60676, 5.63712, 5.7096, 5.84556, 5.99988, 6.19164, 6.45552", \
+                            "5.63112, 5.65644, 5.7348, 5.856, 6.01212, 6.21036, 6.4722", \
+                            "5.65248, 5.6814, 5.76108, 5.87868, 6.036, 6.23628, 6.498", \
+                            "5.65044, 5.67444, 5.75364, 5.87112, 6.03228, 6.23256, 6.49284" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "0.178176, 0.2076, 0.30174, 0.447432, 0.669312, 0.983772, 1.39476" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.317495;
+                  clock                : true;
+                  max_transition       : 2.008;
+                  min_pulse_width_high : 2.55696;
+                  min_pulse_width_low  : 2.56524;
+                  min_period           : 7.29681;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("702.945, 702.945, 702.945, 702.945, 702.945, 702.945, 702.945");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("702.945, 702.945, 702.945, 702.945, 702.945, 702.945, 702.945");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("0.000162171, 0.000162171, 0.000162171, 0.000162171, 0.000162171, 0.000162171, 0.000162171");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("0.000162171, 0.000162171, 0.000162171, 0.000162171, 0.000162171, 0.000162171, 0.000162171");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("632.295, 632.295, 632.295, 632.295, 632.295, 632.295, 632.295");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("632.295, 632.295, 632.295, 632.295, 632.295, 632.295, 632.295");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0186547;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.511874, 0.505021, 0.498905, 0.514393, 0.558998, 0.610951, 0.661243", \
+                            "0.508376, 0.504229, 0.495099, 0.51084, 0.547118, 0.608388, 0.649352", \
+                            "0.505318, 0.501127, 0.49159, 0.507452, 0.551342, 0.593747, 0.636977", \
+                            "0.503932, 0.49973, 0.490765, 0.506429, 0.55033, 0.588896, 0.623029", \
+                            "0.503371, 0.498927, 0.490556, 0.505824, 0.549791, 0.589402, 0.623403", \
+                            "0.502535, 0.495924, 0.489489, 0.505098, 0.549087, 0.587631, 0.622732", \
+                            "0.502183, 0.495319, 0.488587, 0.504636, 0.548592, 0.588269, 0.622314" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.458975, 0.457875, 0.476784, 0.515328, 0.586586, 0.669152, 0.75955", \
+                            "0.455158, 0.454575, 0.472934, 0.511896, 0.583121, 0.665082, 0.757361", \
+                            "0.451682, 0.451143, 0.469491, 0.508387, 0.578226, 0.661914, 0.752631", \
+                            "0.450604, 0.450384, 0.468809, 0.507386, 0.578633, 0.661199, 0.752884", \
+                            "0.450274, 0.452177, 0.467929, 0.506946, 0.576114, 0.660352, 0.751036", \
+                            "0.446952, 0.449196, 0.466246, 0.506088, 0.575938, 0.659967, 0.751685", \
+                            "0.449009, 0.44836, 0.46706, 0.505681, 0.574915, 0.659164, 0.74987" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.23242, 1.23928, 1.24539, 1.2299, 1.18531, 1.14756, 1.11231", \
+                            "1.23934, 1.24348, 1.25261, 1.23687, 1.2006, 1.15209, 1.12904", \
+                            "1.25749, 1.26167, 1.27127, 1.25534, 1.21145, 1.16906, 1.13775", \
+                            "1.29734, 1.30152, 1.31054, 1.29481, 1.25094, 1.21238, 1.17824", \
+                            "1.35927, 1.36378, 1.37214, 1.35685, 1.31285, 1.27325, 1.23928", \
+                            "1.40888, 1.41548, 1.42197, 1.40635, 1.36235, 1.32374, 1.28865", \
+                            "1.45585, 1.46267, 1.46938, 1.45343, 1.40943, 1.36972, 1.33573" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.28535, 1.28645, 1.26753, 1.22896, 1.15771, 1.07514, 0.984742", \
+                            "1.29261, 1.29316, 1.27479, 1.23582, 1.16458, 1.08262, 0.990352", \
+                            "1.31109, 1.31164, 1.29327, 1.25442, 1.18458, 1.10088, 1.01016", \
+                            "1.35069, 1.35091, 1.33243, 1.29393, 1.22264, 1.14007, 1.0484", \
+                            "1.4124, 1.41053, 1.3948, 1.35575, 1.28656, 1.20232, 1.11164", \
+                            "1.46443, 1.46223, 1.44518, 1.40536, 1.33551, 1.25146, 1.15974", \
+                            "1.50898, 1.50964, 1.49094, 1.45233, 1.38314, 1.29888, 1.20814" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0509742;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.674146, 0.677534, 0.696278, 0.736417, 0.804309, 0.889163, 0.967538", \
+                            "0.669647, 0.672518, 0.691394, 0.732039, 0.800272, 0.883927, 0.96063", \
+                            "0.650859, 0.654698, 0.672551, 0.713119, 0.781957, 0.866173, 0.944295", \
+                            "0.613316, 0.616242, 0.635096, 0.675741, 0.74459, 0.828498, 0.904354", \
+                            "0.5478, 0.551606, 0.569338, 0.609906, 0.678304, 0.761849, 0.841104", \
+                            "0.493339, 0.496804, 0.515064, 0.555676, 0.62447, 0.708444, 0.786808", \
+                            "0.431321, 0.434775, 0.453035, 0.493559, 0.56243, 0.645535, 0.724801" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.716375, 0.720885, 0.747098, 0.803286, 0.892485, 1.01245, 1.14419", \
+                            "0.711678, 0.71654, 0.743446, 0.798028, 0.888547, 1.00808, 1.14151", \
+                            "0.692835, 0.697763, 0.72413, 0.778239, 0.869913, 0.989021, 1.1222", \
+                            "0.655369, 0.66022, 0.685201, 0.740377, 0.831798, 0.950829, 1.08481", \
+                            "0.589611, 0.595551, 0.620851, 0.676654, 0.765985, 0.88583, 1.01899", \
+                            "0.53548, 0.541244, 0.566643, 0.622644, 0.712415, 0.83204, 0.965041", \
+                            "0.473143, 0.478247, 0.503877, 0.559185, 0.650155, 0.767624, 0.900647" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.993575, 0.990814, 0.970233, 0.93214, 0.882882, 0.837419, 0.801273", \
+                            "1.0004, 0.99715, 0.976569, 0.938476, 0.889163, 0.847022, 0.809589", \
+                            "1.00609, 1.00334, 0.982751, 0.944669, 0.895345, 0.849937, 0.813802", \
+                            "1.01618, 1.0131, 0.992519, 0.954426, 0.905113, 0.859705, 0.825517", \
+                            "1.03871, 1.03555, 1.01497, 0.976877, 0.927564, 0.885412, 0.846021", \
+                            "1.05371, 1.05097, 1.03037, 0.992277, 0.942964, 0.897556, 0.861421", \
+                            "1.05552, 1.05227, 1.03169, 0.993399, 0.944273, 0.902121, 0.86273" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.530288, 0.525338, 0.491986, 0.437129, 0.350152, 0.24353, 0.114559", \
+                            "0.53405, 0.531025, 0.502117, 0.443806, 0.356477, 0.248413, 0.116255", \
+                            "0.540496, 0.536426, 0.505824, 0.450219, 0.361394, 0.25583, 0.124046", \
+                            "0.548889, 0.547503, 0.516626, 0.461472, 0.372504, 0.266691, 0.134357", \
+                            "0.584496, 0.57794, 0.553707, 0.496969, 0.404327, 0.292281, 0.170076", \
+                            "0.662101, 0.657019, 0.631818, 0.575201, 0.482768, 0.369996, 0.247844", \
+                            "0.748462, 0.742819, 0.718047, 0.66286, 0.568293, 0.45914, 0.33528" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00819588;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.031823", \
+                            "0, 0, 0, 0, 0, 0, 0.024816", \
+                            "0, 0, 0, 0, 0, 0, 0.018381", \
+                            "0, 0, 0, 0, 0, 0, 0.008173", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.280148, 0.284405, 0.318516, 0.373516, 0.460218, 0.568216, 0.694342", \
+                            "0.276331, 0.278828, 0.307527, 0.36685, 0.453321, 0.561715, 0.691647", \
+                            "0.26968, 0.273316, 0.304183, 0.358435, 0.447601, 0.553773, 0.684277", \
+                            "0.26034, 0.262266, 0.29293, 0.346599, 0.436557, 0.543477, 0.673057", \
+                            "0.237927, 0.241666, 0.270775, 0.326524, 0.414447, 0.521851, 0.651222", \
+                            "0.222456, 0.225136, 0.256126, 0.312455, 0.400587, 0.504823, 0.637461", \
+                            "0.218693, 0.224027, 0.255645, 0.308044, 0.397485, 0.50072, 0.632192" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.99572, 0.990814, 0.972664, 0.93456, 0.882882, 0.838321, 0.801273", \
+                            "1.0023, 0.997326, 0.979231, 0.941138, 0.889163, 0.847022, 0.809589", \
+                            "1.00845, 1.00346, 0.98538, 0.947287, 0.895345, 0.851048, 0.813802", \
+                            "1.0182, 1.01322, 0.995137, 0.957044, 0.905113, 0.860805, 0.825517", \
+                            "1.04066, 1.03568, 1.01759, 0.979506, 0.927564, 0.885412, 0.846021", \
+                            "1.05604, 1.05106, 1.03298, 0.994884, 0.942964, 0.898645, 0.861421", \
+                            "1.05736, 1.05238, 1.0343, 0.996204, 0.944273, 0.902121, 0.86273" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.530288, 0.525514, 0.493977, 0.437129, 0.350152, 0.24353, 0.115308", \
+                            "0.53405, 0.531025, 0.50402, 0.443806, 0.357467, 0.248413, 0.118177", \
+                            "0.540496, 0.536426, 0.509498, 0.450219, 0.361394, 0.25583, 0.124436", \
+                            "0.549241, 0.54802, 0.519717, 0.461472, 0.372504, 0.266691, 0.134692", \
+                            "0.571846, 0.567677, 0.540067, 0.482724, 0.395439, 0.288354, 0.156652", \
+                            "0.587235, 0.58476, 0.557117, 0.497002, 0.408991, 0.302005, 0.171469", \
+                            "0.590348, 0.58553, 0.555874, 0.500346, 0.412313, 0.306999, 0.176172" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0422366;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.618596, 0.61688, 0.610071, 0.631334, 0.683232, 0.7414, 0.800426", \
+                            "0.613954, 0.610027, 0.605528, 0.622655, 0.675851, 0.736703, 0.794409", \
+                            "0.605913, 0.602558, 0.597432, 0.615527, 0.666182, 0.729322, 0.783915", \
+                            "0.597377, 0.593186, 0.588038, 0.606309, 0.65769, 0.716826, 0.780032", \
+                            "0.574277, 0.571494, 0.565598, 0.583671, 0.638286, 0.697411, 0.750662", \
+                            "0.558448, 0.55517, 0.54736, 0.568524, 0.618134, 0.681846, 0.735427", \
+                            "0.559009, 0.552926, 0.54835, 0.565268, 0.621577, 0.680559, 0.734217" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.88341, 0.887095, 0.903342, 0.947914, 1.01929, 1.11389, 1.22079", \
+                            "0.87857, 0.881144, 0.899668, 0.94182, 1.01478, 1.10624, 1.21536", \
+                            "0.871948, 0.874082, 0.891825, 0.934967, 1.00714, 1.10056, 1.20817", \
+                            "0.861971, 0.863456, 0.881848, 0.923318, 0.997095, 1.08878, 1.19772", \
+                            "0.837914, 0.84282, 0.858561, 0.901406, 0.973753, 1.06774, 1.17602", \
+                            "0.822943, 0.827519, 0.841115, 0.887392, 0.957682, 1.05195, 1.1606", \
+                            "0.820853, 0.82456, 0.843029, 0.884389, 0.957077, 1.05081, 1.15817" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.636449, 0.641311, 0.645546, 0.638396, 0.640629, 0.636647, 0.6336", \
+                            "0.640376, 0.646492, 0.649517, 0.645139, 0.644589, 0.640618, 0.63756", \
+                            "0.660869, 0.665764, 0.670263, 0.665621, 0.665082, 0.661111, 0.658053", \
+                            "0.699413, 0.704176, 0.708411, 0.704033, 0.703483, 0.699512, 0.696465", \
+                            "0.760287, 0.765116, 0.769791, 0.765006, 0.764467, 0.760485, 0.757438", \
+                            "0.831732, 0.836693, 0.841148, 0.83644, 0.835901, 0.83193, 0.828872", \
+                            "0.901604, 0.907676, 0.911108, 0.906323, 0.905806, 0.902968, 0.898744" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.657316, 0.653554, 0.636724, 0.607673, 0.597014, 0.597652, 0.606881", \
+                            "0.661309, 0.657514, 0.639386, 0.611644, 0.600985, 0.601623, 0.610852", \
+                            "0.682011, 0.678018, 0.659879, 0.632137, 0.621478, 0.622149, 0.630762", \
+                            "0.720016, 0.716408, 0.698852, 0.670538, 0.659879, 0.660517, 0.669746", \
+                            "0.781209, 0.777381, 0.75999, 0.731522, 0.720863, 0.72149, 0.73073", \
+                            "0.852599, 0.848826, 0.832876, 0.802956, 0.792297, 0.792957, 0.802164", \
+                            "0.922999, 0.918709, 0.902011, 0.872828, 0.862169, 0.86284, 0.871453" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.017553;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.594715, 0.60071, 0.617089, 0.639331, 0.645249, 0.632599, 0.607409", \
+                            "0.589006, 0.594352, 0.609895, 0.63371, 0.639122, 0.628749, 0.60027", \
+                            "0.580679, 0.58729, 0.603251, 0.625933, 0.632225, 0.620158, 0.592295", \
+                            "0.570108, 0.576268, 0.594198, 0.613437, 0.621346, 0.612535, 0.582505", \
+                            "0.549758, 0.555434, 0.56936, 0.594693, 0.599412, 0.588016, 0.562408", \
+                            "0.53218, 0.536569, 0.555291, 0.578193, 0.584496, 0.571351, 0.546062", \
+                            "0.53097, 0.536437, 0.554224, 0.576004, 0.581636, 0.572209, 0.540727" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.116831, 0.117738, 0.138508, 0.202619, 0.295504, 0.423973, 0.575399", \
+                            "0.111984, 0.109101, 0.132766, 0.198784, 0.289729, 0.419177, 0.569701", \
+                            "0.100762, 0.104372, 0.124643, 0.191505, 0.282392, 0.410674, 0.562232", \
+                            "0.0943877, 0.0933735, 0.114381, 0.179266, 0.27213, 0.401412, 0.551903", \
+                            "0.0713548, 0.0723998, 0.0926563, 0.15665, 0.253367, 0.378763, 0.529793", \
+                            "0.055572, 0.0552761, 0.0768284, 0.14324, 0.237306, 0.362758, 0.514745", \
+                            "0.054054, 0.054076, 0.0749386, 0.141704, 0.232694, 0.36113, 0.512127" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.518309, 0.512039, 0.496386, 0.472351, 0.468347, 0.478445, 0.506121", \
+                            "0.524601, 0.518727, 0.502326, 0.478951, 0.473088, 0.485067, 0.514063", \
+                            "0.532345, 0.52448, 0.509267, 0.485122, 0.479006, 0.490468, 0.520663", \
+                            "0.54296, 0.536415, 0.519123, 0.49676, 0.490655, 0.500742, 0.530695", \
+                            "0.561748, 0.557931, 0.540474, 0.515757, 0.51183, 0.523864, 0.55253", \
+                            "0.580096, 0.57354, 0.557788, 0.531905, 0.526317, 0.541453, 0.567908", \
+                            "0.581812, 0.575399, 0.557568, 0.534776, 0.529001, 0.539924, 0.570119" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.752059, 0.751553, 0.730631, 0.66583, 0.569822, 0.444906, 0.296373", \
+                            "0.757119, 0.757108, 0.735834, 0.669372, 0.575377, 0.450461, 0.301928", \
+                            "0.76494, 0.763994, 0.743094, 0.676522, 0.582252, 0.457336, 0.308803", \
+                            "0.774279, 0.774312, 0.753412, 0.688589, 0.59257, 0.467654, 0.319121", \
+                            "0.796114, 0.795773, 0.774972, 0.710138, 0.614119, 0.489203, 0.340681", \
+                            "0.812812, 0.812097, 0.791439, 0.724603, 0.630597, 0.505681, 0.357148", \
+                            "0.814132, 0.81356, 0.79277, 0.726187, 0.631917, 0.507001, 0.358468" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.000162171;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_1v80.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_1v80.lib
new file mode 100644
index 0000000..196ce0d
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_1v80.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_1v80) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 1.8;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 4.617;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.8);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_1p8v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 1.8;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_1p8v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 0.9747;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+			  index_2 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "15.606, 15.7128, 15.8748, 16.2516, 16.7244, 17.4108, 18.312", \
+                            "15.696, 15.697, 15.9588, 16.2384, 16.74, 17.4336, 18.3948", \
+                            "15.6852, 15.7464, 15.9936, 16.314, 16.8024, 17.4984, 18.432", \
+                            "15.8268, 15.8628, 16.086, 16.4244, 16.9188, 17.6028, 18.5184", \
+                            "15.9924, 16.0488, 16.242, 16.6068, 17.1048, 17.8248, 18.69", \
+                            "16.1736, 16.2552, 16.4436, 16.7736, 17.3016, 17.9484, 18.9012", \
+                            "16.4208, 16.4928, 16.6872, 17.0376, 17.5524, 18.2124, 19.1292" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+  	                     "0.497952, 0.592416, 0.8847, 1.43652, 2.36748, 3.64152, 5.34576" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "16.5192, 16.6176, 16.74, 17.0112, 17.2668, 17.6064, 18.0528", \
+                            "16.6056, 16.607, 16.8384, 17.0016, 17.3076, 17.6496, 18.1416", \
+                            "16.6032, 16.6368, 16.8576, 17.082, 17.352, 17.6988, 18.168", \
+                            "16.7316, 16.752, 16.9452, 17.1876, 17.4672, 17.826, 18.2784", \
+                            "16.878, 16.9404, 17.1, 17.3772, 17.6568, 18.0204, 18.4176", \
+                            "17.07, 17.1504, 17.2992, 17.5272, 17.8572, 18.1548, 18.6516", \
+                            "17.3268, 17.3964, 17.5524, 17.7984, 18.0912, 18.4128, 18.8664" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "0.506556, 0.562668, 0.744252, 0.987732, 1.3512, 1.89432, 2.53632" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.282833;
+                  clock                : true;
+                  max_transition       : 4.617;
+                  min_pulse_width_high : 10.66629;
+                  min_pulse_width_low  : 10.68435;
+                  min_period           : 21.83145;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("96.498, 96.498, 96.498, 96.498, 96.498, 96.498, 96.498");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("96.498, 96.498, 96.498, 96.498, 96.498, 96.498, 96.498");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("2.60946e-05, 2.60946e-05, 2.60946e-05, 2.60946e-05, 2.60946e-05, 2.60946e-05, 2.60946e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("2.60946e-05, 2.60946e-05, 2.60946e-05, 2.60946e-05, 2.60946e-05, 2.60946e-05, 2.60946e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("88.7733, 88.7733, 88.7733, 88.7733, 88.7733, 88.7733, 88.7733");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("88.7733, 88.7733, 88.7733, 88.7733, 88.7733, 88.7733, 88.7733");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0175504;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.55595, 1.53098, 1.54275, 1.67442, 1.94293, 2.35422, 2.88497", \
+                            "1.53186, 1.51668, 1.51591, 1.64945, 1.93633, 2.34443, 2.88321", \
+                            "1.47576, 1.45233, 1.46641, 1.58653, 1.85383, 2.26512, 2.80126", \
+                            "1.33133, 1.30779, 1.30999, 1.441, 1.70951, 2.14313, 2.65562", \
+                            "1.30447, 1.27684, 1.27677, 1.41273, 1.67387, 2.06734, 2.58929", \
+                            "1.29264, 1.28241, 1.29306, 1.4102, 1.65605, 2.06404, 2.59193", \
+                            "1.3001, 1.28351, 1.28825, 1.41218, 1.67343, 2.06679, 2.56201" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.15192, 1.13876, 1.24158, 1.38699, 1.68179, 2.06107, 2.57103", \
+                            "1.13125, 1.11819, 1.221, 1.36642, 1.66122, 2.0405, 2.55046", \
+                            "1.07964, 1.09283, 1.1709, 1.3255, 1.60908, 1.99144, 2.49106", \
+                            "1.07907, 1.09222, 1.17036, 1.32506, 1.60853, 1.99089, 2.49062", \
+                            "1.0782, 1.07813, 1.16923, 1.32385, 1.60732, 1.98979, 2.48941", \
+                            "1.07835, 1.07858, 1.16954, 1.32418, 1.60765, 1.99023, 2.48974", \
+                            "1.07749, 1.07767, 1.16864, 1.3233, 1.60677, 1.98924, 2.48886" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "3.82745, 3.8445, 3.84835, 3.71789, 3.45455, 3.06163, 2.56597", \
+                            "3.86518, 3.87783, 3.88366, 3.74913, 3.47259, 3.07615, 2.56905", \
+                            "3.9182, 3.93866, 3.92975, 3.81007, 3.54871, 3.15579, 2.65496", \
+                            "4.06626, 4.08683, 4.09101, 3.96, 3.69677, 3.27789, 2.80379", \
+                            "4.31662, 4.34423, 4.34434, 4.20838, 3.94724, 3.55377, 3.03182", \
+                            "4.63639, 4.64662, 4.63595, 4.5188, 4.27295, 3.86496, 3.33707", \
+                            "4.9918, 5.00841, 5.00368, 4.87982, 4.61857, 4.22521, 3.72988" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "4.05042, 4.05053, 3.95934, 3.80468, 3.52121, 3.13874, 2.63912", \
+                            "4.0777, 4.07759, 3.98651, 3.83185, 3.54838, 3.16591, 2.66629", \
+                            "4.1448, 4.1316, 4.0535, 3.89884, 3.61537, 3.2329, 2.73328", \
+                            "4.29286, 4.27966, 4.20156, 4.0469, 3.76343, 3.38096, 2.88134", \
+                            "4.54289, 4.543, 4.45181, 4.29715, 4.01379, 3.63121, 3.13159", \
+                            "4.85067, 4.85045, 4.75948, 4.60482, 4.32135, 3.93877, 3.43926", \
+                            "5.21444, 5.21433, 5.12325, 4.96859, 4.68523, 4.30265, 3.80303" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0444816;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.88353, 1.90762, 1.97934, 2.12245, 2.35224, 2.64187, 2.96208", \
+                            "1.86791, 1.88122, 1.95877, 2.10155, 2.33134, 2.62097, 2.9447", \
+                            "1.79454, 1.81786, 1.89134, 2.03346, 2.26336, 2.55079, 2.87342", \
+                            "1.65286, 1.67695, 1.75241, 1.89178, 2.12179, 2.4134, 2.73119", \
+                            "1.41966, 1.43836, 1.51602, 1.65858, 1.88848, 2.1725, 2.49634", \
+                            "1.20337, 1.22705, 1.30275, 1.4421, 1.67266, 1.96064, 2.28602", \
+                            "0.989604, 1.01459, 1.08596, 1.22874, 1.4586, 1.74251, 2.06844" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.41417, 2.4277, 2.52076, 2.69566, 2.97638, 3.35214, 3.88916", \
+                            "2.39338, 2.40658, 2.49843, 2.67267, 2.95537, 3.33025, 3.86815", \
+                            "2.32507, 2.3397, 2.43045, 2.60667, 2.88739, 3.26227, 3.79753", \
+                            "2.18361, 2.19802, 2.2902, 2.46499, 2.74571, 3.12059, 3.6586", \
+                            "1.95019, 1.96405, 2.057, 2.23179, 2.51251, 2.8875, 3.42463", \
+                            "1.73426, 1.7479, 1.84107, 2.01564, 2.29658, 2.67872, 3.20958", \
+                            "1.52053, 1.53384, 1.62745, 1.80169, 2.08263, 2.45762, 2.99552" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.5795, 2.5641, 2.48193, 2.34707, 2.1406, 1.92203, 1.74856", \
+                            "2.55354, 2.54551, 2.45839, 2.32111, 2.11464, 1.90938, 1.7226", \
+                            "2.50569, 2.48974, 2.40581, 2.27282, 2.06635, 1.84789, 1.67541", \
+                            "2.5465, 2.53055, 2.44849, 2.31363, 2.10716, 1.88826, 1.716", \
+                            "2.6763, 2.66794, 2.57829, 2.44343, 2.23696, 2.02246, 1.84118", \
+                            "2.8556, 2.83954, 2.75715, 2.62262, 2.41615, 2.21089, 2.02279", \
+                            "3.03226, 3.01642, 2.93436, 2.7995, 2.59303, 2.3782, 2.20099" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.28813, 1.26476, 1.17156, 1.00491, 0.717112, 0.33979, 0", \
+                            "1.26168, 1.23848, 1.14567, 0.970453, 0.691097, 0.313666, 0", \
+                            "1.21355, 1.19013, 1.09743, 0.9306, 0.642785, 0.265357, 0", \
+                            "1.25487, 1.23098, 1.13806, 0.971443, 0.683606, 0.306184, 0", \
+                            "1.38655, 1.36037, 1.26792, 1.10129, 0.813538, 0.436051, 0", \
+                            "1.56563, 1.54044, 1.44738, 1.27328, 0.992618, 0.613536, 0.119629", \
+                            "1.74064, 1.71721, 1.62338, 1.44859, 1.16947, 0.792044, 0.296317" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00715846;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.06149, 0.242189", \
+                            "0, 0, 0, 0, 0, 0.070554, 0.264658", \
+                            "0, 0, 0, 0, 0, 0.135983, 0.313477", \
+                            "0, 0, 0, 0, 0, 0.089815, 0.269265", \
+                            "0, 0, 0, 0, 0, 0, 0.143129", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0.695618, 0.714329, 0.808676, 0.97713, 1.26572, 1.63977, 2.13697", \
+                            "0.718289, 0.736494, 0.83303, 1.01124, 1.28817, 1.66254, 2.16293", \
+                            "0.770176, 0.789052, 0.882706, 1.05188, 1.34167, 1.71457, 2.22244", \
+                            "0.72336, 0.742478, 0.837078, 1.00521, 1.2938, 1.66749, 2.17118", \
+                            "0.5918, 0.612777, 0.706794, 0.87362, 1.16362, 1.53802, 2.0471", \
+                            "0.414447, 0.433191, 0.527945, 0.707058, 0.984896, 1.36092, 1.85955", \
+                            "0.239259, 0.263127, 0.353595, 0.532532, 0.80971, 1.1832, 1.68443" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.59006, 2.56927, 2.49282, 2.35048, 2.14643, 1.95393, 1.75824", \
+                            "2.56399, 2.54551, 2.46675, 2.32441, 2.12036, 1.92786, 1.73206", \
+                            "2.51559, 2.49491, 2.41846, 2.27612, 2.07207, 1.87957, 1.68388", \
+                            "2.5564, 2.53572, 2.45938, 2.31693, 2.11288, 1.91994, 1.72469", \
+                            "2.68631, 2.66794, 2.58907, 2.44673, 2.24268, 2.05018, 1.85438", \
+                            "2.86539, 2.8446, 2.76826, 2.62581, 2.42319, 2.22926, 2.03918", \
+                            "3.04216, 3.02148, 2.94514, 2.80269, 2.59875, 2.40614, 2.21045" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.28941, 1.26476, 1.17156, 1.00491, 0.717112, 0.33979, 0", \
+                            "1.26303, 1.23848, 1.14567, 0.970453, 0.691097, 0.313666, 0", \
+                            "1.21506, 1.19013, 1.09743, 0.9306, 0.642785, 0.265357, 0", \
+                            "1.2564, 1.23098, 1.13806, 0.971443, 0.683606, 0.306184, 0", \
+                            "1.38655, 1.36037, 1.26792, 1.10129, 0.813538, 0.436051, 0", \
+                            "1.56563, 1.54044, 1.44738, 1.27328, 0.992618, 0.613536, 0.119629", \
+                            "1.74218, 1.71721, 1.62338, 1.44859, 1.16947, 0.792044, 0.296317" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0389171;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.65363, 1.64857, 1.64241, 1.78717, 2.057, 2.49469, 3.00619", \
+                            "1.67255, 1.67002, 1.69708, 1.80081, 2.07724, 2.49898, 3.0393", \
+                            "1.7314, 1.72854, 1.73415, 1.85493, 2.13092, 2.53693, 3.06625", \
+                            "1.68619, 1.67662, 1.67464, 1.80972, 2.06822, 2.51614, 3.01609", \
+                            "1.56013, 1.54462, 1.53758, 1.68454, 1.95701, 2.39272, 2.9051", \
+                            "1.37511, 1.36917, 1.39007, 1.48027, 1.76572, 2.2044, 2.72008", \
+                            "1.20057, 1.1953, 1.19016, 1.33386, 1.60655, 2.04138, 2.55035" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.03313, 2.05601, 2.12289, 2.29834, 2.57796, 2.98298, 3.48788", \
+                            "2.05799, 2.08032, 2.15435, 2.3243, 2.60381, 3.01092, 3.51373", \
+                            "2.1087, 2.13334, 2.19901, 2.37501, 2.64803, 3.06372, 3.56466", \
+                            "2.06635, 2.08934, 2.15556, 2.32991, 2.61085, 3.02016, 3.51824", \
+                            "1.93039, 1.95723, 2.02565, 2.19736, 2.47621, 2.88189, 3.388", \
+                            "1.75516, 1.77958, 1.84338, 2.01817, 2.2913, 2.7016, 3.20826", \
+                            "1.58268, 1.60809, 1.67442, 1.84613, 2.1296, 2.53121, 3.03853" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.31629, 1.32693, 1.31549, 1.17123, 0.922559, 0.574662, 0.207891", \
+                            "1.33936, 1.35003, 1.33661, 1.19473, 0.93478, 0.605088, 0.207755", \
+                            "1.40162, 1.41229, 1.39645, 1.25635, 0.995401, 0.685014, 0.288915", \
+                            "1.5477, 1.55837, 1.54693, 1.40261, 1.15397, 0.800987, 0.437492", \
+                            "1.77815, 1.78882, 1.77738, 1.63306, 1.38446, 1.03656, 0.669779", \
+                            "2.07812, 2.08868, 2.07284, 1.94689, 1.67904, 1.33111, 0.951819", \
+                            "2.38634, 2.39701, 2.38557, 2.24125, 1.99265, 1.64472, 1.27795" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.51184, 1.496, 1.41724, 1.26053, 1.06945, 0.850333, 0.618805", \
+                            "1.53494, 1.5191, 1.44023, 1.28358, 1.0925, 0.873389, 0.641828", \
+                            "1.5972, 1.58136, 1.5026, 1.34585, 1.15479, 0.935671, 0.704121", \
+                            "1.74328, 1.72744, 1.64868, 1.49193, 1.30086, 1.08174, 0.850212", \
+                            "1.97373, 1.958, 1.87913, 1.72238, 1.53131, 1.31222, 1.08071", \
+                            "2.27359, 2.25775, 2.17899, 2.02224, 1.83117, 1.61205, 1.3805", \
+                            "2.58192, 2.56608, 2.48732, 2.33057, 2.1395, 1.92038, 1.68883" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0160438;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.83007, 1.86945, 1.94315, 2.06811, 2.19494, 2.27678, 2.39415", \
+                            "1.8777, 1.89596, 1.95371, 2.09154, 2.22387, 2.30076, 2.40713", \
+                            "1.92126, 1.94348, 2.01542, 2.14258, 2.2715, 2.35004, 2.48622", \
+                            "1.87385, 1.90267, 1.96988, 2.09473, 2.23322, 2.30604, 2.42924", \
+                            "1.72139, 1.76814, 1.83271, 1.95404, 2.07856, 2.17041, 2.29218", \
+                            "1.54297, 1.58708, 1.6478, 1.79366, 1.91807, 1.98066, 2.11915", \
+                            "1.37907, 1.4168, 1.49094, 1.61062, 1.74273, 1.82523, 1.94194" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0.015411, 0.019294, 0.080388, 0.258474, 0.524898, 0.924605, 1.39348", \
+                            "0.041998, 0.04576, 0.113867, 0.284788, 0.55121, 0.943976, 1.41999", \
+                            "0.092785, 0.096701, 0.157487, 0.335577, 0.601997, 0.994752, 1.47114", \
+                            "0.048257, 0.052338, 0.120528, 0.291159, 0.55759, 0.950334, 1.4267", \
+                            "0, 0, 0, 0.157242, 0.423412, 0.814649, 1.29275", \
+                            "0, 0, 0, 0, 0.245447, 0.641828, 1.11426", \
+                            "0, 0, 0, 0, 0.07271, 0.465476, 0.941798" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0.968759, 0.942183, 0.865304, 0.745415, 0.61578, 0.534567, 0.409222", \
+                            "0.93918, 0.918819, 0.848914, 0.716518, 0.594143, 0.511401, 0.392084", \
+                            "0.892452, 0.865997, 0.795487, 0.669218, 0.539572, 0.458348, 0.338778", \
+                            "0.940511, 0.91399, 0.844679, 0.71896, 0.589347, 0.50666, 0.387673", \
+                            "1.07301, 1.0465, 0.978758, 0.850201, 0.722502, 0.638891, 0.513546", \
+                            "1.25173, 1.22531, 1.16067, 1.02347, 0.900592, 0.83006, 0.689315", \
+                            "1.42274, 1.39623, 1.31948, 1.19947, 1.06986, 0.988636, 0.863302" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.10793, 2.10892, 2.03995, 1.86406, 1.59115, 1.20717, 0.738639", \
+                            "2.08461, 2.0856, 2.01663, 1.84074, 1.56783, 1.18388, 0.715352", \
+                            "2.0317, 2.03236, 1.96372, 1.78783, 1.51492, 1.13099, 0.662442", \
+                            "2.07988, 2.08043, 2.01179, 1.8359, 1.56299, 1.17904, 0.71049", \
+                            "2.21232, 2.21287, 2.14423, 1.96834, 1.69543, 1.31151, 0.842963", \
+                            "2.39107, 2.39206, 2.32309, 2.1472, 1.87429, 1.49028, 1.0218", \
+                            "2.56212, 2.563, 2.49403, 2.31814, 2.04523, 1.66122, 1.19272" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 2.60946e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_3v30.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_3v30.lib
new file mode 100644
index 0000000..15cd25d
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_3v30.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_3v30) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 3.3;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 3.1779;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.3);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_3p3v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 3.3;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_3p3v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0423;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+			  index_2 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "6.29796, 6.31248, 6.41184, 6.55188, 6.7566, 7.0296, 7.3974", \
+                            "6.29268, 6.3204, 6.40128, 6.54888, 6.75336, 7.03404, 7.40472", \
+                            "6.32052, 6.34356, 6.4272, 6.5658, 6.77868, 7.05612, 7.42176", \
+                            "6.33756, 6.36492, 6.45444, 6.59232, 6.79968, 7.08072, 7.44384", \
+                            "6.37188, 6.39828, 6.48156, 6.62388, 6.82992, 7.1058, 7.47792", \
+                            "6.37248, 6.39732, 6.48648, 6.62604, 6.83712, 7.11756, 7.48428", \
+                            "6.3522, 6.38496, 6.46872, 6.6072, 6.816, 7.09992, 7.4658" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+  	                     "0.228036, 0.267924, 0.398844, 0.645612, 1.0324, 1.599, 2.33568" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "6.5484, 6.56568, 6.65784, 6.76728, 6.924, 7.09932, 7.33704", \
+                            "6.54528, 6.57384, 6.64632, 6.774, 6.92604, 7.11588, 7.34916", \
+                            "6.57132, 6.59436, 6.66948, 6.7884, 6.95076, 7.13088, 7.365", \
+                            "6.58992, 6.61584, 6.69888, 6.81672, 6.97656, 7.15152, 7.38684", \
+                            "6.6234, 6.64488, 6.7254, 6.84492, 7.00248, 7.18128, 7.4166", \
+                            "6.62448, 6.65064, 6.7278, 6.84612, 7.00272, 7.1916, 7.425", \
+                            "6.60432, 6.639, 6.71664, 6.8304, 6.9852, 7.17732, 7.40496" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "0.230184, 0.25476, 0.350112, 0.491472, 0.691176, 0.981708, 1.34988" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.293691;
+                  clock                : true;
+                  max_transition       : 3.1779;
+                  min_pulse_width_high : 2.76393;
+                  min_pulse_width_low  : 2.865195;
+                  min_period           : 8.405325;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("357.571, 357.571, 357.571, 357.571, 357.571, 357.571, 357.571");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("357.571, 357.571, 357.571, 357.571, 357.571, 357.571, 357.571");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("8.8011e-05, 8.8011e-05, 8.8011e-05, 8.8011e-05, 8.8011e-05, 8.8011e-05, 8.8011e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("8.8011e-05, 8.8011e-05, 8.8011e-05, 8.8011e-05, 8.8011e-05, 8.8011e-05, 8.8011e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("324.472, 324.472, 324.472, 324.472, 324.472, 324.472, 324.472");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("324.472, 324.472, 324.472, 324.472, 324.472, 324.472, 324.472");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0175673;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.613305, 0.605594, 0.606364, 0.658812, 0.732644, 0.792077, 0.817817", \
+                            "0.606089, 0.59466, 0.594726, 0.648032, 0.725912, 0.777557, 0.822833", \
+                            "0.585607, 0.575333, 0.575311, 0.62161, 0.694881, 0.746515, 0.775995", \
+                            "0.585255, 0.575113, 0.576257, 0.620521, 0.672551, 0.700381, 0.726165", \
+                            "0.58487, 0.574288, 0.575212, 0.621038, 0.676654, 0.697961, 0.719686", \
+                            "0.584672, 0.574541, 0.575003, 0.620818, 0.676335, 0.696982, 0.706937", \
+                            "0.584386, 0.573793, 0.574728, 0.620532, 0.676016, 0.727991, 0.753764" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.54208, 0.549131, 0.583077, 0.665654, 0.788975, 0.927883, 1.07858", \
+                            "0.540903, 0.547932, 0.58157, 0.664477, 0.786973, 0.926717, 1.07742", \
+                            "0.539396, 0.546425, 0.580283, 0.662981, 0.785488, 0.925232, 1.07591", \
+                            "0.539165, 0.546348, 0.580382, 0.661089, 0.78705, 0.925023, 1.07568", \
+                            "0.538747, 0.546293, 0.579821, 0.662387, 0.784707, 0.92466, 1.07532", \
+                            "0.538626, 0.545677, 0.581328, 0.662189, 0.783849, 0.924429, 1.07512", \
+                            "0.538329, 0.54582, 0.579029, 0.659131, 0.784443, 0.924198, 1.07485" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.59401, 1.6038, 1.6027, 1.5576, 1.50634, 1.4806, 1.47147", \
+                            "1.60237, 1.61315, 1.61249, 1.56673, 1.51118, 1.4905, 1.46806", \
+                            "1.63482, 1.64505, 1.64505, 1.59874, 1.5433, 1.52262, 1.51272", \
+                            "1.70808, 1.7182, 1.7171, 1.67288, 1.62085, 1.595, 1.58576", \
+                            "1.79553, 1.80609, 1.80521, 1.75934, 1.70379, 1.68245, 1.66067", \
+                            "1.87968, 1.8898, 1.88925, 1.84349, 1.78794, 1.76737, 1.75736", \
+                            "1.92984, 1.94051, 1.93952, 1.89376, 1.83832, 1.81676, 1.80763" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.63977, 1.63273, 1.59874, 1.51613, 1.39282, 1.25393, 1.10323", \
+                            "1.6489, 1.64186, 1.60831, 1.52537, 1.40283, 1.26312, 1.11242", \
+                            "1.68102, 1.67398, 1.6401, 1.55738, 1.43495, 1.29514, 1.14448", \
+                            "1.75417, 1.74702, 1.71303, 1.63229, 1.50634, 1.36829, 1.21769", \
+                            "1.84162, 1.83414, 1.80059, 1.71798, 1.59566, 1.45574, 1.30515", \
+                            "1.92566, 1.91862, 1.88298, 1.80213, 1.68047, 1.53989, 1.38919", \
+                            "1.97593, 1.96845, 1.93523, 1.85515, 1.72986, 1.59005, 1.43946" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0481696;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.823779, 0.83314, 0.867185, 0.935528, 1.03209, 1.12153, 1.20352", \
+                            "0.814132, 0.823504, 0.857109, 0.925903, 1.0218, 1.11382, 1.19356", \
+                            "0.781913, 0.791285, 0.824813, 0.893662, 0.989703, 1.08159, 1.16164", \
+                            "0.714076, 0.723448, 0.757493, 0.825055, 0.921866, 1.01182, 1.09384", \
+                            "0.643874, 0.653576, 0.686862, 0.755667, 0.85173, 0.941644, 1.02361", \
+                            "0.584232, 0.593604, 0.627187, 0.695981, 0.792022, 0.883905, 0.963963", \
+                            "0.548097, 0.557095, 0.590887, 0.659604, 0.755392, 0.84546, 0.927443" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.919853, 0.9251, 0.972422, 1.06675, 1.21217, 1.40129, 1.60677", \
+                            "0.909095, 0.915475, 0.962335, 1.05711, 1.20394, 1.39172, 1.5972", \
+                            "0.877954, 0.883267, 0.930479, 1.02487, 1.17395, 1.35949, 1.56497", \
+                            "0.808159, 0.815419, 0.859749, 0.95458, 1.1059, 1.29164, 1.49523", \
+                            "0.738001, 0.745228, 0.791692, 0.886875, 1.0363, 1.22146, 1.42593", \
+                            "0.680328, 0.685553, 0.730543, 0.827211, 0.975931, 1.16179, 1.3673", \
+                            "0.642708, 0.649055, 0.695904, 0.790757, 0.939774, 1.12529, 1.33078" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.13801, 1.13051, 1.0968, 1.03541, 0.981959, 0.946935, 0.930864", \
+                            "1.14536, 1.13777, 1.10408, 1.04267, 0.999064, 0.95601, 0.938135", \
+                            "1.16382, 1.15632, 1.12265, 1.06121, 1.0176, 0.974556, 0.956681", \
+                            "1.17922, 1.17172, 1.13799, 1.07661, 1.02318, 0.988141, 0.972081", \
+                            "1.20507, 1.19756, 1.16388, 1.10248, 1.05886, 1.01401, 0.997964", \
+                            "1.21521, 1.2077, 1.17402, 1.11262, 1.069, 1.02597, 1.00811", \
+                            "1.20176, 1.1945, 1.16082, 1.09941, 1.05581, 1.01096, 0.994906" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.592031, 0.582439, 0.531927, 0.438746, 0.299548, 0.119712, 0", \
+                            "0.600974, 0.589754, 0.538197, 0.445918, 0.307582, 0.126975, 0", \
+                            "0.618057, 0.608553, 0.559251, 0.464431, 0.325094, 0.145522, 0", \
+                            "0.630531, 0.623711, 0.575311, 0.483538, 0.340615, 0.160959, 0", \
+                            "0.658493, 0.64988, 0.603526, 0.506814, 0.366674, 0.18689, 0", \
+                            "0.750651, 0.745745, 0.70147, 0.602668, 0.453145, 0.279854, 0.086196", \
+                            "0.826166, 0.821282, 0.77451, 0.67815, 0.528308, 0.355377, 0.161761" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.007649;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.032142, 0.047498", \
+                            "0, 0, 0, 0, 0, 0.023463, 0.039809", \
+                            "0, 0, 0, 0, 0, 0.006809, 0.023144", \
+                            "0, 0, 0, 0, 0, 0, 0.006677", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.373186, 0.385055, 0.435897, 0.527505, 0.668327, 0.848067, 1.04874", \
+                            "0.362538, 0.376233, 0.428912, 0.51986, 0.659593, 0.840488, 1.04136", \
+                            "0.347897, 0.359909, 0.409662, 0.504625, 0.64504, 0.823724, 1.02443", \
+                            "0.334785, 0.343189, 0.392337, 0.483164, 0.627451, 0.807224, 1.00247", \
+                            "0.307824, 0.31636, 0.368775, 0.459767, 0.600556, 0.780109, 0.974171", \
+                            "0.296565, 0.307373, 0.357203, 0.450901, 0.591723, 0.771639, 0.972532", \
+                            "0.306328, 0.320067, 0.371943, 0.463254, 0.604384, 0.7832, 0.98461" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.13801, 1.13051, 1.09788, 1.03667, 0.985358, 0.946935, 0.930864", \
+                            "1.14536, 1.13777, 1.10513, 1.04391, 0.999064, 0.95601, 0.938135", \
+                            "1.16382, 1.15632, 1.1237, 1.06249, 1.0176, 0.974556, 0.956681", \
+                            "1.17922, 1.17172, 1.13908, 1.07787, 1.02655, 0.988141, 0.972081", \
+                            "1.20507, 1.19756, 1.16502, 1.10381, 1.05886, 1.01401, 0.997964", \
+                            "1.21521, 1.2077, 1.17517, 1.11396, 1.069, 1.02597, 1.00811", \
+                            "1.20177, 1.1945, 1.16194, 1.10073, 1.05581, 1.01096, 0.994906" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.592031, 0.582439, 0.531927, 0.439461, 0.299548, 0.120274, 0", \
+                            "0.60148, 0.589754, 0.538197, 0.446611, 0.307582, 0.127514, 0", \
+                            "0.618574, 0.608553, 0.559251, 0.465157, 0.325094, 0.146092, 0", \
+                            "0.630531, 0.623711, 0.575311, 0.483538, 0.340615, 0.161504, 0", \
+                            "0.65659, 0.64977, 0.598092, 0.506495, 0.366674, 0.18689, 0", \
+                            "0.669801, 0.659802, 0.611237, 0.516758, 0.376739, 0.197568, 0", \
+                            "0.658493, 0.646613, 0.59499, 0.503481, 0.363033, 0.184343, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.038934;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.750684, 0.745492, 0.747604, 0.79992, 0.880891, 0.944449, 0.989076", \
+                            "0.741862, 0.739728, 0.737055, 0.793881, 0.871398, 0.935792, 0.981332", \
+                            "0.729938, 0.718993, 0.723272, 0.776358, 0.856438, 0.9196, 0.964205", \
+                            "0.712096, 0.707168, 0.705001, 0.759506, 0.833965, 0.898128, 0.947584", \
+                            "0.685289, 0.680317, 0.676698, 0.7326, 0.812801, 0.875556, 0.922911", \
+                            "0.673354, 0.668041, 0.668393, 0.722612, 0.805695, 0.866657, 0.913264", \
+                            "0.68695, 0.683023, 0.683584, 0.737858, 0.816508, 0.880506, 0.925749" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.00675, 1.0155, 1.05386, 1.13518, 1.2657, 1.42043, 1.59412", \
+                            "0.999735, 1.00856, 1.04688, 1.12648, 1.25708, 1.41295, 1.58279", \
+                            "0.983026, 0.99132, 1.02951, 1.10926, 1.24135, 1.39381, 1.57003", \
+                            "0.966438, 0.975183, 1.01219, 1.0932, 1.22503, 1.37885, 1.55386", \
+                            "0.94017, 0.948541, 0.986469, 1.06628, 1.19779, 1.35135, 1.52581", \
+                            "0.932415, 0.938982, 0.976811, 1.05927, 1.18897, 1.34277, 1.51712", \
+                            "0.943503, 0.951819, 0.991232, 1.06992, 1.20149, 1.3552, 1.53131" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.695497, 0.701624, 0.693583, 0.674916, 0.664884, 0.656623, 0.645986", \
+                            "0.707586, 0.714802, 0.70686, 0.688182, 0.678161, 0.669878, 0.659263", \
+                            "0.742214, 0.748198, 0.740157, 0.72149, 0.711469, 0.703197, 0.69256", \
+                            "0.805915, 0.813307, 0.805178, 0.7865, 0.776479, 0.768218, 0.757581", \
+                            "0.902143, 0.908259, 0.900218, 0.881551, 0.87153, 0.863258, 0.852621", \
+                            "0.967164, 0.974479, 0.966438, 0.94776, 0.937739, 0.929467, 0.918841", \
+                            "1.01974, 1.02574, 1.0177, 0.99902, 0.988999, 0.980738, 0.970101" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.729157, 0.720335, 0.68233, 0.638715, 0.613954, 0.605583, 0.611358", \
+                            "0.742445, 0.733612, 0.695607, 0.651981, 0.62722, 0.618849, 0.624624", \
+                            "0.775731, 0.766909, 0.728904, 0.685289, 0.660506, 0.652146, 0.657932", \
+                            "0.840763, 0.83193, 0.793925, 0.75031, 0.725527, 0.717178, 0.722953", \
+                            "0.935803, 0.926981, 0.888998, 0.845361, 0.8206, 0.812218, 0.817993", \
+                            "1.00199, 0.99319, 0.955207, 0.91157, 0.886798, 0.878416, 0.884202", \
+                            "1.05327, 1.04446, 1.00645, 0.96283, 0.938047, 0.929687, 0.935462" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0167013;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.715649, 0.721974, 0.748154, 0.771694, 0.770473, 0.736197, 0.661353", \
+                            "0.706211, 0.714835, 0.741125, 0.762388, 0.761255, 0.727012, 0.649946", \
+                            "0.690129, 0.694155, 0.729487, 0.744062, 0.744403, 0.709082, 0.632676", \
+                            "0.675675, 0.680911, 0.706299, 0.730026, 0.726198, 0.691691, 0.617914", \
+                            "0.649429, 0.654731, 0.684563, 0.704847, 0.700403, 0.665643, 0.594495", \
+                            "0.639045, 0.643467, 0.67298, 0.696388, 0.694243, 0.658097, 0.586278", \
+                            "0.651618, 0.659747, 0.68739, 0.705958, 0.705408, 0.671583, 0.594814" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.156043, 0.15911, 0.201089, 0.303721, 0.456643, 0.642554, 0.873675", \
+                            "0.148739, 0.152123, 0.193594, 0.296218, 0.449284, 0.63734, 0.863566", \
+                            "0.131712, 0.13516, 0.17414, 0.279279, 0.432212, 0.618288, 0.849343", \
+                            "0.115005, 0.119349, 0.160765, 0.263423, 0.416328, 0.606903, 0.833459", \
+                            "0.088121, 0.0924099, 0.133898, 0.236538, 0.388322, 0.575531, 0.807169", \
+                            "0.078826, 0.083094, 0.122078, 0.227294, 0.380402, 0.566236, 0.798556", \
+                            "0.0927344, 0.0974336, 0.137585, 0.240198, 0.393151, 0.579238, 0.811569" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.551155, 0.542927, 0.513931, 0.495099, 0.494593, 0.532015, 0.600985", \
+                            "0.556809, 0.551364, 0.518903, 0.503602, 0.503657, 0.539605, 0.60797", \
+                            "0.573936, 0.56683, 0.534941, 0.5192, 0.519453, 0.557942, 0.620785", \
+                            "0.588753, 0.58322, 0.555247, 0.535634, 0.536415, 0.574398, 0.641652", \
+                            "0.621214, 0.611061, 0.574035, 0.561418, 0.562727, 0.599698, 0.668228", \
+                            "0.626285, 0.61974, 0.58828, 0.569987, 0.57123, 0.60896, 0.678183", \
+                            "0.61457, 0.60731, 0.574926, 0.558811, 0.557766, 0.596387, 0.664708" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.866569, 0.864809, 0.82489, 0.719444, 0.567952, 0.379258, 0.153358", \
+                            "0.875061, 0.872916, 0.833393, 0.727947, 0.576455, 0.38775, 0.161861", \
+                            "0.891308, 0.889152, 0.849629, 0.744183, 0.592691, 0.403986, 0.178096", \
+                            "0.907874, 0.905674, 0.866206, 0.76076, 0.609268, 0.420574, 0.194676", \
+                            "0.934582, 0.932426, 0.892903, 0.787457, 0.635965, 0.44726, 0.220639", \
+                            "0.944416, 0.94226, 0.902748, 0.797302, 0.64581, 0.457105, 0.231212", \
+                            "0.930941, 0.928796, 0.889262, 0.783816, 0.632324, 0.44363, 0.217733" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 8.8011e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_5v00.lib b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_5v00.lib
new file mode 100644
index 0000000..a4d4275
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram128x8m8wm1/gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_5v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram128x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[6:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram128x8m8wm1__tt_025C_5v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 5.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.547;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_5p0v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 5.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_5p0v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 7;
+                bit_from  : 6;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram128x8m8wm1) {
+        area             : 116118.5168;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 7;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.119;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+			  index_2 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "4.4262, 4.44312, 4.4988, 4.60104, 4.752, 4.953, 5.22384", \
+                            "4.42188, 4.4442, 4.49952, 4.60056, 4.7442, 4.956, 5.22276", \
+                            "4.4256, 4.44684, 4.50612, 4.60524, 4.75476, 4.9554, 5.22624", \
+                            "4.43208, 4.44912, 4.50648, 4.6092, 4.75224, 4.96296, 5.22888", \
+                            "4.44444, 4.4592, 4.52568, 4.62192, 4.77084, 4.97376, 5.24352", \
+                            "4.44732, 4.4658, 4.52196, 4.62792, 4.77348, 4.97616, 5.24688", \
+                            "4.4394, 4.45776, 4.51752, 4.61844, 4.7658, 4.9722, 5.23848" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+  	                     "0.167676, 0.193668, 0.291912, 0.472932, 0.76212, 1.18394, 1.73784" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "4.57224, 4.58748, 4.64664, 4.73568, 4.85796, 5.01012, 5.20512", \
+                            "4.5684, 4.59, 4.64544, 4.73808, 4.8558, 5.0148, 5.20632", \
+                            "4.57272, 4.59432, 4.65336, 4.74528, 4.866, 5.01432, 5.20968", \
+                            "4.5774, 4.59684, 4.65228, 4.7472, 4.86144, 5.02044, 5.20944", \
+                            "4.58976, 4.60548, 4.67076, 4.75872, 4.88136, 5.03004, 5.22552", \
+                            "4.59252, 4.6122, 4.66896, 4.7652, 4.88364, 5.03376, 5.22912", \
+                            "4.584, 4.60008, 4.66224, 4.75512, 4.87308, 5.02872, 5.22072" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "0.174084, 0.197784, 0.26496, 0.383628, 0.545748, 0.788952, 1.10188" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.298116;
+                  clock                : true;
+                  max_transition       : 1.547;
+                  min_pulse_width_high : 2.246385;
+                  min_pulse_width_low  : 2.37336;
+                  min_period           : 5.880525;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("897.5, 897.5, 897.5, 897.5, 897.5, 897.5, 897.5");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("897.5, 897.5, 897.5, 897.5, 897.5, 897.5, 897.5");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("0.000202445, 0.000202445, 0.000202445, 0.000202445, 0.000202445, 0.000202445, 0.000202445");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("0.000202445, 0.000202445, 0.000202445, 0.000202445, 0.000202445, 0.000202445, 0.000202445");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("805.25, 805.25, 805.25, 805.25, 805.25, 805.25, 805.25");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("805.25, 805.25, 805.25, 805.25, 805.25, 805.25, 805.25");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0174878;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.434929, 0.431321, 0.423269, 0.430562, 0.454531, 0.488686, 0.522654", \
+                            "0.433246, 0.429605, 0.421894, 0.428945, 0.453508, 0.487003, 0.519761", \
+                            "0.432817, 0.428549, 0.42108, 0.427933, 0.452463, 0.473033, 0.506418", \
+                            "0.432058, 0.428362, 0.420651, 0.427735, 0.449966, 0.469755, 0.494571", \
+                            "0.431673, 0.428285, 0.420332, 0.427625, 0.449614, 0.472483, 0.494186", \
+                            "0.432036, 0.428373, 0.420046, 0.427097, 0.451649, 0.472197, 0.492833", \
+                            "0.43186, 0.427955, 0.41987, 0.426921, 0.451473, 0.471999, 0.492613" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.406582, 0.408023, 0.418231, 0.443157, 0.494582, 0.556908, 0.626846", \
+                            "0.405548, 0.406527, 0.417274, 0.442134, 0.493581, 0.555874, 0.627858", \
+                            "0.403744, 0.405966, 0.41646, 0.441199, 0.493405, 0.554851, 0.626835", \
+                            "0.404316, 0.405416, 0.416064, 0.441012, 0.49236, 0.554642, 0.626648", \
+                            "0.403205, 0.405504, 0.415712, 0.440561, 0.493053, 0.554279, 0.626285", \
+                            "0.402952, 0.404811, 0.415437, 0.440319, 0.491766, 0.554004, 0.626021", \
+                            "0.402754, 0.404987, 0.415228, 0.44011, 0.491568, 0.55385, 0.625834" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "1.10946, 1.11307, 1.12111, 1.11383, 1.08986, 1.0693, 1.04748", \
+                            "1.11511, 1.11877, 1.12647, 1.11942, 1.09486, 1.07432, 1.05259", \
+                            "1.12565, 1.12991, 1.13739, 1.13054, 1.10601, 1.08544, 1.06371", \
+                            "1.15566, 1.15936, 1.16707, 1.15998, 1.13775, 1.11796, 1.09315", \
+                            "1.19595, 1.19934, 1.20729, 1.2, 1.17801, 1.15514, 1.13344", \
+                            "1.23068, 1.23434, 1.24267, 1.23561, 1.21106, 1.19052, 1.16988", \
+                            "1.25854, 1.26245, 1.2705, 1.26347, 1.23893, 1.21839, 1.19779" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "1.1378, 1.13637, 1.12615, 1.10123, 1.04981, 0.987481, 0.917543", \
+                            "1.14281, 1.14183, 1.13109, 1.10624, 1.05479, 0.992486, 0.920502", \
+                            "1.15473, 1.1525, 1.14201, 1.11726, 1.06506, 1.00362, 0.931634", \
+                            "1.1834, 1.1823, 1.17165, 1.14671, 1.09536, 1.03309, 0.961081", \
+                            "1.22442, 1.22212, 1.21193, 1.18707, 1.13457, 1.07335, 1.00134", \
+                            "1.25976, 1.25789, 1.24728, 1.2224, 1.17095, 1.10871, 1.0367", \
+                            "1.28766, 1.28546, 1.27512, 1.25029, 1.19884, 1.13655, 1.06457" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0496559;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.589193, 0.59389, 0.605121, 0.633292, 0.676577, 0.731445, 0.78925", \
+                            "0.588038, 0.591866, 0.603328, 0.631554, 0.674784, 0.730862, 0.78716", \
+                            "0.574607, 0.579095, 0.590898, 0.618904, 0.662629, 0.718553, 0.774697", \
+                            "0.549648, 0.553289, 0.565092, 0.593395, 0.636614, 0.69267, 0.748858", \
+                            "0.505131, 0.509784, 0.520685, 0.549362, 0.592372, 0.649011, 0.705562", \
+                            "0.467126, 0.471218, 0.48213, 0.51062, 0.554004, 0.60852, 0.666413", \
+                            "0.429627, 0.434401, 0.445995, 0.474034, 0.517198, 0.57222, 0.630014" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.627748, 0.63107, 0.64955, 0.688985, 0.756789, 0.846505, 0.950257", \
+                            "0.625944, 0.630201, 0.647878, 0.687203, 0.755007, 0.844734, 0.949564", \
+                            "0.612777, 0.617078, 0.633963, 0.67452, 0.742434, 0.832293, 0.937123", \
+                            "0.587719, 0.591382, 0.609642, 0.648714, 0.716661, 0.806487, 0.911317", \
+                            "0.543191, 0.548207, 0.565862, 0.605198, 0.672474, 0.762729, 0.867548", \
+                            "0.505131, 0.508772, 0.527087, 0.566412, 0.632456, 0.723943, 0.828751", \
+                            "0.467599, 0.471922, 0.49027, 0.529353, 0.595694, 0.68728, 0.791967" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.836748, 0.835901, 0.824802, 0.797852, 0.767382, 0.741664, 0.722326", \
+                            "0.838728, 0.837705, 0.826969, 0.800294, 0.769549, 0.743897, 0.725307", \
+                            "0.839454, 0.838552, 0.827453, 0.800613, 0.770154, 0.744381, 0.725725", \
+                            "0.8448, 0.843953, 0.832854, 0.80619, 0.775511, 0.749804, 0.731203", \
+                            "0.853347, 0.852236, 0.84172, 0.814748, 0.78441, 0.758527, 0.739453", \
+                            "0.857923, 0.857076, 0.845878, 0.819148, 0.788568, 0.763048, 0.744326", \
+                            "0.852302, 0.851477, 0.840169, 0.813714, 0.782969, 0.75724, 0.738221" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.464398, 0.46112, 0.443652, 0.40238, 0.335588, 0.254186, 0.149846", \
+                            "0.466697, 0.463232, 0.445863, 0.404481, 0.336963, 0.256586, 0.151158", \
+                            "0.467808, 0.463375, 0.444906, 0.406208, 0.339086, 0.257092, 0.151403", \
+                            "0.472604, 0.470547, 0.45166, 0.41162, 0.343728, 0.263115, 0.157057", \
+                            "0.482042, 0.477917, 0.460416, 0.41954, 0.354288, 0.270669, 0.165581", \
+                            "0.519024, 0.51546, 0.497013, 0.458205, 0.389026, 0.298969, 0.200841", \
+                            "0.575641, 0.571054, 0.552706, 0.513876, 0.444708, 0.355487, 0.256502" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00784667;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.009262", \
+                            "0, 0, 0, 0, 0, 0, 0.006435", \
+                            "0, 0, 0, 0, 0, 0, 0.006061", \
+                            "0, 0, 0, 0, 0, 0, 0.000484", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.264216, 0.268177, 0.285395, 0.327063, 0.393558, 0.474881, 0.579898", \
+                            "0.26225, 0.266021, 0.283283, 0.324907, 0.392161, 0.472637, 0.577841", \
+                            "0.261436, 0.265561, 0.284493, 0.323257, 0.390203, 0.472087, 0.577137", \
+                            "0.256489, 0.25873, 0.277442, 0.317856, 0.38544, 0.465916, 0.571626", \
+                            "0.246137, 0.250114, 0.267802, 0.308924, 0.373406, 0.45727, 0.562518", \
+                            "0.239931, 0.24659, 0.263283, 0.305668, 0.369666, 0.454069, 0.559185", \
+                            "0.248812, 0.253579, 0.269994, 0.310552, 0.377278, 0.460636, 0.563145" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.836748, 0.835901, 0.824912, 0.799084, 0.768295, 0.741664, 0.722821", \
+                            "0.838728, 0.83787, 0.827057, 0.801229, 0.77044, 0.743897, 0.725307", \
+                            "0.839454, 0.838552, 0.82753, 0.801702, 0.770913, 0.744381, 0.725725", \
+                            "0.8448, 0.843953, 0.832931, 0.807103, 0.776314, 0.749804, 0.731203", \
+                            "0.853347, 0.852533, 0.841731, 0.815903, 0.785114, 0.758527, 0.73964", \
+                            "0.857923, 0.857076, 0.846076, 0.820259, 0.789459, 0.763048, 0.744326", \
+                            "0.852302, 0.851477, 0.840268, 0.81444, 0.783651, 0.75724, 0.738221" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.464585, 0.461252, 0.443652, 0.402534, 0.335588, 0.254186, 0.150095", \
+                            "0.467082, 0.463232, 0.445863, 0.404657, 0.338041, 0.256586, 0.151158", \
+                            "0.468523, 0.463375, 0.444983, 0.406208, 0.339086, 0.257092, 0.151403", \
+                            "0.472879, 0.470547, 0.45166, 0.41162, 0.343728, 0.263115, 0.157057", \
+                            "0.482042, 0.477917, 0.460416, 0.41954, 0.354409, 0.270669, 0.165581", \
+                            "0.48917, 0.482823, 0.464893, 0.423874, 0.357863, 0.275341, 0.169887", \
+                            "0.481107, 0.475827, 0.458997, 0.41888, 0.353309, 0.268677, 0.164114" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0388545;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.515361, 0.516813, 0.510279, 0.520905, 0.552233, 0.586069, 0.622589", \
+                            "0.514888, 0.513084, 0.508585, 0.519618, 0.548647, 0.588016, 0.6226", \
+                            "0.517242, 0.512248, 0.509168, 0.518529, 0.548735, 0.589325, 0.622138", \
+                            "0.509476, 0.506231, 0.50347, 0.513535, 0.542883, 0.581999, 0.617034", \
+                            "0.503162, 0.497992, 0.49335, 0.502843, 0.534545, 0.572671, 0.602536", \
+                            "0.498828, 0.494923, 0.48972, 0.500599, 0.530211, 0.568766, 0.602008", \
+                            "0.500588, 0.49852, 0.496386, 0.50721, 0.538032, 0.57706, 0.60852" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.731335, 0.73557, 0.746251, 0.772629, 0.827915, 0.8987, 0.985347", \
+                            "0.729872, 0.733436, 0.743886, 0.770429, 0.825374, 0.896093, 0.983169", \
+                            "0.729278, 0.731401, 0.743424, 0.769978, 0.824505, 0.896214, 0.983246", \
+                            "0.7238, 0.727683, 0.738111, 0.764434, 0.819368, 0.890681, 0.977504", \
+                            "0.714186, 0.717442, 0.728541, 0.754963, 0.809985, 0.881276, 0.967769", \
+                            "0.711139, 0.714208, 0.72523, 0.751982, 0.806729, 0.878108, 0.964975", \
+                            "0.716848, 0.720357, 0.731247, 0.757812, 0.813043, 0.884213, 0.970409" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.534523, 0.538131, 0.541222, 0.539187, 0.539077, 0.538274, 0.536976", \
+                            "0.537977, 0.541662, 0.544731, 0.542707, 0.542586, 0.541783, 0.540485", \
+                            "0.551353, 0.55495, 0.558052, 0.556039, 0.555907, 0.555104, 0.553806", \
+                            "0.576356, 0.580008, 0.583099, 0.581086, 0.580954, 0.580151, 0.578853", \
+                            "0.617936, 0.621533, 0.624635, 0.622611, 0.622479, 0.621676, 0.620378", \
+                            "0.658405, 0.662233, 0.665313, 0.663311, 0.663179, 0.662376, 0.661078", \
+                            "0.693253, 0.696861, 0.699941, 0.697939, 0.697796, 0.697004, 0.695706" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.547162, 0.544566, 0.53207, 0.515581, 0.510653, 0.515977, 0.525525", \
+                            "0.550484, 0.548042, 0.535579, 0.51909, 0.514151, 0.519486, 0.529023", \
+                            "0.563794, 0.561385, 0.5489, 0.532411, 0.527472, 0.532807, 0.542344", \
+                            "0.588874, 0.58641, 0.573947, 0.557458, 0.552519, 0.557854, 0.567391", \
+                            "0.630388, 0.627935, 0.615483, 0.598983, 0.594044, 0.599379, 0.608927", \
+                            "0.671198, 0.668657, 0.656172, 0.639683, 0.634744, 0.640079, 0.649627", \
+                            "0.705815, 0.703263, 0.6908, 0.674311, 0.669372, 0.674707, 0.684244" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0167359;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.483098, 0.486409, 0.498344, 0.508343, 0.50391, 0.486992, 0.44946", \
+                            "0.481657, 0.483582, 0.496771, 0.505846, 0.502634, 0.48411, 0.444939", \
+                            "0.481657, 0.482801, 0.494978, 0.506517, 0.499862, 0.483208, 0.445214", \
+                            "0.475937, 0.479479, 0.490446, 0.500082, 0.49489, 0.477719, 0.439219", \
+                            "0.466169, 0.468897, 0.479677, 0.490182, 0.48642, 0.469887, 0.430364", \
+                            "0.463595, 0.465476, 0.477279, 0.486893, 0.483802, 0.466345, 0.426195", \
+                            "0.467709, 0.473264, 0.483857, 0.492316, 0.488862, 0.472252, 0.43472" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.118759, 0.116802, 0.134681, 0.185133, 0.262973, 0.36531, 0.49478", \
+                            "0.116522, 0.114527, 0.131964, 0.182926, 0.260513, 0.363451, 0.492426", \
+                            "0.116474, 0.114067, 0.132382, 0.182459, 0.260152, 0.362989, 0.491601", \
+                            "0.110723, 0.106766, 0.126023, 0.175298, 0.254821, 0.35607, 0.486838", \
+                            "0.100671, 0.0990187, 0.117129, 0.167409, 0.244885, 0.34672, 0.476927", \
+                            "0.0973984, 0.0957407, 0.113461, 0.162317, 0.24191, 0.342155, 0.473561", \
+                            "0.104064, 0.101794, 0.119616, 0.170211, 0.247876, 0.34936, 0.479732" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.462242, 0.45793, 0.448349, 0.438119, 0.439604, 0.458821, 0.497002", \
+                            "0.464035, 0.461615, 0.449086, 0.440066, 0.44319, 0.46255, 0.498861", \
+                            "0.46453, 0.46343, 0.450956, 0.439527, 0.443179, 0.46332, 0.500159", \
+                            "0.4697, 0.46706, 0.456566, 0.446149, 0.449779, 0.468963, 0.505681", \
+                            "0.478852, 0.47311, 0.465575, 0.454674, 0.458964, 0.47641, 0.515669", \
+                            "0.481305, 0.479105, 0.467038, 0.45848, 0.459932, 0.479391, 0.517968", \
+                            "0.475277, 0.472175, 0.460922, 0.452507, 0.455411, 0.473748, 0.510895" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.650562, 0.652575, 0.634436, 0.584705, 0.506517, 0.405042, 0.276551", \
+                            "0.653136, 0.654808, 0.636669, 0.586938, 0.50875, 0.407275, 0.278784", \
+                            "0.653169, 0.655193, 0.637054, 0.587323, 0.509135, 0.407671, 0.279169", \
+                            "0.658845, 0.662222, 0.642499, 0.592779, 0.514107, 0.413116, 0.284614", \
+                            "0.667986, 0.6699, 0.651761, 0.60203, 0.523842, 0.422367, 0.293876", \
+                            "0.671044, 0.672936, 0.654786, 0.605066, 0.526878, 0.425403, 0.296912", \
+                            "0.665126, 0.667172, 0.649, 0.59928, 0.521092, 0.419617, 0.291126" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.000202445;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.cdl b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.cdl
new file mode 100755
index 0000000..1026454
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.cdl
@@ -0,0 +1,3051 @@
+* Copyright 2022 GlobalFoundries PDK Authors
+*
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     http://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+**************************************
+* Revision: 1.0
+**************************************
+
+
+*.SCALE METER
+
+.SUBCKT power_route_04
+** N=2 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I01
+** N=2765 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I06
+** N=2653 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$47122476
+** N=5 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I08
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I09 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=6.81e-06 AD=2.9964e-12 AS=2.9964e-12 PD=1.45e-05 PS=1.45e-05 NRD=0.0646109 NRS=0.0646109 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46889004 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+X0 1 2 3 4 pmos_5p0_I09 $T=-155 0 0 0 $X=-1195 $Y=-620
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I12 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=6.81e-06 AD=2.9964e-12 AS=2.9964e-12 PD=1.45e-05 PS=1.45e-05 NRD=0.0646109 NRS=0.0646109 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47119404 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+X0 1 2 3 4 nmos_5p0_I12 $T=-155 0 0 0 $X=-835 $Y=-620
+.ENDS
+***************************************
+.SUBCKT ypass_gate vss 3 b d bb db ypass pcb vdd
+** N=26 EP=9 IP=25 FDC=5
+*.SEEDPROM
+X2 bb b pcb vdd pmos_5p0_I09 $T=1240 50985 1 0 $X=200 $Y=43555
+X3 bb db 3 vdd pmos_5p0_I09 $T=1250 43050 1 0 $X=210 $Y=35620
+X4 b d 3 vdd pmos_1p2$$46889004 $T=1405 15300 1 0 $X=-25 $Y=7790
+X5 b d ypass vss nmos_1p2$$47119404 $T=1405 24575 1 0 $X=260 $Y=17090
+X6 bb db ypass vss nmos_1p2$$47119404 $T=1405 34595 1 0 $X=260 $Y=27110
+.ENDS
+***************************************
+.SUBCKT mux821 1 2 3 4 5 6 7 8 9 13 14 15 16 17 18 19 20 21 22 23
++ 24 25 26 27 28 29 30 31 32 33 42 43 44 45 46 47 48
+** N=86 EP=37 IP=165 FDC=48
+*.SEEDPROM
+M0 13 42 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=1510 $Y=2370 $D=2
+M1 16 43 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=3750 $Y=2370 $D=2
+M2 19 44 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=7705 $Y=2370 $D=2
+M3 22 45 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=9945 $Y=2370 $D=2
+M4 25 46 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=13895 $Y=2370 $D=2
+M5 28 47 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=16135 $Y=2370 $D=2
+M6 31 48 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=20090 $Y=2370 $D=2
+M7 2 9 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=22330 $Y=2370 $D=2
+X10 5 3 7 8 pmos_5p0_I09 $T=23310 51440 1 0 $X=22270 $Y=44010
+X11 5 6 2 8 pmos_5p0_I09 $T=23320 43505 1 0 $X=22280 $Y=36075
+X12 3 4 2 8 pmos_1p2$$46889004 $T=23475 15755 1 0 $X=22045 $Y=8245
+X13 3 4 9 1 nmos_1p2$$47119404 $T=23475 25030 1 0 $X=22330 $Y=17545
+X14 5 6 9 1 nmos_1p2$$47119404 $T=23475 35050 1 0 $X=22330 $Y=27565
+X15 1 13 15 4 14 6 42 7 8 ypass_gate $T=3490 455 1 180 $X=-1160 $Y=0
+X16 1 16 18 4 17 6 43 7 8 ypass_gate $T=3490 455 0 0 $X=2385 $Y=0
+X17 1 19 21 4 20 6 44 7 8 ypass_gate $T=9685 455 1 180 $X=5035 $Y=0
+X18 1 22 24 4 23 6 45 7 8 ypass_gate $T=9685 455 0 0 $X=8580 $Y=0
+X19 1 25 27 4 26 6 46 7 8 ypass_gate $T=15875 455 1 180 $X=11225 $Y=0
+X20 1 28 30 4 29 6 47 7 8 ypass_gate $T=15875 455 0 0 $X=14770 $Y=0
+X21 1 31 33 4 32 6 48 7 8 ypass_gate $T=22070 455 1 180 $X=17420 $Y=0
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I12
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I17
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I10
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$202587180
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I11
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I18 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=3.42e-06 AD=8.892e-13 AS=1.5048e-12 PD=4.46e-06 PS=8.6e-06 NRD=0.304094 NRS=0.51462 m=1 nf=2 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I13
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$202595372
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$202586156
+** N=3 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$202596396
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I08
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I13
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT wen_wm1 vss wep 3 4 5 6 7 8 9 10 11 12 13 men vdd wen GWEN 18 19
+** N=43 EP=19 IP=113 FDC=31
+M0 3 wen vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=1765 $Y=5060 $D=2
+M1 6 men vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=3.562e-13 AS=6.028e-13 PD=1.89e-06 PS=3.62e-06 NRD=0.189781 NRS=0.321168 m=1 nf=1 $X=1765 $Y=8905 $D=2
+M2 vss GWEN 3 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=2885 $Y=5060 $D=2
+M3 vss vss 6 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=3.562e-13 PD=3.62e-06 PS=1.89e-06 NRD=0.321168 NRS=0.189781 m=1 nf=1 $X=2885 $Y=8905 $D=2
+M4 4 3 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5125 $Y=4650 $D=2
+M5 5 6 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5125 $Y=9315 $D=2
+M6 9 6 4 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=7660 $Y=8385 $D=2
+M7 7 10 vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=6.028e-13 PD=3.62e-06 PS=3.62e-06 NRD=0.321168 NRS=0.321168 m=1 nf=1 $X=8920 $Y=4240 $D=2
+M8 11 5 9 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=9970 $Y=9700 $D=2
+M9 vss 12 11 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=11090 $Y=9700 $D=2
+M10 vss 9 12 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=13330 $Y=9700 $D=2
+M11 13 12 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=14450 $Y=9700 $D=2
+M12 wep 7 vss vss nmos_5p0 L=6e-07 W=2.4e-06 AD=7.68e-13 AS=7.68e-13 PD=5.12e-06 PS=5.12e-06 NRD=1.2 NRS=1.2 m=1 nf=3 $X=12720 $Y=4810 $D=2
+M13 vss 13 8 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=6.028e-13 PD=3.62e-06 PS=3.62e-06 NRD=0.321168 NRS=0.321168 m=1 nf=1 $X=17810 $Y=9290 $D=2
+M14 men 8 10 vss nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=20050 $Y=8385 $D=2
+M15 vss 13 10 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=22290 $Y=8385 $D=2
+M16 18 wen vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=1765 $Y=600 $D=8
+M17 19 men vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=1765 $Y=12055 $D=8
+M18 3 GWEN 18 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=2885 $Y=600 $D=8
+M19 6 vss 19 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=2885 $Y=12055 $D=8
+M20 4 3 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5125 $Y=600 $D=8
+M21 5 6 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5125 $Y=12055 $D=8
+M22 9 5 4 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=1.17084e-12 AS=9.988e-13 PD=4.78598e-06 PS=5.42e-06 NRD=0.22722 NRS=0.193833 m=1 nf=1 $X=7660 $Y=12055 $D=8
+M23 11 6 9 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.87097e-13 AS=-6.48697e-13 PD=-2.78573e-06 PS=-2.70573e-06 NRD=-0.745548 NRS=-0.703882 m=1 nf=1 $X=9395 $Y=12055 $D=8
+M24 vdd 12 11 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.14386e-12 PD=5.42e-06 PS=4.72975e-06 NRD=0.193833 NRS=0.221983 m=1 nf=1 $X=11090 $Y=12055 $D=8
+M25 vdd 9 12 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=13330 $Y=12055 $D=8
+M26 13 12 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=14450 $Y=12055 $D=8
+M27 wep 7 vdd vdd pmos_5p0 L=6e-07 W=6e-06 AD=1.92e-12 AS=1.92e-12 PD=9.92e-06 PS=9.92e-06 NRD=0.48 NRS=0.48 m=1 nf=3 $X=12720 $Y=870 $D=8
+M28 men 13 10 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=20050 $Y=12055 $D=8
+X48 vdd 7 10 pmos_5p0_I18 $T=8920 2870 1 0 $X=7880 $Y=540
+X49 vdd 8 13 pmos_5p0_I18 $T=16690 12625 0 0 $X=15650 $Y=12005
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$44997676
+** N=7 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I07
+** N=6 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46286892
+** N=5 EP=0 IP=6 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I06 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=1.135e-05 AD=3.3596e-12 AS=3.3596e-12 PD=1.658e-05 PS=1.658e-05 NRD=0.651982 NRS=0.651982 m=1 nf=5 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I16 1 2 3 4 5
+** N=6 EP=5 IP=0 FDC=2
+M0 2 4 1 2 pmos_5p0 L=6e-07 W=1.2e-06 AD=3.12e-13 AS=5.28e-13 PD=1.72e-06 PS=3.28e-06 NRD=0.216667 NRS=0.366667 m=1 nf=1 $X=0 $Y=0 $D=8
+M1 3 5 2 2 pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=3.12e-13 PD=3.28e-06 PS=1.72e-06 NRD=0.366667 NRS=0.216667 m=1 nf=1 $X=1120 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I03 1 2 3 4 5
+** N=5 EP=5 IP=0 FDC=2
+M0 2 4 1 2 nmos_5p0 L=6e-07 W=6e-07 AD=1.56e-13 AS=2.64e-13 PD=1.12e-06 PS=2.08e-06 NRD=0.433333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+M1 3 5 2 2 nmos_5p0 L=6e-07 W=6e-07 AD=2.64e-13 AS=1.56e-13 PD=2.08e-06 PS=1.12e-06 NRD=0.733333 NRS=0.433333 m=1 nf=1 $X=1120 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46285868
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46281772
+** N=5 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I10
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I05 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I21
+** N=6 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT sacntl_2 vss 2 pcb 4 5 6 7 8 9 10 11 18 19 20 21 22 23 24 25 26
++ se vdd men
+** N=54 EP=23 IP=83 FDC=39
+M0 2 11 vss vss nmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=795 $Y=26115 $D=2
+M1 4 men vss vss nmos_5p0 L=6e-07 W=5.7e-06 AD=1.6872e-12 AS=1.6872e-12 PD=9.8e-06 PS=9.8e-06 NRD=1.29825 NRS=1.29825 m=1 nf=5 $X=855 $Y=4275 $D=2
+M2 vss 10 pcb vss nmos_5p0 L=6e-07 W=1.589e-05 AD=4.54e-12 AS=4.54e-12 PD=2.216e-05 PS=2.216e-05 NRD=0.881057 NRS=0.881057 m=1 nf=7 $X=1950 $Y=9235 $D=2
+M3 5 4 vss vss nmos_5p0 L=6e-07 W=2.86e-06 AD=7.436e-13 AS=1.2584e-12 PD=3.38e-06 PS=6.6e-06 NRD=0.0909091 NRS=0.153846 m=1 nf=1 $X=10910 $Y=8645 $D=2
+M4 6 11 5 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12030 $Y=8645 $D=2
+M5 7 19 6 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13150 $Y=8645 $D=2
+M6 8 19 7 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14270 $Y=8645 $D=2
+M7 9 11 8 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15390 $Y=8645 $D=2
+M8 vss 4 9 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=1.2584e-12 AS=7.436e-13 PD=6.6e-06 PS=3.38e-06 NRD=0.153846 NRS=0.0909091 m=1 nf=1 $X=16510 $Y=8645 $D=2
+M9 10 7 vss vss nmos_5p0 L=6e-07 W=5.22e-06 AD=1.3572e-12 AS=2.2968e-12 PD=6.26e-06 PS=1.22e-05 NRD=0.199234 NRS=0.337165 m=1 nf=2 $X=18750 $Y=8895 $D=2
+M10 11 20 vss vss nmos_5p0 L=6e-07 W=1.44e-06 AD=6.336e-13 AS=6.336e-13 PD=3.76e-06 PS=3.76e-06 NRD=0.305556 NRS=0.305556 m=1 nf=1 $X=21255 $Y=4090 $D=2
+M11 se 19 vss vss nmos_5p0 L=6e-07 W=9.08e-06 AD=2.3608e-12 AS=3.178e-12 PD=1.116e-05 PS=1.642e-05 NRD=0.45815 NRS=0.61674 m=1 nf=4 $X=19460 $Y=25030 $D=2
+M12 2 11 vdd vdd pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=3.0008e-12 PD=7.86e-06 PS=1.54e-05 NRD=0.152493 NRS=0.258065 m=1 nf=2 $X=795 $Y=20945 $D=8
+M13 4 men vdd vdd pmos_5p0 L=6e-07 W=1.135e-05 AD=3.3596e-12 AS=3.3596e-12 PD=1.658e-05 PS=1.658e-05 NRD=0.651982 NRS=0.651982 m=1 nf=5 $X=855 $Y=590 $D=8
+M14 19 2 vdd vdd pmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=5370 $Y=20990 $D=8
+M15 vdd 4 19 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=8730 $Y=20990 $D=8
+M16 pcb 10 vdd vdd pmos_5p0 L=6e-07 W=4.09e-05 AD=1.0634e-11 AS=1.21023e-11 PD=4.61e-05 PS=4.6818e-05 NRD=0.635697 NRS=0.723472 m=1 nf=10 $X=830 $Y=14055 $D=8
+M17 7 19 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.06e-06 PS=9.96e-06 NRD=0.0572687 NRS=0.0969163 m=1 nf=1 $X=14270 $Y=13710 $D=8
+M18 vdd 11 7 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15390 $Y=13710 $D=8
+M19 7 4 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.1804e-12 PD=9.96e-06 PS=5.06e-06 NRD=0.0969163 NRS=0.0572687 m=1 nf=1 $X=16510 $Y=13710 $D=8
+M20 vdd 25 26 vdd pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=5.28e-13 PD=3.28e-06 PS=3.28e-06 NRD=0.366667 NRS=0.366667 m=1 nf=1 $X=18950 $Y=1670 $D=8
+M21 10 7 vdd vdd pmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=4.3584e-12 PD=2.008e-05 PS=2.008e-05 NRD=0.211454 NRS=0.211454 m=1 nf=3 $X=18750 $Y=13710 $D=8
+M22 se 19 vdd vdd pmos_5p0 L=6e-07 W=2.72e-05 AD=7.072e-12 AS=8.0512e-12 PD=3.24e-05 PS=3.856e-05 NRD=0.955882 NRS=1.08824 m=1 nf=10 $X=12740 $Y=20450 $D=8
+X23 vdd 11 20 pmos_5p0_I18 $T=21255 985 0 0 $X=20215 $Y=365
+X27 vss 18 2 vss nmos_5p0_I06 $T=5370 25030 0 0 $X=4690 $Y=24410
+X28 19 18 4 vss nmos_5p0_I06 $T=12415 25030 0 0 $X=11735 $Y=24410
+X29 20 vdd 21 4 vss pmos_5p0_I16 $T=8080 1480 0 0 $X=7040 $Y=860
+X30 22 vdd 23 21 22 pmos_5p0_I16 $T=11705 1480 0 0 $X=10665 $Y=860
+X31 24 vdd 25 23 24 pmos_5p0_I16 $T=15325 1480 0 0 $X=14285 $Y=860
+X32 20 vss 21 4 vss nmos_5p0_I03 $T=8080 4420 0 0 $X=7400 $Y=3800
+X33 22 vss 23 21 22 nmos_5p0_I03 $T=11705 4420 0 0 $X=11025 $Y=3800
+X34 24 vss 25 23 24 nmos_5p0_I03 $T=15325 4420 0 0 $X=14645 $Y=3800
+X39 26 vss 25 vss nmos_5p0_I05 $T=18950 4420 0 0 $X=18270 $Y=3800
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I09
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I14
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I04
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I01
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT outbuf_oe q vss 3 4 5 15 16 17 18 vdd GWE se qp qn 24
+** N=66 EP=15 IP=48 FDC=18
+M0 vss 5 q vss nmos_5p0 L=6e-07 W=1.272e-05 AD=3.3072e-12 AS=4.0704e-12 PD=1.584e-05 PS=2.08e-05 NRD=0.735849 NRS=0.90566 m=1 nf=6 $X=395 $Y=2665 $D=2
+M1 3 GWE vss vss nmos_5p0 L=6e-07 W=1.6e-06 AD=7.04e-13 AS=7.04e-13 PD=4.08e-06 PS=4.08e-06 NRD=0.275 NRS=0.275 m=1 nf=1 $X=8145 $Y=2720 $D=2
+M2 17 3 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=10105 $Y=2700 $D=2
+M3 vss 16 4 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=13175 $Y=12845 $D=2
+M4 5 15 4 vss nmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=2.1792e-12 PD=1.1e-05 PS=1.1e-05 NRD=0.422907 NRS=0.422907 m=1 nf=3 $X=12455 $Y=2720 $D=2
+M5 vss se 15 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=17045 $Y=4035 $D=2
+M6 5 qn 18 vss nmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=1.988e-12 PD=6.72e-06 PS=9.92e-06 NRD=0.183099 NRS=0.246479 m=1 nf=2 $X=19905 $Y=1945 $D=2
+M7 vss 3 18 vss nmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=1.988e-12 PD=6.72e-06 PS=9.92e-06 NRD=0.183099 NRS=0.246479 m=1 nf=2 $X=22145 $Y=1945 $D=2
+M8 vdd 5 q vdd pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=7.2576e-12 PD=2.58e-05 PS=3.408e-05 NRD=0.412698 NRS=0.507937 m=1 nf=6 $X=395 $Y=6190 $D=8
+M9 3 GWE vdd vdd pmos_5p0 L=6e-07 W=4e-06 AD=1.76e-12 AS=1.76e-12 PD=8.88e-06 PS=8.88e-06 NRD=0.11 NRS=0.11 m=1 nf=1 $X=8145 $Y=6395 $D=8
+M10 17 3 vdd vdd pmos_5p0 L=6e-07 W=4.5e-06 AD=1.98e-12 AS=1.98e-12 PD=9.88e-06 PS=9.88e-06 NRD=0.0977778 NRS=0.0977778 m=1 nf=1 $X=10105 $Y=6175 $D=8
+M11 4 16 vdd vdd pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.24202e-12 PD=3.32e-06 PS=5.60564e-06 NRD=0.45614 NRS=0.955691 m=1 nf=2 $X=12055 $Y=10310 $D=8
+M12 5 se 4 vdd pmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=2.1792e-12 PD=1.1e-05 PS=1.1e-05 NRD=0.422907 NRS=0.422907 m=1 nf=3 $X=12455 $Y=6395 $D=8
+M13 16 5 vdd vdd pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=7.79385e-13 PD=3.28e-06 PS=2.57436e-06 NRD=0.366667 NRS=0.541239 m=1 nf=1 $X=15085 $Y=10250 $D=8
+M14 vdd se 15 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=17045 $Y=7030 $D=8
+M15 5 qp 24 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=3.969e-12 PD=1.238e-05 PS=1.841e-05 NRD=0.0917108 NRS=0.123457 m=1 nf=2 $X=19680 $Y=6685 $D=8
+M16 vdd 17 24 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=3.969e-12 PD=1.238e-05 PS=1.841e-05 NRD=0.0917108 NRS=0.123457 m=1 nf=2 $X=21920 $Y=6685 $D=8
+X22 vss 16 5 vss nmos_5p0_I05 $T=15150 13365 1 0 $X=14470 $Y=12145
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46887980 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.361e-05 AD=5.9884e-12 AS=5.9884e-12 PD=2.81e-05 PS=2.81e-05 NRD=0.0323292 NRS=0.0323292 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46273580 1 2 3
+** N=3 EP=3 IP=3 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46883884 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=1.134e-05 AD=4.9896e-12 AS=4.9896e-12 PD=2.356e-05 PS=2.356e-05 NRD=0.0388007 NRS=0.0388007 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I03 1 2 3 4 5 6
+** N=6 EP=6 IP=0 FDC=2
+M0 2 4 1 6 pmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=0 $Y=0 $D=8
+M1 3 5 2 6 pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=1120 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I02 1 2 3 4 5 6
+** N=6 EP=6 IP=0 FDC=2
+M0 2 4 1 6 nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=0 $Y=0 $D=2
+M1 3 5 2 6 nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=1120 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46563372 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT M1_NWELL_I01
+** N=4 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT din vss 2 3 4 d db 7 8 9 10 11 12 vdd datain men wep
+** N=69 EP=16 IP=73 FDC=24
+M0 2 4 vss vss nmos_5p0 L=6e-07 W=1.361e-05 AD=5.9884e-12 AS=5.9884e-12 PD=2.81e-05 PS=2.81e-05 NRD=0.0323292 NRS=0.0323292 m=1 nf=1 $X=260 $Y=10430 $D=2
+M1 3 wep vss vss nmos_5p0 L=6e-07 W=1.14e-06 AD=7.866e-13 AS=7.923e-13 PD=3.66e-06 PS=3.67e-06 NRD=0.605263 NRS=0.609649 m=1 nf=1 $X=3600 $Y=38320 $D=2
+M2 vss 10 4 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=11165 $Y=8655 $D=2
+M3 3 wep vdd vdd pmos_5p0 L=6e-07 W=2.97e-06 AD=1.13602e-12 AS=1.7523e-12 PD=4.5e-06 PS=8.3e-06 NRD=0.515152 NRS=0.794613 m=1 nf=2 $X=3025 $Y=35440 $D=8
+M4 vdd 2 7 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=4.9896e-12 AS=4.9896e-12 PD=2.356e-05 PS=2.356e-05 NRD=0.0388007 NRS=0.0388007 m=1 nf=1 $X=6980 $Y=26220 $D=8
+X5 4 vdd 10 vdd pmos_5p0_I09 $T=11165 455 0 0 $X=10125 $Y=-165
+X6 d 2 3 vdd pmos_1p2$$46889004 $T=2655 26220 0 0 $X=1225 $Y=25510
+X7 db 7 3 vdd pmos_1p2$$46889004 $T=4895 26220 0 0 $X=3465 $Y=25510
+X9 vdd 2 4 pmos_1p2$$46887980 $T=415 26220 0 0 $X=-1015 $Y=25510
+X10 vdd 12 men pmos_1p2$$46273580 $T=2920 7175 1 0 $X=1490 $Y=5355
+X11 vdd 11 4 pmos_1p2$$46273580 $T=7060 8140 1 0 $X=5630 $Y=6320
+X12 d 2 wep vss nmos_1p2$$46883884 $T=2655 12695 0 0 $X=1510 $Y=12010
+X13 db 7 wep vss nmos_1p2$$46883884 $T=4895 12695 0 0 $X=3750 $Y=12010
+X14 7 vss 2 vss nmos_1p2$$46883884 $T=7135 12695 0 0 $X=5990 $Y=12010
+X15 8 vdd 9 datain 8 vdd pmos_5p0_I03 $T=2765 3195 0 0 $X=1725 $Y=2575
+X16 9 10 11 men 12 vdd pmos_5p0_I03 $T=6905 3605 0 0 $X=5865 $Y=2985
+X17 8 vss 9 datain 8 vss nmos_5p0_I02 $T=2765 1790 1 0 $X=2085 $Y=210
+X18 9 10 11 12 men vss nmos_5p0_I02 $T=6905 725 0 0 $X=6225 $Y=105
+X19 vss 12 men vss nmos_1p2$$46563372 $T=3470 9035 0 0 $X=2325 $Y=8350
+X20 vss 11 4 vss nmos_1p2$$46563372 $T=7060 10495 1 0 $X=5915 $Y=8860
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46553132
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46897196 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46898220
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46551084
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT sa 1 vss 3 4 qn qp 7 pcb vdd d db se
+** N=105 EP=12 IP=47 FDC=27
+M0 1 vss vss vss nmos_5p0 L=6e-07 W=3.41e-06 AD=8.866e-13 AS=1.5004e-12 PD=3.93e-06 PS=7.7e-06 NRD=0.0762463 NRS=0.129032 m=1 nf=1 $X=11660 $Y=16585 $D=2
+M1 3 4 1 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12780 $Y=16585 $D=2
+M2 4 1 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=13900 $Y=16585 $D=2
+M3 7 4 vss vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=15170 $Y=8510 $D=2
+M4 1 4 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=16140 $Y=16585 $D=2
+M5 4 1 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=18380 $Y=16585 $D=2
+M6 vss 7 qp vss nmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=17410 $Y=8510 $D=2
+M7 1 4 3 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20620 $Y=16585 $D=2
+M8 qn 1 vss vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=20770 $Y=8510 $D=2
+M9 3 se vss vss nmos_5p0 L=6e-07 W=2.272e-05 AD=5.9072e-12 AS=6.9296e-12 PD=2.688e-05 PS=3.328e-05 NRD=0.732394 NRS=0.859155 m=1 nf=8 $X=12945 $Y=12550 $D=2
+M10 vss vss 1 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=1.5004e-12 AS=8.866e-13 PD=7.7e-06 PS=3.93e-06 NRD=0.129032 NRS=0.0762463 m=1 nf=1 $X=21740 $Y=16585 $D=2
+M11 4 vdd vdd vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=2.366e-13 AS=4.004e-13 PD=1.43e-06 PS=2.7e-06 NRD=0.285714 NRS=0.483516 m=1 nf=1 $X=13985 $Y=24010 $D=8
+M12 vdd 1 4 vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15105 $Y=24010 $D=8
+M13 d pcb vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=15755 $Y=30660 $D=8
+M14 7 4 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=15170 $Y=4385 $D=8
+M15 4 pcb 1 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=16875 $Y=26330 $D=8
+M16 db pcb d vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=16875 $Y=30660 $D=8
+M17 1 4 vdd vdd pmos_5p0 L=6e-07 W=1.82e-06 AD=4.732e-13 AS=4.732e-13 PD=2.86e-06 PS=2.86e-06 NRD=0.571429 NRS=0.571429 m=1 nf=2 $X=16225 $Y=24010 $D=8
+M18 vdd pcb db vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=17995 $Y=30660 $D=8
+M19 4 1 vdd vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=18465 $Y=24010 $D=8
+M20 qp 7 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.1804e-12 PD=5.58e-06 PS=5.58e-06 NRD=0.229075 NRS=0.229075 m=1 nf=2 $X=17410 $Y=4385 $D=8
+M21 vdd vdd 4 vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=4.004e-13 AS=2.366e-13 PD=2.7e-06 PS=1.43e-06 NRD=0.483516 NRS=0.285714 m=1 nf=1 $X=19585 $Y=24010 $D=8
+M22 qn 1 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=19650 $Y=4385 $D=8
+X28 db 1 se vdd pmos_1p2$$46897196 $T=12475 26330 0 0 $X=11045 $Y=25620
+X29 d 4 se vdd pmos_1p2$$46897196 $T=12475 30660 0 0 $X=11045 $Y=29950
+X30 d 4 se vdd pmos_1p2$$46897196 $T=20400 26330 0 0 $X=18970 $Y=25620
+X31 db 1 se vdd pmos_1p2$$46897196 $T=20400 30660 0 0 $X=18970 $Y=29950
+.ENDS
+***************************************
+.SUBCKT saout_m2 1 VSS q datain pcb men VDD b[0] bb[0] WEN b[7] bb[7] bb[6] b[6] b[5] bb[5] bb[4] b[4] b[3] bb[3]
++ bb[2] b[2] b[1] bb[1] 54 GWE ypass[7] ypass[6] ypass[5] ypass[4] ypass[3] ypass[2] ypass[1] ypass[0] GWEN 78 79 80 81 82
++ 83 84
+** N=135 EP=42 IP=161 FDC=187
+*.SEEDPROM
+X0 VSS 54 b[0] 74 bb[0] 77 pcb VDD ypass[0] 78 bb[7] b[7] 79 bb[6] b[6] 80 bb[5] b[5] 81 bb[4]
++ b[4] 82 bb[3] b[3] 83 bb[2] b[2] 84 bb[1] b[1] ypass[7] ypass[6] ypass[5] ypass[4] ypass[3] ypass[2] ypass[1]
++ mux821 $T=2765 83345 0 0 $X=-1345 $Y=83340
+X1 VSS 1 86 89 90 87 92 96 91 97 93 94 95 men VDD WEN GWEN 85 88 wen_wm1 $T=1610 -16845 0 0 $X=100 $Y=-17385
+X2 VSS 98 pcb 72 103 104 105 106 108 111 112 100 99 101 75 102 73 107 109 110
++ 76 VDD men
++ sacntl_2 $T=3160 150 0 0 $X=425 $Y=30
+X3 q VSS 113 115 116 118 117 114 120 VDD GWE 76 134 135 119 outbuf_oe $T=3160 27580 0 0 $X=500 $Y=25785
+X4 VSS 121 124 129 74 77 126 122 125 127 128 123 VDD datain men 1 din $T=1615 39060 0 0 $X=500 $Y=38775
+X5 130 VSS 132 131 135 134 133 pcb VDD 74 77 76 sa $T=3160 43075 0 0 $X=1375 $Y=42095
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_dummy 1 2 3 4 5 7
+** N=9 EP=6 IP=0 FDC=4
+*.SEEDPROM
+M0 1 7 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=180 $Y=260 $D=2
+M1 3 5 1 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=1710 $D=2
+M2 5 1 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=1710 $D=2
+M3 5 7 4 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=2220 $Y=260 $D=2
+.ENDS
+***************************************
+.SUBCKT ICV_6 1 3 4 5 6 7 8 9 10 11
+** N=15 EP=10 IP=18 FDC=8
+*.SEEDPROM
+X0 5 4 1 6 7 3 018SRAM_cell1_dummy $T=-3000 0 0 0 $X=-3340 $Y=-340
+X1 9 8 1 10 11 3 018SRAM_cell1_dummy $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_13 1 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18
+** N=26 EP=17 IP=30 FDC=16
+*.SEEDPROM
+X0 1 1 3 4 5 6 7 8 9 10 ICV_6 $T=-6000 0 0 0 $X=-9340 $Y=-340
+X1 1 1 11 12 13 14 15 16 17 18 ICV_6 $T=0 0 0 0 $X=-3340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_14 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21
+** N=29 EP=17 IP=32 FDC=16
+*.SEEDPROM
+X0 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 ICV_13 $T=-3000 0 0 0 $X=-12340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1
+** N=8 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_2x 1 2 3 5 6 7 8 9 10
+** N=12 EP=9 IP=16 FDC=8
+*.SEEDPROM
+M0 1 5 7 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=180 $Y=3470 $D=2
+M1 9 6 1 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=180 $Y=4760 $D=2
+M2 3 8 7 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=1840 $D=2
+M3 3 10 9 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=6210 $D=2
+M4 8 7 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=1840 $D=2
+M5 10 9 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=6210 $D=2
+M6 2 5 8 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=2220 $Y=3470 $D=2
+M7 10 6 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=2220 $Y=4760 $D=2
+.ENDS
+***************************************
+.SUBCKT ICV_31 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
+** N=16 EP=15 IP=24 FDC=16
+*.SEEDPROM
+X0 5 6 2 3 4 9 11 10 12 018SRAM_cell1_2x $T=-3000 0 0 0 $X=-3340 $Y=-340
+X1 7 8 2 3 4 13 15 14 16 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_32 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18
+** N=26 EP=18 IP=32 FDC=40
+*.SEEDPROM
+M0 1 20 19 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=8060 $D=8
+M1 1 24 23 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=9340 $D=8
+M2 20 19 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=8060 $D=8
+M3 24 23 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=9340 $D=8
+M4 1 22 21 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=8060 $D=8
+M5 1 26 25 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=9340 $D=8
+M6 22 21 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=8060 $D=8
+M7 26 25 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=9340 $D=8
+X8 2 3 4 7 8 9 10 11 19 12 20 13 21 14 22 ICV_31 $T=0 0 0 0 $X=-3340 $Y=-340
+X9 2 5 6 7 8 9 10 23 15 24 16 25 17 26 18 ICV_31 $T=0 9000 0 0 $X=-3340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_33 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30
+** N=30 EP=30 IP=36 FDC=80
+*.SEEDPROM
+X0 1 2 3 4 5 6 7 8 9 10 15 16 17 18 19 20 21 22 ICV_32 $T=-6000 0 0 0 $X=-9340 $Y=-340
+X1 1 2 3 4 5 6 11 12 13 14 23 24 25 26 27 28 29 30 ICV_32 $T=0 0 0 0 $X=-3340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_34 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34
+** N=50 EP=34 IP=60 FDC=176
+*.SEEDPROM
+M0 1 36 35 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=17060 $D=8
+M1 1 44 43 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=18340 $D=8
+M2 36 35 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=17060 $D=8
+M3 44 43 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=18340 $D=8
+M4 1 38 37 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=17060 $D=8
+M5 1 46 45 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=18340 $D=8
+M6 38 37 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=17060 $D=8
+M7 46 45 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=18340 $D=8
+M8 1 40 39 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=17060 $D=8
+M9 1 48 47 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=18340 $D=8
+M10 40 39 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=17060 $D=8
+M11 48 47 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=18340 $D=8
+M12 1 42 41 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=17060 $D=8
+M13 1 50 49 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=18340 $D=8
+M14 42 41 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=17060 $D=8
+M15 50 49 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=18340 $D=8
+X16 1 2 3 4 5 6 11 12 13 14 15 16 17 18 19 20 21 22 35 36
++ 37 38 23 24 25 26 39 40 41 42
++ ICV_33 $T=0 0 0 0 $X=-9340 $Y=-340
+X17 1 2 7 8 9 10 11 12 13 14 15 16 17 18 43 44 45 46 27 28
++ 29 30 47 48 49 50 31 32 33 34
++ ICV_33 $T=0 18000 0 0 $X=-9340 $Y=17660
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_2x
+** N=10 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_10
+** N=15 EP=0 IP=20 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_11
+** N=19 EP=0 IP=24 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_27 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
+** N=16 EP=15 IP=24 FDC=16
+*.SEEDPROM
+X0 5 6 2 3 4 9 11 10 12 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+X1 7 8 2 3 4 13 15 14 16 018SRAM_cell1_2x $T=3000 0 0 0 $X=2660 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_28 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18
+** N=26 EP=18 IP=32 FDC=40
+*.SEEDPROM
+M0 1 20 19 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=8060 $D=8
+M1 1 24 23 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=9340 $D=8
+M2 20 19 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=8060 $D=8
+M3 24 23 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=9340 $D=8
+M4 1 22 21 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=8060 $D=8
+M5 1 26 25 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=9340 $D=8
+M6 22 21 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=8060 $D=8
+M7 26 25 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=9340 $D=8
+X8 2 3 4 7 8 9 10 11 19 12 20 13 21 14 22 ICV_27 $T=0 0 0 0 $X=-340 $Y=-340
+X9 2 5 6 7 8 9 10 23 15 24 16 25 17 26 18 ICV_27 $T=0 9000 0 0 $X=-340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_29 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30
+** N=30 EP=30 IP=36 FDC=80
+*.SEEDPROM
+X0 1 2 3 4 5 6 7 8 9 10 15 16 17 18 19 20 21 22 ICV_28 $T=0 0 0 0 $X=-340 $Y=-340
+X1 1 2 3 4 5 6 11 12 13 14 23 24 25 26 27 28 29 30 ICV_28 $T=6000 0 0 0 $X=5660 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_30 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34
+** N=50 EP=34 IP=60 FDC=176
+*.SEEDPROM
+M0 1 36 35 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=17060 $D=8
+M1 1 44 43 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=18340 $D=8
+M2 36 35 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=17060 $D=8
+M3 44 43 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=18340 $D=8
+M4 1 38 37 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=17060 $D=8
+M5 1 46 45 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=18340 $D=8
+M6 38 37 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=17060 $D=8
+M7 46 45 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=18340 $D=8
+M8 1 40 39 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=17060 $D=8
+M9 1 48 47 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=18340 $D=8
+M10 40 39 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=17060 $D=8
+M11 48 47 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=18340 $D=8
+M12 1 42 41 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=17060 $D=8
+M13 1 50 49 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=18340 $D=8
+M14 42 41 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=17060 $D=8
+M15 50 49 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=18340 $D=8
+X16 1 2 3 4 5 6 11 12 13 14 15 16 17 18 19 20 21 22 35 36
++ 37 38 23 24 25 26 39 40 41 42
++ ICV_29 $T=0 0 0 0 $X=-340 $Y=-340
+X17 1 2 7 8 9 10 11 12 13 14 15 16 17 18 43 44 45 46 27 28
++ 29 30 47 48 49 50 31 32 33 34
++ ICV_29 $T=0 18000 0 0 $X=-340 $Y=17660
+.ENDS
+***************************************
+.SUBCKT ICV_35 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32
++ 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52
++ 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72
++ 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92
++ 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112
++ 113 114 115 116 117 118
+** N=118 EP=106 IP=168 FDC=704
+*.SEEDPROM
+X0 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 55 56
++ 57 58 59 60 61 62 63 64 65 66 67 68 69 70
++ ICV_34 $T=-42000 -4500 0 0 $X=-51340 $Y=-4840
+X1 13 14 15 16 17 18 19 20 21 22 31 32 33 34 35 36 37 38 71 72
++ 73 74 75 76 77 78 79 80 81 82 83 84 85 86
++ ICV_34 $T=-30000 -4500 0 0 $X=-39340 $Y=-4840
+X4 13 14 15 16 17 18 19 20 21 22 39 40 41 42 43 44 45 46 87 88
++ 89 90 91 92 93 94 95 96 97 98 99 100 101 102
++ ICV_30 $T=-12000 -4500 1 180 $X=-24340 $Y=-4840
+X5 13 14 15 16 17 18 19 20 21 22 47 48 49 50 51 52 53 54 103 104
++ 105 106 107 108 109 110 111 112 113 114 115 116 117 118
++ ICV_30 $T=0 -4500 1 180 $X=-12340 $Y=-4840
+.ENDS
+***************************************
+.SUBCKT ICV_15 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24
++ 25 26 27 28 29 30 31 32 33 34 35 36 37
+** N=53 EP=33 IP=55 FDC=32
+*.SEEDPROM
+X0 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 ICV_13 $T=-15000 0 0 0 $X=-24340 $Y=-340
+X1 4 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 ICV_14 $T=0 0 0 0 $X=-12340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT dcap_103_novia
+** N=2 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_8
+** N=2 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_9
+** N=2 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT saout_R_m2 1 vss q pcb datain men vdd b[7] bb[7] WEN b[0] bb[0] bb[1] b[1] b[2] bb[2] bb[3] b[3] b[4] bb[4]
++ bb[5] b[5] b[6] bb[6] 54 GWE ypass[0] ypass[1] ypass[2] ypass[3] ypass[4] ypass[5] ypass[6] ypass[7] GWEN 74 75 76 77 78
++ 79 80
+** N=131 EP=42 IP=161 FDC=187
+*.SEEDPROM
+X0 vss 54 b[7] 70 bb[7] 73 pcb vdd ypass[7] 74 bb[0] b[0] 75 bb[1] b[1] 76 bb[2] b[2] 77 bb[3]
++ b[3] 78 bb[4] b[4] 79 bb[5] b[5] 80 bb[6] b[6] ypass[0] ypass[1] ypass[2] ypass[3] ypass[4] ypass[5] ypass[6]
++ mux821 $T=2765 83310 0 0 $X=-1345 $Y=83305
+X1 vss 1 82 85 86 83 88 92 87 93 89 90 91 men vdd WEN GWEN 81 84 wen_wm1 $T=1610 -16880 0 0 $X=100 $Y=-17420
+X2 vss 94 pcb 68 99 100 101 102 104 107 108 96 95 97 71 98 69 103 105 106
++ 72 vdd men
++ sacntl_2 $T=3160 115 0 0 $X=425 $Y=-5
+X3 q vss 109 111 112 114 113 110 116 vdd GWE 72 130 131 115 outbuf_oe $T=3160 27545 0 0 $X=500 $Y=25750
+X4 vss 117 120 125 70 73 122 118 121 123 124 119 vdd datain men 1 din $T=1615 39025 0 0 $X=500 $Y=38740
+X5 126 vss 128 127 131 130 129 pcb vdd 70 73 72 sa $T=3160 43040 0 0 $X=1375 $Y=42060
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_bndry
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_2x_bndry
+** N=10 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_26
+** N=15 EP=0 IP=20 FDC=0
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_cutPC
+** N=7 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_24 1 2 4 7 8 9 10
+** N=10 EP=7 IP=14 FDC=8
+*.SEEDPROM
+M0 1 4 7 4 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=180 $Y=-1030 $D=2
+M1 9 4 1 4 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=180 $Y=260 $D=2
+M2 4 8 7 4 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=-2660 $D=2
+M3 4 10 9 4 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=1710 $D=2
+M4 8 7 4 4 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=-2660 $D=2
+M5 10 9 4 4 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=1710 $D=2
+M6 2 4 8 4 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=2220 $Y=-1030 $D=2
+M7 10 4 2 4 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=2220 $Y=260 $D=2
+.ENDS
+***************************************
+.SUBCKT ICV_25 1 2 7 8 9 10 11 12
+** N=16 EP=8 IP=20 FDC=20
+*.SEEDPROM
+M0 1 14 13 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=-5440 $D=8
+M1 1 16 15 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=-4160 $D=8
+M2 14 13 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=-5440 $D=8
+M3 16 15 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=-4160 $D=8
+X4 7 8 2 9 10 13 14 ICV_24 $T=0 -9000 0 0 $X=-340 $Y=-13840
+X5 7 8 2 15 16 11 12 ICV_24 $T=0 0 0 0 $X=-340 $Y=-4840
+.ENDS
+***************************************
+.SUBCKT pmoscap_W2_5_477_R270
+** N=26 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_19
+** N=6 EP=0 IP=8 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_20
+** N=10 EP=0 IP=12 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmoscap_W2_5_R270
+** N=13 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I05
+** N=2827 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I04
+** N=2001 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47513644
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I19
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47641644
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I02
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xpredec0_xa 2 3 13 29 30
+** N=40 EP=5 IP=40 FDC=4
+*.SEEDPROM
+M0 40 29 2 3 nmos_5p0 L=6e-07 W=1.225e-05 AD=3.185e-12 AS=7.2275e-12 PD=1.277e-05 PS=2.568e-05 NRD=0.0212245 NRS=0.0481633 m=1 nf=1 $X=3255 $Y=2430 $D=2
+M1 3 30 40 3 nmos_5p0 L=6e-07 W=1.225e-05 AD=7.28875e-12 AS=3.185e-12 PD=2.569e-05 PS=1.277e-05 NRD=0.0485714 NRS=0.0212245 m=1 nf=1 $X=4375 $Y=2430 $D=2
+M2 2 29 13 13 pmos_5p0 L=6e-07 W=1.52e-05 AD=3.952e-12 AS=6.688e-12 PD=1.572e-05 PS=3.128e-05 NRD=0.0171053 NRS=0.0289474 m=1 nf=1 $X=3255 $Y=19540 $D=8
+M3 13 30 2 13 pmos_5p0 L=6e-07 W=1.52e-05 AD=6.688e-12 AS=3.952e-12 PD=3.128e-05 PS=1.572e-05 NRD=0.0289474 NRS=0.0171053 m=1 nf=1 $X=4375 $Y=19540 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47330348_161 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT alatch vss ab a vdd enb en
+** N=16 EP=6 IP=24 FDC=8
+M0 ab 12 vss vss nmos_5p0 L=6e-07 W=3.64e-06 AD=9.464e-13 AS=1.6016e-12 PD=4.68e-06 PS=9.04e-06 NRD=0.285714 NRS=0.483516 m=1 nf=2 $X=2590 $Y=1475 $D=2
+M1 vss ab 11 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=3710 $Y=12935 $D=2
+M2 a en 12 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=6280 $Y=1020 $D=2
+M3 11 enb 12 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=6280 $Y=12935 $D=2
+M4 ab 12 vdd vdd pmos_5p0 L=6e-07 W=9.08e-06 AD=2.3608e-12 AS=3.9952e-12 PD=1.012e-05 PS=1.992e-05 NRD=0.114537 NRS=0.193833 m=1 nf=2 $X=2590 $Y=4695 $D=8
+M5 a enb 12 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=6280 $Y=5895 $D=8
+X10 11 vdd ab vdd pmos_1p2$$47330348_161 $T=3865 11540 1 0 $X=2435 $Y=9910
+X11 12 11 en vdd pmos_1p2$$47330348_161 $T=6435 11540 1 0 $X=5005 $Y=9910
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$47335468
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT xpredec0_bot 1 2 3 8 9 10 11
+** N=33 EP=7 IP=7 FDC=12
+M0 2 33 1 1 nmos_5p0 L=6e-07 W=7.04e-06 AD=3.0976e-12 AS=3.0976e-12 PD=1.496e-05 PS=1.496e-05 NRD=0.0625 NRS=0.0625 m=1 nf=1 $X=3755 $Y=35615 $D=2
+M1 3 2 1 1 nmos_5p0 L=6e-07 W=5.22e-06 AD=2.2968e-12 AS=2.2968e-12 PD=1.132e-05 PS=1.132e-05 NRD=0.0842912 NRS=0.0842912 m=1 nf=1 $X=6325 $Y=36010 $D=2
+M2 2 33 8 8 pmos_5p0 L=6e-07 W=1.769e-05 AD=7.7836e-12 AS=7.7836e-12 PD=3.626e-05 PS=3.626e-05 NRD=0.0248728 NRS=0.0248728 m=1 nf=1 $X=3755 $Y=16320 $D=8
+M3 3 2 8 8 pmos_5p0 L=6e-07 W=1.316e-05 AD=5.7904e-12 AS=5.7904e-12 PD=2.72e-05 PS=2.72e-05 NRD=0.0334347 NRS=0.0334347 m=1 nf=1 $X=6325 $Y=20855 $D=8
+X4 1 33 9 8 11 10 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+.ENDS
+***************************************
+.SUBCKT xpredec0 vss vdd men clk A[1] A[0] x[3] x[2] x[1] x[0]
+** N=99 EP=10 IP=158 FDC=56
+M0 x[3] 90 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=5.5388e-12 PD=2.024e-05 PS=2.514e-05 NRD=0.229075 NRS=0.268722 m=1 nf=4 $X=260 $Y=50820 $D=2
+M1 x[2] 92 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=4.7216e-12 PD=2.024e-05 PS=2.024e-05 NRD=0.229075 NRS=0.229075 m=1 nf=4 $X=4740 $Y=50820 $D=2
+M2 x[1] 94 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=4.7216e-12 PD=2.024e-05 PS=2.024e-05 NRD=0.229075 NRS=0.229075 m=1 nf=4 $X=9220 $Y=50820 $D=2
+M3 x[0] 96 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=5.5388e-12 PD=2.024e-05 PS=2.514e-05 NRD=0.229075 NRS=0.268722 m=1 nf=4 $X=13700 $Y=50820 $D=2
+M4 17 men vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=3.562e-13 AS=6.028e-13 PD=1.89e-06 PS=3.62e-06 NRD=0.189781 NRS=0.321168 m=1 nf=1 $X=21630 $Y=51200 $D=2
+M5 vss clk 17 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=3.562e-13 PD=3.62e-06 PS=1.89e-06 NRD=0.321168 NRS=0.189781 m=1 nf=1 $X=22750 $Y=51200 $D=2
+M6 x[3] 90 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.38348e-11 PD=4.744e-05 PS=5.914e-05 NRD=0.0917108 NRS=0.107584 m=1 nf=4 $X=260 $Y=38080 $D=8
+M7 x[2] 92 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.744e-05 PS=4.744e-05 NRD=0.0917108 NRS=0.0917108 m=1 nf=4 $X=4740 $Y=38080 $D=8
+M8 x[1] 94 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.744e-05 PS=4.744e-05 NRD=0.0917108 NRS=0.0917108 m=1 nf=4 $X=9220 $Y=38080 $D=8
+M9 x[0] 96 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.38348e-11 PD=4.744e-05 PS=5.914e-05 NRD=0.0917108 NRS=0.107584 m=1 nf=4 $X=13700 $Y=38080 $D=8
+M10 98 men vdd vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=4.39037e-13 AS=1.01447e-12 PD=2.22e-06 PS=4.6e-06 NRD=0.151026 NRS=0.348974 m=1 nf=1 $X=21630 $Y=47525 $D=8
+M11 17 clk 98 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=8.525e-15 AS=-8.525e-15 PD=1e-08 PS=-1e-08 NRD=0.00293255 NRS=-0.00293255 m=1 nf=1 $X=22745 $Y=47525 $D=8
+M12 99 clk 17 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=-8.525e-15 AS=8.525e-15 PD=-1e-08 PS=1e-08 NRD=-0.00293255 NRS=0.00293255 m=1 nf=1 $X=23870 $Y=47525 $D=8
+M13 vdd men 99 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=1.01447e-12 AS=4.39037e-13 PD=4.6e-06 PS=2.22e-06 NRD=0.348974 NRS=0.151026 m=1 nf=1 $X=24985 $Y=47525 $D=8
+M14 18 17 vdd vdd pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=29010 $Y=47595 $D=8
+X17 18 vss 17 vss nmos_1p2$$46563372 $T=29755 51180 0 0 $X=28610 $Y=50495
+X18 90 vss vdd 30 31 xpredec0_xa $T=-2205 170 0 0 $X=-1440 $Y=-5
+X19 92 vss vdd 30 32 xpredec0_xa $T=11165 170 1 180 $X=3000 $Y=-5
+X20 94 vss vdd 33 31 xpredec0_xa $T=6755 170 0 0 $X=7520 $Y=-5
+X21 96 vss vdd 33 32 xpredec0_xa $T=20125 170 1 180 $X=11960 $Y=-5
+X22 vss 30 33 vdd A[1] 17 18 xpredec0_bot $T=18665 3160 0 0 $X=18135 $Y=-5
+X23 vss 31 32 vdd A[0] 17 18 xpredec0_bot $T=27120 3160 0 0 $X=26590 $Y=-5
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE_I03
+** N=38 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I11
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I14
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1_ys
+** N=8 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_21 1 2 4 5 7 8
+** N=8 EP=6 IP=10 FDC=4
+*.SEEDPROM
+M0 1 7 4 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=3510 $Y=1700 $D=2
+M1 8 5 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=6870 $Y=1700 $D=2
+M2 2 7 4 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=3510 $Y=14855 $D=8
+M3 8 5 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=6870 $Y=14855 $D=8
+.ENDS
+***************************************
+.SUBCKT ICV_22 1 2 4 5 6 7 8 9 11 13
+** N=14 EP=10 IP=16 FDC=12
+*.SEEDPROM
+M0 1 14 6 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=9110 $Y=1700 $D=2
+M1 12 7 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=12470 $Y=1700 $D=2
+M2 2 14 6 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=9110 $Y=14855 $D=8
+M3 12 7 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=12470 $Y=14855 $D=8
+X4 1 2 4 5 11 14 ICV_21 $T=0 0 0 0 $X=-5 $Y=-5
+X5 1 2 8 9 12 13 ICV_21 $T=11200 0 0 0 $X=11195 $Y=-5
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47514668
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1_bot 1 2 3 10 11 12 13
+** N=34 EP=7 IP=20 FDC=12
+M0 2 30 1 1 nmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.9976e-12 PD=9.96e-06 PS=9.96e-06 NRD=0.0969163 NRS=0.0969163 m=1 nf=1 $X=3755 $Y=33350 $D=2
+M1 3 2 1 1 nmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.9976e-12 PD=9.96e-06 PS=9.96e-06 NRD=0.0969163 NRS=0.0969163 m=1 nf=1 $X=6325 $Y=33350 $D=2
+X2 10 2 30 pmos_1p2$$46887980 $T=3910 18340 0 0 $X=2480 $Y=17630
+X3 10 3 2 pmos_1p2$$46887980 $T=6480 18340 0 0 $X=5050 $Y=17630
+X4 1 30 11 10 13 12 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47821868
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47820844
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1_xa
+** N=29 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_23 1 2 5 8 9 10 11 12
+** N=22 EP=8 IP=36 FDC=16
+M0 20 10 13 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=1.73655e-12 AS=4.05195e-12 PD=7.32e-06 PS=1.481e-05 NRD=0.0374449 NRS=0.0873715 m=1 nf=1 $X=-2370 $Y=-33035 $D=2
+M1 19 9 20 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=3.405e-14 AS=-3.405e-14 PD=1e-08 PS=-1e-08 NRD=0.000734214 NRS=-0.000734214 m=1 nf=1 $X=-1260 $Y=-33035 $D=2
+M2 1 2 19 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=3.405e-14 AS=-3.405e-14 PD=1e-08 PS=-1e-08 NRD=0.000734214 NRS=-0.000734214 m=1 nf=1 $X=-140 $Y=-33035 $D=2
+M3 1 13 11 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=-2375 $Y=-2950 $D=2
+M4 21 5 1 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=-3.405e-14 AS=3.405e-14 PD=-1e-08 PS=1e-08 NRD=-0.000734214 NRS=0.000734214 m=1 nf=1 $X=990 $Y=-33035 $D=2
+M5 22 9 21 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=-3.405e-14 AS=3.405e-14 PD=-1e-08 PS=1e-08 NRD=-0.000734214 NRS=0.000734214 m=1 nf=1 $X=2110 $Y=-33035 $D=2
+M6 16 10 22 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=4.05195e-12 AS=1.73655e-12 PD=1.481e-05 PS=7.32e-06 NRD=0.0873715 NRS=0.0374449 m=1 nf=1 $X=3220 $Y=-33035 $D=2
+M7 12 16 1 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=1.7706e-12 PD=1.1e-05 PS=8.37e-06 NRD=0.422907 NRS=0.343612 m=1 nf=3 $X=985 $Y=-2950 $D=2
+M8 8 10 13 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=1.4742e-12 AS=2.4948e-12 PD=6.19e-06 PS=1.222e-05 NRD=0.0458554 NRS=0.0776014 m=1 nf=1 $X=-2375 $Y=-19360 $D=8
+M9 13 9 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=-1255 $Y=-19360 $D=8
+M10 8 2 13 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=-135 $Y=-19360 $D=8
+M11 8 13 11 8 pmos_5p0 L=6e-07 W=1.731e-05 AD=4.5006e-12 AS=5.5392e-12 PD=1.887e-05 PS=2.5e-05 NRD=0.135182 NRS=0.166378 m=1 nf=3 $X=-2375 $Y=-10125 $D=8
+M12 16 5 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=985 $Y=-19360 $D=8
+M13 8 9 16 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2105 $Y=-19360 $D=8
+M14 16 10 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=2.4948e-12 AS=1.4742e-12 PD=1.222e-05 PS=6.19e-06 NRD=0.0776014 NRS=0.0458554 m=1 nf=1 $X=3225 $Y=-19360 $D=8
+M15 12 16 8 8 pmos_5p0 L=6e-07 W=1.731e-05 AD=5.5392e-12 AS=4.5006e-12 PD=2.5e-05 PS=1.887e-05 NRD=0.166378 NRS=0.135182 m=1 nf=3 $X=985 $Y=-10125 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47109164 1 2 3
+** N=4 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=3.42e-06 AD=8.892e-13 AS=1.5048e-12 PD=4.46e-06 PS=8.6e-06 NRD=0.304094 NRS=0.51462 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47342636
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I07
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1 1 2 men clk ly[6] ly[7] ly[0] ly[1] ly[2] ly[3] ly[4] ly[5] ry[0] ry[1] ry[2] ry[3] ry[4] ry[5] ry[6] ry[7]
++ A[2] A[1] A[0]
+** N=374 EP=23 IP=151 FDC=172
+M0 367 358 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=3.9952e-12 PD=1.904e-05 PS=1.904e-05 NRD=0.0484581 NRS=0.0484581 m=1 nf=1 $X=2545 $Y=46970 $D=2
+M1 1 371 ly[3] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=21585 $Y=46970 $D=2
+M2 368 361 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=24945 $Y=46970 $D=2
+M3 188 189 1 1 nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=5.984e-13 PD=3.6e-06 PS=3.6e-06 NRD=0.323529 NRS=0.323529 m=1 nf=1 $X=31760 $Y=4985 $D=2
+M4 189 clk 1 1 nmos_5p0 L=6e-07 W=1.91e-06 AD=4.966e-13 AS=8.404e-13 PD=2.43e-06 PS=4.7e-06 NRD=0.136126 NRS=0.230366 m=1 nf=1 $X=38610 $Y=5010 $D=2
+M5 1 men 189 1 nmos_5p0 L=6e-07 W=1.91e-06 AD=8.404e-13 AS=4.966e-13 PD=4.7e-06 PS=2.43e-06 NRD=0.230366 NRS=0.136126 m=1 nf=1 $X=39730 $Y=5010 $D=2
+M6 1 372 ly[7] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=43985 $Y=46970 $D=2
+M7 369 358 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=47345 $Y=46970 $D=2
+M8 1 373 ry[3] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=66385 $Y=46970 $D=2
+M9 370 361 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=69745 $Y=46970 $D=2
+M10 1 374 ry[7] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=8.7168e-12 AS=8.7168e-12 PD=3.824e-05 PS=3.824e-05 NRD=0.105727 NRS=0.105727 m=1 nf=3 $X=88785 $Y=46970 $D=2
+M11 367 358 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=8.8e-12 PD=4.088e-05 PS=4.088e-05 NRD=0.022 NRS=0.022 m=1 nf=1 $X=2545 $Y=60125 $D=8
+M12 2 371 ly[3] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=21585 $Y=60125 $D=8
+M13 368 361 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=24945 $Y=60125 $D=8
+M14 365 men 2 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=5.915e-13 AS=1.35362e-12 PD=2.795e-06 PS=5.74e-06 NRD=0.114286 NRS=0.261538 m=1 nf=1 $X=36375 $Y=1335 $D=8
+M15 189 clk 365 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=37495 $Y=1335 $D=8
+M16 366 clk 189 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=38615 $Y=1335 $D=8
+M17 2 men 366 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=1.34225e-12 AS=5.915e-13 PD=5.73e-06 PS=2.795e-06 NRD=0.259341 NRS=0.114286 m=1 nf=1 $X=39735 $Y=1335 $D=8
+M18 2 372 ly[7] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=43985 $Y=60125 $D=8
+M19 369 358 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=47345 $Y=60125 $D=8
+M20 2 373 ry[3] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=66385 $Y=60125 $D=8
+M21 370 361 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=69745 $Y=60125 $D=8
+M22 2 374 ry[7] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.92e-11 AS=1.92e-11 PD=8.192e-05 PS=8.192e-05 NRD=0.048 NRS=0.048 m=1 nf=3 $X=88785 $Y=60125 $D=8
+X23 1 2 ly[0] 357 ly[1] 359 ly[2] 360 367 371 ICV_22 $T=1275 45270 0 0 $X=1270 $Y=45265
+X24 1 2 ly[4] 362 ly[5] 363 ly[6] 364 368 372 ICV_22 $T=23675 45270 0 0 $X=23670 $Y=45265
+X25 1 2 ry[0] 357 ry[1] 359 ry[2] 360 369 373 ICV_22 $T=46075 45270 0 0 $X=46070 $Y=45265
+X26 1 2 ry[4] 362 ry[5] 363 ry[6] 364 370 374 ICV_22 $T=68475 45270 0 0 $X=68470 $Y=45265
+X27 1 190 191 2 A[2] 189 188 ypredec1_bot $T=1920 5135 0 0 $X=1820 $Y=1970
+X28 1 192 193 2 A[1] 189 188 ypredec1_bot $T=10375 5135 0 0 $X=10275 $Y=1970
+X29 1 194 195 2 A[0] 189 188 ypredec1_bot $T=18830 5135 0 0 $X=18730 $Y=1970
+X30 1 195 194 2 192 190 363 364 ICV_23 $T=33645 42985 1 180 $X=28115 $Y=7365
+X31 1 195 194 2 193 190 361 362 ICV_23 $T=41810 42985 1 180 $X=36280 $Y=7365
+X32 1 195 194 2 192 191 359 360 ICV_23 $T=49980 42985 1 180 $X=44450 $Y=7365
+X33 1 195 194 2 193 191 358 357 ICV_23 $T=58150 42985 1 180 $X=52620 $Y=7365
+X34 2 188 189 pmos_1p2$$47109164 $T=32795 1405 1 180 $X=28795 $Y=720
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I06
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT M1_POLY2_I01
+** N=2 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I15
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$49272876_R270 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.1e-05 AD=2.86e-12 AS=4.84e-12 PD=1.204e-05 PS=2.376e-05 NRD=0.0945455 NRS=0.16 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I17
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xdec 1 2 men 6 vss 8 28 vdd
+** N=103 EP=8 IP=41 FDC=6
+*.SEEDPROM
+M0 2 6 men vss nmos_5p0 L=6e-07 W=6.6e-06 AD=2.904e-12 AS=1.716e-12 PD=1.496e-05 PS=7.64e-06 NRD=0.266667 NRS=0.157576 m=1 nf=2 $X=37460 $Y=965 $D=2
+M1 vss 8 6 vss nmos_5p0 L=6e-07 W=6.6e-07 AD=2.904e-13 AS=2.904e-13 PD=2.2e-06 PS=2.2e-06 NRD=0.666667 NRS=0.666667 m=1 nf=1 $X=45970 $Y=965 $D=2
+M2 2 8 men vdd pmos_5p0 L=6e-07 W=6.6e-06 AD=2.904e-12 AS=1.716e-12 PD=1.496e-05 PS=7.64e-06 NRD=0.266667 NRS=0.157576 m=1 nf=2 $X=32185 $Y=965 $D=8
+M3 vdd 8 6 vdd pmos_5p0 L=6e-07 W=1.59e-06 AD=6.996e-13 AS=6.996e-13 PD=4.06e-06 PS=4.06e-06 NRD=0.27673 NRS=0.27673 m=1 nf=1 $X=43020 $Y=965 $D=8
+X12 vdd 1 2 pmos_1p2$$49272876_R270 $T=29780 1120 0 90 $X=23605 $Y=-360
+X13 vdd 28 2 pmos_1p2$$49272876_R270 $T=91805 1120 1 90 $X=91120 $Y=-360
+.ENDS
+***************************************
+.SUBCKT xdec8 vss xc xb xa[1] xa[2] xa[3] xa[4] xa[5] xa[6] vdd 102 men 104 LWL[1] RWL[1] LWL[2] RWL[2] LWL[3] RWL[3] LWL[4]
++ RWL[4] LWL[5] RWL[5] LWL[6] RWL[6] 120 121 269 272 315 318
+** N=334 EP=31 IP=608 FDC=126
+*.SEEDPROM
+M0 vss 274 273 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=5740 $D=2
+M1 vss 273 LWL[1] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=7020 $D=2
+M2 vss 280 LWL[2] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=9260 $D=2
+M3 280 281 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=11660 $D=2
+M4 vss 288 287 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=14740 $D=2
+M5 vss 287 LWL[3] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=16020 $D=2
+M6 vss 294 LWL[4] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=18260 $D=2
+M7 294 295 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=20660 $D=2
+M8 vss 302 301 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=23740 $D=2
+M9 vss 301 LWL[5] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=25020 $D=2
+M10 vss 308 LWL[6] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=27260 $D=2
+M11 308 309 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=29660 $D=2
+M12 vss 276 274 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=8140 $D=2
+M13 281 283 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=9260 $D=2
+M14 vss 290 288 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=17140 $D=2
+M15 295 297 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=18260 $D=2
+M16 vss 304 302 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=26140 $D=2
+M17 309 311 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=27260 $D=2
+M18 323 xa[1] 276 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=5900 $D=2
+M19 324 xb 323 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=7020 $D=2
+M20 vss xc 324 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=8085 $D=2
+M21 326 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=9315 $D=2
+M22 325 xb 326 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=10380 $D=2
+M23 283 xa[2] 325 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=11500 $D=2
+M24 327 xa[3] 290 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=14900 $D=2
+M25 328 xb 327 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=16020 $D=2
+M26 vss xc 328 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=17085 $D=2
+M27 330 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=18315 $D=2
+M28 329 xb 330 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=19380 $D=2
+M29 297 xa[4] 329 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=20500 $D=2
+M30 331 xa[5] 304 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=23900 $D=2
+M31 332 xb 331 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=25020 $D=2
+M32 vss xc 332 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=26085 $D=2
+M33 334 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=27315 $D=2
+M34 333 xb 334 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=28380 $D=2
+M35 311 xa[6] 333 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=29500 $D=2
+M36 vss 274 279 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=5740 $D=2
+M37 vss 279 RWL[1] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=7020 $D=2
+M38 vss 286 RWL[2] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=9260 $D=2
+M39 286 281 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=11660 $D=2
+M40 vss 288 293 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=14740 $D=2
+M41 vss 293 RWL[3] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=16020 $D=2
+M42 vss 300 RWL[4] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=18260 $D=2
+M43 300 295 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=20660 $D=2
+M44 vss 302 307 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=23740 $D=2
+M45 vss 307 RWL[5] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=25020 $D=2
+M46 vss 314 RWL[6] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=27260 $D=2
+M47 314 309 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=29660 $D=2
+M48 LWL[1] 273 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=5900 $D=8
+M49 vdd 280 LWL[2] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=9260 $D=8
+M50 LWL[3] 287 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=14900 $D=8
+M51 vdd 294 LWL[4] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=18260 $D=8
+M52 LWL[5] 301 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=23900 $D=8
+M53 vdd 308 LWL[6] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=27260 $D=8
+M54 vdd xa[1] 276 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=5900 $D=8
+M55 276 xb vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=7020 $D=8
+M56 vdd xc 276 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=8140 $D=8
+M57 283 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=9260 $D=8
+M58 vdd xb 283 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=10380 $D=8
+M59 283 xa[2] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=11500 $D=8
+M60 vdd xa[3] 290 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=14900 $D=8
+M61 290 xb vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=16020 $D=8
+M62 vdd xc 290 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=17140 $D=8
+M63 297 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=18260 $D=8
+M64 vdd xb 297 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=19380 $D=8
+M65 297 xa[4] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=20500 $D=8
+M66 vdd xa[5] 304 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=23900 $D=8
+M67 304 xb vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=25020 $D=8
+M68 vdd xc 304 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=26140 $D=8
+M69 311 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=27260 $D=8
+M70 vdd xb 311 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=28380 $D=8
+M71 311 xa[6] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=29500 $D=8
+M72 RWL[1] 279 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=5900 $D=8
+M73 vdd 286 RWL[2] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=9260 $D=8
+M74 RWL[3] 293 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=14900 $D=8
+M75 vdd 300 RWL[4] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=18260 $D=8
+M76 RWL[5] 307 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=23900 $D=8
+M77 vdd 314 RWL[6] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=27260 $D=8
+X78 269 102 men 270 vss 104 272 vdd xdec $T=5 4500 1 0 $X=0 $Y=-1140
+X79 273 274 men 275 vss 276 279 vdd xdec $T=5 4500 0 0 $X=0 $Y=3385
+X80 280 281 men 282 vss 283 286 vdd xdec $T=5 13500 1 0 $X=0 $Y=7860
+X81 287 288 men 289 vss 290 293 vdd xdec $T=5 13500 0 0 $X=0 $Y=12385
+X82 294 295 men 296 vss 297 300 vdd xdec $T=5 22500 1 0 $X=0 $Y=16860
+X83 301 302 men 303 vss 304 307 vdd xdec $T=5 22500 0 0 $X=0 $Y=21385
+X84 308 309 men 310 vss 311 314 vdd xdec $T=5 31500 1 0 $X=0 $Y=25860
+X85 315 120 men 316 vss 121 318 vdd xdec $T=5 31500 0 0 $X=0 $Y=30385
+.ENDS
+***************************************
+.SUBCKT xdec32 vss xc xb[3] xb[2] xb[1] xb[0] xa[7] xa[6] xa[5] xa[4] xa[3] xa[2] xa[1] xa[0] vdd LWL[0] LWL[1] LWL[2] LWL[3] LWL[4]
++ LWL[5] LWL[6] LWL[7] LWL[8] LWL[9] LWL[10] LWL[11] LWL[12] LWL[13] LWL[14] LWL[15] LWL[16] LWL[17] LWL[18] LWL[19] LWL[20] LWL[21] LWL[22] LWL[23] LWL[24]
++ LWL[25] LWL[26] LWL[27] LWL[28] LWL[29] LWL[30] LWL[31] RWL[1] RWL[2] RWL[3] RWL[4] RWL[5] RWL[6] RWL[7] RWL[8] RWL[9] RWL[10] RWL[11] RWL[12] RWL[13]
++ RWL[14] RWL[15] RWL[16] RWL[17] RWL[18] RWL[19] RWL[20] RWL[21] RWL[22] RWL[23] RWL[24] RWL[25] RWL[26] RWL[27] RWL[28] RWL[29] RWL[30] RWL[31] RWL[0] men
+** N=357 EP=80 IP=544 FDC=608
+M0 vss 326 LWL[0] vss nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=16340 $Y=260 $D=2
+M1 326 310 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=2660 $D=2
+M2 vss 312 328 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=32740 $D=2
+M3 vss 328 LWL[7] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=34020 $D=2
+M4 vss 330 LWL[8] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=36260 $D=2
+M5 330 314 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=38660 $D=2
+M6 vss 316 332 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=68740 $D=2
+M7 vss 332 LWL[15] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=70020 $D=2
+M8 vss 334 LWL[16] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=72260 $D=2
+M9 334 318 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=74660 $D=2
+M10 vss 320 336 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=104740 $D=2
+M11 vss 336 LWL[23] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=106020 $D=2
+M12 vss 338 LWL[24] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=108260 $D=2
+M13 338 322 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=110660 $D=2
+M14 vss 324 340 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=140740 $D=2
+M15 vss 340 LWL[31] vss nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=16340 $Y=142020 $D=2
+M16 310 311 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=46620 $Y=260 $D=2
+M17 vss 313 312 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=35140 $D=2
+M18 314 315 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=36260 $D=2
+M19 vss 317 316 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=71140 $D=2
+M20 318 319 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=72260 $D=2
+M21 vss 321 320 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=107140 $D=2
+M22 322 323 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=108260 $D=2
+M23 vss 325 324 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=46620 $Y=143140 $D=2
+M24 343 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=7.32375e-13 AS=2.079e-12 PD=3.615e-06 PS=7.62e-06 NRD=0.0738095 NRS=0.209524 m=1 nf=1 $X=66460 $Y=315 $D=2
+M25 342 xb[0] 343 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=1380 $D=2
+M26 311 xa[0] 342 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=2500 $D=2
+M27 344 xa[7] 313 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=32900 $D=2
+M28 345 xb[0] 344 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=34020 $D=2
+M29 vss xc 345 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=35085 $D=2
+M30 347 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=36315 $D=2
+M31 346 xb[1] 347 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=37380 $D=2
+M32 315 xa[0] 346 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=38500 $D=2
+M33 348 xa[7] 317 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=68900 $D=2
+M34 349 xb[1] 348 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=70020 $D=2
+M35 vss xc 349 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=71085 $D=2
+M36 351 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=72315 $D=2
+M37 350 xb[2] 351 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=73380 $D=2
+M38 319 xa[0] 350 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=74500 $D=2
+M39 352 xa[7] 321 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=104900 $D=2
+M40 353 xb[2] 352 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=106020 $D=2
+M41 vss xc 353 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=107085 $D=2
+M42 355 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=108315 $D=2
+M43 354 xb[3] 355 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=109380 $D=2
+M44 323 xa[0] 354 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=110500 $D=2
+M45 356 xa[7] 325 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=140900 $D=2
+M46 357 xb[3] 356 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=142020 $D=2
+M47 vss xc 357 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.079e-12 AS=7.32375e-13 PD=7.62e-06 PS=3.615e-06 NRD=0.209524 NRS=0.0738095 m=1 nf=1 $X=66460 $Y=143085 $D=2
+M48 vss 327 RWL[0] vss nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=100255 $Y=260 $D=2
+M49 327 310 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=2660 $D=2
+M50 vss 312 329 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=32740 $D=2
+M51 vss 329 RWL[7] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=34020 $D=2
+M52 vss 331 RWL[8] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=36260 $D=2
+M53 331 314 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=38660 $D=2
+M54 vss 316 333 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=68740 $D=2
+M55 vss 333 RWL[15] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=70020 $D=2
+M56 vss 335 RWL[16] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=72260 $D=2
+M57 335 318 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=74660 $D=2
+M58 vss 320 337 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=104740 $D=2
+M59 vss 337 RWL[23] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=106020 $D=2
+M60 vss 339 RWL[24] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=108260 $D=2
+M61 339 322 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=110660 $D=2
+M62 vss 324 341 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=140740 $D=2
+M63 vss 341 RWL[31] vss nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=100255 $Y=142020 $D=2
+M64 vdd 326 LWL[0] vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=4665 $Y=260 $D=8
+M65 LWL[7] 328 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=32900 $D=8
+M66 vdd 330 LWL[8] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=36260 $D=8
+M67 LWL[15] 332 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=68900 $D=8
+M68 vdd 334 LWL[16] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=72260 $D=8
+M69 LWL[23] 336 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=104900 $D=8
+M70 vdd 338 LWL[24] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=108260 $D=8
+M71 LWL[31] 340 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=4665 $Y=140900 $D=8
+M72 311 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=260 $D=8
+M73 vdd xb[0] 311 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=1380 $D=8
+M74 311 xa[0] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=2500 $D=8
+M75 vdd xa[7] 313 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=32900 $D=8
+M76 313 xb[0] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=34020 $D=8
+M77 vdd xc 313 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=35140 $D=8
+M78 315 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=36260 $D=8
+M79 vdd xb[1] 315 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=37380 $D=8
+M80 315 xa[0] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=38500 $D=8
+M81 vdd xa[7] 317 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=68900 $D=8
+M82 317 xb[1] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=70020 $D=8
+M83 vdd xc 317 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=71140 $D=8
+M84 319 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=72260 $D=8
+M85 vdd xb[2] 319 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=73380 $D=8
+M86 319 xa[0] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=74500 $D=8
+M87 vdd xa[7] 321 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=104900 $D=8
+M88 321 xb[2] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=106020 $D=8
+M89 vdd xc 321 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=107140 $D=8
+M90 323 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=108260 $D=8
+M91 vdd xb[3] 323 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=109380 $D=8
+M92 323 xa[0] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=110500 $D=8
+M93 vdd xa[7] 325 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=140900 $D=8
+M94 325 xb[3] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=142020 $D=8
+M95 vdd xc 325 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=143140 $D=8
+M96 vdd 327 RWL[0] vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=106930 $Y=260 $D=8
+M97 RWL[7] 329 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=32900 $D=8
+M98 vdd 331 RWL[8] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=36260 $D=8
+M99 RWL[15] 333 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=68900 $D=8
+M100 vdd 335 RWL[16] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=72260 $D=8
+M101 RWL[23] 337 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=104900 $D=8
+M102 vdd 339 RWL[24] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=108260 $D=8
+M103 RWL[31] 341 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=106930 $Y=140900 $D=8
+X104 vss xc xb[0] xa[1] xa[2] xa[3] xa[4] xa[5] xa[6] vdd 310 men 311 LWL[1] RWL[1] LWL[2] RWL[2] LWL[3] RWL[3] LWL[4]
++ RWL[4] LWL[5] RWL[5] LWL[6] RWL[6] 312 313 326 327 328 329
++ xdec8 $T=0 0 0 0 $X=0 $Y=-1140
+X105 vss xc xb[1] xa[1] xa[2] xa[3] xa[4] xa[5] xa[6] vdd 314 men 315 LWL[9] RWL[9] LWL[10] RWL[10] LWL[11] RWL[11] LWL[12]
++ RWL[12] LWL[13] RWL[13] LWL[14] RWL[14] 316 317 330 331 332 333
++ xdec8 $T=0 36000 0 0 $X=0 $Y=34860
+X106 vss xc xb[2] xa[1] xa[2] xa[3] xa[4] xa[5] xa[6] vdd 318 men 319 LWL[17] RWL[17] LWL[18] RWL[18] LWL[19] RWL[19] LWL[20]
++ RWL[20] LWL[21] RWL[21] LWL[22] RWL[22] 320 321 334 335 336 337
++ xdec8 $T=0 72000 0 0 $X=0 $Y=70860
+X107 vss xc xb[3] xa[1] xa[2] xa[3] xa[4] xa[5] xa[6] vdd 322 men 323 LWL[25] RWL[25] LWL[26] RWL[26] LWL[27] RWL[27] LWL[28]
++ RWL[28] LWL[29] RWL[29] LWL[30] RWL[30] 324 325 338 339 340 341
++ xdec8 $T=0 108000 0 0 $X=0 $Y=106860
+.ENDS
+***************************************
+.SUBCKT M1_NWELL$$204218412
+** N=49 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I18 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 2 nmos_5p0 L=6e-07 W=1.011e-05 AD=4.4484e-12 AS=4.4484e-12 PD=2.11e-05 PS=2.11e-05 NRD=0.0435213 NRS=0.0435213 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE$$204148780
+** N=13 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$204216364 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=2.526e-05 AD=6.5676e-12 AS=1.11144e-11 PD=2.63e-05 PS=5.228e-05 NRD=0.0411718 NRS=0.0696754 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I01 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=6.59e-06 AD=2.8996e-12 AS=2.8996e-12 PD=1.406e-05 PS=1.406e-05 NRD=0.0667678 NRS=0.0667678 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I04 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 2 nmos_5p0 L=6e-07 W=2.64e-06 AD=1.1616e-12 AS=1.1616e-12 PD=6.16e-06 PS=6.16e-06 NRD=0.166667 NRS=0.166667 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I05 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=4.72e-05 AD=1.2272e-11 AS=1.39712e-11 PD=5.24e-05 PS=6.256e-05 NRD=0.550847 NRS=0.627119 m=1 nf=10 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I20 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=6e-07 W=1.92e-05 AD=4.992e-12 AS=5.6832e-12 PD=2.44e-05 PS=2.896e-05 NRD=1.35417 NRS=1.54167 m=1 nf=10 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE_I02
+** N=7 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT wen_v2 vss vdd wen clk IGWEN GWE
+** N=50 EP=6 IP=93 FDC=30
+M0 vss wen 28 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=2545 $Y=1065 $D=2
+M1 11 wen vss vss nmos_5p0 L=6e-07 W=6e-06 AD=1.92e-12 AS=1.92e-12 PD=9.92e-06 PS=9.92e-06 NRD=0.48 NRS=0.48 m=1 nf=3 $X=1260 $Y=16070 $D=2
+M2 29 clk vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=3665 $Y=1065 $D=2
+M3 30 29 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5905 $Y=1475 $D=2
+M4 33 29 28 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=8440 $Y=545 $D=2
+M5 34 30 33 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=10750 $Y=1860 $D=2
+M6 vss 35 34 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=11870 $Y=1860 $D=2
+M7 vss 33 35 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=14110 $Y=1860 $D=2
+M8 15 35 vss vss nmos_5p0 L=6e-07 W=2.4e-06 AD=6.24e-13 AS=1.056e-12 PD=3.44e-06 PS=6.56e-06 NRD=0.433333 NRS=0.733333 m=1 nf=2 $X=16465 $Y=1620 $D=2
+M9 15 30 31 vss nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=19750 $Y=545 $D=2
+M10 32 29 31 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=23090 $Y=1240 $D=2
+M11 vss 19 32 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=24210 $Y=1240 $D=2
+M12 19 31 vss vss nmos_5p0 L=6e-07 W=6.23e-06 AD=1.78e-12 AS=1.78e-12 PD=1.112e-05 PS=1.112e-05 NRD=2.24719 NRS=2.24719 m=1 nf=7 $X=26535 $Y=1905 $D=2
+M13 vdd wen 28 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=2545 $Y=4215 $D=8
+M14 29 clk vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=3665 $Y=4215 $D=8
+M15 30 29 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5905 $Y=4215 $D=8
+M16 11 wen vdd vdd pmos_5p0 L=6e-07 W=1.488e-05 AD=3.8688e-12 AS=4.7616e-12 PD=1.8e-05 PS=2.368e-05 NRD=0.629032 NRS=0.774194 m=1 nf=6 $X=1260 $Y=9420 $D=8
+M17 33 30 28 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=1.17422e-12 AS=9.988e-13 PD=4.793e-06 PS=5.42e-06 NRD=0.227875 NRS=0.193833 m=1 nf=1 $X=8440 $Y=4215 $D=8
+M18 34 29 33 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.91897e-13 AS=-6.43897e-13 PD=-2.79573e-06 PS=-2.69573e-06 NRD=-0.750757 NRS=-0.698673 m=1 nf=1 $X=10180 $Y=4215 $D=8
+M19 vdd 35 34 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.14048e-12 PD=5.42e-06 PS=4.72272e-06 NRD=0.193833 NRS=0.221328 m=1 nf=1 $X=11870 $Y=4215 $D=8
+M20 vdd 33 35 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=14110 $Y=4215 $D=8
+M21 15 35 vdd vdd pmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=2.4992e-12 PD=6.72e-06 PS=1.312e-05 NRD=0.183099 NRS=0.309859 m=1 nf=2 $X=16465 $Y=4215 $D=8
+M22 15 29 31 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=2.13253e-12 PD=5.58e-06 PS=1.01287e-05 NRD=0.229075 NRS=0.413851 m=1 nf=2 $X=19750 $Y=4215 $D=8
+M23 32 30 31 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.59976e-13 AS=-6.40776e-13 PD=-2.72923e-06 PS=-2.68923e-06 NRD=-0.71612 NRS=-0.695287 m=1 nf=1 $X=22550 $Y=5525 $D=8
+M24 vdd 19 32 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.12024e-12 PD=5.42e-06 PS=4.68056e-06 NRD=0.193833 NRS=0.2174 m=1 nf=1 $X=24210 $Y=4215 $D=8
+M25 19 31 vdd vdd pmos_5p0 L=6e-07 W=1.54e-05 AD=4.4e-12 AS=4.4e-12 PD=2.16e-05 PS=2.16e-05 NRD=0.909091 NRS=0.909091 m=1 nf=7 $X=26535 $Y=4215 $D=8
+X46 vdd IGWEN 11 pmos_5p0_I05 $T=10115 9420 0 0 $X=9075 $Y=8800
+X47 vdd GWE 19 pmos_5p0_I05 $T=23345 9420 0 0 $X=22305 $Y=8800
+X48 vss IGWEN 11 nmos_5p0_I20 $T=10115 16070 0 0 $X=9435 $Y=15450
+X49 vss GWE 19 nmos_5p0_I20 $T=23345 16070 0 0 $X=22665 $Y=15450
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47512620
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xpredec1_xa
+** N=29 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47337516 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.633e-05 AD=7.1852e-12 AS=7.1852e-12 PD=3.354e-05 PS=3.354e-05 NRD=0.0269443 NRS=0.0269443 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47336492 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=6e-07 W=6.58e-06 AD=2.8952e-12 AS=2.8952e-12 PD=1.404e-05 PS=1.404e-05 NRD=0.0668693 NRS=0.0668693 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT xpredec1_bot 1 2 3 10 11 12 13
+** N=32 EP=7 IP=19 FDC=12
+X0 1 32 11 10 13 12 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+X2 10 2 32 pmos_1p2$$47337516 $T=3910 18340 0 0 $X=2480 $Y=17635
+X3 10 3 2 pmos_1p2$$47337516 $T=6480 18340 0 0 $X=5050 $Y=17635
+X4 1 2 32 nmos_1p2$$47336492 $T=3910 36070 0 0 $X=2765 $Y=35385
+X5 1 3 2 nmos_1p2$$47336492 $T=6480 36070 0 0 $X=5335 $Y=35385
+.ENDS
+***************************************
+.SUBCKT xpredec1 vss men vdd clk A[2] A[1] A[0] x[7] x[6] x[5] x[4] x[3] x[2] x[1] x[0]
+** N=91 EP=15 IP=199 FDC=108
+M0 77 18 51 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=1700 $Y=2310 $D=2
+M1 76 19 77 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2820 $Y=2310 $D=2
+M2 vss 20 76 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=3940 $Y=2310 $D=2
+M3 vss 51 x[7] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=1700 $Y=48000 $D=2
+M4 78 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=5060 $Y=2310 $D=2
+M5 79 19 78 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=6180 $Y=2310 $D=2
+M6 54 18 79 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=7300 $Y=2310 $D=2
+M7 x[6] 54 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=5060 $Y=48000 $D=2
+M8 81 18 57 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=9870 $Y=2310 $D=2
+M9 80 22 81 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=10990 $Y=2310 $D=2
+M10 vss 20 80 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12110 $Y=2310 $D=2
+M11 vss 57 x[5] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=9870 $Y=48000 $D=2
+M12 82 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13230 $Y=2310 $D=2
+M13 83 22 82 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14350 $Y=2310 $D=2
+M14 60 18 83 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=15470 $Y=2310 $D=2
+M15 x[4] 60 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=13230 $Y=48000 $D=2
+M16 85 23 63 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=18035 $Y=2310 $D=2
+M17 84 19 85 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=19155 $Y=2310 $D=2
+M18 vss 20 84 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20275 $Y=2310 $D=2
+M19 vss 63 x[3] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=18035 $Y=48000 $D=2
+M20 86 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=21395 $Y=2310 $D=2
+M21 87 19 86 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=22515 $Y=2310 $D=2
+M22 66 23 87 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=23635 $Y=2310 $D=2
+M23 x[2] 66 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=21395 $Y=48000 $D=2
+M24 89 23 69 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=26205 $Y=2310 $D=2
+M25 88 22 89 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=27325 $Y=2310 $D=2
+M26 vss 20 88 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=28445 $Y=2310 $D=2
+M27 vss 69 x[1] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=26205 $Y=48000 $D=2
+M28 90 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=29565 $Y=2310 $D=2
+M29 91 22 90 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=30685 $Y=2310 $D=2
+M30 72 23 91 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=31805 $Y=2310 $D=2
+M31 x[0] 72 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=29565 $Y=48000 $D=2
+M32 17 men vss vss nmos_5p0 L=6e-07 W=1.91e-06 AD=4.966e-13 AS=8.404e-13 PD=2.43e-06 PS=4.7e-06 NRD=0.136126 NRS=0.230366 m=1 nf=1 $X=37165 $Y=51200 $D=2
+M33 vss clk 17 vss nmos_5p0 L=6e-07 W=1.91e-06 AD=8.404e-13 AS=4.966e-13 PD=4.7e-06 PS=2.43e-06 NRD=0.230366 NRS=0.136126 m=1 nf=1 $X=38285 $Y=51200 $D=2
+M34 vss 17 16 vss nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=5.984e-13 PD=3.6e-06 PS=3.6e-06 NRD=0.323529 NRS=0.323529 m=1 nf=1 $X=45140 $Y=51180 $D=2
+M35 vdd 18 51 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=1700 $Y=21650 $D=8
+M36 51 19 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2820 $Y=21650 $D=8
+M37 vdd 20 51 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=3940 $Y=21650 $D=8
+M38 vdd 51 x[7] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=1700 $Y=35260 $D=8
+M39 54 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=5060 $Y=21650 $D=8
+M40 vdd 19 54 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=6180 $Y=21650 $D=8
+M41 54 18 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=7300 $Y=21650 $D=8
+M42 x[6] 54 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=5060 $Y=35260 $D=8
+M43 vdd 18 57 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=9870 $Y=21650 $D=8
+M44 57 22 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=10990 $Y=21650 $D=8
+M45 vdd 20 57 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12110 $Y=21650 $D=8
+M46 vdd 57 x[5] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=9870 $Y=35260 $D=8
+M47 60 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13230 $Y=21650 $D=8
+M48 vdd 22 60 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14350 $Y=21650 $D=8
+M49 60 18 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=15470 $Y=21650 $D=8
+M50 x[4] 60 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=13230 $Y=35260 $D=8
+M51 vdd 23 63 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=18035 $Y=21650 $D=8
+M52 63 19 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=19155 $Y=21650 $D=8
+M53 vdd 20 63 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20275 $Y=21650 $D=8
+M54 vdd 63 x[3] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=18035 $Y=35260 $D=8
+M55 66 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=21395 $Y=21650 $D=8
+M56 vdd 19 66 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=22515 $Y=21650 $D=8
+M57 66 23 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=23635 $Y=21650 $D=8
+M58 x[2] 66 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=21395 $Y=35260 $D=8
+M59 vdd 23 69 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=26205 $Y=21650 $D=8
+M60 69 22 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=27325 $Y=21650 $D=8
+M61 vdd 20 69 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=28445 $Y=21650 $D=8
+M62 vdd 69 x[1] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=26205 $Y=35260 $D=8
+M63 72 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=29565 $Y=21650 $D=8
+M64 vdd 22 72 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=30685 $Y=21650 $D=8
+M65 72 23 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=31805 $Y=21650 $D=8
+M66 x[0] 72 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=29565 $Y=35260 $D=8
+M67 74 men vdd vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=5.915e-13 AS=1.35362e-12 PD=2.795e-06 PS=5.74e-06 NRD=0.114286 NRS=0.261538 m=1 nf=1 $X=37165 $Y=47525 $D=8
+M68 17 clk 74 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=38285 $Y=47525 $D=8
+M69 75 clk 17 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=39405 $Y=47525 $D=8
+M70 vdd men 75 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=1.35362e-12 AS=5.915e-13 PD=5.74e-06 PS=2.795e-06 NRD=0.261538 NRS=0.114286 m=1 nf=1 $X=40525 $Y=47525 $D=8
+X71 vdd 16 17 pmos_1p2$$47109164 $T=44700 47595 0 0 $X=42105 $Y=46910
+X83 vss 18 23 vdd A[2] 17 16 xpredec1_bot $T=34205 3160 0 0 $X=33675 $Y=-5
+X84 vss 19 22 vdd A[1] 17 16 xpredec1_bot $T=42655 3160 0 0 $X=42125 $Y=-5
+X85 vss 20 21 vdd A[0] 17 16 xpredec1_bot $T=51110 3160 0 0 $X=50580 $Y=-5
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I15 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=1.2e-06 W=9e-07 AD=3.96e-13 AS=3.96e-13 PD=2.68e-06 PS=2.68e-06 NRD=0.488889 NRS=0.488889 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I16 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=1.2e-06 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$48624684
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47815724
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT strapx2b_bndry
+** N=10 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_17 8 11 12 13 14 15 16
+** N=16 EP=7 IP=22 FDC=8
+*.SEEDPROM
+X0 11 12 8 8 8 13 15 14 16 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_18 7 8 13 14 18 19 20 21
+** N=25 EP=8 IP=32 FDC=20
+*.SEEDPROM
+M0 7 23 22 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=8060 $D=8
+M1 7 25 24 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=9340 $D=8
+M2 23 22 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=8060 $D=8
+M3 25 24 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=9340 $D=8
+X4 8 13 14 18 22 19 23 ICV_17 $T=0 0 0 0 $X=-3340 $Y=-340
+X5 8 13 14 24 20 25 21 ICV_17 $T=0 9000 0 0 $X=-3340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_4 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
+** N=16 EP=16 IP=24 FDC=20
+*.SEEDPROM
+M0 1 12 9 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=8060 $D=8
+M1 1 15 13 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=9340 $D=8
+M2 12 9 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=8060 $D=8
+M3 15 13 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=9340 $D=8
+X4 8 10 2 3 4 7 11 9 12 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+X5 8 10 2 5 6 13 15 14 16 018SRAM_cell1_2x $T=0 9000 0 0 $X=-340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_5 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28
+** N=28 EP=28 IP=32 FDC=44
+*.SEEDPROM
+M0 1 20 15 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=17060 $D=8
+M1 1 25 21 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=18340 $D=8
+M2 20 15 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=17060 $D=8
+M3 25 21 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=18340 $D=8
+X4 1 2 3 4 5 6 11 12 13 16 17 18 14 15 19 20 ICV_4 $T=0 0 0 0 $X=-340 $Y=-340
+X5 1 2 7 8 9 10 21 12 22 16 25 26 23 24 27 28 ICV_4 $T=0 18000 0 0 $X=-340 $Y=17660
+.ENDS
+***************************************
+.SUBCKT Cell_array32x1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40
++ 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60
++ 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80
++ 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100
+** N=100 EP=100 IP=112 FDC=188
+*.SEEDPROM
+M0 1 52 43 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=35060 $D=8
+M1 1 61 53 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=36340 $D=8
+M2 1 68 60 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=71060 $D=8
+M3 1 77 69 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=72340 $D=8
+M4 1 84 76 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=107060 $D=8
+M5 1 93 85 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=108340 $D=8
+M6 52 43 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=35060 $D=8
+M7 61 53 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=36340 $D=8
+M8 68 60 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=71060 $D=8
+M9 77 69 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=72340 $D=8
+M10 84 76 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=107060 $D=8
+M11 93 85 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=108340 $D=8
+X12 1 2 3 4 5 6 7 8 9 10 35 36 37 38 39 44 45 46 47 48
++ 40 41 42 43 49 50 51 52
++ ICV_5 $T=0 0 0 0 $X=-340 $Y=-340
+X13 1 2 11 12 13 14 15 16 17 18 53 36 54 55 56 44 61 62 63 64
++ 57 58 59 60 65 66 67 68
++ ICV_5 $T=0 36000 0 0 $X=-340 $Y=35660
+X14 1 2 19 20 21 22 23 24 25 26 69 36 70 71 72 44 77 78 79 80
++ 73 74 75 76 81 82 83 84
++ ICV_5 $T=0 72000 0 0 $X=-340 $Y=71660
+X15 1 2 27 28 29 30 31 32 33 34 85 36 86 87 88 44 93 94 95 96
++ 89 90 91 92 97 98 99 100
++ ICV_5 $T=0 108000 0 0 $X=-340 $Y=107660
+.ENDS
+***************************************
+.SUBCKT ICV_16 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 48
++ 80 112 144
+** N=175 EP=43 IP=215 FDC=392
+*.SEEDPROM
+M0 2 111 79 2 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=143060 $D=8
+M1 2 45 47 2 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=144340 $D=8
+M2 111 79 2 2 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=143060 $D=8
+M3 45 47 2 2 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=144340 $D=8
+M4 2 175 143 2 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=143060 $D=8
+M5 2 41 43 2 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=144340 $D=8
+M6 175 143 2 2 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=143060 $D=8
+M7 41 43 2 2 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=144340 $D=8
+X8 1 3 40 41 42 43 44 45 46 47 ICV_6 $T=3000 148500 0 180 $X=-340 $Y=143660
+X9 2 1 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21
++ 22 23 24 25 26 27 28 29 30 31 32 33 34 35 48 36 49 50 51 52
++ 53 54 55 37 80 81 82 83 84 85 86 87 56 57 58 59 60 61 62 63
++ 88 89 90 91 92 93 94 95 64 65 66 67 68 69 70 71 96 97 98 99
++ 100 101 102 103 72 73 74 75 76 77 78 79 104 105 106 107 108 109 110 111
++ Cell_array32x1 $T=0 0 0 0 $X=-340 $Y=-340
+X10 2 1 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21
++ 22 23 24 25 26 27 28 29 30 31 32 33 34 35 112 38 113 114 115 116
++ 117 118 119 39 144 145 146 147 148 149 150 151 120 121 122 123 124 125 126 127
++ 152 153 154 155 156 157 158 159 128 129 130 131 132 133 134 135 160 161 162 163
++ 164 165 166 167 136 137 138 139 140 141 142 143 168 169 170 171 172 173 174 175
++ Cell_array32x1 $T=3000 0 0 0 $X=2660 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_12
+** N=27 EP=0 IP=32 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_7 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 48
++ 80 112 144
+** N=175 EP=43 IP=215 FDC=392
+*.SEEDPROM
+M0 2 111 79 2 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=143060 $D=8
+M1 2 43 41 2 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=144340 $D=8
+M2 111 79 2 2 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=143060 $D=8
+M3 43 41 2 2 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=144340 $D=8
+M4 2 175 143 2 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=143060 $D=8
+M5 2 47 45 2 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=144340 $D=8
+M6 175 143 2 2 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=143060 $D=8
+M7 47 45 2 2 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=144340 $D=8
+X8 1 3 40 41 42 43 44 45 46 47 ICV_6 $T=0 148500 1 0 $X=-3340 $Y=143660
+X9 2 1 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21
++ 22 23 24 25 26 27 28 29 30 31 32 33 34 35 48 36 49 50 51 52
++ 53 54 55 37 80 81 82 83 84 85 86 87 56 57 58 59 60 61 62 63
++ 88 89 90 91 92 93 94 95 64 65 66 67 68 69 70 71 96 97 98 99
++ 100 101 102 103 72 73 74 75 76 77 78 79 104 105 106 107 108 109 110 111
++ Cell_array32x1 $T=-3000 0 0 0 $X=-3340 $Y=-340
+X10 2 1 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21
++ 22 23 24 25 26 27 28 29 30 31 32 33 34 35 112 38 113 114 115 116
++ 117 118 119 39 144 145 146 147 148 149 150 151 120 121 122 123 124 125 126 127
++ 152 153 154 155 156 157 158 159 128 129 130 131 132 133 134 135 160 161 162 163
++ 164 165 166 167 136 137 138 139 140 141 142 143 168 169 170 171 172 173 174 175
++ Cell_array32x1 $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_3
+** N=15 EP=0 IP=20 FDC=0
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_dummy_R
+** N=8 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_1 3 4 7 8 9 10
+** N=12 EP=6 IP=16 FDC=4
+*.SEEDPROM
+M0 4 4 7 4 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=3560 $D=8
+M1 4 4 9 4 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=4840 $D=8
+M2 8 3 4 4 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=3560 $D=8
+M3 10 3 4 4 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=4840 $D=8
+.ENDS
+***************************************
+.SUBCKT ICV_2 1 2 3 4 10 12 14 15
+** N=19 EP=8 IP=24 FDC=16
+*.SEEDPROM
+M0 1 3 16 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=180 $Y=7970 $D=2
+M1 18 3 1 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=180 $Y=9260 $D=2
+M2 3 4 16 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=6340 $D=2
+M3 3 4 18 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=10710 $D=2
+M4 17 3 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=6340 $D=2
+M5 19 3 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=10710 $D=2
+M6 2 3 17 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=2220 $Y=7970 $D=2
+M7 19 3 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=2220 $Y=9260 $D=2
+X8 3 4 10 12 16 17 ICV_1 $T=0 0 0 0 $X=-340 $Y=-340
+X9 3 4 18 19 14 15 ICV_1 $T=0 9000 0 0 $X=-340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT gf180mcu_fd_ip_sram__sram256x8m8wm1 A[7] A[6] A[5] A[4] A[3] A[2] A[1]
++ A[0] CEN CLK D[7] D[6] D[5] D[4] D[3] D[2] D[1] D[0] GWEN Q[7] Q[6] Q[5]
++ Q[4] Q[3] Q[2] Q[1] Q[0] VDD VSS WEN[7] WEN[6] WEN[5] WEN[4] WEN[3] WEN[2]
++ WEN[1] WEN[0]
+** N=5478 EP=37 IP=4140 FDC=16461
+M0 4301 VSS 712 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=120390 $Y=176390 $D=2
+M1 712 VSS 4303 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=120390 $Y=328100 $D=2
+M2 VSS 4300 4301 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=120840 $Y=177840 $D=2
+M3 VSS 4302 4303 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=120840 $Y=326470 $D=2
+M4 4300 4301 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=121980 $Y=177840 $D=2
+M5 4302 4303 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=121980 $Y=326470 $D=2
+M6 4300 VSS 711 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=122430 $Y=176390 $D=2
+M7 711 VSS 4302 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=122430 $Y=328100 $D=2
+M8 2 VDD 1 VSS nmos_5p0 L=6e-07 W=6.59e-06 AD=2.8996e-12 AS=2.8996e-12 PD=1.406e-05 PS=1.406e-05 NRD=0.0667678 NRS=0.0667678 m=1 nf=1 $X=204815 $Y=327000 $D=2
+M9 VSS 1 802 VSS nmos_5p0 L=6e-07 W=1.36e-06 AD=3.536e-13 AS=5.984e-13 PD=1.88e-06 PS=3.6e-06 NRD=0.191176 NRS=0.323529 m=1 nf=1 $X=233770 $Y=54135 $D=2
+M10 802 CLK VSS VSS nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=3.536e-13 PD=3.6e-06 PS=1.88e-06 NRD=0.323529 NRS=0.191176 m=1 nf=1 $X=234890 $Y=54135 $D=2
+M11 616 619 VSS VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=242235 $Y=54135 $D=2
+M12 281 808 VSS VSS nmos_5p0 L=1e-06 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=243265 $Y=46010 $D=2
+M13 CEN 802 619 VSS nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=245925 $Y=54135 $D=2
+M14 250 468 VSS VSS nmos_5p0 L=6e-07 W=4.99e-05 AD=1.47704e-11 AS=1.47704e-11 PD=6.284e-05 PS=6.284e-05 NRD=0.148297 NRS=0.148297 m=1 nf=5 $X=241995 $Y=72320 $D=2
+M15 317 281 VSS VSS nmos_5p0 L=6e-07 W=7.5e-07 AD=3.3e-13 AS=3.3e-13 PD=2.38e-06 PS=2.38e-06 NRD=0.586667 NRS=0.586667 m=1 nf=1 $X=246495 $Y=46075 $D=2
+M16 354 317 VSS VSS nmos_5p0 L=6e-07 W=3.02e-06 AD=1.3288e-12 AS=1.3288e-12 PD=6.92e-06 PS=6.92e-06 NRD=0.145695 NRS=0.145695 m=1 nf=1 $X=249065 $Y=46070 $D=2
+M17 4728 354 VSS VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=1.34946e-11 PD=2.32e-05 PS=4.655e-05 NRD=0.0114638 NRS=0.0262346 m=1 nf=1 $X=256125 $Y=28435 $D=2
+M18 4729 CLK 4728 VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=257245 $Y=28435 $D=2
+M19 445 616 4729 VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=1.33812e-11 AS=5.8968e-12 PD=4.654e-05 PS=2.32e-05 NRD=0.0260141 NRS=0.0114638 m=1 nf=1 $X=258365 $Y=28435 $D=2
+M20 4730 495 VSS VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=4.7177e-12 AS=1.07963e-11 PD=1.8665e-05 PS=3.748e-05 NRD=0.014329 NRS=0.0327914 m=1 nf=1 $X=262120 $Y=29545 $D=2
+M21 468 445 4730 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=263240 $Y=29545 $D=2
+M22 4731 445 468 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=264360 $Y=29545 $D=2
+M23 VSS 495 4731 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=1.07055e-11 AS=4.7177e-12 PD=3.747e-05 PS=1.8665e-05 NRD=0.0325158 NRS=0.014329 m=1 nf=1 $X=265480 $Y=29545 $D=2
+M24 4732 468 VSS VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=1.16905e-12 AS=2.7013e-12 PD=5.055e-06 PS=1.027e-05 NRD=0.0567181 NRS=0.131057 m=1 nf=1 $X=268545 $Y=43150 $D=2
+M25 495 607 4732 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=2.27e-14 AS=-2.27e-14 PD=1e-08 PS=-1e-08 NRD=0.00110132 NRS=-0.00110132 m=1 nf=1 $X=269660 $Y=43150 $D=2
+M26 4733 607 495 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=-2.27e-14 AS=2.27e-14 PD=-1e-08 PS=1e-08 NRD=-0.00110132 NRS=0.00110132 m=1 nf=1 $X=270785 $Y=43150 $D=2
+M27 VSS 468 4733 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=2.7013e-12 AS=1.16905e-12 PD=1.027e-05 PS=5.055e-06 NRD=0.131057 NRS=0.0567181 m=1 nf=1 $X=271900 $Y=43150 $D=2
+M28 1 250 VSS VSS nmos_5p0 L=6e-07 W=0.0001474 AD=3.8324e-11 AS=4.09772e-11 PD=0.0001578 PS=0.00017326 NRD=0.705563 NRS=0.75441 m=1 nf=20 $X=253180 $Y=76320 $D=2
+M29 4305 VSS 714 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=304270 $Y=176390 $D=2
+M30 714 VSS 4307 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=304270 $Y=328100 $D=2
+M31 VSS 4304 4305 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=304720 $Y=177840 $D=2
+M32 VSS 4306 4307 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=304720 $Y=326470 $D=2
+M33 4304 4305 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=305860 $Y=177840 $D=2
+M34 4306 4307 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=305860 $Y=326470 $D=2
+M35 4304 VSS 713 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=306310 $Y=176390 $D=2
+M36 713 VSS 4306 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=306310 $Y=328100 $D=2
+M37 4747 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=418270 $Y=176390 $D=2
+M38 614 VSS 4749 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=193100 $D=2
+M39 4751 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=194390 $D=2
+M40 614 VSS 4753 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=211100 $D=2
+M41 4755 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=212390 $D=2
+M42 614 VSS 4757 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=229100 $D=2
+M43 4759 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=230390 $D=2
+M44 614 VSS 4761 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=247100 $D=2
+M45 4763 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=248390 $D=2
+M46 614 VSS 4765 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=265100 $D=2
+M47 4767 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=266390 $D=2
+M48 614 VSS 4769 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=283100 $D=2
+M49 4771 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=284390 $D=2
+M50 614 VSS 4773 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=301100 $D=2
+M51 4775 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=302390 $D=2
+M52 614 804 4777 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=319100 $D=2
+M53 4743 804 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=320390 $D=2
+M54 614 804 4745 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=418270 $Y=328100 $D=2
+M55 VSS VDD 4747 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=177840 $D=2
+M56 VSS VDD 4749 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=191470 $D=2
+M57 VSS VDD 4751 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=195840 $D=2
+M58 VSS VDD 4753 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=209470 $D=2
+M59 VSS VDD 4755 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=213840 $D=2
+M60 VSS VDD 4757 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=227470 $D=2
+M61 VSS VDD 4759 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=231840 $D=2
+M62 VSS VDD 4761 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=245470 $D=2
+M63 VSS VDD 4763 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=249840 $D=2
+M64 VSS VDD 4765 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=263470 $D=2
+M65 VSS VDD 4767 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=267840 $D=2
+M66 VSS VDD 4769 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=281470 $D=2
+M67 VSS VDD 4771 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=285840 $D=2
+M68 VSS VDD 4773 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=299470 $D=2
+M69 VSS VDD 4775 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=303840 $D=2
+M70 VSS VDD 4777 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=317470 $D=2
+M71 VSS VDD 4743 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=321840 $D=2
+M72 VSS VDD 4745 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=326470 $D=2
+M73 4748 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=177840 $D=2
+M74 4750 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=191470 $D=2
+M75 4752 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=195840 $D=2
+M76 4754 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=209470 $D=2
+M77 4756 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=213840 $D=2
+M78 4758 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=227470 $D=2
+M79 4760 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=231840 $D=2
+M80 4762 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=245470 $D=2
+M81 4764 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=249840 $D=2
+M82 4766 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=263470 $D=2
+M83 4768 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=267840 $D=2
+M84 4770 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=281470 $D=2
+M85 4772 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=285840 $D=2
+M86 4774 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=299470 $D=2
+M87 4776 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=303840 $D=2
+M88 4778 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=317470 $D=2
+M89 4744 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=321840 $D=2
+M90 4746 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=326470 $D=2
+M91 606 614 VSS VSS nmos_5p0 L=6e-07 W=2.76e-06 AD=7.176e-13 AS=1.2144e-12 PD=3.8e-06 PS=7.28e-06 NRD=0.376812 NRS=0.637681 m=1 nf=2 $X=418770 $Y=94540 $D=2
+M92 607 606 VSS VSS nmos_5p0 L=6e-07 W=1.7e-05 AD=4.42e-12 AS=7.48e-12 PD=1.804e-05 PS=3.576e-05 NRD=0.0611765 NRS=0.103529 m=1 nf=2 $X=418790 $Y=79115 $D=2
+M93 613 VDD VSS VSS nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=5.016e-13 PD=2.18e-06 PS=4.04e-06 NRD=0.912281 NRS=1.54386 m=1 nf=2 $X=419015 $Y=110805 $D=2
+M94 4748 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=420310 $Y=176390 $D=2
+M95 615 VSS 4750 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=193100 $D=2
+M96 4752 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=194390 $D=2
+M97 615 VSS 4754 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=211100 $D=2
+M98 4756 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=212390 $D=2
+M99 615 VSS 4758 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=229100 $D=2
+M100 4760 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=230390 $D=2
+M101 615 VSS 4762 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=247100 $D=2
+M102 4764 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=248390 $D=2
+M103 615 VSS 4766 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=265100 $D=2
+M104 4768 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=266390 $D=2
+M105 615 VSS 4770 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=283100 $D=2
+M106 4772 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=284390 $D=2
+M107 615 VSS 4774 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=301100 $D=2
+M108 4776 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=302390 $D=2
+M109 615 804 4778 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=319100 $D=2
+M110 4744 804 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=320390 $D=2
+M111 615 804 4746 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=420310 $Y=328100 $D=2
+M112 VDD 4249 4251 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=179690 $D=8
+M113 VDD 4856 4855 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=180970 $D=8
+M114 VDD 4864 4863 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=215690 $D=8
+M115 VDD 4920 4919 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=216970 $D=8
+M116 VDD 4928 4927 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=251690 $D=8
+M117 VDD 4984 4983 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=252970 $D=8
+M118 VDD 4992 4991 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=287690 $D=8
+M119 VDD 5048 5047 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=288970 $D=8
+M120 VDD 5056 5055 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=323690 $D=8
+M121 VDD 4337 4339 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=324970 $D=8
+M122 4249 4251 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=179690 $D=8
+M123 4856 4855 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=180970 $D=8
+M124 4864 4863 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=215690 $D=8
+M125 4920 4919 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=216970 $D=8
+M126 4928 4927 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=251690 $D=8
+M127 4984 4983 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=252970 $D=8
+M128 4992 4991 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=287690 $D=8
+M129 5048 5047 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=288970 $D=8
+M130 5056 5055 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=323690 $D=8
+M131 4337 4339 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=324970 $D=8
+M132 4616 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=13620 $Y=160970 $D=8
+M133 4617 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=13620 $Y=164845 $D=8
+M134 4779 4571 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=13835 $Y=112830 $D=8
+M135 VDD 4245 4247 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=179690 $D=8
+M136 VDD 4858 4857 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=180970 $D=8
+M137 VDD 4866 4865 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=215690 $D=8
+M138 VDD 4922 4921 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=216970 $D=8
+M139 VDD 4930 4929 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=251690 $D=8
+M140 VDD 4986 4985 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=252970 $D=8
+M141 VDD 4994 4993 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=287690 $D=8
+M142 VDD 5050 5049 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=288970 $D=8
+M143 VDD 5058 5057 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=323690 $D=8
+M144 VDD 4333 4335 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=324970 $D=8
+M145 4245 4247 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=179690 $D=8
+M146 4858 4857 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=180970 $D=8
+M147 4866 4865 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=215690 $D=8
+M148 4922 4921 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=216970 $D=8
+M149 4930 4929 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=251690 $D=8
+M150 4986 4985 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=252970 $D=8
+M151 4994 4993 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=287690 $D=8
+M152 5050 5049 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=288970 $D=8
+M153 5058 5057 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=323690 $D=8
+M154 4333 4335 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=324970 $D=8
+M155 4780 4570 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=16365 $Y=112830 $D=8
+M156 4619 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=16720 $Y=160970 $D=8
+M157 4618 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=16720 $Y=164845 $D=8
+M158 VDD 4241 4243 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=179690 $D=8
+M159 VDD 4860 4859 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=180970 $D=8
+M160 VDD 4868 4867 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=215690 $D=8
+M161 VDD 4924 4923 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=216970 $D=8
+M162 VDD 4932 4931 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=251690 $D=8
+M163 VDD 4988 4987 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=252970 $D=8
+M164 VDD 4996 4995 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=287690 $D=8
+M165 VDD 5052 5051 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=288970 $D=8
+M166 VDD 5060 5059 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=323690 $D=8
+M167 VDD 4329 4331 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=324970 $D=8
+M168 4241 4243 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=179690 $D=8
+M169 4860 4859 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=180970 $D=8
+M170 4868 4867 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=215690 $D=8
+M171 4924 4923 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=216970 $D=8
+M172 4932 4931 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=251690 $D=8
+M173 4988 4987 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=252970 $D=8
+M174 4996 4995 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=287690 $D=8
+M175 5052 5051 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=288970 $D=8
+M176 5060 5059 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=323690 $D=8
+M177 4329 4331 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=324970 $D=8
+M178 4620 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=19815 $Y=160970 $D=8
+M179 4621 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=19815 $Y=164845 $D=8
+M180 4781 4569 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=20030 $Y=112830 $D=8
+M181 VDD 4237 4239 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=179690 $D=8
+M182 VDD 4862 4861 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=180970 $D=8
+M183 VDD 4870 4869 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=215690 $D=8
+M184 VDD 4926 4925 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=216970 $D=8
+M185 VDD 4934 4933 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=251690 $D=8
+M186 VDD 4990 4989 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=252970 $D=8
+M187 VDD 4998 4997 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=287690 $D=8
+M188 VDD 5054 5053 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=288970 $D=8
+M189 VDD 5062 5061 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=323690 $D=8
+M190 VDD 4325 4327 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=324970 $D=8
+M191 4237 4239 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=179690 $D=8
+M192 4862 4861 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=180970 $D=8
+M193 4870 4869 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=215690 $D=8
+M194 4926 4925 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=216970 $D=8
+M195 4934 4933 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=251690 $D=8
+M196 4990 4989 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=252970 $D=8
+M197 4998 4997 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=287690 $D=8
+M198 5054 5053 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=288970 $D=8
+M199 5062 5061 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=323690 $D=8
+M200 4325 4327 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=324970 $D=8
+M201 4782 4568 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=22560 $Y=112830 $D=8
+M202 4623 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=22915 $Y=160970 $D=8
+M203 4622 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=22915 $Y=164845 $D=8
+M204 VDD 4177 4179 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=179690 $D=8
+M205 VDD 4840 4839 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=180970 $D=8
+M206 VDD 4848 4847 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=215690 $D=8
+M207 VDD 4904 4903 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=216970 $D=8
+M208 VDD 4912 4911 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=251690 $D=8
+M209 VDD 4968 4967 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=252970 $D=8
+M210 VDD 4976 4975 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=287690 $D=8
+M211 VDD 5032 5031 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=288970 $D=8
+M212 VDD 5040 5039 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=323690 $D=8
+M213 VDD 4321 4323 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=324970 $D=8
+M214 4177 4179 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=179690 $D=8
+M215 4840 4839 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=180970 $D=8
+M216 4848 4847 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=215690 $D=8
+M217 4904 4903 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=216970 $D=8
+M218 4912 4911 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=251690 $D=8
+M219 4968 4967 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=252970 $D=8
+M220 4976 4975 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=287690 $D=8
+M221 5032 5031 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=288970 $D=8
+M222 5040 5039 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=323690 $D=8
+M223 4321 4323 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=324970 $D=8
+M224 4624 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=26005 $Y=160970 $D=8
+M225 4625 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=26005 $Y=164845 $D=8
+M226 4783 4567 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=26220 $Y=112830 $D=8
+M227 VDD 4173 4175 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=179690 $D=8
+M228 VDD 4842 4841 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=180970 $D=8
+M229 VDD 4850 4849 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=215690 $D=8
+M230 VDD 4906 4905 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=216970 $D=8
+M231 VDD 4914 4913 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=251690 $D=8
+M232 VDD 4970 4969 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=252970 $D=8
+M233 VDD 4978 4977 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=287690 $D=8
+M234 VDD 5034 5033 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=288970 $D=8
+M235 VDD 5042 5041 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=323690 $D=8
+M236 VDD 4317 4319 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=324970 $D=8
+M237 4173 4175 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=179690 $D=8
+M238 4842 4841 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=180970 $D=8
+M239 4850 4849 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=215690 $D=8
+M240 4906 4905 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=216970 $D=8
+M241 4914 4913 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=251690 $D=8
+M242 4970 4969 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=252970 $D=8
+M243 4978 4977 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=287690 $D=8
+M244 5034 5033 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=288970 $D=8
+M245 5042 5041 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=323690 $D=8
+M246 4317 4319 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=324970 $D=8
+M247 4784 4566 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=28750 $Y=112830 $D=8
+M248 4627 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=29105 $Y=160970 $D=8
+M249 4626 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=29105 $Y=164845 $D=8
+M250 VDD 4185 4187 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=179690 $D=8
+M251 VDD 4844 4843 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=180970 $D=8
+M252 VDD 4852 4851 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=215690 $D=8
+M253 VDD 4908 4907 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=216970 $D=8
+M254 VDD 4916 4915 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=251690 $D=8
+M255 VDD 4972 4971 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=252970 $D=8
+M256 VDD 4980 4979 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=287690 $D=8
+M257 VDD 5036 5035 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=288970 $D=8
+M258 VDD 5044 5043 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=323690 $D=8
+M259 VDD 4313 4315 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=324970 $D=8
+M260 4185 4187 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=179690 $D=8
+M261 4844 4843 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=180970 $D=8
+M262 4852 4851 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=215690 $D=8
+M263 4908 4907 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=216970 $D=8
+M264 4916 4915 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=251690 $D=8
+M265 4972 4971 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=252970 $D=8
+M266 4980 4979 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=287690 $D=8
+M267 5036 5035 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=288970 $D=8
+M268 5044 5043 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=323690 $D=8
+M269 4313 4315 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=324970 $D=8
+M270 4628 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=32200 $Y=160970 $D=8
+M271 4629 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=32200 $Y=164845 $D=8
+M272 4785 4565 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=32415 $Y=112830 $D=8
+M273 VDD 4181 4183 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=179690 $D=8
+M274 VDD 4846 4845 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=180970 $D=8
+M275 VDD 4854 4853 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=215690 $D=8
+M276 VDD 4910 4909 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=216970 $D=8
+M277 VDD 4918 4917 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=251690 $D=8
+M278 VDD 4974 4973 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=252970 $D=8
+M279 VDD 4982 4981 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=287690 $D=8
+M280 VDD 5038 5037 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=288970 $D=8
+M281 VDD 5046 5045 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=323690 $D=8
+M282 VDD 4309 4311 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=324970 $D=8
+M283 4181 4183 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=179690 $D=8
+M284 4846 4845 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=180970 $D=8
+M285 4854 4853 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=215690 $D=8
+M286 4910 4909 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=216970 $D=8
+M287 4918 4917 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=251690 $D=8
+M288 4974 4973 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=252970 $D=8
+M289 4982 4981 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=287690 $D=8
+M290 5038 5037 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=288970 $D=8
+M291 5046 5045 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=323690 $D=8
+M292 4309 4311 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=324970 $D=8
+M293 4735 4564 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=34945 $Y=112830 $D=8
+M294 881 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=35120 $Y=160970 $D=8
+M295 882 4097 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=35120 $Y=164845 $D=8
+M296 893 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=38575 $Y=160970 $D=8
+M297 894 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=38575 $Y=164845 $D=8
+M298 VDD 4369 4371 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=179690 $D=8
+M299 VDD 4829 4830 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=180970 $D=8
+M300 VDD 4837 4838 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=215690 $D=8
+M301 VDD 4893 4894 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=216970 $D=8
+M302 VDD 4901 4902 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=251690 $D=8
+M303 VDD 4957 4958 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=252970 $D=8
+M304 VDD 4965 4966 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=287690 $D=8
+M305 VDD 5021 5022 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=288970 $D=8
+M306 VDD 5029 5030 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=323690 $D=8
+M307 VDD 4401 4403 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=324970 $D=8
+M308 4739 4571 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=38620 $Y=112830 $D=8
+M309 4369 4371 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=179690 $D=8
+M310 4829 4830 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=180970 $D=8
+M311 4837 4838 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=215690 $D=8
+M312 4893 4894 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=216970 $D=8
+M313 4901 4902 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=251690 $D=8
+M314 4957 4958 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=252970 $D=8
+M315 4965 4966 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=287690 $D=8
+M316 5021 5022 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=288970 $D=8
+M317 5029 5030 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=323690 $D=8
+M318 4401 4403 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=324970 $D=8
+M319 5325 4570 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=41145 $Y=112830 $D=8
+M320 4684 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=41500 $Y=160970 $D=8
+M321 4685 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=41500 $Y=164845 $D=8
+M322 VDD 4365 4367 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=179690 $D=8
+M323 VDD 4827 4828 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=180970 $D=8
+M324 VDD 4835 4836 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=215690 $D=8
+M325 VDD 4891 4892 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=216970 $D=8
+M326 VDD 4899 4900 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=251690 $D=8
+M327 VDD 4955 4956 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=252970 $D=8
+M328 VDD 4963 4964 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=287690 $D=8
+M329 VDD 5019 5020 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=288970 $D=8
+M330 VDD 5027 5028 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=323690 $D=8
+M331 VDD 4397 4399 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=324970 $D=8
+M332 4365 4367 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=179690 $D=8
+M333 4827 4828 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=180970 $D=8
+M334 4835 4836 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=215690 $D=8
+M335 4891 4892 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=216970 $D=8
+M336 4899 4900 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=251690 $D=8
+M337 4955 4956 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=252970 $D=8
+M338 4963 4964 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=287690 $D=8
+M339 5019 5020 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=288970 $D=8
+M340 5027 5028 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=323690 $D=8
+M341 4397 4399 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=324970 $D=8
+M342 4683 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=44595 $Y=160970 $D=8
+M343 4682 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=44595 $Y=164845 $D=8
+M344 VDD 4361 4363 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=179690 $D=8
+M345 VDD 4825 4826 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=180970 $D=8
+M346 VDD 4833 4834 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=215690 $D=8
+M347 VDD 4889 4890 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=216970 $D=8
+M348 VDD 4897 4898 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=251690 $D=8
+M349 VDD 4953 4954 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=252970 $D=8
+M350 VDD 4961 4962 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=287690 $D=8
+M351 VDD 5017 5018 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=288970 $D=8
+M352 VDD 5025 5026 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=323690 $D=8
+M353 VDD 4393 4395 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=324970 $D=8
+M354 5324 4569 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=44810 $Y=112830 $D=8
+M355 4361 4363 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=179690 $D=8
+M356 4825 4826 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=180970 $D=8
+M357 4833 4834 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=215690 $D=8
+M358 4889 4890 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=216970 $D=8
+M359 4897 4898 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=251690 $D=8
+M360 4953 4954 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=252970 $D=8
+M361 4961 4962 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=287690 $D=8
+M362 5017 5018 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=288970 $D=8
+M363 5025 5026 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=323690 $D=8
+M364 4393 4395 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=324970 $D=8
+M365 5323 4568 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=47340 $Y=112830 $D=8
+M366 4680 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=47695 $Y=160970 $D=8
+M367 4681 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=47695 $Y=164845 $D=8
+M368 VDD 4357 4359 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=179690 $D=8
+M369 VDD 4823 4824 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=180970 $D=8
+M370 VDD 4831 4832 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=215690 $D=8
+M371 VDD 4887 4888 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=216970 $D=8
+M372 VDD 4895 4896 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=251690 $D=8
+M373 VDD 4951 4952 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=252970 $D=8
+M374 VDD 4959 4960 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=287690 $D=8
+M375 VDD 5015 5016 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=288970 $D=8
+M376 VDD 5023 5024 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=323690 $D=8
+M377 VDD 4389 4391 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=324970 $D=8
+M378 4357 4359 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=179690 $D=8
+M379 4823 4824 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=180970 $D=8
+M380 4831 4832 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=215690 $D=8
+M381 4887 4888 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=216970 $D=8
+M382 4895 4896 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=251690 $D=8
+M383 4951 4952 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=252970 $D=8
+M384 4959 4960 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=287690 $D=8
+M385 5015 5016 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=288970 $D=8
+M386 5023 5024 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=323690 $D=8
+M387 4389 4391 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=324970 $D=8
+M388 VDD 4353 4355 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=179690 $D=8
+M389 VDD 4813 4814 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=180970 $D=8
+M390 VDD 4821 4822 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=215690 $D=8
+M391 VDD 4877 4878 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=216970 $D=8
+M392 VDD 4885 4886 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=251690 $D=8
+M393 VDD 4941 4942 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=252970 $D=8
+M394 VDD 4949 4950 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=287690 $D=8
+M395 VDD 5005 5006 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=288970 $D=8
+M396 VDD 5013 5014 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=323690 $D=8
+M397 VDD 4385 4387 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=324970 $D=8
+M398 4679 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=50785 $Y=160970 $D=8
+M399 4678 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=50785 $Y=164845 $D=8
+M400 5322 4567 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=51000 $Y=112830 $D=8
+M401 4353 4355 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=179690 $D=8
+M402 4813 4814 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=180970 $D=8
+M403 4821 4822 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=215690 $D=8
+M404 4877 4878 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=216970 $D=8
+M405 4885 4886 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=251690 $D=8
+M406 4941 4942 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=252970 $D=8
+M407 4949 4950 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=287690 $D=8
+M408 5005 5006 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=288970 $D=8
+M409 5013 5014 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=323690 $D=8
+M410 4385 4387 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=324970 $D=8
+M411 5321 4566 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=53530 $Y=112830 $D=8
+M412 VDD 4349 4351 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=179690 $D=8
+M413 VDD 4811 4812 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=180970 $D=8
+M414 VDD 4819 4820 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=215690 $D=8
+M415 VDD 4875 4876 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=216970 $D=8
+M416 VDD 4883 4884 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=251690 $D=8
+M417 VDD 4939 4940 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=252970 $D=8
+M418 VDD 4947 4948 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=287690 $D=8
+M419 VDD 5003 5004 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=288970 $D=8
+M420 VDD 5011 5012 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=323690 $D=8
+M421 VDD 4381 4383 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=324970 $D=8
+M422 4676 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=53885 $Y=160970 $D=8
+M423 4677 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=53885 $Y=164845 $D=8
+M424 4349 4351 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=179690 $D=8
+M425 4811 4812 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=180970 $D=8
+M426 4819 4820 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=215690 $D=8
+M427 4875 4876 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=216970 $D=8
+M428 4883 4884 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=251690 $D=8
+M429 4939 4940 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=252970 $D=8
+M430 4947 4948 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=287690 $D=8
+M431 5003 5004 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=288970 $D=8
+M432 5011 5012 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=323690 $D=8
+M433 4381 4383 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=324970 $D=8
+M434 VDD 4345 4347 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=179690 $D=8
+M435 VDD 4809 4810 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=180970 $D=8
+M436 VDD 4817 4818 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=215690 $D=8
+M437 VDD 4873 4874 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=216970 $D=8
+M438 VDD 4881 4882 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=251690 $D=8
+M439 VDD 4937 4938 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=252970 $D=8
+M440 VDD 4945 4946 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=287690 $D=8
+M441 VDD 5001 5002 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=288970 $D=8
+M442 VDD 5009 5010 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=323690 $D=8
+M443 VDD 4377 4379 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=324970 $D=8
+M444 4675 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=56980 $Y=160970 $D=8
+M445 4674 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=56980 $Y=164845 $D=8
+M446 5320 4565 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=57195 $Y=112830 $D=8
+M447 4345 4347 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=179690 $D=8
+M448 4809 4810 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=180970 $D=8
+M449 4817 4818 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=215690 $D=8
+M450 4873 4874 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=216970 $D=8
+M451 4881 4882 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=251690 $D=8
+M452 4937 4938 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=252970 $D=8
+M453 4945 4946 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=287690 $D=8
+M454 5001 5002 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=288970 $D=8
+M455 5009 5010 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=323690 $D=8
+M456 4377 4379 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=324970 $D=8
+M457 VDD 4341 4343 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=179690 $D=8
+M458 VDD 4807 4808 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=180970 $D=8
+M459 VDD 4815 4816 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=215690 $D=8
+M460 VDD 4871 4872 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=216970 $D=8
+M461 VDD 4879 4880 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=251690 $D=8
+M462 VDD 4935 4936 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=252970 $D=8
+M463 VDD 4943 4944 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=287690 $D=8
+M464 VDD 4999 5000 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=288970 $D=8
+M465 VDD 5007 5008 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=323690 $D=8
+M466 VDD 4373 4375 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=324970 $D=8
+M467 5319 4564 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=59725 $Y=112830 $D=8
+M468 4672 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=60080 $Y=160970 $D=8
+M469 4673 891 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=60080 $Y=164845 $D=8
+M470 4341 4343 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=179690 $D=8
+M471 4807 4808 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=180970 $D=8
+M472 4815 4816 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=215690 $D=8
+M473 4871 4872 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=216970 $D=8
+M474 4879 4880 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=251690 $D=8
+M475 4935 4936 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=252970 $D=8
+M476 4943 4944 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=287690 $D=8
+M477 4999 5000 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=288970 $D=8
+M478 5007 5008 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=323690 $D=8
+M479 4373 4375 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=324970 $D=8
+M480 VDD 4265 4267 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=179690 $D=8
+M481 VDD 5112 5111 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=180970 $D=8
+M482 VDD 5120 5119 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=215690 $D=8
+M483 VDD 5176 5175 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=216970 $D=8
+M484 VDD 5184 5183 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=251690 $D=8
+M485 VDD 5240 5239 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=252970 $D=8
+M486 VDD 5248 5247 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=287690 $D=8
+M487 VDD 5304 5303 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=288970 $D=8
+M488 VDD 5312 5311 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=323690 $D=8
+M489 VDD 4433 4435 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=324970 $D=8
+M490 4265 4267 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=179690 $D=8
+M491 5112 5111 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=180970 $D=8
+M492 5120 5119 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=215690 $D=8
+M493 5176 5175 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=216970 $D=8
+M494 5184 5183 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=251690 $D=8
+M495 5240 5239 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=252970 $D=8
+M496 5248 5247 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=287690 $D=8
+M497 5304 5303 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=288970 $D=8
+M498 5312 5311 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=323690 $D=8
+M499 4433 4435 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=324970 $D=8
+M500 4630 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=67620 $Y=160970 $D=8
+M501 4631 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=67620 $Y=164845 $D=8
+M502 4786 4571 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=67835 $Y=112830 $D=8
+M503 VDD 4261 4263 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=179690 $D=8
+M504 VDD 5114 5113 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=180970 $D=8
+M505 VDD 5122 5121 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=215690 $D=8
+M506 VDD 5178 5177 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=216970 $D=8
+M507 VDD 5186 5185 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=251690 $D=8
+M508 VDD 5242 5241 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=252970 $D=8
+M509 VDD 5250 5249 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=287690 $D=8
+M510 VDD 5306 5305 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=288970 $D=8
+M511 VDD 5314 5313 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=323690 $D=8
+M512 VDD 4429 4431 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=324970 $D=8
+M513 4261 4263 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=179690 $D=8
+M514 5114 5113 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=180970 $D=8
+M515 5122 5121 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=215690 $D=8
+M516 5178 5177 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=216970 $D=8
+M517 5186 5185 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=251690 $D=8
+M518 5242 5241 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=252970 $D=8
+M519 5250 5249 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=287690 $D=8
+M520 5306 5305 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=288970 $D=8
+M521 5314 5313 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=323690 $D=8
+M522 4429 4431 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=324970 $D=8
+M523 4787 4570 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=70365 $Y=112830 $D=8
+M524 4633 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=70720 $Y=160970 $D=8
+M525 4632 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=70720 $Y=164845 $D=8
+M526 VDD 4257 4259 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=179690 $D=8
+M527 VDD 5116 5115 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=180970 $D=8
+M528 VDD 5124 5123 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=215690 $D=8
+M529 VDD 5180 5179 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=216970 $D=8
+M530 VDD 5188 5187 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=251690 $D=8
+M531 VDD 5244 5243 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=252970 $D=8
+M532 VDD 5252 5251 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=287690 $D=8
+M533 VDD 5308 5307 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=288970 $D=8
+M534 VDD 5316 5315 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=323690 $D=8
+M535 VDD 4425 4427 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=324970 $D=8
+M536 4257 4259 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=179690 $D=8
+M537 5116 5115 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=180970 $D=8
+M538 5124 5123 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=215690 $D=8
+M539 5180 5179 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=216970 $D=8
+M540 5188 5187 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=251690 $D=8
+M541 5244 5243 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=252970 $D=8
+M542 5252 5251 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=287690 $D=8
+M543 5308 5307 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=288970 $D=8
+M544 5316 5315 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=323690 $D=8
+M545 4425 4427 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=324970 $D=8
+M546 4634 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=73815 $Y=160970 $D=8
+M547 4635 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=73815 $Y=164845 $D=8
+M548 4788 4569 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=74030 $Y=112830 $D=8
+M549 VDD 4253 4255 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=179690 $D=8
+M550 VDD 5118 5117 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=180970 $D=8
+M551 VDD 5126 5125 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=215690 $D=8
+M552 VDD 5182 5181 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=216970 $D=8
+M553 VDD 5190 5189 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=251690 $D=8
+M554 VDD 5246 5245 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=252970 $D=8
+M555 VDD 5254 5253 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=287690 $D=8
+M556 VDD 5310 5309 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=288970 $D=8
+M557 VDD 5318 5317 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=323690 $D=8
+M558 VDD 4421 4423 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=324970 $D=8
+M559 4253 4255 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=179690 $D=8
+M560 5118 5117 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=180970 $D=8
+M561 5126 5125 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=215690 $D=8
+M562 5182 5181 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=216970 $D=8
+M563 5190 5189 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=251690 $D=8
+M564 5246 5245 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=252970 $D=8
+M565 5254 5253 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=287690 $D=8
+M566 5310 5309 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=288970 $D=8
+M567 5318 5317 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=323690 $D=8
+M568 4421 4423 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=324970 $D=8
+M569 4789 4568 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=76560 $Y=112830 $D=8
+M570 4637 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=76915 $Y=160970 $D=8
+M571 4636 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=76915 $Y=164845 $D=8
+M572 VDD 4193 4195 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=179690 $D=8
+M573 VDD 5096 5095 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=180970 $D=8
+M574 VDD 5104 5103 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=215690 $D=8
+M575 VDD 5160 5159 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=216970 $D=8
+M576 VDD 5168 5167 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=251690 $D=8
+M577 VDD 5224 5223 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=252970 $D=8
+M578 VDD 5232 5231 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=287690 $D=8
+M579 VDD 5288 5287 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=288970 $D=8
+M580 VDD 5296 5295 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=323690 $D=8
+M581 VDD 4417 4419 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=324970 $D=8
+M582 4193 4195 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=179690 $D=8
+M583 5096 5095 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=180970 $D=8
+M584 5104 5103 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=215690 $D=8
+M585 5160 5159 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=216970 $D=8
+M586 5168 5167 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=251690 $D=8
+M587 5224 5223 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=252970 $D=8
+M588 5232 5231 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=287690 $D=8
+M589 5288 5287 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=288970 $D=8
+M590 5296 5295 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=323690 $D=8
+M591 4417 4419 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=324970 $D=8
+M592 4638 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=80005 $Y=160970 $D=8
+M593 4639 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=80005 $Y=164845 $D=8
+M594 4790 4567 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=80220 $Y=112830 $D=8
+M595 VDD 4189 4191 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=179690 $D=8
+M596 VDD 5098 5097 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=180970 $D=8
+M597 VDD 5106 5105 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=215690 $D=8
+M598 VDD 5162 5161 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=216970 $D=8
+M599 VDD 5170 5169 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=251690 $D=8
+M600 VDD 5226 5225 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=252970 $D=8
+M601 VDD 5234 5233 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=287690 $D=8
+M602 VDD 5290 5289 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=288970 $D=8
+M603 VDD 5298 5297 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=323690 $D=8
+M604 VDD 4413 4415 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=324970 $D=8
+M605 4189 4191 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=179690 $D=8
+M606 5098 5097 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=180970 $D=8
+M607 5106 5105 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=215690 $D=8
+M608 5162 5161 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=216970 $D=8
+M609 5170 5169 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=251690 $D=8
+M610 5226 5225 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=252970 $D=8
+M611 5234 5233 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=287690 $D=8
+M612 5290 5289 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=288970 $D=8
+M613 5298 5297 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=323690 $D=8
+M614 4413 4415 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=324970 $D=8
+M615 4791 4566 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=82750 $Y=112830 $D=8
+M616 4641 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=83105 $Y=160970 $D=8
+M617 4640 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=83105 $Y=164845 $D=8
+M618 VDD 4201 4203 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=179690 $D=8
+M619 VDD 5100 5099 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=180970 $D=8
+M620 VDD 5108 5107 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=215690 $D=8
+M621 VDD 5164 5163 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=216970 $D=8
+M622 VDD 5172 5171 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=251690 $D=8
+M623 VDD 5228 5227 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=252970 $D=8
+M624 VDD 5236 5235 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=287690 $D=8
+M625 VDD 5292 5291 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=288970 $D=8
+M626 VDD 5300 5299 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=323690 $D=8
+M627 VDD 4409 4411 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=324970 $D=8
+M628 4201 4203 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=179690 $D=8
+M629 5100 5099 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=180970 $D=8
+M630 5108 5107 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=215690 $D=8
+M631 5164 5163 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=216970 $D=8
+M632 5172 5171 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=251690 $D=8
+M633 5228 5227 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=252970 $D=8
+M634 5236 5235 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=287690 $D=8
+M635 5292 5291 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=288970 $D=8
+M636 5300 5299 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=323690 $D=8
+M637 4409 4411 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=324970 $D=8
+M638 4642 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=86200 $Y=160970 $D=8
+M639 4643 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=86200 $Y=164845 $D=8
+M640 4792 4565 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=86415 $Y=112830 $D=8
+M641 VDD 4197 4199 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=179690 $D=8
+M642 VDD 5102 5101 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=180970 $D=8
+M643 VDD 5110 5109 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=215690 $D=8
+M644 VDD 5166 5165 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=216970 $D=8
+M645 VDD 5174 5173 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=251690 $D=8
+M646 VDD 5230 5229 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=252970 $D=8
+M647 VDD 5238 5237 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=287690 $D=8
+M648 VDD 5294 5293 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=288970 $D=8
+M649 VDD 5302 5301 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=323690 $D=8
+M650 VDD 4405 4407 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=324970 $D=8
+M651 4197 4199 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=179690 $D=8
+M652 5102 5101 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=180970 $D=8
+M653 5110 5109 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=215690 $D=8
+M654 5166 5165 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=216970 $D=8
+M655 5174 5173 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=251690 $D=8
+M656 5230 5229 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=252970 $D=8
+M657 5238 5237 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=287690 $D=8
+M658 5294 5293 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=288970 $D=8
+M659 5302 5301 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=323690 $D=8
+M660 4405 4407 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=324970 $D=8
+M661 4736 4564 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=88945 $Y=112830 $D=8
+M662 883 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=89120 $Y=160970 $D=8
+M663 884 4098 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=89120 $Y=164845 $D=8
+M664 896 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=92575 $Y=160970 $D=8
+M665 897 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=92575 $Y=164845 $D=8
+M666 VDD 4465 4467 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=179690 $D=8
+M667 VDD 5085 5086 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=180970 $D=8
+M668 VDD 5093 5094 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=215690 $D=8
+M669 VDD 5149 5150 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=216970 $D=8
+M670 VDD 5157 5158 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=251690 $D=8
+M671 VDD 5213 5214 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=252970 $D=8
+M672 VDD 5221 5222 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=287690 $D=8
+M673 VDD 5277 5278 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=288970 $D=8
+M674 VDD 5285 5286 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=323690 $D=8
+M675 VDD 4497 4499 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=324970 $D=8
+M676 4740 4571 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=92620 $Y=112830 $D=8
+M677 4465 4467 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=179690 $D=8
+M678 5085 5086 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=180970 $D=8
+M679 5093 5094 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=215690 $D=8
+M680 5149 5150 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=216970 $D=8
+M681 5157 5158 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=251690 $D=8
+M682 5213 5214 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=252970 $D=8
+M683 5221 5222 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=287690 $D=8
+M684 5277 5278 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=288970 $D=8
+M685 5285 5286 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=323690 $D=8
+M686 4497 4499 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=324970 $D=8
+M687 5332 4570 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=95145 $Y=112830 $D=8
+M688 4698 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=95500 $Y=160970 $D=8
+M689 4699 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=95500 $Y=164845 $D=8
+M690 VDD 4461 4463 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=179690 $D=8
+M691 VDD 5083 5084 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=180970 $D=8
+M692 VDD 5091 5092 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=215690 $D=8
+M693 VDD 5147 5148 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=216970 $D=8
+M694 VDD 5155 5156 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=251690 $D=8
+M695 VDD 5211 5212 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=252970 $D=8
+M696 VDD 5219 5220 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=287690 $D=8
+M697 VDD 5275 5276 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=288970 $D=8
+M698 VDD 5283 5284 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=323690 $D=8
+M699 VDD 4493 4495 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=324970 $D=8
+M700 4461 4463 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=179690 $D=8
+M701 5083 5084 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=180970 $D=8
+M702 5091 5092 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=215690 $D=8
+M703 5147 5148 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=216970 $D=8
+M704 5155 5156 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=251690 $D=8
+M705 5211 5212 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=252970 $D=8
+M706 5219 5220 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=287690 $D=8
+M707 5275 5276 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=288970 $D=8
+M708 5283 5284 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=323690 $D=8
+M709 4493 4495 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=324970 $D=8
+M710 4697 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=98595 $Y=160970 $D=8
+M711 4696 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=98595 $Y=164845 $D=8
+M712 VDD 4457 4459 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=179690 $D=8
+M713 VDD 5081 5082 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=180970 $D=8
+M714 VDD 5089 5090 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=215690 $D=8
+M715 VDD 5145 5146 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=216970 $D=8
+M716 VDD 5153 5154 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=251690 $D=8
+M717 VDD 5209 5210 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=252970 $D=8
+M718 VDD 5217 5218 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=287690 $D=8
+M719 VDD 5273 5274 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=288970 $D=8
+M720 VDD 5281 5282 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=323690 $D=8
+M721 VDD 4489 4491 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=324970 $D=8
+M722 5331 4569 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=98810 $Y=112830 $D=8
+M723 4457 4459 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=179690 $D=8
+M724 5081 5082 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=180970 $D=8
+M725 5089 5090 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=215690 $D=8
+M726 5145 5146 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=216970 $D=8
+M727 5153 5154 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=251690 $D=8
+M728 5209 5210 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=252970 $D=8
+M729 5217 5218 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=287690 $D=8
+M730 5273 5274 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=288970 $D=8
+M731 5281 5282 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=323690 $D=8
+M732 4489 4491 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=324970 $D=8
+M733 5330 4568 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=101340 $Y=112830 $D=8
+M734 4694 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=101695 $Y=160970 $D=8
+M735 4695 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=101695 $Y=164845 $D=8
+M736 VDD 4453 4455 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=179690 $D=8
+M737 VDD 5079 5080 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=180970 $D=8
+M738 VDD 5087 5088 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=215690 $D=8
+M739 VDD 5143 5144 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=216970 $D=8
+M740 VDD 5151 5152 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=251690 $D=8
+M741 VDD 5207 5208 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=252970 $D=8
+M742 VDD 5215 5216 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=287690 $D=8
+M743 VDD 5271 5272 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=288970 $D=8
+M744 VDD 5279 5280 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=323690 $D=8
+M745 VDD 4485 4487 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=324970 $D=8
+M746 4453 4455 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=179690 $D=8
+M747 5079 5080 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=180970 $D=8
+M748 5087 5088 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=215690 $D=8
+M749 5143 5144 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=216970 $D=8
+M750 5151 5152 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=251690 $D=8
+M751 5207 5208 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=252970 $D=8
+M752 5215 5216 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=287690 $D=8
+M753 5271 5272 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=288970 $D=8
+M754 5279 5280 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=323690 $D=8
+M755 4485 4487 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=324970 $D=8
+M756 VDD 4449 4451 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=179690 $D=8
+M757 VDD 5069 5070 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=180970 $D=8
+M758 VDD 5077 5078 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=215690 $D=8
+M759 VDD 5133 5134 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=216970 $D=8
+M760 VDD 5141 5142 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=251690 $D=8
+M761 VDD 5197 5198 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=252970 $D=8
+M762 VDD 5205 5206 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=287690 $D=8
+M763 VDD 5261 5262 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=288970 $D=8
+M764 VDD 5269 5270 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=323690 $D=8
+M765 VDD 4481 4483 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=324970 $D=8
+M766 4693 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=104785 $Y=160970 $D=8
+M767 4692 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=104785 $Y=164845 $D=8
+M768 5329 4567 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=105000 $Y=112830 $D=8
+M769 4449 4451 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=179690 $D=8
+M770 5069 5070 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=180970 $D=8
+M771 5077 5078 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=215690 $D=8
+M772 5133 5134 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=216970 $D=8
+M773 5141 5142 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=251690 $D=8
+M774 5197 5198 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=252970 $D=8
+M775 5205 5206 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=287690 $D=8
+M776 5261 5262 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=288970 $D=8
+M777 5269 5270 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=323690 $D=8
+M778 4481 4483 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=324970 $D=8
+M779 5328 4566 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=107530 $Y=112830 $D=8
+M780 VDD 4445 4447 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=179690 $D=8
+M781 VDD 5067 5068 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=180970 $D=8
+M782 VDD 5075 5076 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=215690 $D=8
+M783 VDD 5131 5132 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=216970 $D=8
+M784 VDD 5139 5140 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=251690 $D=8
+M785 VDD 5195 5196 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=252970 $D=8
+M786 VDD 5203 5204 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=287690 $D=8
+M787 VDD 5259 5260 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=288970 $D=8
+M788 VDD 5267 5268 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=323690 $D=8
+M789 VDD 4477 4479 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=324970 $D=8
+M790 4690 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=107885 $Y=160970 $D=8
+M791 4691 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=107885 $Y=164845 $D=8
+M792 4445 4447 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=179690 $D=8
+M793 5067 5068 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=180970 $D=8
+M794 5075 5076 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=215690 $D=8
+M795 5131 5132 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=216970 $D=8
+M796 5139 5140 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=251690 $D=8
+M797 5195 5196 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=252970 $D=8
+M798 5203 5204 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=287690 $D=8
+M799 5259 5260 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=288970 $D=8
+M800 5267 5268 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=323690 $D=8
+M801 4477 4479 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=324970 $D=8
+M802 VDD 4441 4443 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=179690 $D=8
+M803 VDD 5065 5066 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=180970 $D=8
+M804 VDD 5073 5074 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=215690 $D=8
+M805 VDD 5129 5130 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=216970 $D=8
+M806 VDD 5137 5138 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=251690 $D=8
+M807 VDD 5193 5194 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=252970 $D=8
+M808 VDD 5201 5202 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=287690 $D=8
+M809 VDD 5257 5258 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=288970 $D=8
+M810 VDD 5265 5266 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=323690 $D=8
+M811 VDD 4473 4475 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=324970 $D=8
+M812 4689 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=110980 $Y=160970 $D=8
+M813 4688 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=110980 $Y=164845 $D=8
+M814 5327 4565 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=111195 $Y=112830 $D=8
+M815 4441 4443 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=179690 $D=8
+M816 5065 5066 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=180970 $D=8
+M817 5073 5074 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=215690 $D=8
+M818 5129 5130 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=216970 $D=8
+M819 5137 5138 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=251690 $D=8
+M820 5193 5194 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=252970 $D=8
+M821 5201 5202 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=287690 $D=8
+M822 5257 5258 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=288970 $D=8
+M823 5265 5266 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=323690 $D=8
+M824 4473 4475 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=324970 $D=8
+M825 VDD 4437 4439 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=179690 $D=8
+M826 VDD 5063 5064 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=180970 $D=8
+M827 VDD 5071 5072 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=215690 $D=8
+M828 VDD 5127 5128 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=216970 $D=8
+M829 VDD 5135 5136 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=251690 $D=8
+M830 VDD 5191 5192 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=252970 $D=8
+M831 VDD 5199 5200 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=287690 $D=8
+M832 VDD 5255 5256 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=288970 $D=8
+M833 VDD 5263 5264 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=323690 $D=8
+M834 VDD 4469 4471 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=324970 $D=8
+M835 5326 4564 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=113725 $Y=112830 $D=8
+M836 4686 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=114080 $Y=160970 $D=8
+M837 4687 895 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=114080 $Y=164845 $D=8
+M838 4437 4439 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=179690 $D=8
+M839 5063 5064 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=180970 $D=8
+M840 5071 5072 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=215690 $D=8
+M841 5127 5128 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=216970 $D=8
+M842 5135 5136 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=251690 $D=8
+M843 5191 5192 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=252970 $D=8
+M844 5199 5200 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=287690 $D=8
+M845 5255 5256 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=288970 $D=8
+M846 5263 5264 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=323690 $D=8
+M847 4469 4471 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=324970 $D=8
+M848 VDD 4300 4301 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=179690 $D=8
+M849 VDD 5349 5350 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=180970 $D=8
+M850 VDD 5347 5348 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=188690 $D=8
+M851 VDD 5357 5358 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=189970 $D=8
+M852 VDD 5355 5356 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=206690 $D=8
+M853 VDD 5361 5362 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=207970 $D=8
+M854 VDD 5359 5360 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=224690 $D=8
+M855 VDD 5365 5366 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=225970 $D=8
+M856 VDD 5363 5364 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=242690 $D=8
+M857 VDD 5369 5370 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=243970 $D=8
+M858 VDD 5367 5368 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=260690 $D=8
+M859 VDD 5373 5374 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=261970 $D=8
+M860 VDD 5371 5372 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=278690 $D=8
+M861 VDD 5377 5378 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=279970 $D=8
+M862 VDD 5375 5376 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=296690 $D=8
+M863 VDD 5381 5382 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=297970 $D=8
+M864 VDD 5379 5380 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=314690 $D=8
+M865 VDD 5353 5354 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=315970 $D=8
+M866 VDD 5351 5352 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=323690 $D=8
+M867 VDD 4302 4303 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=324970 $D=8
+M868 VDD VSS VDD VDD pmos_5p0 L=2.365e-06 W=8.19e-05 AD=0 AS=6.1309e-11 PD=0 PS=0.000217698 NRD=0 NRS=11.8457 m=1 nf=36 $X=10835 $Y=171065 $D=8
+M869 4300 4301 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=179690 $D=8
+M870 5349 5350 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=180970 $D=8
+M871 5347 5348 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=188690 $D=8
+M872 5357 5358 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=189970 $D=8
+M873 5355 5356 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=206690 $D=8
+M874 5361 5362 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=207970 $D=8
+M875 5359 5360 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=224690 $D=8
+M876 5365 5366 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=225970 $D=8
+M877 5363 5364 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=242690 $D=8
+M878 5369 5370 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=243970 $D=8
+M879 5367 5368 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=260690 $D=8
+M880 5373 5374 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=261970 $D=8
+M881 5371 5372 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=278690 $D=8
+M882 5377 5378 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=279970 $D=8
+M883 5375 5376 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=296690 $D=8
+M884 5381 5382 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=297970 $D=8
+M885 5379 5380 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=314690 $D=8
+M886 5353 5354 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=315970 $D=8
+M887 5351 5352 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=323690 $D=8
+M888 4302 4303 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=324970 $D=8
+M889 VDD VSS VDD VDD pmos_5p0 L=3.94e-06 W=0.000181335 AD=0 AS=1.05339e-10 PD=0 PS=0.000412 NRD=0 NRS=3.48863 m=1 nf=33 $X=146370 $Y=180915 $D=8
+M890 4734 1 VDD VDD pmos_5p0 L=5.95e-07 W=2.28e-06 AD=5.985e-13 AS=1.3566e-12 PD=2.805e-06 PS=5.75e-06 NRD=0.115132 NRS=0.260965 m=1 nf=1 $X=233770 $Y=57780 $D=8
+M891 617 802 VDD VDD pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=233770 $Y=63100 $D=8
+M892 802 CLK 4734 VDD pmos_5p0 L=5.95e-07 W=2.28e-06 AD=1.3566e-12 AS=5.985e-13 PD=5.75e-06 PS=2.805e-06 NRD=0.260965 NRS=0.115132 m=1 nf=1 $X=234890 $Y=57780 $D=8
+M893 616 619 VDD VDD pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=4.9896e-12 PD=1.238e-05 PS=2.444e-05 NRD=0.0917108 NRS=0.155203 m=1 nf=2 $X=242235 $Y=57810 $D=8
+M894 281 808 VDD VDD pmos_5p0 L=1e-06 W=9e-07 AD=3.96e-13 AS=3.96e-13 PD=2.68e-06 PS=2.68e-06 NRD=0.488889 NRS=0.488889 m=1 nf=1 $X=243265 $Y=42525 $D=8
+M895 CEN 617 619 VDD pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=245925 $Y=59010 $D=8
+M896 618 802 619 VDD pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=245925 $Y=64875 $D=8
+M897 317 281 VDD VDD pmos_5p0 L=6e-07 W=1.89e-06 AD=8.316e-13 AS=8.316e-13 PD=4.66e-06 PS=4.66e-06 NRD=0.232804 NRS=0.232804 m=1 nf=1 $X=246495 $Y=41535 $D=8
+M898 354 317 VDD VDD pmos_5p0 L=6e-07 W=7.54e-06 AD=1.9604e-12 AS=3.3176e-12 PD=8.58e-06 PS=1.684e-05 NRD=0.137931 NRS=0.233422 m=1 nf=2 $X=249065 $Y=39655 $D=8
+M899 250 468 VDD VDD pmos_5p0 L=6e-07 W=0.0001248 AD=3.2448e-11 AS=3.69283e-11 PD=0.00013 PS=0.000130718 NRD=0.208333 NRS=0.237099 m=1 nf=10 $X=240535 $Y=94430 $D=8
+M900 445 354 VDD VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=5.07e-12 AS=8.58e-12 PD=2.002e-05 PS=3.988e-05 NRD=0.0133333 NRS=0.0225641 m=1 nf=1 $X=256125 $Y=53590 $D=8
+M901 VDD CLK 445 VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=257245 $Y=53590 $D=8
+M902 445 616 VDD VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=8.58e-12 AS=5.07e-12 PD=3.988e-05 PS=2.002e-05 NRD=0.0225641 NRS=0.0133333 m=1 nf=1 $X=258365 $Y=53590 $D=8
+M903 VDD 495 468 VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=9.9792e-12 PD=2.32e-05 PS=4.624e-05 NRD=0.0114638 NRS=0.0194004 m=1 nf=1 $X=262120 $Y=50420 $D=8
+M904 468 445 VDD VDD pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.64e-05 PS=4.64e-05 NRD=0.0229277 NRS=0.0229277 m=1 nf=2 $X=263240 $Y=50420 $D=8
+M905 468 495 VDD VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=9.9792e-12 AS=5.8968e-12 PD=4.624e-05 PS=2.32e-05 NRD=0.0194004 NRS=0.0114638 m=1 nf=1 $X=265480 $Y=50420 $D=8
+M906 VDD 468 495 VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=9.9792e-12 PD=2.32e-05 PS=4.624e-05 NRD=0.0114638 NRS=0.0194004 m=1 nf=1 $X=268545 $Y=50420 $D=8
+M907 495 607 VDD VDD pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.64e-05 PS=4.64e-05 NRD=0.0229277 NRS=0.0229277 m=1 nf=2 $X=269665 $Y=50420 $D=8
+M908 495 468 VDD VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=9.9792e-12 AS=5.8968e-12 PD=4.624e-05 PS=2.32e-05 NRD=0.0194004 NRS=0.0114638 m=1 nf=1 $X=271905 $Y=50420 $D=8
+M909 1 250 VDD VDD pmos_5p0 L=6e-07 W=0.0003674 AD=9.5524e-11 AS=1.02119e-10 PD=0.0003778 PS=0.000378518 NRD=0.28307 NRS=0.302613 m=1 nf=20 $X=253180 $Y=88540 $D=8
+M910 VDD VSS VDD VDD pmos_5p0 L=3.94e-06 W=0.000181335 AD=0 AS=1.05339e-10 PD=0 PS=0.000412 NRD=0 NRS=3.48863 m=1 nf=33 $X=273750 $Y=180915 $D=8
+M911 VDD 4304 4305 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=179690 $D=8
+M912 VDD 5383 5384 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=180970 $D=8
+M913 VDD 5385 5386 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=197690 $D=8
+M914 VDD 5387 5388 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=198970 $D=8
+M915 VDD 5389 5390 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=215690 $D=8
+M916 VDD 5391 5392 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=216970 $D=8
+M917 VDD 5393 5394 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=233690 $D=8
+M918 VDD 5395 5396 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=234970 $D=8
+M919 VDD 5397 5398 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=251690 $D=8
+M920 VDD 5399 5400 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=252970 $D=8
+M921 VDD 5401 5402 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=269690 $D=8
+M922 VDD 5403 5404 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=270970 $D=8
+M923 VDD 5405 5406 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=287690 $D=8
+M924 VDD 5407 5408 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=288970 $D=8
+M925 VDD 5409 5410 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=305690 $D=8
+M926 VDD 5411 5412 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=306970 $D=8
+M927 VDD 5413 5414 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=323690 $D=8
+M928 VDD 4306 4307 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=324970 $D=8
+M929 4304 4305 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=179690 $D=8
+M930 5383 5384 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=180970 $D=8
+M931 5385 5386 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=197690 $D=8
+M932 5387 5388 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=198970 $D=8
+M933 5389 5390 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=215690 $D=8
+M934 5391 5392 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=216970 $D=8
+M935 5393 5394 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=233690 $D=8
+M936 5395 5396 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=234970 $D=8
+M937 5397 5398 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=251690 $D=8
+M938 5399 5400 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=252970 $D=8
+M939 5401 5402 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=269690 $D=8
+M940 5403 5404 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=270970 $D=8
+M941 5405 5406 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=287690 $D=8
+M942 5407 5408 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=288970 $D=8
+M943 5409 5410 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=305690 $D=8
+M944 5411 5412 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=306970 $D=8
+M945 5413 5414 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=323690 $D=8
+M946 4306 4307 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=324970 $D=8
+M947 VDD 4281 4283 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=179690 $D=8
+M948 VDD 5416 5415 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=180970 $D=8
+M949 4281 4283 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=179690 $D=8
+M950 5416 5415 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=180970 $D=8
+M951 4644 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=311500 $Y=160970 $D=8
+M952 4645 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=311500 $Y=164845 $D=8
+M953 4793 4573 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=311715 $Y=112830 $D=8
+M954 VDD 4277 4279 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=179690 $D=8
+M955 VDD 5418 5417 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=180970 $D=8
+M956 4277 4279 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=179690 $D=8
+M957 5418 5417 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=180970 $D=8
+M958 4794 4574 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=314245 $Y=112830 $D=8
+M959 4647 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=314600 $Y=160970 $D=8
+M960 4646 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=314600 $Y=164845 $D=8
+M961 VDD 4273 4275 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=179690 $D=8
+M962 VDD 5420 5419 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=180970 $D=8
+M963 4273 4275 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=179690 $D=8
+M964 5420 5419 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=180970 $D=8
+M965 4648 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=317695 $Y=160970 $D=8
+M966 4649 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=317695 $Y=164845 $D=8
+M967 4795 4575 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=317910 $Y=112830 $D=8
+M968 VDD 4269 4271 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=179690 $D=8
+M969 VDD 5422 5421 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=180970 $D=8
+M970 4269 4271 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=179690 $D=8
+M971 5422 5421 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=180970 $D=8
+M972 4796 4576 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=320440 $Y=112830 $D=8
+M973 4651 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=320795 $Y=160970 $D=8
+M974 4650 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=320795 $Y=164845 $D=8
+M975 VDD 4209 4211 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=179690 $D=8
+M976 VDD 5424 5423 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=180970 $D=8
+M977 4209 4211 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=179690 $D=8
+M978 5424 5423 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=180970 $D=8
+M979 4652 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=323885 $Y=160970 $D=8
+M980 4653 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=323885 $Y=164845 $D=8
+M981 4797 4577 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=324100 $Y=112830 $D=8
+M982 VDD 4205 4207 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=179690 $D=8
+M983 VDD 5426 5425 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=180970 $D=8
+M984 4205 4207 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=179690 $D=8
+M985 5426 5425 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=180970 $D=8
+M986 4798 4578 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=326630 $Y=112830 $D=8
+M987 4655 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=326985 $Y=160970 $D=8
+M988 4654 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=326985 $Y=164845 $D=8
+M989 VDD 4217 4219 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=179690 $D=8
+M990 VDD 5428 5427 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=180970 $D=8
+M991 4217 4219 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=179690 $D=8
+M992 5428 5427 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=180970 $D=8
+M993 4656 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=330080 $Y=160970 $D=8
+M994 4657 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=330080 $Y=164845 $D=8
+M995 4799 4579 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=330295 $Y=112830 $D=8
+M996 VDD 4213 4215 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=179690 $D=8
+M997 VDD 5430 5429 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=180970 $D=8
+M998 4213 4215 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=179690 $D=8
+M999 5430 5429 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=180970 $D=8
+M1000 4737 4580 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=332825 $Y=112830 $D=8
+M1001 886 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=333000 $Y=160970 $D=8
+M1002 887 4099 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=333000 $Y=164845 $D=8
+M1003 900 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=336455 $Y=160970 $D=8
+M1004 901 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=336455 $Y=164845 $D=8
+M1005 VDD 4529 4531 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=179690 $D=8
+M1006 VDD 5449 5450 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=180970 $D=8
+M1007 4741 4573 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=336500 $Y=112830 $D=8
+M1008 4529 4531 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=179690 $D=8
+M1009 5449 5450 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=180970 $D=8
+M1010 5339 4574 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=339025 $Y=112830 $D=8
+M1011 4712 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=339380 $Y=160970 $D=8
+M1012 4713 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=339380 $Y=164845 $D=8
+M1013 VDD 4525 4527 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=179690 $D=8
+M1014 VDD 5447 5448 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=180970 $D=8
+M1015 4525 4527 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=179690 $D=8
+M1016 5447 5448 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=180970 $D=8
+M1017 4711 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=342475 $Y=160970 $D=8
+M1018 4710 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=342475 $Y=164845 $D=8
+M1019 VDD 4521 4523 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=179690 $D=8
+M1020 VDD 5453 5454 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=180970 $D=8
+M1021 5338 4575 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=342690 $Y=112830 $D=8
+M1022 4521 4523 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=179690 $D=8
+M1023 5453 5454 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=180970 $D=8
+M1024 5337 4576 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=345220 $Y=112830 $D=8
+M1025 4708 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=345575 $Y=160970 $D=8
+M1026 4709 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=345575 $Y=164845 $D=8
+M1027 VDD 4517 4519 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=179690 $D=8
+M1028 VDD 5451 5452 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=180970 $D=8
+M1029 4517 4519 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=179690 $D=8
+M1030 5451 5452 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=180970 $D=8
+M1031 VDD 4513 4515 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=179690 $D=8
+M1032 VDD 5457 5458 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=180970 $D=8
+M1033 4707 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=348665 $Y=160970 $D=8
+M1034 4706 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=348665 $Y=164845 $D=8
+M1035 5336 4577 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=348880 $Y=112830 $D=8
+M1036 4513 4515 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=179690 $D=8
+M1037 5457 5458 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=180970 $D=8
+M1038 5335 4578 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=351410 $Y=112830 $D=8
+M1039 VDD 4509 4511 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=179690 $D=8
+M1040 VDD 5455 5456 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=180970 $D=8
+M1041 4704 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=351765 $Y=160970 $D=8
+M1042 4705 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=351765 $Y=164845 $D=8
+M1043 4509 4511 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=179690 $D=8
+M1044 5455 5456 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=180970 $D=8
+M1045 VDD 4505 4507 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=179690 $D=8
+M1046 VDD 5461 5462 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=180970 $D=8
+M1047 4703 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=354860 $Y=160970 $D=8
+M1048 4702 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=354860 $Y=164845 $D=8
+M1049 5334 4579 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=355075 $Y=112830 $D=8
+M1050 4505 4507 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=179690 $D=8
+M1051 5461 5462 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=180970 $D=8
+M1052 VDD 4501 4503 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=179690 $D=8
+M1053 VDD 5459 5460 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=180970 $D=8
+M1054 5333 4580 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=357605 $Y=112830 $D=8
+M1055 4700 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=357960 $Y=160970 $D=8
+M1056 4701 898 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=357960 $Y=164845 $D=8
+M1057 4501 4503 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=179690 $D=8
+M1058 5459 5460 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=180970 $D=8
+M1059 VDD 4297 4299 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=179690 $D=8
+M1060 VDD 5432 5431 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=180970 $D=8
+M1061 4297 4299 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=179690 $D=8
+M1062 5432 5431 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=180970 $D=8
+M1063 4658 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=365500 $Y=160970 $D=8
+M1064 4659 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=365500 $Y=164845 $D=8
+M1065 4800 4573 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=365715 $Y=112830 $D=8
+M1066 VDD 4293 4295 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=179690 $D=8
+M1067 VDD 5434 5433 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=180970 $D=8
+M1068 4293 4295 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=179690 $D=8
+M1069 5434 5433 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=180970 $D=8
+M1070 4801 4574 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=368245 $Y=112830 $D=8
+M1071 4661 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=368600 $Y=160970 $D=8
+M1072 4660 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=368600 $Y=164845 $D=8
+M1073 VDD 4289 4291 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=179690 $D=8
+M1074 VDD 5436 5435 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=180970 $D=8
+M1075 4289 4291 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=179690 $D=8
+M1076 5436 5435 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=180970 $D=8
+M1077 4662 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=371695 $Y=160970 $D=8
+M1078 4663 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=371695 $Y=164845 $D=8
+M1079 4802 4575 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=371910 $Y=112830 $D=8
+M1080 VDD 4285 4287 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=179690 $D=8
+M1081 VDD 5438 5437 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=180970 $D=8
+M1082 4285 4287 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=179690 $D=8
+M1083 5438 5437 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=180970 $D=8
+M1084 4803 4576 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=374440 $Y=112830 $D=8
+M1085 4665 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=374795 $Y=160970 $D=8
+M1086 4664 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=374795 $Y=164845 $D=8
+M1087 VDD 4225 4227 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=179690 $D=8
+M1088 VDD 5440 5439 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=180970 $D=8
+M1089 4225 4227 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=179690 $D=8
+M1090 5440 5439 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=180970 $D=8
+M1091 4666 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=377885 $Y=160970 $D=8
+M1092 4667 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=377885 $Y=164845 $D=8
+M1093 4804 4577 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=378100 $Y=112830 $D=8
+M1094 VDD 4221 4223 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=179690 $D=8
+M1095 VDD 5442 5441 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=180970 $D=8
+M1096 4221 4223 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=179690 $D=8
+M1097 5442 5441 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=180970 $D=8
+M1098 4805 4578 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=380630 $Y=112830 $D=8
+M1099 4669 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=380985 $Y=160970 $D=8
+M1100 4668 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=380985 $Y=164845 $D=8
+M1101 VDD 4233 4235 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=179690 $D=8
+M1102 VDD 5444 5443 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=180970 $D=8
+M1103 4233 4235 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=179690 $D=8
+M1104 5444 5443 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=180970 $D=8
+M1105 4670 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=384080 $Y=160970 $D=8
+M1106 4671 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=384080 $Y=164845 $D=8
+M1107 4806 4579 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=384295 $Y=112830 $D=8
+M1108 VDD 4229 4231 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=179690 $D=8
+M1109 VDD 5446 5445 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=180970 $D=8
+M1110 4229 4231 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=179690 $D=8
+M1111 5446 5445 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=180970 $D=8
+M1112 4738 4580 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=386825 $Y=112830 $D=8
+M1113 889 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=387000 $Y=160970 $D=8
+M1114 890 4100 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=387000 $Y=164845 $D=8
+M1115 903 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=390455 $Y=160970 $D=8
+M1116 904 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=390455 $Y=164845 $D=8
+M1117 VDD 4561 4563 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=179690 $D=8
+M1118 VDD 5465 5466 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=180970 $D=8
+M1119 4742 4573 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=390500 $Y=112830 $D=8
+M1120 4561 4563 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=179690 $D=8
+M1121 5465 5466 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=180970 $D=8
+M1122 5346 4574 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=393025 $Y=112830 $D=8
+M1123 4726 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=393380 $Y=160970 $D=8
+M1124 4727 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=393380 $Y=164845 $D=8
+M1125 VDD 4557 4559 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=179690 $D=8
+M1126 VDD 5463 5464 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=180970 $D=8
+M1127 4557 4559 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=179690 $D=8
+M1128 5463 5464 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=180970 $D=8
+M1129 4725 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=396475 $Y=160970 $D=8
+M1130 4724 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=396475 $Y=164845 $D=8
+M1131 VDD 4553 4555 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=179690 $D=8
+M1132 VDD 5469 5470 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=180970 $D=8
+M1133 5345 4575 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=396690 $Y=112830 $D=8
+M1134 4553 4555 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=179690 $D=8
+M1135 5469 5470 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=180970 $D=8
+M1136 5344 4576 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=399220 $Y=112830 $D=8
+M1137 4722 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=399575 $Y=160970 $D=8
+M1138 4723 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=399575 $Y=164845 $D=8
+M1139 VDD 4549 4551 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=179690 $D=8
+M1140 VDD 5467 5468 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=180970 $D=8
+M1141 4549 4551 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=179690 $D=8
+M1142 5467 5468 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=180970 $D=8
+M1143 VDD 4545 4547 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=179690 $D=8
+M1144 VDD 5473 5474 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=180970 $D=8
+M1145 4721 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=402665 $Y=160970 $D=8
+M1146 4720 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=402665 $Y=164845 $D=8
+M1147 5343 4577 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=402880 $Y=112830 $D=8
+M1148 4545 4547 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=179690 $D=8
+M1149 5473 5474 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=180970 $D=8
+M1150 5342 4578 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=405410 $Y=112830 $D=8
+M1151 VDD 4541 4543 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=179690 $D=8
+M1152 VDD 5471 5472 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=180970 $D=8
+M1153 4718 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=405765 $Y=160970 $D=8
+M1154 4719 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=405765 $Y=164845 $D=8
+M1155 4541 4543 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=179690 $D=8
+M1156 5471 5472 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=180970 $D=8
+M1157 VDD 4537 4539 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=179690 $D=8
+M1158 VDD 5477 5478 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=180970 $D=8
+M1159 4717 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=408860 $Y=160970 $D=8
+M1160 4716 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=408860 $Y=164845 $D=8
+M1161 5341 4579 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=409075 $Y=112830 $D=8
+M1162 4537 4539 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=179690 $D=8
+M1163 5477 5478 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=180970 $D=8
+M1164 VDD 4533 4535 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=179690 $D=8
+M1165 VDD 5475 5476 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=180970 $D=8
+M1166 5340 4580 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=411605 $Y=112830 $D=8
+M1167 4714 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=411960 $Y=160970 $D=8
+M1168 4715 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=411960 $Y=164845 $D=8
+M1169 VDD VSS VDD VDD pmos_5p0 L=2.365e-06 W=8.19e-05 AD=0 AS=6.1309e-11 PD=0 PS=0.000217698 NRD=0 NRS=11.8457 m=1 nf=36 $X=303025 $Y=171065 $D=8
+M1170 4533 4535 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=179690 $D=8
+M1171 5475 5476 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=180970 $D=8
+M1172 614 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=6.35965e-12 PD=7.86e-06 PS=1.737e-05 NRD=0.152493 NRS=0.546921 m=1 nf=2 $X=418655 $Y=160970 $D=8
+M1173 615 879 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=6.35965e-12 PD=7.86e-06 PS=1.737e-05 NRD=0.152493 NRS=0.546921 m=1 nf=2 $X=418655 $Y=164845 $D=8
+M1174 606 614 VDD VDD pmos_5p0 L=6e-07 W=7.02e-06 AD=1.8252e-12 AS=3.0888e-12 PD=8.06e-06 PS=1.58e-05 NRD=0.148148 NRS=0.250712 m=1 nf=2 $X=418770 $Y=97440 $D=8
+M1175 607 606 VDD VDD pmos_5p0 L=6e-07 W=2.128e-05 AD=5.5328e-12 AS=9.3632e-12 PD=2.232e-05 PS=4.432e-05 NRD=0.0488722 NRS=0.0827068 m=1 nf=2 $X=418790 $Y=67070 $D=8
+M1176 613 VDD VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=2.33887e-12 PD=4.29e-06 PS=9.09e-06 NRD=0.444444 NRS=1.06061 m=1 nf=2 $X=418870 $Y=112830 $D=8
+X1185 614 614 613 VDD pmos_5p0_I09 $T=419815 124190 0 180 $X=418175 $Y=116760
+X1186 615 615 613 VDD pmos_5p0_I09 $T=419815 151940 0 180 $X=418175 $Y=144510
+X1187 615 614 879 VDD pmos_5p0_I09 $T=419825 159875 0 180 $X=418185 $Y=152445
+X1188 614 614 VDD VSS nmos_5p0_I12 $T=419815 133465 0 180 $X=418535 $Y=126035
+X1189 615 615 VDD VSS nmos_5p0_I12 $T=419815 143485 0 180 $X=418535 $Y=136055
+X1195 VDD 618 616 pmos_1p2$$46273580 $T=242390 65835 1 0 $X=240960 $Y=64015
+X1196 VSS 617 802 VSS nmos_1p2$$46563372 $T=233925 66830 0 0 $X=232780 $Y=66145
+X1197 618 VSS 616 VSS nmos_1p2$$46563372 $T=243510 68190 1 0 $X=242365 $Y=66555
+X1198 619 618 617 VSS nmos_1p2$$46563372 $T=246080 68190 1 0 $X=244935 $Y=66555
+X1200 880 VSS Q[0] D[0] 4097 1 VDD 881 882 WEN[0] 4616 4617 4618 4619 4620 4621 4622 4623 4624 4625
++ 4626 4627 4628 4629 4735 870 4571 4570 4569 4568 4567 4566 4565 4564 4572 4779 4780 4781 4782 4783
++ 4784 4785
++ saout_m2 $T=9775 25090 0 0 $X=8430 $Y=7315
+X1201 864 VSS Q[2] D[2] 4098 1 VDD 883 884 WEN[2] 4630 4631 4632 4633 4634 4635 4636 4637 4638 4639
++ 4640 4641 4642 4643 4736 870 4571 4570 4569 4568 4567 4566 4565 4564 4572 4786 4787 4788 4789 4790
++ 4791 4792
++ saout_m2 $T=63775 25090 0 0 $X=62430 $Y=7315
+X1202 885 VSS Q[4] D[4] 4099 1 VDD 886 887 WEN[4] 4644 4645 4646 4647 4648 4649 4650 4651 4652 4653
++ 4654 4655 4656 4657 4737 870 4573 4574 4575 4576 4577 4578 4579 4580 4572 4793 4794 4795 4796 4797
++ 4798 4799
++ saout_m2 $T=307655 25090 0 0 $X=306310 $Y=7315
+X1203 888 VSS Q[6] D[6] 4100 1 VDD 889 890 WEN[6] 4658 4659 4660 4661 4662 4663 4664 4665 4666 4667
++ 4668 4669 4670 4671 4738 870 4573 4574 4575 4576 4577 4578 4579 4580 4572 4800 4801 4802 4803 4804
++ 4805 4806
++ saout_m2 $T=361655 25090 0 0 $X=360310 $Y=7315
+X1204 VSS VSS 4172 4173 4174 4175 4176 4177 4178 4179 ICV_6 $T=27210 176130 1 180 $X=23870 $Y=175790
+X1205 VSS VSS 4180 4181 4182 4183 4184 4185 4186 4187 ICV_6 $T=33210 176130 1 180 $X=29870 $Y=175790
+X1206 VSS VSS 4188 4189 4190 4191 4192 4193 4194 4195 ICV_6 $T=81210 176130 1 180 $X=77870 $Y=175790
+X1207 VSS VSS 4196 4197 4198 4199 4200 4201 4202 4203 ICV_6 $T=87210 176130 1 180 $X=83870 $Y=175790
+X1208 VSS VSS 4204 4205 4206 4207 4208 4209 4210 4211 ICV_6 $T=325090 176130 1 180 $X=321750 $Y=175790
+X1209 VSS VSS 4212 4213 4214 4215 4216 4217 4218 4219 ICV_6 $T=331090 176130 1 180 $X=327750 $Y=175790
+X1210 VSS VSS 4220 4221 4222 4223 4224 4225 4226 4227 ICV_6 $T=379090 176130 1 180 $X=375750 $Y=175790
+X1211 VSS VSS 4228 4229 4230 4231 4232 4233 4234 4235 ICV_6 $T=385090 176130 1 180 $X=381750 $Y=175790
+X1218 VSS 4236 4237 4238 4239 4240 4241 4242 4243 4244 4245 4246 4247 4248 4249 4250 4251 ICV_14 $T=12210 176130 1 180 $X=8870 $Y=175790
+X1219 VSS 4252 4253 4254 4255 4256 4257 4258 4259 4260 4261 4262 4263 4264 4265 4266 4267 ICV_14 $T=66210 176130 1 180 $X=62870 $Y=175790
+X1220 VSS 4268 4269 4270 4271 4272 4273 4274 4275 4276 4277 4278 4279 4280 4281 4282 4283 ICV_14 $T=310090 176130 1 180 $X=306750 $Y=175790
+X1221 VSS 4284 4285 4286 4287 4288 4289 4290 4291 4292 4293 4294 4295 4296 4297 4298 4299 ICV_14 $T=364090 176130 1 180 $X=360750 $Y=175790
+X1226 VDD VSS 4101 4102 4103 4104 4105 4106 4107 4108 4672 4673 4674 4675 4676 4677 4678 4679 4680 4681
++ 4682 4683 4684 4685 894 893 4624 4625 4626 4627 4628 4629 882 881 4616 4617 4618 4619 4620 4621
++ 4622 4623 4807 4808 4809 4810 4811 4812 4813 4814 4815 4816 4817 4818 4819 4820 4821 4822 4823 4824
++ 4825 4826 4827 4828 4829 4830 4831 4832 4833 4834 4835 4836 4837 4838 4839 4840 4841 4842 4843 4844
++ 4845 4846 4847 4848 4849 4850 4851 4852 4853 4854 4855 4856 4857 4858 4859 4860 4861 4862 4863 4864
++ 4865 4866 4867 4868 4869 4870
++ ICV_35 $T=12210 185130 1 180 $X=8870 $Y=180290
+X1227 VDD VSS 4109 4110 4111 4112 4113 4114 4115 4116 4672 4673 4674 4675 4676 4677 4678 4679 4680 4681
++ 4682 4683 4684 4685 894 893 4624 4625 4626 4627 4628 4629 882 881 4616 4617 4618 4619 4620 4621
++ 4622 4623 4871 4872 4873 4874 4875 4876 4877 4878 4879 4880 4881 4882 4883 4884 4885 4886 4887 4888
++ 4889 4890 4891 4892 4893 4894 4895 4896 4897 4898 4899 4900 4901 4902 4903 4904 4905 4906 4907 4908
++ 4909 4910 4911 4912 4913 4914 4915 4916 4917 4918 4919 4920 4921 4922 4923 4924 4925 4926 4927 4928
++ 4929 4930 4931 4932 4933 4934
++ ICV_35 $T=12210 221130 1 180 $X=8870 $Y=216290
+X1228 VDD VSS 4117 4118 4119 4120 4121 4122 4123 4124 4672 4673 4674 4675 4676 4677 4678 4679 4680 4681
++ 4682 4683 4684 4685 894 893 4624 4625 4626 4627 4628 4629 882 881 4616 4617 4618 4619 4620 4621
++ 4622 4623 4935 4936 4937 4938 4939 4940 4941 4942 4943 4944 4945 4946 4947 4948 4949 4950 4951 4952
++ 4953 4954 4955 4956 4957 4958 4959 4960 4961 4962 4963 4964 4965 4966 4967 4968 4969 4970 4971 4972
++ 4973 4974 4975 4976 4977 4978 4979 4980 4981 4982 4983 4984 4985 4986 4987 4988 4989 4990 4991 4992
++ 4993 4994 4995 4996 4997 4998
++ ICV_35 $T=12210 257130 1 180 $X=8870 $Y=252290
+X1229 VDD VSS 4125 4126 4127 4128 4129 4130 4131 4132 4672 4673 4674 4675 4676 4677 4678 4679 4680 4681
++ 4682 4683 4684 4685 894 893 4624 4625 4626 4627 4628 4629 882 881 4616 4617 4618 4619 4620 4621
++ 4622 4623 4999 5000 5001 5002 5003 5004 5005 5006 5007 5008 5009 5010 5011 5012 5013 5014 5015 5016
++ 5017 5018 5019 5020 5021 5022 5023 5024 5025 5026 5027 5028 5029 5030 5031 5032 5033 5034 5035 5036
++ 5037 5038 5039 5040 5041 5042 5043 5044 5045 5046 5047 5048 5049 5050 5051 5052 5053 5054 5055 5056
++ 5057 5058 5059 5060 5061 5062
++ ICV_35 $T=12210 293130 1 180 $X=8870 $Y=288290
+X1230 VDD VSS 4101 4102 4103 4104 4105 4106 4107 4108 4686 4687 4688 4689 4690 4691 4692 4693 4694 4695
++ 4696 4697 4698 4699 897 896 4638 4639 4640 4641 4642 4643 884 883 4630 4631 4632 4633 4634 4635
++ 4636 4637 5063 5064 5065 5066 5067 5068 5069 5070 5071 5072 5073 5074 5075 5076 5077 5078 5079 5080
++ 5081 5082 5083 5084 5085 5086 5087 5088 5089 5090 5091 5092 5093 5094 5095 5096 5097 5098 5099 5100
++ 5101 5102 5103 5104 5105 5106 5107 5108 5109 5110 5111 5112 5113 5114 5115 5116 5117 5118 5119 5120
++ 5121 5122 5123 5124 5125 5126
++ ICV_35 $T=66210 185130 1 180 $X=62870 $Y=180290
+X1231 VDD VSS 4109 4110 4111 4112 4113 4114 4115 4116 4686 4687 4688 4689 4690 4691 4692 4693 4694 4695
++ 4696 4697 4698 4699 897 896 4638 4639 4640 4641 4642 4643 884 883 4630 4631 4632 4633 4634 4635
++ 4636 4637 5127 5128 5129 5130 5131 5132 5133 5134 5135 5136 5137 5138 5139 5140 5141 5142 5143 5144
++ 5145 5146 5147 5148 5149 5150 5151 5152 5153 5154 5155 5156 5157 5158 5159 5160 5161 5162 5163 5164
++ 5165 5166 5167 5168 5169 5170 5171 5172 5173 5174 5175 5176 5177 5178 5179 5180 5181 5182 5183 5184
++ 5185 5186 5187 5188 5189 5190
++ ICV_35 $T=66210 221130 1 180 $X=62870 $Y=216290
+X1232 VDD VSS 4117 4118 4119 4120 4121 4122 4123 4124 4686 4687 4688 4689 4690 4691 4692 4693 4694 4695
++ 4696 4697 4698 4699 897 896 4638 4639 4640 4641 4642 4643 884 883 4630 4631 4632 4633 4634 4635
++ 4636 4637 5191 5192 5193 5194 5195 5196 5197 5198 5199 5200 5201 5202 5203 5204 5205 5206 5207 5208
++ 5209 5210 5211 5212 5213 5214 5215 5216 5217 5218 5219 5220 5221 5222 5223 5224 5225 5226 5227 5228
++ 5229 5230 5231 5232 5233 5234 5235 5236 5237 5238 5239 5240 5241 5242 5243 5244 5245 5246 5247 5248
++ 5249 5250 5251 5252 5253 5254
++ ICV_35 $T=66210 257130 1 180 $X=62870 $Y=252290
+X1233 VDD VSS 4125 4126 4127 4128 4129 4130 4131 4132 4686 4687 4688 4689 4690 4691 4692 4693 4694 4695
++ 4696 4697 4698 4699 897 896 4638 4639 4640 4641 4642 4643 884 883 4630 4631 4632 4633 4634 4635
++ 4636 4637 5255 5256 5257 5258 5259 5260 5261 5262 5263 5264 5265 5266 5267 5268 5269 5270 5271 5272
++ 5273 5274 5275 5276 5277 5278 5279 5280 5281 5282 5283 5284 5285 5286 5287 5288 5289 5290 5291 5292
++ 5293 5294 5295 5296 5297 5298 5299 5300 5301 5302 5303 5304 5305 5306 5307 5308 5309 5310 5311 5312
++ 5313 5314 5315 5316 5317 5318
++ ICV_35 $T=66210 293130 1 180 $X=62870 $Y=288290
+X1234 VSS 4308 4309 4310 4311 4312 4313 4314 4315 4316 4317 4318 4319 4320 4321 4322 4323 4324 4325 4326
++ 4327 4328 4329 4330 4331 4332 4333 4334 4335 4336 4337 4338 4339
++ ICV_15 $T=12210 329130 0 180 $X=8870 $Y=324290
+X1235 VSS 4340 4341 4342 4343 4344 4345 4346 4347 4348 4349 4350 4351 4352 4353 4354 4355 4356 4357 4358
++ 4359 4360 4361 4362 4363 4364 4365 4366 4367 4368 4369 4370 4371
++ ICV_15 $T=39210 176130 1 180 $X=35870 $Y=175790
+X1236 VSS 4372 4373 4374 4375 4376 4377 4378 4379 4380 4381 4382 4383 4384 4385 4386 4387 4388 4389 4390
++ 4391 4392 4393 4394 4395 4396 4397 4398 4399 4400 4401 4402 4403
++ ICV_15 $T=39210 329130 0 180 $X=35870 $Y=324290
+X1237 VSS 4404 4405 4406 4407 4408 4409 4410 4411 4412 4413 4414 4415 4416 4417 4418 4419 4420 4421 4422
++ 4423 4424 4425 4426 4427 4428 4429 4430 4431 4432 4433 4434 4435
++ ICV_15 $T=66210 329130 0 180 $X=62870 $Y=324290
+X1238 VSS 4436 4437 4438 4439 4440 4441 4442 4443 4444 4445 4446 4447 4448 4449 4450 4451 4452 4453 4454
++ 4455 4456 4457 4458 4459 4460 4461 4462 4463 4464 4465 4466 4467
++ ICV_15 $T=93210 176130 1 180 $X=89870 $Y=175790
+X1239 VSS 4468 4469 4470 4471 4472 4473 4474 4475 4476 4477 4478 4479 4480 4481 4482 4483 4484 4485 4486
++ 4487 4488 4489 4490 4491 4492 4493 4494 4495 4496 4497 4498 4499
++ ICV_15 $T=93210 329130 0 180 $X=89870 $Y=324290
+X1240 VSS 4500 4501 4502 4503 4504 4505 4506 4507 4508 4509 4510 4511 4512 4513 4514 4515 4516 4517 4518
++ 4519 4520 4521 4522 4523 4524 4525 4526 4527 4528 4529 4530 4531
++ ICV_15 $T=337090 176130 1 180 $X=333750 $Y=175790
+X1241 VSS 4532 4533 4534 4535 4536 4537 4538 4539 4540 4541 4542 4543 4544 4545 4546 4547 4548 4549 4550
++ 4551 4552 4553 4554 4555 4556 4557 4558 4559 4560 4561 4562 4563
++ ICV_15 $T=391090 176130 1 180 $X=387750 $Y=175790
+X1265 892 VSS Q[1] 891 D[1] 1 VDD 893 894 WEN[1] 4672 4673 4674 4675 4676 4677 4678 4679 4680 4681
++ 4682 4683 4684 4685 4739 870 4564 4565 4566 4567 4568 4569 4570 4571 4572 5319 5320 5321 5322 5323
++ 5324 5325
++ saout_R_m2 $T=65645 25125 1 180 $X=27480 $Y=6815
+X1266 865 VSS Q[3] 895 D[3] 1 VDD 896 897 WEN[3] 4686 4687 4688 4689 4690 4691 4692 4693 4694 4695
++ 4696 4697 4698 4699 4740 870 4564 4565 4566 4567 4568 4569 4570 4571 4572 5326 5327 5328 5329 5330
++ 5331 5332
++ saout_R_m2 $T=119645 25125 1 180 $X=81480 $Y=6815
+X1267 899 VSS Q[5] 898 D[5] 1 VDD 900 901 WEN[5] 4700 4701 4702 4703 4704 4705 4706 4707 4708 4709
++ 4710 4711 4712 4713 4741 870 4580 4579 4578 4577 4576 4575 4574 4573 4572 5333 5334 5335 5336 5337
++ 5338 5339
++ saout_R_m2 $T=363525 25125 1 180 $X=325360 $Y=6815
+X1268 902 VSS Q[7] 879 D[7] 1 VDD 903 904 WEN[7] 4714 4715 4716 4717 4718 4719 4720 4721 4722 4723
++ 4724 4725 4726 4727 4742 870 4580 4579 4578 4577 4576 4575 4574 4573 4572 5340 5341 5342 5343 5344
++ 5345 5346
++ saout_R_m2 $T=417525 25125 1 180 $X=379360 $Y=6815
+X1279 711 712 VSS 5347 5348 5349 5350 ICV_24 $T=123210 185130 0 180 $X=119870 $Y=180290
+X1280 711 712 VSS 5351 5352 5353 5354 ICV_24 $T=123210 320130 0 180 $X=119870 $Y=315290
+X1281 VDD VSS 711 712 5355 5356 5357 5358 ICV_25 $T=123210 194130 0 180 $X=119870 $Y=189290
+X1282 VDD VSS 711 712 5359 5360 5361 5362 ICV_25 $T=123210 212130 0 180 $X=119870 $Y=207290
+X1283 VDD VSS 711 712 5363 5364 5365 5366 ICV_25 $T=123210 230130 0 180 $X=119870 $Y=225290
+X1284 VDD VSS 711 712 5367 5368 5369 5370 ICV_25 $T=123210 248130 0 180 $X=119870 $Y=243290
+X1285 VDD VSS 711 712 5371 5372 5373 5374 ICV_25 $T=123210 266130 0 180 $X=119870 $Y=261290
+X1286 VDD VSS 711 712 5375 5376 5377 5378 ICV_25 $T=123210 284130 0 180 $X=119870 $Y=279290
+X1287 VDD VSS 711 712 5379 5380 5381 5382 ICV_25 $T=123210 302130 0 180 $X=119870 $Y=297290
+X1305 VSS VDD 1 CLK VSS VSS 4589 4590 4594 4595 xpredec0 $T=146075 111460 0 0 $X=144630 $Y=111455
+X1306 VSS VDD 1 CLK A[7] A[6] 4596 4597 4598 4599 xpredec0 $T=182970 111460 0 0 $X=181525 $Y=111455
+X1311 VSS VDD 1 CLK 4570 4571 4564 4565 4566 4567 4568 4569 4580 4579 4578 4577 4576 4575 4574 4573
++ A[2] A[1] A[0]
++ ypredec1 $T=145470 26355 0 0 $X=146365 $Y=26735
+X1312 VSS VDD 4596 4597 4598 4599 4600 4601 4602 4603 4604 4605 4606 4607 VDD 4101 4102 4103 4104 4105
++ 4106 4107 4108 4109 4110 4111 4112 4113 4114 4115 4116 4117 4118 4119 4120 4121 4122 4123 4124 4125
++ 4126 4127 4128 4129 4130 4131 4132 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146
++ 4147 4148 4149 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4133 1
++ xdec32 $T=152015 180635 0 0 $X=152015 $Y=179495
+X1315 803 VSS 805 nmos_5p0_I18 $T=175115 326995 0 90 $X=164385 $Y=326315
+X1316 804 VSS 806 nmos_5p0_I18 $T=260115 326995 0 90 $X=249385 $Y=326315
+X1320 VDD 803 805 pmos_1p2$$204216364 $T=189610 327150 0 90 $X=176320 $Y=325670
+X1321 VDD 804 806 pmos_1p2$$204216364 $T=248135 327150 0 90 $X=234845 $Y=325670
+X1322 805 VDD 2 VDD pmos_5p0_I01 $T=198405 326995 0 90 $X=191195 $Y=325955
+X1323 1 2 VSS VDD pmos_5p0_I01 $T=219905 326995 0 90 $X=212695 $Y=325955
+X1324 806 VDD 2 VDD pmos_5p0_I01 $T=233255 326995 0 90 $X=226045 $Y=325955
+X1325 805 VSS 2 nmos_5p0_I04 $T=202950 326995 0 90 $X=199690 $Y=326315
+X1326 806 VSS 2 nmos_5p0_I04 $T=224800 326995 0 90 $X=221540 $Y=326315
+X1327 VSS VDD GWEN CLK 4572 870 wen_v2 $T=208415 16605 0 0 $X=208280 $Y=15275
+X1328 VSS 1 VDD CLK A[5] A[4] A[3] 4600 4601 4602 4603 4604 4605 4606 4607 xpredec1 $T=219860 111460 0 0 $X=219855 $Y=111455
+X1329 VDD 807 CLK pmos_5p0_I15 $T=234280 43425 1 0 $X=233240 $Y=41905
+X1330 VDD 808 807 pmos_5p0_I15 $T=239670 43425 1 0 $X=238630 $Y=41905
+X1331 VSS 807 CLK nmos_5p0_I16 $T=234280 46585 1 0 $X=233600 $Y=45365
+X1332 VSS 808 807 nmos_5p0_I16 $T=239670 46585 1 0 $X=238990 $Y=45365
+X1344 VDD VSS 713 714 5383 5384 5385 5386 ICV_18 $T=307090 180630 1 180 $X=303750 $Y=180290
+X1345 VDD VSS 713 714 5387 5388 5389 5390 ICV_18 $T=307090 198630 1 180 $X=303750 $Y=198290
+X1346 VDD VSS 713 714 5391 5392 5393 5394 ICV_18 $T=307090 216630 1 180 $X=303750 $Y=216290
+X1347 VDD VSS 713 714 5395 5396 5397 5398 ICV_18 $T=307090 234630 1 180 $X=303750 $Y=234290
+X1348 VDD VSS 713 714 5399 5400 5401 5402 ICV_18 $T=307090 252630 1 180 $X=303750 $Y=252290
+X1349 VDD VSS 713 714 5403 5404 5405 5406 ICV_18 $T=307090 270630 1 180 $X=303750 $Y=270290
+X1350 VDD VSS 713 714 5407 5408 5409 5410 ICV_18 $T=307090 288630 1 180 $X=303750 $Y=288290
+X1351 VDD VSS 713 714 5411 5412 5413 5414 ICV_18 $T=307090 306630 1 180 $X=303750 $Y=306290
+X1352 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4644 4645 4646 4647 5415
++ 5416 5417 5418
++ ICV_16 $T=310090 180630 0 0 $X=309750 $Y=180290
+X1353 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4648 4649 4650 4651 5419
++ 5420 5421 5422
++ ICV_16 $T=316090 180630 0 0 $X=315750 $Y=180290
+X1354 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4652 4653 4654 4655 5423
++ 5424 5425 5426
++ ICV_16 $T=322090 180630 0 0 $X=321750 $Y=180290
+X1355 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4656 4657 887 886 5427
++ 5428 5429 5430
++ ICV_16 $T=328090 180630 0 0 $X=327750 $Y=180290
+X1356 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4658 4659 4660 4661 5431
++ 5432 5433 5434
++ ICV_16 $T=364090 180630 0 0 $X=363750 $Y=180290
+X1357 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4662 4663 4664 4665 5435
++ 5436 5437 5438
++ ICV_16 $T=370090 180630 0 0 $X=369750 $Y=180290
+X1358 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4666 4667 4668 4669 5439
++ 5440 5441 5442
++ ICV_16 $T=376090 180630 0 0 $X=375750 $Y=180290
+X1359 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4670 4671 890 889 5443
++ 5444 5445 5446
++ ICV_16 $T=382090 180630 0 0 $X=381750 $Y=180290
+X1366 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4712 4713 901 900 5447
++ 5448 5449 5450
++ ICV_7 $T=340090 180630 1 180 $X=336750 $Y=180290
+X1367 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4708 4709 4710 4711 5451
++ 5452 5453 5454
++ ICV_7 $T=346090 180630 1 180 $X=342750 $Y=180290
+X1368 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4704 4705 4706 4707 5455
++ 5456 5457 5458
++ ICV_7 $T=352090 180630 1 180 $X=348750 $Y=180290
+X1369 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4700 4701 4702 4703 5459
++ 5460 5461 5462
++ ICV_7 $T=358090 180630 1 180 $X=354750 $Y=180290
+X1370 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4726 4727 904 903 5463
++ 5464 5465 5466
++ ICV_7 $T=394090 180630 1 180 $X=390750 $Y=180290
+X1371 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4722 4723 4724 4725 5467
++ 5468 5469 5470
++ ICV_7 $T=400090 180630 1 180 $X=396750 $Y=180290
+X1372 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4718 4719 4720 4721 5471
++ 5472 5473 5474
++ ICV_7 $T=406090 180630 1 180 $X=402750 $Y=180290
+X1373 VSS VDD 804 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149
++ 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4714 4715 4716 4717 5475
++ 5476 5477 5478
++ ICV_7 $T=412090 180630 1 180 $X=408750 $Y=180290
+X1382 VSS VDD 4743 4744 4745 4746 ICV_1 $T=418090 320130 0 0 $X=417750 $Y=319790
+X1383 614 615 VSS VDD 4747 4748 4749 4750 ICV_2 $T=418090 176130 0 0 $X=417750 $Y=175790
+X1384 614 615 VSS VDD 4751 4752 4753 4754 ICV_2 $T=418090 194130 0 0 $X=417750 $Y=193790
+X1385 614 615 VSS VDD 4755 4756 4757 4758 ICV_2 $T=418090 212130 0 0 $X=417750 $Y=211790
+X1386 614 615 VSS VDD 4759 4760 4761 4762 ICV_2 $T=418090 230130 0 0 $X=417750 $Y=229790
+X1387 614 615 VSS VDD 4763 4764 4765 4766 ICV_2 $T=418090 248130 0 0 $X=417750 $Y=247790
+X1388 614 615 VSS VDD 4767 4768 4769 4770 ICV_2 $T=418090 266130 0 0 $X=417750 $Y=265790
+X1389 614 615 VSS VDD 4771 4772 4773 4774 ICV_2 $T=418090 284130 0 0 $X=417750 $Y=283790
+X1390 614 615 VSS VDD 4775 4776 4777 4778 ICV_2 $T=418090 302130 0 0 $X=417750 $Y=301790
+.ENDS
+***************************************
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.gds b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.gds
new file mode 100644
index 0000000..7fd6339
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.gds
Binary files differ
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.lef b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.lef
new file mode 100644
index 0000000..59d5278
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.lef
@@ -0,0 +1,2161 @@
+# Copyright 2022 GlobalFoundries PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+#=====================================
+# Revision: 1.1
+#=====================================
+
+VERSION 5.8 ;
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+
+UNITS
+  DATABASE MICRONS   1000 ;
+END UNITS
+
+MANUFACTURINGGRID   0.005 ;
+
+
+
+MACRO gf180mcu_fd_ip_sram__sram256x8m8wm1
+  CLASS BLOCK ;
+  ORIGIN 0 0 ;
+  FOREIGN gf180mcu_fd_ip_sram__sram256x8m8wm1 0 0 ;
+  SIZE 431.86 BY 340.88 ;
+  SYMMETRY X Y R90 ;
+  PIN A[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 171.215 0 172.335 1 ;
+    END
+  END A[0]
+  PIN A[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 162.76 0 163.88 1 ;
+    END
+  END A[1]
+  PIN A[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 154.295 0 155.415 1 ;
+    END
+  END A[2]
+  PIN A[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 281.325 0 282.445 1 ;
+    END
+  END A[3]
+  PIN A[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 275.82 0 276.94 1 ;
+    END
+  END A[4]
+  PIN A[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 272.085 0 273.205 1 ;
+    END
+  END A[5]
+  PIN A[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 268.86 0 269.98 1 ;
+    END
+  END A[6]
+  PIN A[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 148.525 0 149.645 1 ;
+    END
+  END A[7]
+  PIN CEN
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 251.71 0 252.83 1 ;
+    END
+  END CEN
+  PIN CLK
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 44.7066 LAYER Metal3 ;
+      ANTENNAGATEAREA 2.8680 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 139.68 0 140.8 1 ;
+    END
+  END CLK
+  PIN D[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 9.32 0 10.44 1 ;
+    END
+  END D[0]
+  PIN D[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 61.03 0 62.15 1 ;
+    END
+  END D[1]
+  PIN D[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 67.27 0 68.39 1 ;
+    END
+  END D[2]
+  PIN D[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 118.975 0 120.095 1 ;
+    END
+  END D[3]
+  PIN D[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 307.235 0 308.355 1 ;
+    END
+  END D[4]
+  PIN D[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 358.91 0 360.03 1 ;
+    END
+  END D[5]
+  PIN D[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 365.15 0 366.27 1 ;
+    END
+  END D[6]
+  PIN D[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 416.86 0 417.98 1 ;
+    END
+  END D[7]
+  PIN GWEN
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 14.466 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 202.94 0 204.06 1 ;
+    END
+  END GWEN
+  PIN Q[0]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 16.9 0 18.02 1 ;
+    END
+  END Q[0]
+  PIN Q[1]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 57.665 0 58.785 1 ;
+    END
+  END Q[1]
+  PIN Q[2]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 70.635 0 71.755 1 ;
+    END
+  END Q[2]
+  PIN Q[3]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 111.395 0 112.515 1 ;
+    END
+  END Q[3]
+  PIN Q[4]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 314.79 0 315.91 1 ;
+    END
+  END Q[4]
+  PIN Q[5]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 355.545 0 356.665 1 ;
+    END
+  END Q[5]
+  PIN Q[6]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 368.515 0 369.635 1 ;
+    END
+  END Q[6]
+  PIN Q[7]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 409.275 0 410.395 1 ;
+    END
+  END Q[7]
+  PIN WEN[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 12.695 0 13.815 1 ;
+    END
+  END WEN[0]
+  PIN WEN[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 63.02 0 64.14 1 ;
+    END
+  END WEN[1]
+  PIN WEN[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 65.27 0 66.39 1 ;
+    END
+  END WEN[2]
+  PIN WEN[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 117.02 0 118.14 1 ;
+    END
+  END WEN[3]
+  PIN WEN[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 310.575 0 311.695 1 ;
+    END
+  END WEN[4]
+  PIN WEN[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 360.9 0 362.02 1 ;
+    END
+  END WEN[5]
+  PIN WEN[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 363.15 0 364.27 1 ;
+    END
+  END WEN[6]
+  PIN WEN[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 413.475 0 414.595 1 ;
+    END
+  END WEN[7]
+  PIN VDD
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER Metal3 ;
+        RECT 0 322.88 8.53 326.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 313.88 8.53 317.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 304.88 8.53 308.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 295.88 8.53 299.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 286.88 8.53 290.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 277.88 8.53 281.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 268.88 8.53 272.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 259.88 8.53 263.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 250.88 8.53 254.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 241.88 8.53 245.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 232.88 8.53 236.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 223.88 8.53 227.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 214.88 8.53 218.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 205.88 8.53 209.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 196.88 8.53 200.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 187.88 8.53 191.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 178.88 8.53 182.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 40.76 5.07 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 40.76 15.055 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.13 40.77 143.645 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 40.765 121.25 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 140.89 35.42 143.645 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 40.77 143.645 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.685 33.72 173.11 38.26 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 140.89 35.42 173.11 38.26 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 7.005 331.88 12.005 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 20.685 331.88 25.685 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 34.005 331.88 39.005 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 47.685 331.88 52.685 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 61.005 331.88 66.005 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74.685 331.88 79.685 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 88.005 331.88 93.005 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 103.265 331.88 108.265 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 117.415 331.88 122.415 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 132.86 331.88 137.86 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 153.55 331.88 158.55 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 177.075 331.88 182.075 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 192.925 331.88 197.925 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 206.15 331.88 211.15 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 225.345 331.88 230.345 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 231.565 331.88 236.565 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 244.505 331.88 249.505 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 262.845 331.88 267.845 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 271.31 331.88 276.31 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 287.735 331.88 292.735 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 304.885 331.88 309.885 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 318.565 331.88 323.565 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 331.89 331.88 336.89 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 331.885 337.84 336.89 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 345.565 331.88 350.565 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 358.885 331.88 363.885 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 372.565 331.88 377.565 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 385.885 331.88 390.885 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 401.145 331.88 406.145 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.295 331.88 420.295 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 331.88 428.33 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 331.88 431.86 336.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 322.88 431.86 326.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 313.88 431.86 317.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 304.88 431.86 308.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 295.88 431.86 299.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 286.88 431.86 290.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 277.88 431.86 281.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 268.88 431.86 272.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 259.88 431.86 263.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 250.88 431.86 254.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 241.88 431.86 245.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 232.88 431.86 236.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 223.88 431.86 227.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 214.88 431.86 218.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 205.88 431.86 209.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 196.88 431.86 200.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 187.88 431.86 191.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 178.88 431.86 182.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 147.15 8.53 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 10.475 161.575 10.94 170.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.575 15.055 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.58 125.425 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.59 136.07 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 157.43 291.755 160.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 136.91 291.755 150.525 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 157.43 291.755 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.265 161.575 361.915 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 161.575 431.86 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 147.15 431.86 148.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 147.15 431.86 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 363.265 161.575 431.86 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 114.69 8.53 119.69 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 114.69 136.07 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.85 116.85 291.74 121.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 114.685 418.815 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 114.69 431.86 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 114.69 431.86 119.69 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 90.08 121.25 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.565 99.845 278.225 108.125 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.605 99.845 278.225 108.535 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 222.16 99.845 278.225 108.54 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 307.51 90.075 418.815 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 307.51 90.08 431.86 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 99.845 431.86 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 60.18 8.53 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.105 60.23 173.805 64.235 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 67.305 136.07 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 60.18 121.25 64.23 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 60.23 136.07 70.895 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 60.23 173.805 64.67 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 173.705 49.86 207.58 63.03 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 53.78 207.58 63.03 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 58.485 291.755 63.03 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 59.22 291.755 63.03 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 60.175 292.105 69.33 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 299.13 60.175 300.13 70.085 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 67.305 431.86 69.33 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.035 67.305 362.145 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 307.4 67.305 431.86 70.885 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.845 60.175 421.105 64.235 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.845 67.305 421.105 70.895 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 60.18 431.86 64.23 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 60.175 424.995 63.03 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 60.18 431.86 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 363.035 67.305 431.86 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 40.77 311.39 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 40.77 362.17 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 363.01 40.76 416.17 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 303.68 40.76 431.86 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 40.76 431.86 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.3 8.56 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 25.865 15.055 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 25.87 121.25 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.3 121.705 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.435 25.875 136.07 28.15 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.545 20.83 312.145 23.095 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.82 296.615 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 20.83 312.145 23.105 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 25.875 312.145 28.15 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 303.495 20.3 431.86 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 25.865 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 303.68 25.87 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.3 20.3 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 3.53 0 8.53 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 10.195 0 15.195 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 17.21 0 22.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 29.21 0 34.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 35.21 0 40.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 41.21 0 46.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 53.21 0 58.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 62.215 0 67.215 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 71.21 0 76.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 83.21 0 88.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 89.21 0 94.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 95.21 0 100.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 109.55 0 114.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 115.55 0 120.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 122.05 0 127.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 128.55 0 133.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 135.05 0 140.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 141.55 0 146.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 148.05 0 153.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 180.155 0 185.155 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 196.14 0 201.14 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 212.165 0 217.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 224.165 0 229.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 236.165 0 241.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 242.83 0 247.83 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 249.38 0 254.38 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 272.29 0 277.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 278.79 0 283.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 285.29 0 290.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 291.79 0 296.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 298.29 0 303.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 304.79 0 309.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 311.475 0 316.475 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 327.09 0 332.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 333.09 0 338.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 339.09 0 344.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 351.09 0 356.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 360.085 0 365.085 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 369.09 0 374.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 381.09 0 386.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 387.09 0 392.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 393.09 0 398.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 405.09 0 410.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 412.095 0 417.095 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 0 428.33 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 6.16 431.86 11.16 ;
+    END
+  END VDD
+  PIN VSS
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER Metal3 ;
+        RECT 13.13 337.84 18.13 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 23.21 0 28.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 26.81 337.84 31.81 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 40.13 337.84 45.13 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 47.21 0 52.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 53.81 337.84 58.81 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 67.13 337.84 72.13 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 77.21 0 82.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 80.81 337.84 85.81 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 94.13 337.84 99.13 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 101.21 0 106.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 111.29 337.84 116.29 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 125.79 337.84 130.79 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 132.175 130.35 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 9.77 132.175 130.35 142.17 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 132.175 139.14 134.45 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 50.88 15.055 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.245 50.87 121.25 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.145 50.875 121.25 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 50.88 139.14 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 172.68 5.07 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 175.63 124.585 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 137.21 172.47 138.91 175.31 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 172.68 139.15 175.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 139.385 337.84 144.385 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 146.365 337.84 151.365 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 156.62 0 161.62 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 161.905 337.84 166.905 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 165.11 0 170.11 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 170.12 337.84 175.12 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.155 0 179.155 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 184.74 337.84 189.74 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 190.14 0 195.14 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 199.41 337.84 204.41 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 206.165 0 211.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 212.15 337.84 217.15 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 218.165 0 223.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 218.565 337.84 223.565 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 230.165 0 235.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 237.69 337.84 242.69 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 252.325 337.84 257.325 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 256.165 0 261.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 262.39 0 267.39 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 279.95 337.84 284.95 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.955 337.84 298.955 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 311.01 337.84 316.01 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 321.09 0 326.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 324.69 337.84 329.69 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 338.01 337.84 343.015 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 345.09 0 350.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 351.69 337.84 356.69 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 365.01 337.84 370.01 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 375.09 0 380.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 378.69 337.84 383.69 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 392.01 337.84 397.01 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 399.09 0 404.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 409.17 337.84 414.17 340.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 327.38 5.07 330.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 328.38 136.36 329.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 328.63 138.895 329.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.01 328.63 273.11 329.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 328.635 287.47 329.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 287.05 328.63 431.86 329.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 328.38 431.86 329.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 327.38 431.86 330.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 318.38 5.07 321.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 319.38 136.36 320.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 319.63 273.11 320.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 319.635 431.86 320.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 319.38 431.86 320.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 318.38 431.86 321.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 309.38 5.07 312.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 310.38 136.36 311.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 310.63 273.11 311.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 310.635 431.86 311.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 310.38 431.86 311.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 309.38 431.86 312.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 300.38 5.07 303.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 301.38 136.36 302.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 301.63 273.11 302.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 301.635 431.86 302.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 301.38 431.86 302.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 300.38 431.86 303.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 291.38 5.07 294.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 292.38 136.36 293.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 292.63 273.11 293.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 292.635 431.86 293.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 292.38 431.86 293.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 291.38 431.86 294.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 282.38 5.07 285.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 283.38 136.36 284.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 283.63 273.11 284.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 283.635 431.86 284.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 283.38 431.86 284.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 282.38 431.86 285.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 273.38 5.07 276.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 274.38 136.36 275.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 274.63 273.11 275.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 274.635 431.86 275.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 274.38 431.86 275.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 273.38 431.86 276.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 264.38 5.07 267.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 265.38 136.36 266.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 265.63 273.11 266.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 265.635 431.86 266.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 265.38 431.86 266.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 264.38 431.86 267.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 255.38 5.07 258.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 256.38 136.36 257.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 256.63 273.11 257.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 256.635 431.86 257.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 256.38 431.86 257.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 255.38 431.86 258.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 246.38 5.07 249.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 247.38 136.36 248.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 247.63 273.11 248.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 247.635 431.86 248.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 247.38 431.86 248.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 246.38 431.86 249.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 237.38 5.07 240.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 238.38 136.36 239.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 238.63 273.11 239.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 238.635 431.86 239.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 238.38 431.86 239.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 237.38 431.86 240.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 228.38 5.07 231.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 229.38 136.36 230.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 229.63 273.11 230.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 229.635 431.86 230.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 229.38 431.86 230.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 228.38 431.86 231.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 219.38 5.07 222.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 220.38 136.36 221.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 220.63 273.11 221.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 220.635 431.86 221.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 220.38 431.86 221.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 219.38 431.86 222.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 210.38 5.07 213.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 211.38 136.36 212.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 211.63 273.11 212.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 211.635 431.86 212.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 211.38 431.86 212.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 210.38 431.86 213.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 201.38 5.07 204.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 202.38 136.36 203.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 202.63 273.11 203.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 202.635 431.86 203.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 202.38 431.86 203.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 201.38 431.86 204.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 192.38 5.07 195.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 193.38 136.36 194.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 193.63 273.11 194.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 193.635 431.86 194.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 193.38 431.86 194.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 192.38 431.86 195.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 183.38 5.07 186.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 184.38 136.36 185.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 184.63 273.11 185.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 184.635 431.86 185.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.355 184.38 431.86 185.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 183.38 431.86 186.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.795 172.68 303.235 176.935 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 301.41 175.79 431.86 176.49 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 301.41 172.68 431.86 175.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 172.68 431.86 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.8 175.63 431.86 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 132.175 431.86 134.45 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.925 132.175 423.585 142.17 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.925 132.175 431.86 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 106.41 5.07 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.18 109.13 139.13 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 109.135 139.13 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 109.13 139.13 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.13 288.385 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 111.455 288.385 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.13 418.815 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 106.41 431.86 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.135 431.86 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 71.64 118.39 88.65 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 71.64 121.25 82.985 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.555 71.645 139.14 82.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 66.215 229.885 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 66.225 229.885 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 72.455 238.415 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 207.465 65.39 248.875 68.8 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 74.68 258.8 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 74.83 278.225 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 234.91 74.84 431.86 83.92 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 71.635 418.815 83.92 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 308.8 71.64 431.86 88.65 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 211.305 53.7 288.68 57.635 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 50.88 431.86 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 303.68 50.865 422.41 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 50.88 431.86 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 5.07 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 15.055 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 34.91 15.055 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.245 34.9 121.25 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.13 34.905 121.25 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.125 34.91 139.14 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.435 30.885 206.985 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.29 28.325 173.11 32.865 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 173.11 30.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.29 30.885 206.985 32.865 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.3 30.885 206.985 42.91 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.565 39.5 206.985 42.91 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.3 32.96 277.41 36.96 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 209.285 45.825 257.15 52.1 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.025 277.41 47.51 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 34.92 288.68 44.825 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 34.91 431.86 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.83 312.145 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 34.92 313.735 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.83 431.86 30.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 303.68 34.9 423.935 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 28.83 431.86 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 28.83 431.86 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 34.91 431.86 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 12.51 5.07 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 17.1 15.055 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 17.105 121.705 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 137.19 17.62 138.89 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 143.82 17.62 144.47 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 208.87 17.62 209.52 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 211.495 17.62 212.145 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 234.365 17.62 235.015 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 236.605 17.62 237.255 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 238.845 17.62 239.495 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 241.085 17.62 241.735 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.545 17.62 306.075 19.375 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.725 17.62 306.075 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 12.51 431.86 14.27 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 303.495 17.1 431.86 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 12.51 431.86 18.86 ;
+    END
+  END VSS
+  OBS
+    LAYER Metal1 ;
+      RECT 0 0 431.86 340.88 ;
+    LAYER Metal2 ;
+      POLYGON 431.86 340.88 0 340.88 0 0 9.04 0 9.04 1.28 10.72 1.28 10.72 0 12.415 0 12.415 1.28 14.095 1.28 14.095 0 16.62 0 16.62 1.28 18.3 1.28 18.3 0 57.385 0 57.385 1.28 59.065 1.28 59.065 0 60.75 0 60.75 1.28 62.43 1.28 62.43 0 62.74 0 62.74 1.28 64.42 1.28 64.42 0 64.99 0 64.99 1.28 66.67 1.28 66.67 0 66.99 0 66.99 1.28 68.67 1.28 68.67 0 70.355 0 70.355 1.28 72.035 1.28 72.035 0 111.115 0 111.115 1.28 112.795 1.28 112.795 0 116.74 0 116.74 1.28 118.42 1.28 118.42 0 118.695 0 118.695 1.28 120.375 1.28 120.375 0 139.4 0 139.4 1.28 141.08 1.28 141.08 0 148.245 0 148.245 1.28 149.925 1.28 149.925 0 154.015 0 154.015 1.28 155.695 1.28 155.695 0 162.48 0 162.48 1.28 164.16 1.28 164.16 0 170.935 0 170.935 1.28 172.615 1.28 172.615 0 202.66 0 202.66 1.28 204.34 1.28 204.34 0 251.43 0 251.43 1.28 253.11 1.28 253.11 0 268.58 0 268.58 1.28 270.26 1.28 270.26 0 271.805 0 271.805 1.28 273.485 1.28 273.485 0 275.54 0 275.54 1.28 277.22 1.28 277.22 0 281.045 0 281.045 1.28 282.725 1.28 282.725 0 306.955 0 306.955 1.28 308.635 1.28 308.635 0 310.295 0 310.295 1.28 311.975 1.28 311.975 0 314.51 0 314.51 1.28 316.19 1.28 316.19 0 355.265 0 355.265 1.28 356.945 1.28 356.945 0 358.63 0 358.63 1.28 360.31 1.28 360.31 0 360.62 0 360.62 1.28 362.3 1.28 362.3 0 362.87 0 362.87 1.28 364.55 1.28 364.55 0 364.87 0 364.87 1.28 366.55 1.28 366.55 0 368.235 0 368.235 1.28 369.915 1.28 369.915 0 408.995 0 408.995 1.28 410.675 1.28 410.675 0 413.195 0 413.195 1.28 414.875 1.28 414.875 0 416.58 0 416.58 1.28 418.26 1.28 418.26 0 431.86 0 ;
+    LAYER Metal3 ;
+      POLYGON 431.86 295.6 423.05 295.6 423.05 299.66 431.86 299.66 431.86 300.1 426.51 300.1 426.51 301.1 302.075 301.1 302.075 301.355 273.39 301.355 273.39 301.35 151.735 301.35 151.735 301.355 136.64 301.355 136.64 301.1 5.35 301.1 5.35 300.1 0 300.1 0 299.66 8.81 299.66 8.81 295.6 0 295.6 0 295.16 5.35 295.16 5.35 294.16 136.64 294.16 136.64 293.92 302.075 293.92 302.075 294.16 426.51 294.16 426.51 295.16 431.86 295.16 ;
+      POLYGON 431.86 286.6 423.05 286.6 423.05 290.66 431.86 290.66 431.86 291.1 426.51 291.1 426.51 292.1 302.075 292.1 302.075 292.355 273.39 292.355 273.39 292.35 151.735 292.35 151.735 292.355 136.64 292.355 136.64 292.1 5.35 292.1 5.35 291.1 0 291.1 0 290.66 8.81 290.66 8.81 286.6 0 286.6 0 286.16 5.35 286.16 5.35 285.16 136.64 285.16 136.64 284.92 302.075 284.92 302.075 285.16 426.51 285.16 426.51 286.16 431.86 286.16 ;
+      POLYGON 431.86 214.6 423.05 214.6 423.05 218.66 431.86 218.66 431.86 219.1 426.51 219.1 426.51 220.1 302.075 220.1 302.075 220.355 273.39 220.355 273.39 220.35 151.735 220.35 151.735 220.355 136.64 220.355 136.64 220.1 5.35 220.1 5.35 219.1 0 219.1 0 218.66 8.81 218.66 8.81 214.6 0 214.6 0 214.16 5.35 214.16 5.35 213.16 136.64 213.16 136.64 212.92 302.075 212.92 302.075 213.16 426.51 213.16 426.51 214.16 431.86 214.16 ;
+      RECT 15.475 0 16.93 5.88 ;
+      POLYGON 431.86 131.895 286.195 131.895 286.195 134.73 293.645 134.73 293.645 142.45 423.865 142.45 423.865 142.36 431.86 142.36 431.86 146.87 292.035 146.87 292.035 136.63 133.58 136.63 133.58 146.87 0 146.87 0 142.36 9.49 142.36 9.49 142.45 130.63 142.45 130.63 134.73 139.42 134.73 139.42 131.895 0 131.895 0 119.97 8.81 119.97 8.81 117.18 133.57 117.18 133.57 121.67 292.02 121.67 292.02 117.18 423.05 117.18 423.05 119.97 431.86 119.97 ;
+      RECT 303.57 0 304.51 5.88 ;
+      POLYGON 431.86 106.13 426.51 106.13 426.51 108.855 419.095 108.855 419.095 108.85 280.11 108.85 280.11 111.175 139.41 111.175 139.41 108.85 119.9 108.85 119.9 108.855 5.35 108.855 5.35 106.13 0 106.13 0 103.975 147.285 103.975 147.285 108.405 147.325 108.405 147.325 108.815 221.88 108.815 221.88 108.82 278.505 108.82 278.505 103.975 431.86 103.975 ;
+      POLYGON 20.405 340.88 18.41 340.88 18.41 337.56 12.85 337.56 12.85 340.88 12.285 340.88 12.285 337.16 20.405 337.16 ;
+      POLYGON 205.87 340.88 204.69 340.88 204.69 337.56 199.13 337.56 199.13 340.88 198.205 340.88 198.205 337.16 205.87 337.16 ;
+      POLYGON 262.565 340.88 257.605 340.88 257.605 337.56 252.045 337.56 252.045 340.88 249.785 340.88 249.785 337.16 262.565 337.16 ;
+      RECT 67.495 0 70.93 5.88 ;
+      POLYGON 404.81 5.88 398.37 5.88 398.37 0 398.81 0 398.81 4.94 404.37 4.94 404.37 0 404.81 0 ;
+      POLYGON 431.86 71.36 419.095 71.36 419.095 71.355 286.195 71.355 286.195 74.56 278.505 74.56 278.505 74.55 259.08 74.55 259.08 74.4 238.695 74.4 238.695 72.175 230.165 72.175 230.165 69.08 249.155 69.08 249.155 65.11 207.185 65.11 207.185 65.935 147.11 65.935 147.11 65.945 136.655 65.945 136.655 71.365 121.53 71.365 121.53 71.36 0 71.36 0 71.17 119.955 71.17 119.955 71.175 136.35 71.175 136.35 64.95 174.085 64.95 174.085 63.31 250.86 63.31 250.86 69.61 298.85 69.61 298.85 70.365 300.41 70.365 300.41 69.61 307.12 69.61 307.12 71.165 308.755 71.165 308.755 71.17 362.425 71.17 362.425 71.165 362.755 71.165 362.755 71.17 415.565 71.17 415.565 71.175 421.385 71.175 421.385 71.17 431.86 71.17 ;
+      RECT 356.37 0 359.805 5.88 ;
+      POLYGON 431.86 268.6 423.05 268.6 423.05 272.66 431.86 272.66 431.86 273.1 426.51 273.1 426.51 274.1 302.075 274.1 302.075 274.355 273.39 274.355 273.39 274.35 151.735 274.35 151.735 274.355 136.64 274.355 136.64 274.1 5.35 274.1 5.35 273.1 0 273.1 0 272.66 8.81 272.66 8.81 268.6 0 268.6 0 268.16 5.35 268.16 5.35 267.16 136.64 267.16 136.64 266.92 302.075 266.92 302.075 267.16 426.51 267.16 426.51 268.16 431.86 268.16 ;
+      POLYGON 350.81 5.88 344.37 5.88 344.37 0 344.81 0 344.81 4.94 350.37 4.94 350.37 0 350.81 0 ;
+      POLYGON 244.225 340.88 242.97 340.88 242.97 337.56 237.41 337.56 237.41 340.88 236.845 340.88 236.845 337.16 244.225 337.16 ;
+      POLYGON 431.86 259.6 423.05 259.6 423.05 263.66 431.86 263.66 431.86 264.1 426.51 264.1 426.51 265.1 302.075 265.1 302.075 265.355 273.39 265.355 273.39 265.35 151.735 265.35 151.735 265.355 136.64 265.355 136.64 265.1 5.35 265.1 5.35 264.1 0 264.1 0 263.66 8.81 263.66 8.81 259.6 0 259.6 0 259.16 5.35 259.16 5.35 258.16 136.64 258.16 136.64 257.92 302.075 257.92 302.075 258.16 426.51 258.16 426.51 259.16 431.86 259.16 ;
+      RECT 140.33 0 141.27 5.88 ;
+      RECT 120.83 0 121.77 5.88 ;
+      POLYGON 225.065 340.88 223.845 340.88 223.845 337.56 218.285 337.56 218.285 340.88 217.43 340.88 217.43 337.56 211.87 337.56 211.87 340.88 211.43 340.88 211.43 337.16 225.065 337.16 ;
+      POLYGON 431.86 172.4 301.13 172.4 301.13 175.36 302.515 175.36 302.515 175.51 301.13 175.51 301.13 176.77 302.515 176.77 302.515 177.215 303.515 177.215 303.515 176.77 416.52 176.77 416.52 176.91 431.86 176.91 431.86 178.6 423.05 178.6 423.05 182.66 431.86 182.66 431.86 183.1 426.51 183.1 426.51 184.1 302.075 184.1 302.075 184.355 273.39 184.355 273.39 184.35 151.735 184.35 151.735 184.355 136.64 184.355 136.64 184.1 5.35 184.1 5.35 183.1 0 183.1 0 182.66 8.81 182.66 8.81 178.6 0 178.6 0 176.91 124.865 176.91 124.865 175.36 136.93 175.36 136.93 175.59 139.19 175.59 139.19 175.36 139.43 175.36 139.43 172.4 139.19 172.4 139.19 172.19 136.93 172.19 136.93 172.4 0 172.4 0 170.905 10.195 170.905 10.195 170.91 11.22 170.91 11.22 170.905 125.705 170.905 125.705 170.9 136.35 170.9 136.35 161.31 125.705 161.31 125.705 161.3 15.335 161.3 15.335 161.295 8.81 161.295 8.81 148.85 133.58 148.85 133.58 150.805 292.035 150.805 292.035 148.85 423.05 148.85 423.05 161.295 292.035 161.295 292.035 157.15 133.58 157.15 133.58 161.275 289.26 161.275 289.26 170.9 308.985 170.9 308.985 170.905 362.195 170.905 362.195 170.9 362.985 170.9 362.985 170.905 431.86 170.905 ;
+      RECT 0 0 3.25 5.88 ;
+      RECT 277.57 0 278.51 5.88 ;
+      POLYGON 415.015 340.88 414.45 340.88 414.45 337.56 408.89 337.56 408.89 340.88 406.425 340.88 406.425 337.16 415.015 337.16 ;
+      RECT 268.125 337.16 271.03 340.88 ;
+      POLYGON 287.455 340.88 285.23 340.88 285.23 337.56 279.67 337.56 279.67 340.88 276.59 340.88 276.59 337.16 287.455 337.16 ;
+      POLYGON 431.86 313.6 423.05 313.6 423.05 317.66 431.86 317.66 431.86 318.1 426.51 318.1 426.51 319.1 302.075 319.1 302.075 319.355 273.39 319.355 273.39 319.35 151.735 319.35 151.735 319.355 136.64 319.355 136.64 319.1 5.35 319.1 5.35 318.1 0 318.1 0 317.66 8.81 317.66 8.81 313.6 0 313.6 0 313.16 5.35 313.16 5.35 312.16 136.64 312.16 136.64 311.92 302.075 311.92 302.075 312.16 426.51 312.16 426.51 313.16 431.86 313.16 ;
+      POLYGON 52.93 5.88 46.49 5.88 46.49 0 46.93 0 46.93 4.94 52.49 4.94 52.49 0 52.93 0 ;
+      POLYGON 431.86 114.41 419.095 114.41 419.095 114.405 289.26 114.405 289.26 116.57 136.35 116.57 136.35 114.41 0 114.41 0 111.69 136.655 111.69 136.655 116.275 288.665 116.275 288.665 111.69 431.86 111.69 ;
+      POLYGON 47.405 340.88 45.41 340.88 45.41 337.56 39.85 337.56 39.85 340.88 39.285 340.88 39.285 337.16 47.405 337.16 ;
+      POLYGON 431.86 187.6 423.05 187.6 423.05 191.66 431.86 191.66 431.86 192.1 426.51 192.1 426.51 193.1 302.075 193.1 302.075 193.355 273.39 193.355 273.39 193.35 151.735 193.35 151.735 193.355 136.64 193.355 136.64 193.1 5.35 193.1 5.35 192.1 0 192.1 0 191.66 8.81 191.66 8.81 187.6 0 187.6 0 187.16 5.35 187.16 5.35 186.16 136.64 186.16 136.64 185.92 302.075 185.92 302.075 186.16 426.51 186.16 426.51 187.16 431.86 187.16 ;
+      RECT 392.37 0 392.81 5.88 ;
+      POLYGON 431.86 89.8 419.095 89.8 419.095 89.795 307.23 89.795 307.23 99.565 121.53 99.565 121.53 89.8 0 89.8 0 88.93 118.67 88.93 118.67 83.265 120.275 83.265 120.275 83.27 139.42 83.27 139.42 75.355 234.63 75.355 234.63 84.2 308.52 84.2 308.52 88.93 431.86 88.93 ;
+      RECT 58.49 0 61.935 5.88 ;
+      POLYGON 431.86 304.6 423.05 304.6 423.05 308.66 431.86 308.66 431.86 309.1 426.51 309.1 426.51 310.1 302.075 310.1 302.075 310.355 273.39 310.355 273.39 310.35 151.735 310.35 151.735 310.355 136.64 310.355 136.64 310.1 5.35 310.1 5.35 309.1 0 309.1 0 308.66 8.81 308.66 8.81 304.6 0 304.6 0 304.16 5.35 304.16 5.35 303.16 136.64 303.16 136.64 302.92 302.075 302.92 302.075 303.16 426.51 303.16 426.51 304.16 431.86 304.16 ;
+      POLYGON 431.86 196.6 423.05 196.6 423.05 200.66 431.86 200.66 431.86 201.1 426.51 201.1 426.51 202.1 302.075 202.1 302.075 202.355 273.39 202.355 273.39 202.35 151.735 202.35 151.735 202.355 136.64 202.355 136.64 202.1 5.35 202.1 5.35 201.1 0 201.1 0 200.66 8.81 200.66 8.81 196.6 0 196.6 0 196.16 5.35 196.16 5.35 195.16 136.64 195.16 136.64 194.92 302.075 194.92 302.075 195.16 426.51 195.16 426.51 196.16 431.86 196.16 ;
+      RECT 297.07 0 298.01 5.88 ;
+      POLYGON 195.86 5.88 185.435 5.88 185.435 0 189.86 0 189.86 4.94 195.42 4.94 195.42 0 195.86 0 ;
+      RECT 0 337.16 6.725 340.88 ;
+      POLYGON 304.605 340.88 299.235 340.88 299.235 337.56 293.675 337.56 293.675 340.88 293.015 340.88 293.015 337.16 304.605 337.16 ;
+      POLYGON 179.875 5.88 153.33 5.88 153.33 0 156.34 0 156.34 4.94 161.9 4.94 161.9 0 164.83 0 164.83 4.94 170.39 4.94 170.39 0 173.875 0 173.875 4.94 179.435 4.94 179.435 0 179.875 0 ;
+      POLYGON 431.86 28.55 277.69 28.55 277.69 27.745 254.33 27.745 254.33 32.68 207.265 32.68 207.265 30.605 173.39 30.605 173.39 28.045 147.01 28.045 147.01 28.55 0 28.55 0 28.425 118.155 28.425 118.155 28.43 136.35 28.43 136.35 25.595 121.53 25.595 121.53 25.59 15.335 25.59 15.335 25.585 8.84 25.585 8.84 22.855 119.265 22.855 119.265 23.375 289.265 23.375 289.265 23.385 312.425 23.385 312.425 22.855 423.02 22.855 423.02 25.585 416.525 25.585 416.525 25.59 303.4 25.59 303.4 25.595 289.265 25.595 289.265 28.43 312.425 28.43 312.425 28.425 431.86 28.425 ;
+      POLYGON 176.795 340.88 175.4 340.88 175.4 337.56 169.84 337.56 169.84 340.88 167.185 340.88 167.185 337.56 161.625 337.56 161.625 340.88 158.83 340.88 158.83 337.16 176.795 337.16 ;
+      POLYGON 117.135 340.88 116.57 340.88 116.57 337.56 111.01 337.56 111.01 340.88 108.545 340.88 108.545 337.16 117.135 337.16 ;
+      POLYGON 33.725 340.88 32.09 340.88 32.09 337.56 26.53 337.56 26.53 340.88 25.965 340.88 25.965 337.16 33.725 337.16 ;
+      POLYGON 109.27 5.88 100.49 5.88 100.49 0 100.93 0 100.93 4.94 106.49 4.94 106.49 0 109.27 0 ;
+      RECT 0 11.44 431.86 12.23 ;
+      RECT 241.445 0 242.55 5.88 ;
+      POLYGON 318.285 340.88 316.29 340.88 316.29 337.56 310.73 337.56 310.73 340.88 310.165 340.88 310.165 337.16 318.285 337.16 ;
+      POLYGON 60.725 340.88 59.09 340.88 59.09 337.56 53.53 337.56 53.53 340.88 52.965 340.88 52.965 337.16 60.725 337.16 ;
+      POLYGON 431.86 322.6 423.05 322.6 423.05 326.66 431.86 326.66 431.86 327.1 426.51 327.1 426.51 328.1 302.075 328.1 302.075 328.35 286.77 328.35 286.77 328.355 273.39 328.355 273.39 328.35 151.73 328.35 151.73 328.355 139.175 328.355 139.175 328.35 136.64 328.35 136.64 328.1 5.35 328.1 5.35 327.1 0 327.1 0 326.66 8.81 326.66 8.81 322.6 0 322.6 0 322.16 5.35 322.16 5.35 321.16 136.64 321.16 136.64 320.92 302.075 320.92 302.075 321.16 426.51 321.16 426.51 322.16 431.86 322.16 ;
+      POLYGON 431.86 250.6 423.05 250.6 423.05 254.66 431.86 254.66 431.86 255.1 426.51 255.1 426.51 256.1 302.075 256.1 302.075 256.355 273.39 256.355 273.39 256.35 151.735 256.35 151.735 256.355 136.64 256.355 136.64 256.1 5.35 256.1 5.35 255.1 0 255.1 0 254.66 8.81 254.66 8.81 250.6 0 250.6 0 250.16 5.35 250.16 5.35 249.16 136.64 249.16 136.64 248.92 302.075 248.92 302.075 249.16 426.51 249.16 426.51 250.16 431.86 250.16 ;
+      RECT 417.375 0 423.05 5.88 ;
+      POLYGON 345.285 340.88 343.295 340.88 343.295 337.56 337.73 337.56 337.73 340.88 337.17 340.88 337.17 337.16 345.285 337.16 ;
+      POLYGON 431.86 241.6 423.05 241.6 423.05 245.66 431.86 245.66 431.86 246.1 426.51 246.1 426.51 247.1 302.075 247.1 302.075 247.355 273.39 247.355 273.39 247.35 151.735 247.35 151.735 247.355 136.64 247.355 136.64 247.1 5.35 247.1 5.35 246.1 0 246.1 0 245.66 8.81 245.66 8.81 241.6 0 241.6 0 241.16 5.35 241.16 5.35 240.16 136.64 240.16 136.64 239.92 302.075 239.92 302.075 240.16 426.51 240.16 426.51 241.16 431.86 241.16 ;
+      POLYGON 431.86 205.6 423.05 205.6 423.05 209.66 431.86 209.66 431.86 210.1 426.51 210.1 426.51 211.1 302.075 211.1 302.075 211.355 273.39 211.355 273.39 211.35 151.735 211.35 151.735 211.355 136.64 211.355 136.64 211.1 5.35 211.1 5.35 210.1 0 210.1 0 209.66 8.81 209.66 8.81 205.6 0 205.6 0 205.16 5.35 205.16 5.35 204.16 136.64 204.16 136.64 203.92 302.075 203.92 302.075 204.16 426.51 204.16 426.51 205.16 431.86 205.16 ;
+      POLYGON 223.885 5.88 217.445 5.88 217.445 0 217.885 0 217.885 4.94 223.445 4.94 223.445 0 223.885 0 ;
+      RECT 284.07 0 285.01 5.88 ;
+      RECT 34.49 0 34.93 5.88 ;
+      RECT 338.37 0 338.81 5.88 ;
+      RECT 127.33 0 128.27 5.88 ;
+      RECT 428.61 337.16 431.86 340.88 ;
+      POLYGON 119.955 67.025 8.81 67.025 8.81 64.51 118.825 64.51 118.825 64.515 119.955 64.515 ;
+      RECT 420.575 337.16 423.05 340.88 ;
+      POLYGON 431.86 40.48 303.4 40.48 303.4 40.49 289.265 40.49 289.265 47.86 311.67 47.86 311.67 47.855 362.45 47.855 362.45 47.85 362.73 47.85 362.73 47.855 416.45 47.855 416.45 47.85 426.51 47.85 426.51 47.855 431.86 47.855 431.86 50.6 422.69 50.6 422.69 50.585 303.4 50.585 303.4 50.6 286.195 50.6 286.195 53.42 211.025 53.42 211.025 57.915 288.96 57.915 288.96 57.735 308.845 57.735 308.845 57.745 431.86 57.745 431.86 59.9 425.275 59.9 425.275 59.895 292.035 59.895 292.035 58.205 207.86 58.205 207.86 49.58 173.425 49.58 173.425 53.5 147.11 53.5 147.11 58.94 133.58 58.94 133.58 59.95 121.53 59.95 121.53 59.9 0 59.9 0 57.745 15.335 57.745 15.335 57.735 119.955 57.735 119.955 57.745 139.42 57.745 139.42 50.6 121.53 50.6 121.53 50.59 10.965 50.59 10.965 50.595 10.865 50.595 10.865 50.6 0 50.6 0 47.855 5.35 47.855 5.35 47.85 10.85 47.85 10.85 47.855 119.955 47.855 119.955 47.86 143.925 47.86 143.925 38.54 173.39 38.54 173.39 33.44 147.405 33.44 147.405 35.14 140.61 35.14 140.61 40.49 121.53 40.49 121.53 40.485 15.335 40.485 15.335 40.48 0 40.48 0 38.26 15.335 38.26 15.335 38.255 117.845 38.255 117.845 38.26 139.42 38.26 139.42 34.63 121.53 34.63 121.53 34.62 10.965 34.62 10.965 34.625 10.85 34.625 10.85 34.63 5.35 34.63 5.35 31.275 15.335 31.275 15.335 31.27 118.155 31.27 118.155 31.275 147.01 31.275 147.01 33.145 174.02 33.145 174.02 39.22 147.285 39.22 147.285 43.19 207.265 43.19 207.265 37.24 254.33 37.24 254.33 45.545 209.005 45.545 209.005 52.38 257.43 52.38 257.43 47.79 277.69 47.79 277.69 45.105 288.96 45.105 288.96 38.26 314.015 38.26 314.015 38.255 416.525 38.255 416.525 38.26 431.86 38.26 ;
+      RECT 114.83 0 115.27 5.88 ;
+      POLYGON 426.51 16.82 303.215 16.82 303.215 17.34 121.985 17.34 121.985 16.825 15.335 16.825 15.335 16.82 5.35 16.82 5.35 14.55 426.51 14.55 ;
+      POLYGON 400.865 340.88 397.29 340.88 397.29 337.56 391.73 337.56 391.73 340.88 391.165 340.88 391.165 337.16 400.865 337.16 ;
+      RECT 332.37 0 332.81 5.88 ;
+      RECT 8.81 0 9.915 5.88 ;
+      POLYGON 192.645 340.88 190.02 340.88 190.02 337.56 184.46 337.56 184.46 340.88 182.355 340.88 182.355 337.16 192.645 337.16 ;
+      POLYGON 431.86 331.6 0 331.6 0 331.16 5.35 331.16 5.35 330.16 136.64 330.16 136.64 329.92 287.75 329.92 287.75 329.91 302.075 329.91 302.075 330.16 426.51 330.16 426.51 331.16 431.86 331.16 ;
+      POLYGON 431.86 277.6 423.05 277.6 423.05 281.66 431.86 281.66 431.86 282.1 426.51 282.1 426.51 283.1 302.075 283.1 302.075 283.355 273.39 283.355 273.39 283.35 151.735 283.35 151.735 283.355 136.64 283.355 136.64 283.1 5.35 283.1 5.35 282.1 0 282.1 0 281.66 8.81 281.66 8.81 277.6 0 277.6 0 277.16 5.35 277.16 5.35 276.16 136.64 276.16 136.64 275.92 302.075 275.92 302.075 276.16 426.51 276.16 426.51 277.16 431.86 277.16 ;
+      RECT 303.515 175.36 416.52 175.51 ;
+      RECT 428.61 0 431.86 5.88 ;
+      RECT 365.365 0 368.81 5.88 ;
+      POLYGON 423.05 67.025 300.41 67.025 300.41 64.51 415.565 64.51 415.565 64.515 421.385 64.515 421.385 64.51 423.05 64.51 ;
+      RECT 410.37 0 411.815 5.88 ;
+      POLYGON 358.605 340.88 356.97 340.88 356.97 337.56 351.41 337.56 351.41 340.88 350.845 340.88 350.845 337.16 358.605 337.16 ;
+      RECT 386.37 0 386.81 5.88 ;
+      POLYGON 331.61 337.56 331.605 337.56 331.605 340.88 329.97 340.88 329.97 337.56 324.41 337.56 324.41 340.88 323.845 340.88 323.845 337.16 331.61 337.16 ;
+      RECT 290.57 0 291.51 5.88 ;
+      POLYGON 74.405 340.88 72.41 340.88 72.41 337.56 66.85 337.56 66.85 340.88 66.285 340.88 66.285 337.16 74.405 337.16 ;
+      RECT 146.83 0 147.77 5.88 ;
+      POLYGON 326.81 5.88 316.755 5.88 316.755 0 320.81 0 320.81 4.94 326.37 4.94 326.37 0 326.81 0 ;
+      RECT 88.49 0 88.93 5.88 ;
+      POLYGON 380.81 5.88 374.37 5.88 374.37 0 374.81 0 374.81 4.94 380.37 4.94 380.37 0 380.81 0 ;
+      POLYGON 431.86 232.6 423.05 232.6 423.05 236.66 431.86 236.66 431.86 237.1 426.51 237.1 426.51 238.1 302.075 238.1 302.075 238.355 273.39 238.355 273.39 238.35 151.735 238.35 151.735 238.355 136.64 238.355 136.64 238.1 5.35 238.1 5.35 237.1 0 237.1 0 236.66 8.81 236.66 8.81 232.6 0 232.6 0 232.16 5.35 232.16 5.35 231.16 136.64 231.16 136.64 230.92 302.075 230.92 302.075 231.16 426.51 231.16 426.51 232.16 431.86 232.16 ;
+      RECT 292.385 64.51 298.85 67.025 ;
+      RECT 40.49 0 40.93 5.88 ;
+      RECT 133.83 0 134.77 5.88 ;
+      POLYGON 272.01 5.88 254.66 5.88 254.66 0 255.885 0 255.885 4.94 261.445 4.94 261.445 0 262.11 0 262.11 4.94 267.67 4.94 267.67 0 272.01 0 ;
+      POLYGON 211.885 5.88 201.42 5.88 201.42 0 205.885 0 205.885 4.94 211.445 4.94 211.445 0 211.885 0 ;
+      POLYGON 431.86 223.6 423.05 223.6 423.05 227.66 431.86 227.66 431.86 228.1 426.51 228.1 426.51 229.1 302.075 229.1 302.075 229.355 273.39 229.355 273.39 229.35 151.735 229.35 151.735 229.355 136.64 229.355 136.64 229.1 5.35 229.1 5.35 228.1 0 228.1 0 227.66 8.81 227.66 8.81 223.6 0 223.6 0 223.16 5.35 223.16 5.35 222.16 136.64 222.16 136.64 221.92 302.075 221.92 302.075 222.16 426.51 222.16 426.51 223.16 431.86 223.16 ;
+      POLYGON 28.93 5.88 22.49 5.88 22.49 0 22.93 0 22.93 4.94 28.49 4.94 28.49 0 28.93 0 ;
+      RECT 310.07 0 311.195 5.88 ;
+      RECT 248.11 0 249.1 5.88 ;
+      RECT 230.625 337.16 231.285 340.88 ;
+      POLYGON 372.285 340.88 370.29 340.88 370.29 337.56 364.73 337.56 364.73 340.88 364.165 340.88 364.165 337.16 372.285 337.16 ;
+      POLYGON 82.93 5.88 76.49 5.88 76.49 0 76.93 0 76.93 4.94 82.49 4.94 82.49 0 82.93 0 ;
+      POLYGON 132.58 340.88 131.07 340.88 131.07 337.56 125.51 337.56 125.51 340.88 122.695 340.88 122.695 337.16 132.58 337.16 ;
+      POLYGON 431.86 20.02 303.215 20.02 303.215 20.55 296.895 20.55 296.895 20.54 121.985 20.54 121.985 20.02 0 20.02 0 19.14 119.265 19.14 119.265 19.655 136.91 19.655 136.91 19.66 139.17 19.66 139.17 19.655 143.54 19.655 143.54 19.66 144.75 19.66 144.75 19.655 208.59 19.655 208.59 19.66 209.8 19.66 209.8 19.655 211.215 19.655 211.215 19.66 212.425 19.66 212.425 19.655 234.085 19.655 234.085 19.66 235.295 19.66 235.295 19.655 236.325 19.655 236.325 19.66 237.535 19.66 237.535 19.655 238.565 19.655 238.565 19.66 239.775 19.66 239.775 19.655 240.805 19.655 240.805 19.66 242.015 19.66 242.015 19.655 286.445 19.655 286.445 19.66 306.355 19.66 306.355 19.14 431.86 19.14 ;
+      POLYGON 426.51 34.63 424.215 34.63 424.215 34.62 303.4 34.62 303.4 34.63 286.195 34.63 286.195 34.64 277.69 34.64 277.69 31.275 312.425 31.275 312.425 31.27 416.525 31.27 416.525 31.275 426.51 31.275 ;
+      POLYGON 235.885 5.88 229.445 5.88 229.445 0 229.885 0 229.885 4.94 235.445 4.94 235.445 0 235.885 0 ;
+      POLYGON 102.985 340.88 99.41 340.88 99.41 337.56 93.85 337.56 93.85 340.88 93.285 340.88 93.285 337.16 102.985 337.16 ;
+      RECT 94.49 0 94.93 5.88 ;
+      POLYGON 153.27 340.88 151.645 340.88 151.645 337.56 146.085 337.56 146.085 340.88 144.665 340.88 144.665 337.56 139.105 337.56 139.105 340.88 138.14 340.88 138.14 337.16 153.27 337.16 ;
+      POLYGON 87.725 340.88 86.09 340.88 86.09 337.56 80.53 337.56 80.53 340.88 79.965 340.88 79.965 337.16 87.725 337.16 ;
+      POLYGON 385.605 340.88 383.97 340.88 383.97 337.56 378.41 337.56 378.41 340.88 377.845 340.88 377.845 337.16 385.605 337.16 ;
+    LAYER Via1 ;
+      RECT 0 0 431.86 340.88 ;
+    LAYER Via2 ;
+      RECT 0 0 431.86 340.88 ;
+  END
+
+END gf180mcu_fd_ip_sram__sram256x8m8wm1
+
+END LIBRARY
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.v b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.v
new file mode 100755
index 0000000..ef46d11
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1.v
@@ -0,0 +1,461 @@
+/*
+ * $Id: $
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http:www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * Project:             018 5VGREEN SRAM
+ * Author:              GlobalFoundries PDK Authors
+ * Data Created:        05-06-2014
+ * Revision:		0.0	
+ *
+ * Description:         gf180mcu_fd_ip_sram__sram256x8m8wm1 Simulation Model
+ */
+
+`timescale 1 ps / 1 ps
+
+module gf180mcu_fd_ip_sram__sram256x8m8wm1 (
+	CLK,
+	CEN,
+	GWEN,
+	WEN,
+	A,
+	D,
+	Q,
+	VDD,
+	VSS
+);
+
+input           CLK;
+input           CEN;    //Chip Enable
+input           GWEN;   //Global Write Enable
+input   [7:0]  	WEN;    //Write Enable
+input   [7:0]   A;
+input   [7:0]  	D;
+output	[7:0]	Q;
+inout		VDD;
+inout		VSS;
+
+reg	[7:0]	mem[255:0];
+reg	[7:0]	qo_reg;
+
+wire		cen_flag;
+wire		write_flag;
+wire		read_flag;
+
+reg             ntf_Tcyc;	//notifier for clock period/low/high pulse
+reg             ntf_Tckh;
+reg             ntf_Tckl;
+
+reg		ntf_tcs;	//notifier for setup time
+reg		ntf_tas;
+reg		ntf_tds;
+reg		ntf_tws;
+reg		ntf_twis;
+
+reg             ntf_tch;	//notifier for hold time
+reg             ntf_tah;
+reg             ntf_tdh;
+reg             ntf_twh;
+reg             ntf_twih;
+
+wire		no_st_viol;	//no setup violation
+wire		no_hd_viol;	//no hold violation
+wire		no_ck_viol;	//no clock related violation
+
+reg             clk_dly;        //for read/write
+reg             write_flag_dly; //for write invalidation
+reg             read_flag_dly;  //for read invalidation
+reg             cen_dly;
+reg             cen_fell;       //detect CEN 1 -> 0 transition
+reg             cen_not_rst;    //detect CEN is not reset initially
+
+wire    [7:0]  we;       	//inversion of WEN
+wire    [7:0]  cd2;
+wire    [7:0]  cd4;
+wire    [7:0]  cd5;
+reg    	[7:0]  cdx;
+
+reg	[7:0]	marked_a;
+
+integer         i;
+
+assign Q = qo_reg;
+
+//---- for debugging
+wire    [7:0]  mem_0;
+wire	[7:0]  mem_1;
+wire	[7:0]  mem_2;
+wire	[7:0]  mem_3;
+assign mem_0 = mem[0];
+assign mem_1 = mem[1];
+assign mem_2 = mem[2];
+assign mem_3 = mem[3];
+
+always @(CEN) cen_dly = #100 CEN;
+always @(CEN or cen_dly) begin
+  if (!CEN & cen_dly) cen_fell = 1'b1;
+end
+
+always @(posedge CLK) begin
+  if (!CEN & !cen_fell & !cen_not_rst) cen_not_rst = 1;
+end
+
+always @(posedge cen_not_rst) begin
+  $display("-------- WARNING: CEN is not reset, memory is not operational ---------");
+  $display("-------- @Time %0t: scope = %m", $realtime, " ---------");
+end
+
+always @(posedge cen_fell) begin
+  $display("-------- MESSAGE: CEN is just reset, memory is operational ---------");
+  $display("-------- @Time %0t: scope = %m", $realtime, " ---------");
+end
+
+assign cen_flag   =  cen_fell & !CEN;
+assign write_flag =  cen_fell & !CEN & !GWEN & !(&WEN);
+assign read_flag  =  cen_fell & !CEN &  GWEN;
+
+reg cen_flag_dly;
+always @(cen_flag) cen_flag_dly = #100 cen_flag;
+
+specify
+  specparam Tcyc = 55600 : 55600 : 55600;
+  specparam Tckh = 25000 : 25000 : 25000;
+  specparam Tckl = 25000 : 25000 : 25000;
+
+  specparam tcs  = 5000 : 5000 : 5000;
+  specparam tas  = 5000 : 5000 : 5000;
+  specparam tds  = 5000 : 5000 : 5000;
+  specparam tws  = 5000 : 5000 : 5000;
+  specparam twis = 5000 : 5000 : 5000;
+
+  specparam tch  = 10000 : 10000 : 10000;
+  specparam tah  = 10000 : 10000 : 10000;
+  specparam tdh  = 10000 : 10000 : 10000;
+  specparam twh  = 10000 : 10000 : 10000;
+  specparam twih = 10000 : 10000 : 10000;
+
+  specparam ta   = 45000 : 45000 : 45000;
+
+  specparam Tdly  = 100 : 100: 100;
+
+//---- CLK period/pulse timing
+  $period (negedge CLK, Tcyc, ntf_Tcyc);
+  $width  (posedge CLK, Tckh, 0, ntf_Tckh);
+  $width  (negedge CLK, Tckl, 0, ntf_Tckl);
+
+//---- CEN setup/hold timing
+  $setup (negedge CEN, posedge CLK &&& cen_flag, tcs, ntf_tcs);
+  $setup (posedge CEN, posedge CLK &&& cen_flag, tcs, ntf_tcs);
+
+  $hold  (posedge CLK &&& cen_flag_dly, posedge CEN, tch, ntf_tch);
+  $hold  (posedge CLK &&& cen_flag,     negedge CEN, tch, ntf_tch);
+
+//---- GWEN setup/hold timing
+  $setup (negedge GWEN,  posedge CLK &&& cen_flag, tws, ntf_tws);
+  $setup (posedge GWEN,  posedge CLK &&& cen_flag, tws, ntf_tws);
+
+  $hold  (posedge CLK &&& cen_flag, posedge GWEN, twh, ntf_twh);
+  $hold  (posedge CLK &&& cen_flag, negedge GWEN, twh, ntf_twh);
+
+//---- WEN[7:0] setup/hold timing
+  $setup (negedge WEN[0],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[1],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[2],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[3],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[4],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[5],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[6],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[7],  posedge CLK &&& write_flag, twis, ntf_twis);
+
+  $setup (posedge WEN[0],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[1],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[2],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[3],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[4],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[5],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[6],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[7],  posedge CLK &&& write_flag, twis, ntf_twis);
+
+  $hold  (posedge CLK &&& write_flag, posedge WEN[0],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[1],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[2],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[3],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[4],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[5],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[6],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[7],  twih, ntf_twih);
+
+  $hold  (posedge CLK &&& write_flag, negedge WEN[0],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[1],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[2],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[3],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[4],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[5],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[6],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[7],  twih, ntf_twih);
+
+//---- A[7:0] setup/hold timing
+  $setup (posedge A[0],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[1],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[2],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[3],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[4],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[5],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[6],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[7],  posedge CLK &&& cen_flag, tas, ntf_tas);
+
+  $setup (negedge A[0],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[1],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[2],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[3],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[4],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[5],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[6],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[7],  posedge CLK &&& cen_flag, tas, ntf_tas);
+
+  $hold  (posedge CLK &&& cen_flag, negedge A[0],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[1],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[2],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[3],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[4],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[5],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[6],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[7],  tah, ntf_tah);
+
+  $hold  (posedge CLK &&& cen_flag, posedge A[0],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[1],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[2],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[3],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[4],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[5],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[6],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[7],  tah, ntf_tah);
+
+//---- D[7:0] setup/hold timing
+  $setup (posedge D[0],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[1],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[2],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[3],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[4],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[5],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[6],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[7],  posedge CLK &&& write_flag, tds, ntf_tds);
+
+  $setup (negedge D[0],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[1],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[2],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[3],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[4],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[5],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[6],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[7],  posedge CLK &&& write_flag, tds, ntf_tds);
+
+  $hold  (posedge CLK &&& write_flag, negedge D[0],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[1],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[2],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[3],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[4],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[5],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[6],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[7],  tdh, ntf_tdh);
+
+  $hold  (posedge CLK &&& write_flag, posedge D[0],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[1],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[2],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[3],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[4],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[5],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[6],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[7],  tdh, ntf_tdh);
+
+//---- Output delay
+// rise transition:     0->1, z->1, Ta
+// fall transition:     1->0, 1->z, Ta
+// turn-off transition: 0->z, 1->z, Tcqx
+//if (!CEN & GWEN) (posedge CLK => (Q : 8'bx)) = (Ta, Ta, Tcqx);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[0]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[1]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[2]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[3]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[4]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[5]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[6]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[7]  : 1'bx)) = (ta, ta);
+endspecify
+
+assign no_st_viol = ~(|{ntf_tcs, ntf_tas, ntf_tds, ntf_tws, ntf_twis});
+assign no_hd_viol = ~(|{ntf_tch, ntf_tah, ntf_tdh, ntf_twh, ntf_twih});
+assign no_ck_viol = ~(|{ntf_Tcyc, ntf_Tckh, ntf_Tckl});
+
+always @(CLK) clk_dly        = #Tdly CLK;
+always @(CLK) write_flag_dly = #200 write_flag;
+always @(CLK) read_flag_dly  = #200 read_flag;
+
+always @(posedge CLK) marked_a = A;
+
+assign we  = ~WEN;
+assign cd2 = mem[A] & WEN;	//set write bits to 0, others unchanged
+assign cd4 = D & we;		//set write bits to 0/1, others = 0
+assign cd5 = cd2 | cd4;		//memory content after write
+
+always @(posedge CLK) cdx = {8{1'bx}} & we;    //latch cdx
+
+always @(posedge clk_dly) begin
+  if (write_flag) begin 	//write
+    if (no_st_viol) begin 	//write, no viol
+      mem[A] = cd5;
+    end
+    else begin                 	//write, with viol
+      mem[A] = mem[A] ^ cdx;    //1^x = x
+      qo_reg = qo_reg ^ cdx;
+    end
+  end //write
+  else if (read_flag) begin     //read
+    if (no_st_viol) begin 	//read, no viol
+      qo_reg = mem[marked_a];
+    end
+    else begin                  //read, with viol
+      qo_reg = 8'bx;
+    end
+  end //read
+end
+
+always @(negedge clk_dly) begin         	//invalidate write/read when hold/clk viol
+  if (no_hd_viol == 0 | no_ck_viol == 0) begin
+    if (write_flag_dly) begin
+      if (ntf_twh) begin
+        mem[marked_a] = mem[marked_a] ^ 8'bx; //GWEN can't be used to generate cdx
+        qo_reg        = qo_reg ^ 8'bx;
+      end
+      else begin
+        mem[marked_a] = mem[marked_a] ^ cdx;
+        qo_reg        = qo_reg ^ cdx;
+      end
+    end
+    else if (read_flag_dly) begin
+      qo_reg = 8'bx;
+    end
+
+    #100;
+    ntf_tch  = 0;
+    ntf_tah  = 0;
+    ntf_tdh  = 0;
+    ntf_twh  = 0;
+    ntf_twih = 0;
+
+    ntf_Tcyc  = 0;
+    ntf_Tckh  = 0;
+    ntf_Tckl  = 0;
+  end
+  else begin
+    #100;
+    ntf_tch  = 0;
+    ntf_tah  = 0;
+    ntf_tdh  = 0;
+    ntf_twh  = 0;
+    ntf_twih = 0;
+
+    ntf_Tcyc  = 0;
+    ntf_Tckh  = 0;
+    ntf_Tckl  = 0;
+  end
+end
+
+always @(posedge ntf_tcs or posedge ntf_tas or posedge ntf_tds or
+         posedge ntf_tws or posedge ntf_twis or
+         posedge ntf_tch or posedge ntf_tah or posedge ntf_tdh or
+         posedge ntf_twh or posedge ntf_twih or
+         posedge ntf_Tcyc or posedge ntf_Tckh or posedge ntf_Tckl) begin
+  if (cen_fell) begin
+    #Tdly;
+    if (ntf_tcs)  $display("---- ERROR: CEN setup violation! ----");
+    if (ntf_tas)  $display("---- ERROR: A setup violation! ----");
+    if (ntf_tds)  $display("---- ERROR: D setup violation! ----");
+    if (ntf_tws)  $display("---- ERROR: GWEN setup violation! ----");
+    if (ntf_twis) $display("---- ERROR: WEN setup violation! ----");
+
+    if (ntf_tch)  $display("---- ERROR: CEN hold violation! ----");
+    if (ntf_tah)  $display("---- ERROR: A hold violation! ----");
+    if (ntf_tdh)  $display("---- ERROR: D hold violation! ----");
+    if (ntf_twh)  $display("---- ERROR: GWEN hold violation! ----");
+    if (ntf_twih) $display("---- ERROR: WEN hold violation! ----");
+
+    if (ntf_Tcyc) $display("---- ERROR: CLK period violation! ----");
+    if (ntf_Tckh) $display("---- ERROR: CLK pulse width high violation! ----");
+    if (ntf_Tckl) $display("---- ERROR: CLK pulse width low violation! ----");
+  end
+end
+
+always @(posedge cen_fell) begin	//reset fasle notifiers
+  ntf_tcs  = 0;				//after CEN reset (CEN from 1 to 0)
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+end
+
+always @(negedge clk_dly) begin	//reset setup/hold notifiers
+  #100;
+  ntf_tcs  = 0;
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+end
+
+initial begin			//initialization
+  ntf_Tcyc  = 0;
+  ntf_Tckh  = 0;
+  ntf_Tckl  = 0;
+
+  ntf_tcs  = 0;
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+
+  marked_a = 8'd0;
+
+  qo_reg         = 8'd0;
+  clk_dly        = 0;
+  write_flag_dly = 0;
+  read_flag_dly  = 0;
+  cen_dly        = 0;
+  cen_fell       = 0;
+  cen_not_rst    = 0;
+
+  for(i=0; i<256; i=i+1) begin
+    mem[i] = 8'd0;
+  end
+end
+
+endmodule
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_1v98.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_1v98.lib
new file mode 100755
index 0000000..bab7ad1
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_1v98.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_1v98) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 1.98;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 3.235;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.98);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_1p98v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 1.98;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_1p98v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.018;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+			  index_2 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "10.61376, 10.68732, 10.8108, 11.06184, 11.40036, 11.87364, 12.5016", \
+                            "10.6434, 10.69032, 10.82532, 11.06532, 11.39796, 11.88948, 12.5112", \
+                            "10.66284, 10.70472, 10.8576, 11.09088, 11.43828, 11.90532, 12.5352", \
+                            "10.69536, 10.74816, 10.88376, 11.1234, 11.46948, 11.937, 12.5616", \
+                            "10.74948, 10.80204, 10.9398, 11.1846, 11.52288, 12.0024, 12.618", \
+                            "10.79544, 10.83948, 10.98216, 11.22432, 11.5644, 12.0468, 12.66", \
+                            "10.8084, 10.85748, 10.99896, 11.23968, 11.58672, 12.0576, 12.678" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+  	                     "0.405492, 0.470904, 0.708468, 1.11352, 1.76556, 2.74272, 4.00344" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "11.09508, 11.1624, 11.25648, 11.44968, 11.68476, 11.9658, 12.312", \
+                            "11.12112, 11.16528, 11.27748, 11.45784, 11.68104, 11.98236, 12.3252", \
+                            "11.1342, 11.17476, 11.304, 11.4906, 11.71932, 11.99088, 12.3444", \
+                            "11.18052, 11.21772, 11.3298, 11.52288, 11.75652, 12.0336, 12.384", \
+                            "11.22444, 11.26872, 11.37936, 11.57484, 11.80608, 12.09, 12.4332", \
+                            "11.27388, 11.30076, 11.42724, 11.61732, 11.84664, 12.1392, 12.4656", \
+                            "11.2818, 11.32728, 11.44452, 11.62968, 11.86224, 12.1488, 12.4824" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "0.39522, 0.440472, 0.581952, 0.803652, 1.11091, 1.5408, 2.06892" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.275464;
+                  clock                : true;
+                  max_transition       : 3.235;
+                  min_pulse_width_high : 3.831945;
+                  min_pulse_width_low  : 5.350155;
+                  min_period           : 14.439045;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("130.858, 130.858, 130.858, 130.858, 130.858, 130.858, 130.858");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("130.858, 130.858, 130.858, 130.858, 130.858, 130.858, 130.858");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("0.00236273, 0.00236273, 0.00236273, 0.00236273, 0.00236273, 0.00236273, 0.00236273");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("0.00236273, 0.00236273, 0.00236273, 0.00236273, 0.00236273, 0.00236273, 0.00236273");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("119.087, 119.087, 119.087, 119.087, 119.087, 119.087, 119.087");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("119.087, 119.087, 119.087, 119.087, 119.087, 119.087, 119.087");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0177209;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.97009, 0.960509, 0.955504, 1.00225, 1.10178, 1.23411, 1.32462", \
+                            "0.956582, 0.946693, 0.94292, 0.98879, 1.08491, 1.22065, 1.31094", \
+                            "0.928818, 0.919248, 0.915156, 0.961015, 1.05712, 1.19286, 1.28324", \
+                            "0.85008, 0.839806, 0.840037, 0.878581, 0.974699, 1.11044, 1.20871", \
+                            "0.849893, 0.839619, 0.839828, 0.878075, 0.96833, 1.04913, 1.1502", \
+                            "0.849915, 0.839289, 0.838134, 0.878009, 0.970068, 1.05411, 1.12254", \
+                            "0.846802, 0.838992, 0.839542, 0.877701, 0.972972, 1.05379, 1.13098" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.791197, 0.800558, 0.839113, 0.938674, 1.07594, 1.2981, 1.51965", \
+                            "0.786445, 0.794442, 0.835428, 0.92961, 1.07054, 1.29472, 1.50678", \
+                            "0.786016, 0.79453, 0.833965, 0.928873, 1.07031, 1.29447, 1.50238", \
+                            "0.785631, 0.794244, 0.833624, 0.928543, 1.06997, 1.29411, 1.50612", \
+                            "0.785037, 0.794167, 0.833437, 0.924506, 1.06977, 1.29392, 1.50172", \
+                            "0.785488, 0.794288, 0.833448, 0.924528, 1.06982, 1.29399, 1.50601", \
+                            "0.78474, 0.793683, 0.83314, 0.924198, 1.06943, 1.29364, 1.50568" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "2.74505, 2.75528, 2.75605, 2.71689, 2.62493, 2.54089, 2.4992", \
+                            "2.75539, 2.76595, 2.7654, 2.72723, 2.63516, 2.55112, 2.51801", \
+                            "2.78542, 2.79576, 2.79554, 2.75737, 2.6653, 2.58126, 2.54804", \
+                            "2.87969, 2.88992, 2.8897, 2.85153, 2.75946, 2.67542, 2.62735", \
+                            "3.00025, 3.01059, 3.01037, 2.97209, 2.88189, 2.80104, 2.75242", \
+                            "3.14611, 3.15667, 3.15788, 3.11795, 3.02588, 2.94184, 2.90862", \
+                            "3.289, 3.29681, 3.29626, 3.25809, 3.16283, 3.08209, 3.04876" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "2.80984, 2.80071, 2.76144, 2.66607, 2.52516, 2.30098, 2.08901", \
+                            "2.81963, 2.8116, 2.77057, 2.67641, 2.5355, 2.31132, 2.09924", \
+                            "2.84988, 2.8413, 2.80192, 2.70699, 2.56553, 2.34135, 2.13356", \
+                            "2.94415, 2.93546, 2.89608, 2.80115, 2.6598, 2.43562, 2.22354", \
+                            "3.06515, 3.05602, 3.01675, 2.92567, 2.78036, 2.55629, 2.34839", \
+                            "3.21046, 3.20166, 3.1625, 3.07142, 2.92622, 2.70204, 2.48996", \
+                            "3.35104, 3.34213, 3.30264, 3.21167, 3.06636, 2.84218, 2.63021" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0457334;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.30375, 1.31032, 1.34519, 1.41933, 1.53637, 1.66166, 1.78783", \
+                            "1.29256, 1.29832, 1.33441, 1.40723, 1.52383, 1.64967, 1.77672", \
+                            "1.26461, 1.27144, 1.3075, 1.38039, 1.4971, 1.62272, 1.74988", \
+                            "1.17909, 1.18547, 1.22168, 1.29463, 1.4113, 1.53714, 1.66331", \
+                            "1.07804, 1.08486, 1.12088, 1.19285, 1.31105, 1.43671, 1.55716", \
+                            "0.993146, 1.0003, 1.035, 1.10926, 1.22594, 1.35168, 1.47873", \
+                            "0.934725, 0.943976, 0.980144, 1.05295, 1.16894, 1.29532, 1.42241" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.5312, 1.54297, 1.5917, 1.68047, 1.84965, 2.0757, 2.35532", \
+                            "1.51921, 1.53131, 1.57916, 1.66782, 1.83766, 2.0636, 2.34322", \
+                            "1.49237, 1.50414, 1.55133, 1.64538, 1.81082, 2.03445, 2.31693", \
+                            "1.40822, 1.41845, 1.46553, 1.55969, 1.72502, 1.95096, 2.23069", \
+                            "1.30569, 1.3176, 1.36477, 1.46234, 1.62426, 1.8502, 2.13026", \
+                            "1.22119, 1.23296, 1.28168, 1.37775, 1.53967, 1.76561, 2.04534", \
+                            "1.16427, 1.17663, 1.22384, 1.32143, 1.48335, 1.70698, 1.98891" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.79223, 1.78431, 1.74944, 1.67398, 1.59357, 1.5268, 1.48522", \
+                            "1.79256, 1.78398, 1.7457, 1.67442, 1.59544, 1.52724, 1.48401", \
+                            "1.80884, 1.80015, 1.76187, 1.69048, 1.61161, 1.54341, 1.50018", \
+                            "1.83348, 1.8216, 1.78662, 1.71523, 1.63625, 1.56805, 1.52647", \
+                            "1.87286, 1.86417, 1.82589, 1.7556, 1.66936, 1.60611, 1.56706", \
+                            "1.92632, 1.91763, 1.88298, 1.80807, 1.72909, 1.66089, 1.61766", \
+                            "1.92588, 1.9173, 1.87902, 1.80763, 1.72326, 1.66045, 1.61733" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.905773, 0.883201, 0.836319, 0.743281, 0.582263, 0.365024, 0.114337", \
+                            "0.906653, 0.88737, 0.836693, 0.751091, 0.581119, 0.365409, 0.114763", \
+                            "0.922625, 0.899888, 0.855976, 0.757636, 0.598708, 0.384461, 0.129958", \
+                            "0.934934, 0.925694, 0.880715, 0.782254, 0.623414, 0.406285, 0.155643", \
+                            "0.98648, 0.963996, 0.919963, 0.818389, 0.663014, 0.44594, 0.19391", \
+                            "1.04022, 1.01758, 0.970508, 0.87164, 0.716243, 0.49907, 0.248181", \
+                            "1.0306, 1.01713, 0.973126, 0.871486, 0.716001, 0.50215, 0.248067" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00723483;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.526922, 0.546821, 0.591987, 0.683012, 0.845856, 1.06323, 1.31597", \
+                            "0.523369, 0.53636, 0.586036, 0.678106, 0.843722, 1.06, 1.31259", \
+                            "0.511236, 0.529815, 0.57464, 0.672529, 0.829092, 1.04316, 1.2971", \
+                            "0.490897, 0.500269, 0.547943, 0.644765, 0.802395, 1.02116, 1.2735", \
+                            "0.445038, 0.465047, 0.510136, 0.609906, 0.763829, 0.98252, 1.23638", \
+                            "0.390885, 0.411136, 0.456654, 0.557909, 0.710732, 0.927883, 1.18227", \
+                            "0.391633, 0.411774, 0.454707, 0.553322, 0.710633, 0.924737, 1.18076" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.79223, 1.78431, 1.74944, 1.67398, 1.59357, 1.5268, 1.48522", \
+                            "1.79256, 1.78398, 1.7457, 1.67442, 1.59544, 1.52724, 1.4883", \
+                            "1.80884, 1.80015, 1.76187, 1.69048, 1.61161, 1.54341, 1.50447", \
+                            "1.83348, 1.8216, 1.78662, 1.71523, 1.63625, 1.56805, 1.52922", \
+                            "1.87286, 1.86417, 1.82589, 1.7556, 1.66936, 1.60611, 1.5686", \
+                            "1.92632, 1.91763, 1.88298, 1.80807, 1.72909, 1.66089, 1.62162", \
+                            "1.92588, 1.9173, 1.87902, 1.80763, 1.72326, 1.66045, 1.62162" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.905773, 0.883201, 0.836319, 0.743281, 0.582263, 0.365024, 0.114337", \
+                            "0.906653, 0.88737, 0.836693, 0.751091, 0.581702, 0.365409, 0.114763", \
+                            "0.922625, 0.899888, 0.855976, 0.757636, 0.598708, 0.384461, 0.129958", \
+                            "0.934934, 0.925694, 0.880715, 0.782254, 0.623414, 0.406285, 0.155643", \
+                            "0.98648, 0.963996, 0.919963, 0.818389, 0.663014, 0.44594, 0.19391", \
+                            "1.04022, 1.01758, 0.970508, 0.87164, 0.716243, 0.49907, 0.248181", \
+                            "1.0306, 1.01713, 0.973126, 0.871486, 0.716001, 0.50215, 0.248067" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0379319;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.13468, 1.12149, 1.12642, 1.16681, 1.27734, 1.39744, 1.49567", \
+                            "1.12746, 1.12201, 1.11972, 1.16169, 1.27151, 1.38435, 1.49072", \
+                            "1.11606, 1.10792, 1.10838, 1.14939, 1.25784, 1.36785, 1.46839", \
+                            "1.09152, 1.0753, 1.08244, 1.12824, 1.23347, 1.34651, 1.44705", \
+                            "1.05611, 1.0491, 1.04663, 1.08906, 1.19105, 1.31898, 1.4344", \
+                            "1.001, 0.994565, 0.993938, 1.03752, 1.13823, 1.25731, 1.36279", \
+                            "1.00566, 0.997755, 0.994125, 1.04042, 1.14331, 1.26679, 1.36499" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.34497, 1.35223, 1.39227, 1.48709, 1.63691, 1.84569, 2.08208", \
+                            "1.32341, 1.34541, 1.38677, 1.48115, 1.63801, 1.84294, 2.08329", \
+                            "1.31768, 1.33034, 1.37555, 1.47037, 1.62107, 1.83799, 2.07438", \
+                            "1.30014, 1.30428, 1.34717, 1.44067, 1.59687, 1.80389, 2.04633", \
+                            "1.26304, 1.27673, 1.31504, 1.40833, 1.56211, 1.76671, 2.01091", \
+                            "1.20965, 1.21813, 1.25771, 1.35476, 1.50865, 1.71545, 1.95459", \
+                            "1.21497, 1.21617, 1.26157, 1.35619, 1.50755, 1.71435, 1.94843" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.951852, 0.960333, 0.95898, 0.904739, 0.850894, 0.805992, 0.775522", \
+                            "0.95788, 0.963732, 0.962808, 0.908138, 0.854293, 0.809391, 0.778921", \
+                            "0.991749, 1.00023, 0.999317, 0.944636, 0.890791, 0.845889, 0.815419", \
+                            "1.07293, 1.0814, 1.08049, 1.02581, 0.97196, 0.927058, 0.887777", \
+                            "1.18242, 1.19087, 1.18999, 1.13529, 1.07779, 1.03869, 0.997029", \
+                            "1.30996, 1.31843, 1.31707, 1.26283, 1.20899, 1.16409, 1.13362", \
+                            "1.40844, 1.41691, 1.41603, 1.36136, 1.30747, 1.26497, 1.23211" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.03141, 1.0222, 0.978087, 0.895114, 0.820611, 0.753434, 0.706761", \
+                            "1.03688, 1.02556, 0.981486, 0.898502, 0.824021, 0.756833, 0.71016", \
+                            "1.07343, 1.06209, 1.01798, 0.935, 0.86053, 0.79332, 0.746658", \
+                            "1.1546, 1.14323, 1.09915, 1.01618, 0.941699, 0.874489, 0.827827", \
+                            "1.26196, 1.25272, 1.20865, 1.12566, 1.05118, 0.983983, 0.937321", \
+                            "1.38952, 1.38028, 1.33617, 1.25321, 1.17873, 1.11154, 1.06487", \
+                            "1.48797, 1.47873, 1.43462, 1.35168, 1.27721, 1.21, 1.16335" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0154863;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.02852, 1.03962, 1.07066, 1.10771, 1.13817, 1.14375, 1.08743", \
+                            "1.02715, 1.03419, 1.0723, 1.11652, 1.13795, 1.13862, 1.06823", \
+                            "1.01242, 1.02433, 1.05321, 1.10365, 1.12479, 1.12521, 1.06511", \
+                            "0.987063, 0.998184, 1.0344, 1.07469, 1.09798, 1.09831, 1.04232", \
+                            "0.955042, 0.963754, 0.998217, 1.04082, 1.06147, 1.05292, 1.00313", \
+                            "0.892672, 0.911449, 0.934505, 0.991111, 1.00818, 1.01052, 0.939565", \
+                            "0.904079, 0.910239, 0.946638, 0.990913, 1.00662, 1.01451, 0.94204" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.106766, 0.104643, 0.138697, 0.243309, 0.412709, 0.637362, 0.894344", \
+                            "0.10153, 0.099638, 0.133673, 0.238282, 0.408199, 0.631972, 0.889317", \
+                            "0.089991, 0.087923, 0.121979, 0.22659, 0.396121, 0.621027, 0.877624", \
+                            "0.067661, 0.061776, 0.095656, 0.200422, 0.36982, 0.59411, 0.851312", \
+                            "0.028457, 0.026499, 0.060566, 0.165168, 0.334708, 0.558855, 0.816112", \
+                            "0, 0, 0.005753, 0.110362, 0.279904, 0.504053, 0.761398", \
+                            "0, 0, 0.008041, 0.112652, 0.282192, 0.506341, 0.763455" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.771309, 0.773696, 0.738298, 0.691724, 0.675048, 0.667975, 0.714175", \
+                            "0.786731, 0.7755, 0.735372, 0.691812, 0.676225, 0.669625, 0.733084", \
+                            "0.785697, 0.788128, 0.752576, 0.70444, 0.689359, 0.682253, 0.741664", \
+                            "0.819423, 0.818312, 0.777601, 0.734041, 0.718949, 0.711854, 0.77308", \
+                            "0.852401, 0.850707, 0.810557, 0.768559, 0.743589, 0.752807, 0.800008", \
+                            "0.918049, 0.902341, 0.870837, 0.820699, 0.807301, 0.800525, 0.863973", \
+                            "0.906554, 0.903474, 0.863357, 0.818004, 0.804507, 0.797599, 0.862895" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.37995, 1.3827, 1.34904, 1.24772, 1.07392, 0.850872, 0.596849", \
+                            "1.38182, 1.38446, 1.35069, 1.24937, 1.07559, 0.852533, 0.59851", \
+                            "1.39425, 1.39711, 1.36334, 1.262, 1.08821, 0.865161, 0.611138", \
+                            "1.42373, 1.4267, 1.39293, 1.2916, 1.11781, 0.894762, 0.640728", \
+                            "1.45695, 1.45948, 1.42593, 1.32451, 1.15077, 0.927707, 0.673684", \
+                            "1.51195, 1.51525, 1.48159, 1.38028, 1.20647, 0.983422, 0.729399", \
+                            "1.50898, 1.51239, 1.47873, 1.37731, 1.20357, 0.980507, 0.726484" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.002362734;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_3v60.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_3v60.lib
new file mode 100755
index 0000000..8ef94c2
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_3v60.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_3v60) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 3.6;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.3877;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.6);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_3p6v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 3.6;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_3p6v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0626;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+			  index_2 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "5.67528, 5.70048, 5.77056, 5.90496, 6.0786, 6.32916, 6.65904", \
+                            "5.68032, 5.7006, 5.77668, 5.9016, 6.08316, 6.32976, 6.6594", \
+                            "5.68344, 5.70948, 5.7768, 5.90436, 6.0864, 6.33276, 6.66456", \
+                            "5.68476, 5.715, 5.787, 5.91288, 6.0918, 6.3426, 6.67524", \
+                            "5.7042, 5.73, 5.79888, 5.92284, 6.10608, 6.35496, 6.68724", \
+                            "5.69532, 5.71884, 5.79252, 5.91816, 6.10188, 6.35256, 6.67872", \
+                            "5.66508, 5.6934, 5.76144, 5.88348, 6.06936, 6.31968, 6.65064" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+  	                     "0.233736, 0.267564, 0.384744, 0.612996, 0.980628, 1.51752, 2.22252" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "5.86212, 5.8878, 5.95692, 6.07152, 6.20448, 6.37872, 6.59808", \
+                            "5.86848, 5.88912, 5.96256, 6.06984, 6.21384, 6.38052, 6.5976", \
+                            "5.87064, 5.89584, 5.96184, 6.07356, 6.21168, 6.38568, 6.60264", \
+                            "5.87148, 5.90304, 5.97432, 6.08076, 6.2202, 6.39132, 6.6138", \
+                            "5.89236, 5.91708, 5.9868, 6.08928, 6.23352, 6.4068, 6.62616", \
+                            "5.8842, 5.90664, 5.97684, 6.08532, 6.22956, 6.4038, 6.61668", \
+                            "5.8518, 5.87928, 5.94636, 6.05208, 6.19752, 6.37176, 6.58812" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "0.240372, 0.266004, 0.350172, 0.480024, 0.6765, 0.956628, 1.3128" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.28235;
+                  clock                : true;
+                  max_transition       : 2.3877;
+                  min_pulse_width_high : 2.897535;
+                  min_pulse_width_low  : 2.973765;
+                  min_period           : 7.39779;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("478.296, 478.296, 478.296, 478.296, 478.296, 478.296, 478.296");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("478.296, 478.296, 478.296, 478.296, 478.296, 478.296, 478.296");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("0.00731952, 0.00731952, 0.00731952, 0.00731952, 0.00731952, 0.00731952, 0.00731952");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("0.00731952, 0.00731952, 0.00731952, 0.00731952, 0.00731952, 0.00731952, 0.00731952");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("430.812, 430.812, 430.812, 430.812, 430.812, 430.812, 430.812");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("430.812, 430.812, 430.812, 430.812, 430.812, 430.812, 430.812");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0175659;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.534072, 0.530849, 0.524018, 0.547019, 0.580734, 0.6094, 0.644083", \
+                            "0.528836, 0.524007, 0.519321, 0.541178, 0.575278, 0.603933, 0.63866", \
+                            "0.521136, 0.51513, 0.510235, 0.529364, 0.555753, 0.584463, 0.619168", \
+                            "0.520872, 0.516109, 0.509982, 0.529925, 0.546227, 0.557678, 0.587686", \
+                            "0.520685, 0.515922, 0.509762, 0.528924, 0.558855, 0.557436, 0.58586", \
+                            "0.520597, 0.514602, 0.509652, 0.528836, 0.550066, 0.59169, 0.61347", \
+                            "0.572088, 0.567567, 0.561858, 0.584177, 0.630773, 0.647207, 0.681912" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.49511, 0.499433, 0.519673, 0.572946, 0.66308, 0.762872, 0.874368", \
+                            "0.49489, 0.499224, 0.520135, 0.572737, 0.662904, 0.762553, 0.871948", \
+                            "0.494615, 0.498872, 0.519838, 0.572286, 0.662607, 0.762586, 0.873873", \
+                            "0.494362, 0.498597, 0.519596, 0.572198, 0.662376, 0.761981, 0.873609", \
+                            "0.494186, 0.498542, 0.519354, 0.572, 0.662145, 0.762102, 0.871211", \
+                            "0.494087, 0.49841, 0.519299, 0.571923, 0.662046, 0.761816, 0.871123", \
+                            "0.507683, 0.509927, 0.531685, 0.582373, 0.67276, 0.77242, 0.885467" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.4784, 1.4828, 1.48896, 1.46894, 1.45266, 1.44122, 1.42054", \
+                            "1.48742, 1.4938, 1.49754, 1.47884, 1.46212, 1.45068, 1.42989", \
+                            "1.50832, 1.51426, 1.51921, 1.50007, 1.48302, 1.47158, 1.45079", \
+                            "1.55749, 1.56222, 1.56838, 1.54836, 1.53208, 1.52064, 1.49996", \
+                            "1.61535, 1.62008, 1.62624, 1.6071, 1.57718, 1.57861, 1.55782", \
+                            "1.66991, 1.67585, 1.6808, 1.66166, 1.64461, 1.62184, 1.61238", \
+                            "1.69323, 1.69928, 1.70412, 1.68509, 1.65396, 1.65649, 1.6357" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.50458, 1.50029, 1.48005, 1.4267, 1.33661, 1.23681, 1.1253", \
+                            "1.51393, 1.50964, 1.48874, 1.43605, 1.34596, 1.24627, 1.13688", \
+                            "1.53483, 1.53054, 1.50964, 1.45717, 1.36686, 1.26686, 1.15557", \
+                            "1.584, 1.57971, 1.5587, 1.50612, 1.41592, 1.31637, 1.20473", \
+                            "1.64186, 1.63746, 1.61667, 1.56398, 1.47389, 1.3739, 1.26481", \
+                            "1.69642, 1.69213, 1.67123, 1.61854, 1.52845, 1.42868, 1.31934", \
+                            "1.71974, 1.71556, 1.69466, 1.64307, 1.55188, 1.45222, 1.34057" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0480847;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.740993, 0.746064, 0.763004, 0.809303, 0.873697, 0.93951, 0.995049", \
+                            "0.736164, 0.741235, 0.760749, 0.803781, 0.869121, 0.934659, 0.990209", \
+                            "0.717508, 0.722579, 0.739486, 0.785807, 0.850465, 0.915992, 0.972246", \
+                            "0.672771, 0.679426, 0.695013, 0.741202, 0.805849, 0.87175, 0.926959", \
+                            "0.624921, 0.629981, 0.646921, 0.693231, 0.757988, 0.823427, 0.878977", \
+                            "0.585805, 0.590898, 0.607915, 0.654126, 0.718718, 0.785345, 0.840235", \
+                            "0.56661, 0.57167, 0.588731, 0.634931, 0.699688, 0.765116, 0.82093" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.811316, 0.815012, 0.848925, 0.913638, 1.02094, 1.15984, 1.32308", \
+                            "0.806476, 0.810359, 0.843282, 0.908776, 1.01609, 1.15509, 1.31593", \
+                            "0.78782, 0.794651, 0.824637, 0.890142, 0.996787, 1.1361, 1.29954", \
+                            "0.743237, 0.750057, 0.780417, 0.845515, 0.952193, 1.09166, 1.25496", \
+                            "0.695244, 0.699809, 0.732512, 0.797566, 0.904211, 1.04387, 1.20473", \
+                            "0.656095, 0.660011, 0.693407, 0.758417, 0.865755, 1.00477, 1.16562", \
+                            "0.636944, 0.643786, 0.674135, 0.739398, 0.84656, 0.985402, 1.14866" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.03903, 1.03581, 1.02024, 0.979748, 0.949025, 0.932778, 0.935803", \
+                            "1.03888, 1.03566, 1.01828, 0.979825, 0.948882, 0.932635, 0.93566", \
+                            "1.04613, 1.04293, 1.02738, 0.987261, 0.956153, 0.939917, 0.942942", \
+                            "1.05229, 1.04912, 1.03355, 0.993058, 0.962335, 0.946088, 0.949102", \
+                            "1.05809, 1.05485, 1.03929, 0.9988, 0.968077, 0.951841, 0.954833", \
+                            "1.05953, 1.05631, 1.04074, 1.00025, 0.969419, 0.951599, 0.956021", \
+                            "1.02385, 1.02068, 1.00511, 0.964623, 0.9339, 0.917642, 0.920678" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.577907, 0.573023, 0.541398, 0.476729, 0.372658, 0.242279, 0.07832", \
+                            "0.577885, 0.572891, 0.540595, 0.475728, 0.372515, 0.241891, 0.079134", \
+                            "0.584826, 0.579106, 0.547844, 0.483747, 0.378906, 0.249618, 0.0854084", \
+                            "0.591063, 0.585277, 0.553509, 0.489148, 0.385044, 0.255431, 0.091586", \
+                            "0.597245, 0.590788, 0.559229, 0.495759, 0.390808, 0.260907, 0.0982817", \
+                            "0.610247, 0.606122, 0.574178, 0.507419, 0.39578, 0.262379, 0.107695", \
+                            "0.663333, 0.656304, 0.627253, 0.560351, 0.448855, 0.313456, 0.158411" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.0075492;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.318527, 0.324236, 0.352979, 0.420189, 0.522555, 0.654797, 0.819885", \
+                            "0.317702, 0.324544, 0.354277, 0.421828, 0.52536, 0.656029, 0.816849", \
+                            "0.310387, 0.317911, 0.347094, 0.413347, 0.516582, 0.647812, 0.810183", \
+                            "0.303941, 0.311663, 0.342397, 0.40766, 0.511753, 0.641883, 0.806322", \
+                            "0.297824, 0.305712, 0.335588, 0.400598, 0.504097, 0.635052, 0.79827", \
+                            "0.297692, 0.30331, 0.334048, 0.400829, 0.503426, 0.633611, 0.796631", \
+                            "0.333773, 0.340549, 0.370392, 0.435523, 0.538131, 0.670802, 0.832997" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.03903, 1.03581, 1.02024, 0.979748, 0.949025, 0.932778, 0.935803", \
+                            "1.03888, 1.03566, 1.01828, 0.979825, 0.948882, 0.932635, 0.93566", \
+                            "1.04613, 1.04293, 1.02738, 0.987261, 0.956153, 0.939917, 0.942942", \
+                            "1.05229, 1.04912, 1.03355, 0.993058, 0.962335, 0.946088, 0.949102", \
+                            "1.05809, 1.05485, 1.03929, 0.9988, 0.968077, 0.951841, 0.954833", \
+                            "1.05953, 1.05631, 1.04074, 1.00025, 0.969419, 0.951599, 0.956021", \
+                            "1.02385, 1.02068, 1.00511, 0.964623, 0.9339, 0.917642, 0.920678" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.577907, 0.573023, 0.541398, 0.476729, 0.372658, 0.242279, 0.07832", \
+                            "0.577885, 0.572891, 0.540595, 0.475728, 0.372515, 0.241891, 0.079134", \
+                            "0.584826, 0.579106, 0.547844, 0.483747, 0.378906, 0.249618, 0.0854084", \
+                            "0.591063, 0.585277, 0.553509, 0.489148, 0.385044, 0.255431, 0.091586", \
+                            "0.597245, 0.590788, 0.559229, 0.495759, 0.390808, 0.260907, 0.0982817", \
+                            "0.598862, 0.593538, 0.560747, 0.496243, 0.393162, 0.262379, 0.0998393", \
+                            "0.562584, 0.556303, 0.524887, 0.461252, 0.357533, 0.226788, 0.063151" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0377769;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.638748, 0.631191, 0.626901, 0.648989, 0.699193, 0.733007, 0.755249", \
+                            "0.638803, 0.631675, 0.627616, 0.648593, 0.695948, 0.733876, 0.755227", \
+                            "0.631708, 0.622908, 0.621346, 0.642048, 0.692384, 0.725989, 0.748825", \
+                            "0.620994, 0.617837, 0.614801, 0.635921, 0.675884, 0.7161, 0.738749", \
+                            "0.617188, 0.611732, 0.608465, 0.629761, 0.678051, 0.714791, 0.735581", \
+                            "0.615736, 0.61138, 0.606386, 0.628936, 0.676522, 0.709236, 0.734272", \
+                            "0.652894, 0.648956, 0.640871, 0.666655, 0.715066, 0.750607, 0.772783" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.793012, 0.796884, 0.822745, 0.873444, 0.967769, 1.08231, 1.21824", \
+                            "0.791901, 0.796796, 0.82192, 0.87472, 0.969034, 1.08265, 1.21685", \
+                            "0.78595, 0.790416, 0.816959, 0.867757, 0.961048, 1.07549, 1.21109", \
+                            "0.778426, 0.782925, 0.809567, 0.86141, 0.953898, 1.06798, 1.2027", \
+                            "0.77319, 0.777766, 0.804375, 0.854909, 0.948794, 1.06368, 1.1991", \
+                            "0.772904, 0.776721, 0.802065, 0.853479, 0.946638, 1.06134, 1.19768", \
+                            "0.809094, 0.813043, 0.838409, 0.891088, 0.98384, 1.10014, 1.23411" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.611677, 0.617969, 0.615241, 0.605913, 0.601007, 0.59664, 0.59356", \
+                            "0.61688, 0.623172, 0.620455, 0.611127, 0.60621, 0.601843, 0.598763", \
+                            "0.636031, 0.642323, 0.639804, 0.630278, 0.625361, 0.620994, 0.617914", \
+                            "0.675961, 0.679283, 0.676764, 0.667238, 0.662299, 0.657954, 0.654874", \
+                            "0.732974, 0.739266, 0.736758, 0.727221, 0.722282, 0.717937, 0.714857", \
+                            "0.779933, 0.783266, 0.780538, 0.771221, 0.766304, 0.761937, 0.758857", \
+                            "0.789492, 0.795784, 0.793056, 0.783739, 0.778822, 0.774455, 0.771375" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.635338, 0.629948, 0.60555, 0.576422, 0.56584, 0.56881, 0.577478", \
+                            "0.640541, 0.635195, 0.610753, 0.581614, 0.571043, 0.573023, 0.582692", \
+                            "0.659681, 0.654302, 0.629893, 0.600776, 0.590205, 0.593175, 0.601832", \
+                            "0.696641, 0.691295, 0.666864, 0.637736, 0.627165, 0.629057, 0.638792", \
+                            "0.756635, 0.751256, 0.726847, 0.697719, 0.687137, 0.690107, 0.698786", \
+                            "0.800635, 0.795245, 0.770847, 0.741719, 0.731137, 0.733117, 0.742786", \
+                            "0.813153, 0.807763, 0.783365, 0.754237, 0.743655, 0.746625, 0.755304" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0156578;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.558657, 0.560472, 0.582472, 0.583286, 0.567941, 0.526273, 0.446963", \
+                            "0.559603, 0.563079, 0.580657, 0.582043, 0.569382, 0.524282, 0.445797", \
+                            "0.550539, 0.557051, 0.575476, 0.580668, 0.558811, 0.515746, 0.438691", \
+                            "0.545919, 0.54846, 0.568535, 0.570163, 0.556094, 0.511478, 0.432421", \
+                            "0.540837, 0.542289, 0.562012, 0.56188, 0.54967, 0.505538, 0.42889", \
+                            "0.538824, 0.542311, 0.560791, 0.564652, 0.548834, 0.504889, 0.424468", \
+                            "0.574574, 0.579546, 0.596299, 0.601425, 0.585244, 0.538736, 0.462803" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.122307, 0.120814, 0.152153, 0.230342, 0.353078, 0.511291, 0.710215", \
+                            "0.11998, 0.12067, 0.152016, 0.230063, 0.352946, 0.511159, 0.710204", \
+                            "0.115668, 0.11422, 0.145541, 0.223742, 0.346467, 0.50446, 0.703648", \
+                            "0.108255, 0.106769, 0.138101, 0.216346, 0.339031, 0.497189, 0.696179", \
+                            "0.103587, 0.101567, 0.132902, 0.209846, 0.333828, 0.492503, 0.690921", \
+                            "0.102253, 0.100768, 0.131993, 0.208975, 0.33297, 0.491634, 0.690107", \
+                            "0.138514, 0.137018, 0.168347, 0.245006, 0.369281, 0.527945, 0.726396" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.559955, 0.556237, 0.534655, 0.531432, 0.549032, 0.592163, 0.670219", \
+                            "0.557128, 0.557403, 0.5368, 0.533016, 0.550297, 0.593846, 0.671044", \
+                            "0.56452, 0.562166, 0.542465, 0.538945, 0.555236, 0.600215, 0.676709", \
+                            "0.570999, 0.570867, 0.550451, 0.545776, 0.564113, 0.605506, 0.684233", \
+                            "0.575718, 0.575454, 0.552948, 0.551188, 0.568326, 0.611028, 0.683991", \
+                            "0.579117, 0.577698, 0.555049, 0.552134, 0.570537, 0.614075, 0.691273", \
+                            "0.542399, 0.539825, 0.520113, 0.515427, 0.533819, 0.577368, 0.654566" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.813835, 0.814363, 0.783871, 0.705177, 0.582868, 0.424864, 0.228526", \
+                            "0.815023, 0.815265, 0.784751, 0.706057, 0.583759, 0.425755, 0.229412", \
+                            "0.82038, 0.820919, 0.790416, 0.711733, 0.589424, 0.43142, 0.235078", \
+                            "0.828586, 0.829114, 0.798622, 0.719928, 0.59763, 0.439626, 0.243283", \
+                            "0.832843, 0.83336, 0.802868, 0.724185, 0.601876, 0.443872, 0.24753", \
+                            "0.834966, 0.835472, 0.80498, 0.726297, 0.603988, 0.445984, 0.249642", \
+                            "0.798248, 0.798765, 0.768273, 0.68959, 0.567281, 0.409277, 0.21294" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.00731952;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_5v50.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_5v50.lib
new file mode 100755
index 0000000..6bde7b8
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_5v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_125C_5v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 5.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.394;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_5p5v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 5.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_5p5v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.115;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+			  index_2 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "4.25688, 4.27596, 4.32936, 4.42164, 4.55508, 4.74156, 4.98696", \
+                            "4.2606, 4.27476, 4.33188, 4.42536, 4.55796, 4.74132, 4.98792", \
+                            "4.25664, 4.27452, 4.32852, 4.4208, 4.55484, 4.73904, 4.98912", \
+                            "4.25664, 4.27188, 4.33116, 4.42116, 4.55808, 4.74156, 4.98636", \
+                            "4.26192, 4.28172, 4.335, 4.42596, 4.56132, 4.74384, 4.99092", \
+                            "4.26372, 4.28136, 4.33728, 4.43112, 4.5636, 4.74996, 4.9914", \
+                            "4.25184, 4.27104, 4.31952, 4.4178, 4.55208, 4.73832, 4.983" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+  	                     "0.190164, 0.210852, 0.295932, 0.465636, 0.739332, 1.14366, 1.67028" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "4.36992, 4.38852, 4.4436, 4.52976, 4.64592, 4.791, 4.97724", \
+                            "4.37196, 4.3872, 4.446, 4.53408, 4.64784, 4.7916, 4.98168", \
+                            "4.37004, 4.38768, 4.44504, 4.53156, 4.64664, 4.79184, 4.98192", \
+                            "4.3698, 4.3854, 4.44744, 4.53228, 4.64532, 4.79484, 4.9788", \
+                            "4.37352, 4.3932, 4.44948, 4.53636, 4.65204, 4.79532, 4.98444", \
+                            "4.37556, 4.39476, 4.45176, 4.542, 4.65564, 4.80024, 4.98696", \
+                            "4.36332, 4.3836, 4.43292, 4.52748, 4.6422, 4.7892, 4.97352" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "0.18714, 0.208824, 0.274032, 0.388176, 0.556848, 0.798864, 1.11834" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.285194;
+                  clock                : true;
+                  max_transition       : 1.394;
+                  min_pulse_width_high : 2.082885;
+                  min_pulse_width_low  : 1.97373;
+                  min_period           : 5.66085;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1195.51, 1195.51, 1195.51, 1195.51, 1195.51, 1195.51, 1195.51");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1195.51, 1195.51, 1195.51, 1195.51, 1195.51, 1195.51, 1195.51");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("0.0186604, 0.0186604, 0.0186604, 0.0186604, 0.0186604, 0.0186604, 0.0186604");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("0.0186604, 0.0186604, 0.0186604, 0.0186604, 0.0186604, 0.0186604, 0.0186604");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1079.29, 1079.29, 1079.29, 1079.29, 1079.29, 1079.29, 1079.29");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1079.29, 1079.29, 1079.29, 1079.29, 1079.29, 1079.29, 1079.29");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0173966;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.410993, 0.407715, 0.401665, 0.406296, 0.420167, 0.444961, 0.472648", \
+                            "0.410938, 0.40755, 0.401588, 0.406164, 0.418935, 0.445126, 0.471119", \
+                            "0.410696, 0.407462, 0.401302, 0.405922, 0.41976, 0.44055, 0.463045", \
+                            "0.410399, 0.40711, 0.401148, 0.405724, 0.419562, 0.440264, 0.452012", \
+                            "0.410586, 0.407011, 0.400763, 0.405438, 0.419298, 0.440011, 0.452771", \
+                            "0.410388, 0.406945, 0.400543, 0.405801, 0.416735, 0.439945, 0.456159", \
+                            "0.418836, 0.414788, 0.409079, 0.414953, 0.433147, 0.468017, 0.493042" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.3883, 0.38995, 0.398321, 0.419144, 0.463881, 0.519233, 0.579964", \
+                            "0.388157, 0.390005, 0.397012, 0.418979, 0.462605, 0.519057, 0.579799", \
+                            "0.387937, 0.389499, 0.397914, 0.418737, 0.464134, 0.518815, 0.579568", \
+                            "0.387783, 0.389587, 0.396561, 0.418539, 0.463287, 0.518639, 0.57937", \
+                            "0.387486, 0.389059, 0.396242, 0.418011, 0.463023, 0.518397, 0.579106", \
+                            "0.387508, 0.389257, 0.39622, 0.418198, 0.461582, 0.518364, 0.579029", \
+                            "0.387332, 0.388894, 0.395978, 0.417901, 0.463551, 0.518232, 0.578963" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "1.07676, 1.08005, 1.08609, 1.08147, 1.06759, 1.05034, 1.03412", \
+                            "1.09018, 1.09357, 1.09953, 1.09495, 1.08218, 1.06031, 1.04764", \
+                            "1.1036, 1.10682, 1.11299, 1.10837, 1.09453, 1.07373, 1.06208", \
+                            "1.12146, 1.12475, 1.13071, 1.12614, 1.1123, 1.0916, 1.07985", \
+                            "1.1563, 1.15988, 1.16613, 1.16146, 1.14759, 1.12687, 1.11412", \
+                            "1.18546, 1.18889, 1.19529, 1.19004, 1.1791, 1.15589, 1.14314", \
+                            "1.20551, 1.20915, 1.21484, 1.21018, 1.19882, 1.17564, 1.16288" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "1.09946, 1.0978, 1.08943, 1.06861, 1.02388, 0.968528, 0.907786", \
+                            "1.11295, 1.11111, 1.1041, 1.08214, 1.03851, 0.982058, 0.921316", \
+                            "1.12635, 1.12479, 1.11638, 1.09555, 1.05016, 0.995467, 0.934725", \
+                            "1.14407, 1.14227, 1.1353, 1.11332, 1.06857, 1.01322, 0.95249", \
+                            "1.17941, 1.17782, 1.17064, 1.14887, 1.10387, 1.0485, 0.987789", \
+                            "1.20833, 1.20658, 1.19962, 1.17764, 1.13425, 1.07747, 1.01681", \
+                            "1.22817, 1.22661, 1.21953, 1.1976, 1.15195, 1.09727, 1.03654" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0490479;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.561946, 0.564762, 0.571208, 0.59323, 0.629728, 0.676214, 0.720346", \
+                            "0.560923, 0.564256, 0.570592, 0.592526, 0.628936, 0.674872, 0.71962", \
+                            "0.553916, 0.557271, 0.563508, 0.585541, 0.622061, 0.667909, 0.712107", \
+                            "0.535975, 0.539297, 0.545831, 0.567754, 0.604164, 0.650606, 0.694342", \
+                            "0.497937, 0.501292, 0.507342, 0.529551, 0.56606, 0.612579, 0.656667", \
+                            "0.466697, 0.469095, 0.474958, 0.497387, 0.533863, 0.580382, 0.624448", \
+                            "0.438339, 0.441474, 0.44792, 0.469942, 0.506418, 0.553025, 0.597047" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.588808, 0.591701, 0.607464, 0.640948, 0.701778, 0.778789, 0.878064", \
+                            "0.588093, 0.590997, 0.605957, 0.640178, 0.698335, 0.778756, 0.876942", \
+                            "0.581097, 0.584023, 0.599874, 0.633226, 0.694606, 0.771221, 0.870463", \
+                            "0.563288, 0.566236, 0.581196, 0.615483, 0.676225, 0.753324, 0.852544", \
+                            "0.525118, 0.528033, 0.542993, 0.577049, 0.638, 0.717673, 0.813989", \
+                            "0.492888, 0.496023, 0.510796, 0.545479, 0.60643, 0.685531, 0.781792", \
+                            "0.465498, 0.468424, 0.483384, 0.517462, 0.578699, 0.655501, 0.754369" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.80564, 0.802868, 0.797126, 0.778954, 0.756756, 0.739838, 0.734514", \
+                            "0.805211, 0.80256, 0.796257, 0.778602, 0.756239, 0.740509, 0.734173", \
+                            "0.804881, 0.802252, 0.796444, 0.778261, 0.756063, 0.740157, 0.730466", \
+                            "0.803935, 0.800998, 0.79541, 0.777238, 0.754864, 0.737913, 0.729443", \
+                            "0.810733, 0.808247, 0.802241, 0.784674, 0.762234, 0.745294, 0.73997", \
+                            "0.812988, 0.810733, 0.804958, 0.786775, 0.764566, 0.74767, 0.742346", \
+                            "0.79937, 0.796873, 0.790911, 0.773014, 0.75053, 0.733634, 0.72831" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.471669, 0.468468, 0.452562, 0.419419, 0.359865, 0.285043, 0.188921", \
+                            "0.470393, 0.467643, 0.452628, 0.418682, 0.361911, 0.285263, 0.188533", \
+                            "0.470844, 0.467324, 0.452001, 0.419254, 0.35816, 0.283679, 0.188036", \
+                            "0.469458, 0.46629, 0.451572, 0.418, 0.357247, 0.283338, 0.18702", \
+                            "0.476894, 0.473451, 0.458733, 0.424831, 0.365299, 0.287364, 0.194378", \
+                            "0.479281, 0.47608, 0.460801, 0.427713, 0.36575, 0.289718, 0.196889", \
+                            "0.523402, 0.51997, 0.504746, 0.470008, 0.405779, 0.328273, 0.235949" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00767809;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.231818, 0.235098, 0.250515, 0.28402, 0.343222, 0.417934, 0.51458", \
+                            "0.232108, 0.235358, 0.251066, 0.283745, 0.340769, 0.418077, 0.514239", \
+                            "0.232777, 0.236368, 0.251946, 0.285109, 0.345708, 0.420255, 0.515141", \
+                            "0.232709, 0.237417, 0.250876, 0.284944, 0.346313, 0.419188, 0.515471", \
+                            "0.225831, 0.229859, 0.244874, 0.278751, 0.338327, 0.416416, 0.50853", \
+                            "0.224528, 0.229145, 0.243056, 0.27577, 0.337788, 0.41415, 0.506154", \
+                            "0.237122, 0.240798, 0.254608, 0.289586, 0.348931, 0.422895, 0.51887" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.80564, 0.80344, 0.797126, 0.778954, 0.756756, 0.739838, 0.734514", \
+                            "0.805211, 0.803297, 0.796257, 0.778602, 0.756239, 0.740509, 0.734173", \
+                            "0.804881, 0.802736, 0.796444, 0.778261, 0.756063, 0.740157, 0.73106", \
+                            "0.803935, 0.801724, 0.79541, 0.777238, 0.754864, 0.737913, 0.730037", \
+                            "0.810733, 0.808863, 0.802241, 0.784674, 0.762234, 0.745294, 0.73997", \
+                            "0.812988, 0.810986, 0.804958, 0.786775, 0.764566, 0.74767, 0.742346", \
+                            "0.79937, 0.797225, 0.790911, 0.773014, 0.75053, 0.733634, 0.72831" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.471669, 0.468468, 0.452562, 0.419419, 0.359865, 0.285043, 0.188921", \
+                            "0.471339, 0.467643, 0.452628, 0.419727, 0.361911, 0.285263, 0.188533", \
+                            "0.470844, 0.467324, 0.452001, 0.419254, 0.35816, 0.283679, 0.188036", \
+                            "0.469535, 0.46629, 0.451572, 0.418, 0.357247, 0.283338, 0.18702", \
+                            "0.477411, 0.473451, 0.458733, 0.425216, 0.365299, 0.287364, 0.194378", \
+                            "0.479281, 0.47608, 0.460801, 0.427713, 0.36575, 0.289718, 0.196889", \
+                            "0.464992, 0.461813, 0.447073, 0.413556, 0.353177, 0.278762, 0.18289" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0376076;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.474485, 0.471955, 0.467346, 0.471867, 0.496111, 0.525822, 0.554037", \
+                            "0.475431, 0.473, 0.467687, 0.471405, 0.493449, 0.526471, 0.55286", \
+                            "0.475552, 0.472428, 0.468072, 0.471361, 0.496408, 0.52822, 0.553003", \
+                            "0.476157, 0.473583, 0.468369, 0.471823, 0.494351, 0.527483, 0.552101", \
+                            "0.46948, 0.467115, 0.463804, 0.467632, 0.490457, 0.521543, 0.547965", \
+                            "0.467665, 0.464596, 0.45991, 0.464662, 0.48587, 0.519398, 0.545688", \
+                            "0.480029, 0.475541, 0.472241, 0.477587, 0.499081, 0.528484, 0.558855" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.606903, 0.609884, 0.620455, 0.640255, 0.685267, 0.75163, 0.830929", \
+                            "0.607673, 0.609378, 0.620488, 0.640948, 0.686279, 0.751531, 0.82324", \
+                            "0.609697, 0.610005, 0.620532, 0.64163, 0.685597, 0.753115, 0.827816", \
+                            "0.610368, 0.610247, 0.619674, 0.641751, 0.686323, 0.753313, 0.83127", \
+                            "0.603317, 0.604175, 0.614691, 0.635228, 0.680713, 0.746592, 0.82258", \
+                            "0.600182, 0.602096, 0.612293, 0.633875, 0.678117, 0.743413, 0.821843", \
+                            "0.61358, 0.614009, 0.625196, 0.645601, 0.689568, 0.756734, 0.834878" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.493559, 0.49654, 0.497992, 0.495308, 0.495242, 0.49522, 0.494362", \
+                            "0.496419, 0.499466, 0.500918, 0.498234, 0.498168, 0.498146, 0.497288", \
+                            "0.50424, 0.507287, 0.508739, 0.506055, 0.505989, 0.505967, 0.505109", \
+                            "0.523435, 0.526482, 0.527945, 0.52525, 0.525195, 0.525173, 0.524304", \
+                            "0.55132, 0.554378, 0.55583, 0.553146, 0.55308, 0.553058, 0.5522", \
+                            "0.582076, 0.585266, 0.586575, 0.583891, 0.583825, 0.583803, 0.582945", \
+                            "0.606111, 0.609092, 0.610544, 0.60786, 0.607794, 0.607772, 0.606914" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.50171, 0.500236, 0.490435, 0.477378, 0.475211, 0.481448, 0.488807", \
+                            "0.504603, 0.503162, 0.493361, 0.480315, 0.478137, 0.484374, 0.491733", \
+                            "0.512424, 0.510609, 0.501182, 0.488125, 0.485958, 0.492195, 0.499554", \
+                            "0.531982, 0.529848, 0.520377, 0.507331, 0.505153, 0.511401, 0.518749", \
+                            "0.559922, 0.558074, 0.548273, 0.535227, 0.533049, 0.539297, 0.546645", \
+                            "0.590601, 0.588456, 0.579018, 0.565972, 0.563794, 0.570042, 0.57739", \
+                            "0.614229, 0.612788, 0.602987, 0.589941, 0.587763, 0.594011, 0.601359" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0157294;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.402809, 0.405207, 0.410993, 0.417021, 0.406703, 0.381491, 0.339603", \
+                            "0.400488, 0.405724, 0.410982, 0.416119, 0.40524, 0.384219, 0.342067", \
+                            "0.402248, 0.405306, 0.413193, 0.415855, 0.407649, 0.385044, 0.341979", \
+                            "0.403282, 0.405031, 0.413633, 0.417626, 0.408045, 0.385572, 0.341055", \
+                            "0.397628, 0.399311, 0.406637, 0.410388, 0.402017, 0.377718, 0.333916", \
+                            "0.395263, 0.398255, 0.404415, 0.408738, 0.398915, 0.375826, 0.332354", \
+                            "0.408276, 0.40744, 0.417538, 0.420871, 0.411356, 0.390478, 0.343893" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.0931337, 0.0952138, 0.111448, 0.156911, 0.230205, 0.327987, 0.452155", \
+                            "0.0935143, 0.0943492, 0.111492, 0.156823, 0.230259, 0.327778, 0.451979", \
+                            "0.0943448, 0.0942513, 0.111772, 0.157289, 0.230295, 0.328515, 0.452925", \
+                            "0.0944427, 0.0940214, 0.111805, 0.157447, 0.231331, 0.328592, 0.453002", \
+                            "0.0885544, 0.0886127, 0.105997, 0.151376, 0.223247, 0.322685, 0.447128", \
+                            "0.0854865, 0.0855965, 0.1037, 0.149174, 0.220792, 0.319979, 0.444114", \
+                            "0.0985138, 0.0991507, 0.115847, 0.161096, 0.2331, 0.332442, 0.456918" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.479853, 0.47828, 0.471009, 0.465377, 0.476014, 0.500643, 0.54362", \
+                            "0.479523, 0.477939, 0.47091, 0.46486, 0.475728, 0.499301, 0.541024", \
+                            "0.479193, 0.477477, 0.47003, 0.464376, 0.474507, 0.497684, 0.541915", \
+                            "0.478104, 0.476278, 0.470129, 0.464079, 0.474738, 0.498069, 0.541585", \
+                            "0.485056, 0.481921, 0.476322, 0.471581, 0.480282, 0.504669, 0.54879", \
+                            "0.487025, 0.484671, 0.478467, 0.474485, 0.483527, 0.506044, 0.54802", \
+                            "0.474452, 0.47443, 0.466147, 0.462055, 0.471592, 0.493856, 0.539319" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.644633, 0.643159, 0.627099, 0.581251, 0.507738, 0.410344, 0.287903", \
+                            "0.643951, 0.643478, 0.626582, 0.580723, 0.507221, 0.409827, 0.2882", \
+                            "0.643368, 0.643016, 0.62612, 0.580272, 0.507342, 0.409365, 0.287254", \
+                            "0.642796, 0.642653, 0.625801, 0.579953, 0.506451, 0.409046, 0.286605", \
+                            "0.649011, 0.648791, 0.631895, 0.586047, 0.513161, 0.41514, 0.292699", \
+                            "0.652355, 0.651365, 0.634513, 0.588665, 0.515163, 0.417758, 0.295328", \
+                            "0.639463, 0.63954, 0.622369, 0.576521, 0.503437, 0.405614, 0.283173" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0186604;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_1v98.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_1v98.lib
new file mode 100755
index 0000000..3de6149
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_1v98.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_1v98) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 1.98;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.315;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.98);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_1p98v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 1.98;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_1p98v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 0.973;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+			  index_2 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "7.81572, 7.86564, 7.96908, 8.1402, 8.3916, 8.73252, 9.19596", \
+                            "7.82688, 7.8558, 7.96272, 8.15184, 8.39352, 8.73816, 9.18984", \
+                            "7.87272, 7.9104, 8.00748, 8.18352, 8.41692, 8.77764, 9.22752", \
+                            "7.88688, 7.9314, 8.02404, 8.18496, 8.45844, 8.7942, 9.26676", \
+                            "7.94652, 7.98528, 8.0868, 8.265, 8.51916, 8.85732, 9.31056", \
+                            "8.00124, 8.04144, 8.14824, 8.31192, 8.5704, 8.91528, 9.36744", \
+                            "8.07552, 8.09964, 8.20932, 8.3808, 8.637, 8.98452, 9.4314" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+  	                     "0.255792, 0.29964, 0.451968, 0.738288, 1.18367, 1.8498, 2.71212" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "8.1864, 8.23704, 8.32428, 8.45304, 8.60844, 8.79624, 9.05148", \
+                            "8.19996, 8.22912, 8.31972, 8.46936, 8.60856, 8.80572, 9.03948", \
+                            "8.24628, 8.27364, 8.35884, 8.49192, 8.63088, 8.83704, 9.07512", \
+                            "8.2602, 8.29836, 8.38164, 8.50404, 8.6754, 8.85828, 9.11016", \
+                            "8.31984, 8.35416, 8.44632, 8.57136, 8.72916, 8.92392, 9.16272", \
+                            "8.37348, 8.41488, 8.49972, 8.62236, 8.78808, 8.97732, 9.22284", \
+                            "8.44884, 8.47344, 8.56776, 8.697, 8.85468, 9.04608, 9.28644" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "0.252852, 0.286248, 0.38142, 0.517788, 0.730836, 1.01138, 1.38156" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.270567;
+                  clock                : true;
+                  max_transition       : 2.315;
+                  min_pulse_width_high : 4.664565;
+                  min_pulse_width_low  : 4.743105;
+                  min_period           : 10.55478;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("120.602, 120.602, 120.602, 120.602, 120.602, 120.602, 120.602");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("120.602, 120.602, 120.602, 120.602, 120.602, 120.602, 120.602");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("5.34501e-05, 5.34501e-05, 5.34501e-05, 5.34501e-05, 5.34501e-05, 5.34501e-05, 5.34501e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("5.34501e-05, 5.34501e-05, 5.34501e-05, 5.34501e-05, 5.34501e-05, 5.34501e-05, 5.34501e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("110.454, 110.454, 110.454, 110.454, 110.454, 110.454, 110.454");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("110.454, 110.454, 110.454, 110.454, 110.454, 110.454, 110.454");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0172439;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.79816, 0.787347, 0.78716, 0.830819, 0.941237, 1.09125, 1.23563", \
+                            "0.786775, 0.773949, 0.776094, 0.819742, 0.93005, 1.08018, 1.23377", \
+                            "0.758604, 0.749353, 0.749254, 0.79266, 0.902935, 1.0531, 1.19143", \
+                            "0.707069, 0.69839, 0.69971, 0.738265, 0.844899, 0.995049, 1.14864", \
+                            "0.706794, 0.698049, 0.699226, 0.737979, 0.841291, 0.964062, 1.06952", \
+                            "0.70664, 0.697895, 0.69927, 0.737825, 0.841115, 0.963908, 1.05128", \
+                            "0.70653, 0.697796, 0.698962, 0.737726, 0.841038, 0.958837, 1.04913" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.652058, 0.658141, 0.687852, 0.757878, 0.880264, 1.0461, 1.24434", \
+                            "0.648846, 0.652905, 0.684695, 0.754182, 0.877393, 1.04278, 1.23504", \
+                            "0.648461, 0.652476, 0.684354, 0.753038, 0.877052, 1.04244, 1.24121", \
+                            "0.648274, 0.652388, 0.684145, 0.753621, 0.875358, 1.04222, 1.23449", \
+                            "0.647977, 0.65208, 0.683848, 0.753247, 0.876546, 1.04193, 1.23419", \
+                            "0.647823, 0.651948, 0.683705, 0.753192, 0.874918, 1.04179, 1.23409", \
+                            "0.647713, 0.651772, 0.683441, 0.752664, 0.876293, 1.04168, 1.24053" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.9932, 2.002, 2.00079, 1.96196, 1.85856, 1.73591, 1.64846", \
+                            "2.00354, 2.01652, 2.01113, 1.97241, 1.86912, 1.74636, 1.64824", \
+                            "2.03797, 2.04666, 2.04545, 2.00684, 1.90355, 1.78079, 1.68872", \
+                            "2.0966, 2.10529, 2.10397, 2.06547, 1.96218, 1.83942, 1.7413", \
+                            "2.20572, 2.21452, 2.21331, 2.17459, 2.07119, 1.94843, 1.85669", \
+                            "2.3529, 2.3617, 2.36027, 2.32177, 2.21848, 2.09561, 2.00827", \
+                            "2.4849, 2.4937, 2.49249, 2.45377, 2.35037, 2.23267, 2.14236" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "2.05194, 2.04787, 2.0163, 1.94656, 1.82402, 1.65803, 1.46575", \
+                            "2.06239, 2.05832, 2.02653, 1.95701, 1.83381, 1.66848, 1.4762", \
+                            "2.09682, 2.09286, 2.06096, 1.99232, 1.86824, 1.70291, 1.50414", \
+                            "2.15545, 2.15138, 2.11959, 2.05007, 1.92841, 1.76154, 1.56926", \
+                            "2.26457, 2.2605, 2.22871, 2.1593, 2.03599, 1.87055, 1.67838", \
+                            "2.41175, 2.40757, 2.37589, 2.30637, 2.1846, 2.01773, 1.82545", \
+                            "2.54375, 2.53968, 2.508, 2.43881, 2.31517, 2.14973, 1.95096" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0440075;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.00536, 1.01264, 1.0487, 1.10638, 1.20385, 1.31505, 1.43297", \
+                            "0.993047, 1.00624, 1.03637, 1.09406, 1.19152, 1.30272, 1.42043", \
+                            "0.967934, 0.975172, 1.01026, 1.06912, 1.16648, 1.27763, 1.3959", \
+                            "0.909612, 0.916817, 0.951896, 1.01061, 1.10811, 1.21927, 1.33705", \
+                            "0.820523, 0.827794, 0.863874, 0.921734, 1.01908, 1.13024, 1.24808", \
+                            "0.731291, 0.73854, 0.773597, 0.832458, 0.929797, 1.04096, 1.15885", \
+                            "0.661562, 0.6688, 0.704891, 0.762586, 0.860046, 0.972224, 1.08909" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.18864, 1.20172, 1.23673, 1.31931, 1.45189, 1.62514, 1.83909", \
+                            "1.17615, 1.1895, 1.22916, 1.307, 1.43814, 1.61282, 1.82677", \
+                            "1.15116, 1.16415, 1.20408, 1.27285, 1.41306, 1.58774, 1.80532", \
+                            "1.0927, 1.10596, 1.14572, 1.22354, 1.35586, 1.52944, 1.74493", \
+                            "1.00422, 1.01696, 1.05667, 1.13067, 1.26564, 1.44034, 1.65594", \
+                            "0.91454, 0.927531, 0.967417, 1.04523, 1.17759, 1.35113, 1.56497", \
+                            "0.844679, 0.857791, 0.893013, 0.974402, 1.10666, 1.28137, 1.50073" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.34255, 1.33606, 1.30079, 1.24444, 1.1673, 1.09737, 1.03784", \
+                            "1.34387, 1.33452, 1.30199, 1.24559, 1.16845, 1.09853, 1.05376", \
+                            "1.37126, 1.36466, 1.32946, 1.27307, 1.19513, 1.12601, 1.06648", \
+                            "1.40173, 1.39513, 1.35993, 1.30352, 1.22557, 1.15646, 1.11168", \
+                            "1.44628, 1.43957, 1.40459, 1.34816, 1.27026, 1.20113, 1.14161", \
+                            "1.51041, 1.5037, 1.4685, 1.41207, 1.33419, 1.26672, 1.20553", \
+                            "1.55331, 1.5466, 1.5114, 1.45497, 1.37786, 1.30792, 1.24841" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.710853, 0.703384, 0.667953, 0.577995, 0.447425, 0.28106, 0.079376", \
+                            "0.711678, 0.704473, 0.664477, 0.579315, 0.450197, 0.283521, 0.080575", \
+                            "0.739431, 0.732028, 0.692076, 0.616638, 0.477708, 0.309695, 0.09669", \
+                            "0.769527, 0.762465, 0.722557, 0.637197, 0.5071, 0.340219, 0.138489", \
+                            "0.814572, 0.807059, 0.767151, 0.684035, 0.552838, 0.384813, 0.183466", \
+                            "0.878548, 0.869484, 0.831061, 0.745789, 0.615692, 0.448734, 0.247185", \
+                            "0.92103, 0.91388, 0.875501, 0.791461, 0.659615, 0.491579, 0.278659" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00700372;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0, 0, 0, 0, 0.007106, 0.077187, 0.134582", \
+                            "0, 0, 0, 0, 0.006523, 0.077946, 0.132738", \
+                            "0, 0, 0, 0, 0, 0.050292, 0.106491", \
+                            "0, 0, 0, 0, 0, 0.019855, 0.074646", \
+                            "0, 0, 0, 0, 0, 0, 0.02981", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.45936, 0.470492, 0.506748, 0.590722, 0.723789, 0.892265, 1.09347", \
+                            "0.459965, 0.469293, 0.50655, 0.590557, 0.720786, 0.891385, 1.09288", \
+                            "0.43164, 0.4422, 0.479501, 0.556413, 0.693077, 0.864182, 1.07568", \
+                            "0.401654, 0.41041, 0.449845, 0.532224, 0.664411, 0.833756, 1.03619", \
+                            "0.35805, 0.366168, 0.403612, 0.483076, 0.616528, 0.787655, 0.989868", \
+                            "0.291954, 0.301805, 0.340032, 0.423445, 0.553333, 0.724031, 0.925034", \
+                            "0.250131, 0.26049, 0.297898, 0.379621, 0.511247, 0.682374, 0.894553" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.34497, 1.33606, 1.30599, 1.24524, 1.17006, 1.09737, 1.04161", \
+                            "1.34618, 1.33694, 1.30716, 1.24642, 1.17125, 1.09853, 1.05376", \
+                            "1.37357, 1.36466, 1.33463, 1.27391, 1.19873, 1.12601, 1.07027", \
+                            "1.40404, 1.39513, 1.3651, 1.30436, 1.22918, 1.15646, 1.11168", \
+                            "1.44881, 1.43957, 1.40976, 1.34904, 1.27386, 1.20113, 1.14541", \
+                            "1.51261, 1.5037, 1.47367, 1.41295, 1.33771, 1.26672, 1.2093", \
+                            "1.55562, 1.5466, 1.51668, 1.45596, 1.38072, 1.30792, 1.2523" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.710853, 0.704099, 0.667953, 0.577995, 0.447425, 0.28106, 0.079794", \
+                            "0.711678, 0.705155, 0.664477, 0.579315, 0.450197, 0.283521, 0.080982", \
+                            "0.739431, 0.732743, 0.692076, 0.616638, 0.477708, 0.309695, 0.096734", \
+                            "0.769527, 0.763213, 0.722557, 0.637197, 0.5071, 0.340219, 0.138489", \
+                            "0.814572, 0.807785, 0.767151, 0.684035, 0.552838, 0.384813, 0.183466", \
+                            "0.878548, 0.869792, 0.831061, 0.745789, 0.615692, 0.448734, 0.247594", \
+                            "0.92103, 0.914661, 0.875501, 0.791461, 0.659615, 0.491579, 0.278659" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0374549;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.920029, 0.928246, 0.927421, 0.974237, 1.06946, 1.21652, 1.34882", \
+                            "0.927894, 0.92884, 0.930061, 0.972411, 1.07237, 1.21678, 1.36147", \
+                            "0.897072, 0.904024, 0.901318, 0.945373, 1.04386, 1.19142, 1.32385", \
+                            "0.865799, 0.873037, 0.86944, 0.912923, 1.01138, 1.15667, 1.30111", \
+                            "0.813934, 0.823801, 0.823141, 0.865799, 0.965107, 1.10965, 1.24572", \
+                            "0.756811, 0.763059, 0.761321, 0.805134, 0.90354, 1.04905, 1.1829", \
+                            "0.709522, 0.719686, 0.719972, 0.762586, 0.861157, 1.00691, 1.14077" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.10205, 1.1071, 1.14038, 1.21373, 1.34156, 1.50095, 1.71237", \
+                            "1.10153, 1.10845, 1.13676, 1.21239, 1.33892, 1.50183, 1.71457", \
+                            "1.07337, 1.08261, 1.1134, 1.18383, 1.31304, 1.47499, 1.68575", \
+                            "1.04115, 1.04598, 1.08134, 1.15547, 1.27953, 1.43968, 1.65462", \
+                            "0.994455, 1.00084, 1.03392, 1.10853, 1.23467, 1.39689, 1.60897", \
+                            "0.932217, 0.939015, 0.971718, 1.04669, 1.16974, 1.33408, 1.54726", \
+                            "0.888591, 0.896599, 0.930721, 1.00256, 1.13048, 1.30403, 1.50403" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.768196, 0.773575, 0.770748, 0.715594, 0.646371, 0.541618, 0.440946", \
+                            "0.778646, 0.784014, 0.781198, 0.726044, 0.656821, 0.552079, 0.451396", \
+                            "0.805046, 0.810425, 0.807609, 0.752455, 0.683232, 0.578479, 0.477796", \
+                            "0.86823, 0.873609, 0.870793, 0.81565, 0.746427, 0.641674, 0.541002", \
+                            "0.957869, 0.963226, 0.960421, 0.905278, 0.836044, 0.731302, 0.630619", \
+                            "1.07949, 1.08486, 1.08205, 1.02689, 0.957671, 0.852929, 0.752246", \
+                            "1.19105, 1.19643, 1.19361, 1.13846, 1.06923, 0.96448, 0.863808" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.837144, 0.830346, 0.795905, 0.730873, 0.661617, 0.590315, 0.528264", \
+                            "0.847561, 0.840796, 0.806344, 0.741323, 0.672078, 0.600776, 0.538714", \
+                            "0.873961, 0.867207, 0.832755, 0.767723, 0.698478, 0.627176, 0.565125", \
+                            "0.937189, 0.930402, 0.89595, 0.830918, 0.761684, 0.690371, 0.62832", \
+                            "1.02678, 1.02002, 0.985578, 0.920546, 0.851301, 0.779999, 0.717948", \
+                            "1.14841, 1.14168, 1.10719, 1.04217, 0.972928, 0.901626, 0.839575", \
+                            "1.25997, 1.25321, 1.21876, 1.15372, 1.08449, 1.01318, 0.951126" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0153635;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.905696, 0.920436, 0.948178, 0.993883, 1.03314, 1.04653, 1.04077", \
+                            "0.90453, 0.909821, 0.946605, 0.995423, 1.02838, 1.04234, 1.0331", \
+                            "0.882728, 0.885588, 0.92301, 0.967505, 1.0069, 1.01206, 1.00965", \
+                            "0.848144, 0.854843, 0.8899, 0.937178, 0.968638, 0.985116, 0.981618", \
+                            "0.80025, 0.804914, 0.842842, 0.889977, 0.924638, 0.936375, 0.936408", \
+                            "0.741994, 0.744447, 0.781462, 0.827145, 0.86053, 0.87505, 0.869077", \
+                            "0.697642, 0.703428, 0.739673, 0.78606, 0.821414, 0.832183, 0.829048" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.160907, 0.160189, 0.194678, 0.272532, 0.396242, 0.570636, 0.768383", \
+                            "0.1616, 0.160873, 0.195361, 0.273158, 0.396935, 0.575366, 0.769076", \
+                            "0.134017, 0.133304, 0.167737, 0.245634, 0.369358, 0.543741, 0.741499", \
+                            "0.101959, 0.101233, 0.13573, 0.213409, 0.337293, 0.511676, 0.709434", \
+                            "0.055638, 0.054912, 0.089397, 0.167236, 0.290973, 0.465366, 0.663113", \
+                            "0, 0, 0.027588, 0.105402, 0.229159, 0.403557, 0.601304", \
+                            "0, 0, 0, 0.063008, 0.186981, 0.364958, 0.558998" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.579887, 0.570086, 0.539033, 0.490677, 0.456313, 0.443839, 0.441177", \
+                            "0.583649, 0.574013, 0.542806, 0.494967, 0.460196, 0.4466, 0.445379", \
+                            "0.611336, 0.601634, 0.570625, 0.52228, 0.484561, 0.467159, 0.472582", \
+                            "0.642037, 0.632247, 0.601392, 0.553355, 0.518584, 0.504999, 0.503778", \
+                            "0.689634, 0.678337, 0.648109, 0.599291, 0.559163, 0.550935, 0.547514", \
+                            "0.745173, 0.737231, 0.706959, 0.657822, 0.623359, 0.609774, 0.606507", \
+                            "0.792341, 0.782441, 0.751498, 0.703659, 0.668888, 0.64823, 0.653642" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.07118, 1.0737, 1.03777, 0.96162, 0.837738, 0.661133, 0.468259", \
+                            "1.07494, 1.07747, 1.04154, 0.965382, 0.8415, 0.664895, 0.472021", \
+                            "1.10257, 1.10509, 1.06917, 0.993003, 0.869132, 0.692527, 0.499642", \
+                            "1.13334, 1.13586, 1.09993, 1.02378, 0.899899, 0.723294, 0.53042", \
+                            "1.17928, 1.18179, 1.14586, 1.06971, 0.945824, 0.769219, 0.576356", \
+                            "1.23812, 1.24064, 1.20471, 1.12856, 1.00467, 0.828069, 0.635184", \
+                            "1.28186, 1.28619, 1.25024, 1.17409, 1.05019, 0.873587, 0.680702" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 5.34501e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_3v60.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_3v60.lib
new file mode 100755
index 0000000..f27cb71
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_3v60.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_3v60) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 3.6;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.7498;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.6);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_3p6v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 3.6;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_3p6v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0614;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+			  index_2 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "3.86088, 3.87492, 3.92724, 4.01352, 4.14708, 4.32312, 4.56", \
+                            "3.8658, 3.88008, 3.93024, 4.02072, 4.14948, 4.33008, 4.56864", \
+                            "3.86232, 3.88584, 3.93084, 4.02588, 4.1484, 4.33152, 4.5654", \
+                            "3.88008, 3.88728, 3.93792, 4.02912, 4.164, 4.34196, 4.58196", \
+                            "3.88308, 3.89784, 3.95376, 4.03836, 4.16688, 4.3482, 4.58196", \
+                            "3.88248, 3.89784, 3.957, 4.041, 4.16292, 4.35228, 4.5816", \
+                            "3.86592, 3.88692, 3.93816, 4.03104, 4.15404, 4.32924, 4.56852" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+  	                     "0.135696, 0.159588, 0.2418, 0.400176, 0.641436, 1.00158, 1.46904" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "3.99396, 4.0092, 4.05912, 4.13256, 4.23336, 4.35408, 4.50924", \
+                            "4.0014, 4.0146, 4.06212, 4.13844, 4.23492, 4.36212, 4.51536", \
+                            "3.99612, 4.01904, 4.06572, 4.14504, 4.2372, 4.36104, 4.5156", \
+                            "4.01316, 4.01916, 4.07052, 4.14852, 4.2516, 4.37208, 4.5318", \
+                            "4.0194, 4.0362, 4.0884, 4.15728, 4.25808, 4.37976, 4.5342", \
+                            "4.0182, 4.03368, 4.09044, 4.16496, 4.25328, 4.3854, 4.5318", \
+                            "3.99888, 4.0188, 4.0698, 4.14804, 4.24512, 4.36092, 4.51992" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "0.141264, 0.157116, 0.212604, 0.302304, 0.439224, 0.629868, 0.879696" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.280028;
+                  clock                : true;
+                  max_transition       : 1.7498;
+                  min_pulse_width_high : 1.89975;
+                  min_pulse_width_low  : 1.85733;
+                  min_period           : 5.10069;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("446.67, 446.67, 446.67, 446.67, 446.67, 446.67, 446.67");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("446.67, 446.67, 446.67, 446.67, 446.67, 446.67, 446.67");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("0.000177156, 0.000177156, 0.000177156, 0.000177156, 0.000177156, 0.000177156, 0.000177156");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("0.000177156, 0.000177156, 0.000177156, 0.000177156, 0.000177156, 0.000177156, 0.000177156");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("403.308, 403.308, 403.308, 403.308, 403.308, 403.308, 403.308");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("403.308, 403.308, 403.308, 403.308, 403.308, 403.308, 403.308");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0172336;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.426184, 0.420134, 0.417417, 0.436579, 0.471581, 0.500676, 0.507529", \
+                            "0.422961, 0.419177, 0.414315, 0.433345, 0.46101, 0.492294, 0.505483", \
+                            "0.42262, 0.41888, 0.415283, 0.431893, 0.453915, 0.479798, 0.487234", \
+                            "0.4224, 0.418616, 0.414942, 0.431178, 0.453816, 0.468336, 0.465223", \
+                            "0.422202, 0.418418, 0.413589, 0.431475, 0.451473, 0.468138, 0.465036", \
+                            "0.422081, 0.418286, 0.413424, 0.43098, 0.451352, 0.468006, 0.466983", \
+                            "0.422037, 0.418242, 0.41338, 0.430947, 0.460273, 0.488301, 0.496232" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.404657, 0.406329, 0.423236, 0.458997, 0.521136, 0.587774, 0.673893", \
+                            "0.40447, 0.406175, 0.422983, 0.455521, 0.520916, 0.587554, 0.673673", \
+                            "0.40403, 0.405812, 0.422664, 0.458436, 0.519794, 0.587213, 0.673332", \
+                            "0.403909, 0.405603, 0.422422, 0.458216, 0.520355, 0.587004, 0.673112", \
+                            "0.403777, 0.405394, 0.422224, 0.458018, 0.520157, 0.586773, 0.672925", \
+                            "0.403568, 0.405262, 0.420211, 0.457875, 0.520036, 0.586641, 0.672782", \
+                            "0.403447, 0.405218, 0.422125, 0.457809, 0.519992, 0.586597, 0.672793" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "1.01837, 1.0227, 1.02758, 1.01004, 0.987932, 0.972818, 0.976118", \
+                            "1.02277, 1.02655, 1.0314, 1.01385, 0.996809, 0.976822, 0.979946", \
+                            "1.03701, 1.04075, 1.04435, 1.02774, 1.00572, 0.991067, 0.99418", \
+                            "1.07548, 1.07927, 1.08293, 1.0667, 1.04407, 1.02954, 1.03266", \
+                            "1.12297, 1.12674, 1.13158, 1.1137, 1.0937, 1.07702, 1.08012", \
+                            "1.15495, 1.15874, 1.16359, 1.14605, 1.12567, 1.10902, 1.11213", \
+                            "1.18173, 1.18553, 1.19038, 1.17282, 1.15072, 1.13672, 1.1389" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "1.03748, 1.0358, 1.0189, 0.983136, 0.920997, 0.854359, 0.76824", \
+                            "1.04125, 1.03956, 1.02275, 0.990209, 0.924803, 0.858165, 0.772057", \
+                            "1.05559, 1.05381, 1.03697, 1.0012, 0.93984, 0.87241, 0.786291", \
+                            "1.09397, 1.09228, 1.07546, 1.03967, 0.977515, 0.910877, 0.824769", \
+                            "1.14138, 1.13978, 1.12295, 1.08714, 1.025, 0.958397, 0.872234", \
+                            "1.17346, 1.17176, 1.15682, 1.11915, 1.05699, 0.990385, 0.904244", \
+                            "1.20032, 1.19855, 1.18164, 1.14596, 1.08377, 1.01717, 0.930974" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0472523;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.557766, 0.563299, 0.577819, 0.612634, 0.662222, 0.710886, 0.75658", \
+                            "0.555346, 0.560835, 0.575388, 0.609554, 0.66077, 0.70972, 0.754149", \
+                            "0.538923, 0.544445, 0.558052, 0.59378, 0.644259, 0.693121, 0.737704", \
+                            "0.505219, 0.510598, 0.525261, 0.5599, 0.607409, 0.660528, 0.703989", \
+                            "0.46574, 0.471273, 0.485848, 0.519937, 0.571483, 0.621093, 0.664576", \
+                            "0.436029, 0.441529, 0.456071, 0.49093, 0.541376, 0.59136, 0.634832", \
+                            "0.410806, 0.416306, 0.430848, 0.465036, 0.515306, 0.564267, 0.609642" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.601205, 0.607013, 0.628892, 0.677556, 0.753379, 0.854436, 0.969155", \
+                            "0.59818, 0.604307, 0.623986, 0.675455, 0.750915, 0.851994, 0.966031", \
+                            "0.582406, 0.587257, 0.60753, 0.658691, 0.736087, 0.835901, 0.950279", \
+                            "0.548009, 0.553553, 0.573826, 0.624987, 0.70081, 0.801823, 0.916575", \
+                            "0.508585, 0.514767, 0.534413, 0.585585, 0.661397, 0.762421, 0.876458", \
+                            "0.479556, 0.484385, 0.50589, 0.55583, 0.631653, 0.732677, 0.847418", \
+                            "0.454333, 0.459184, 0.480898, 0.529474, 0.606441, 0.707476, 0.822349" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.763851, 0.760056, 0.745734, 0.713911, 0.689986, 0.669889, 0.662409", \
+                            "0.77099, 0.767195, 0.751696, 0.721061, 0.694925, 0.67507, 0.669537", \
+                            "0.777535, 0.773663, 0.760012, 0.72754, 0.7029, 0.682407, 0.676016", \
+                            "0.781451, 0.7777, 0.763719, 0.731478, 0.70939, 0.686774, 0.679943", \
+                            "0.794354, 0.790493, 0.776182, 0.744359, 0.719719, 0.699237, 0.692835", \
+                            "0.791912, 0.787952, 0.773652, 0.741818, 0.717178, 0.696696, 0.690305", \
+                            "0.778503, 0.774279, 0.759968, 0.728156, 0.70422, 0.684123, 0.676632" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.451627, 0.44638, 0.423434, 0.376035, 0.301778, 0.204423, 0.096107", \
+                            "0.457908, 0.454597, 0.432608, 0.381513, 0.308858, 0.211554, 0.103346", \
+                            "0.465146, 0.460471, 0.439087, 0.38984, 0.313346, 0.218595, 0.109692", \
+                            "0.469568, 0.464783, 0.443124, 0.393591, 0.31977, 0.221884, 0.113643", \
+                            "0.481151, 0.476707, 0.455917, 0.406681, 0.332222, 0.234846, 0.126645", \
+                            "0.509982, 0.505879, 0.483043, 0.434379, 0.355993, 0.259362, 0.151749", \
+                            "0.556765, 0.552629, 0.530167, 0.481228, 0.402754, 0.306119, 0.198448" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.0074375;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0, 0, 0, 0.01452, 0.040876, 0.059477, 0.068552", \
+                            "0, 0, 0, 0.009559, 0.037059, 0.054835, 0.063272", \
+                            "0, 0, 0, 0.002332, 0.029744, 0.047718, 0.056364", \
+                            "0, 0, 0, 0, 0.02233, 0.041778, 0.050215", \
+                            "0, 0, 0, 0, 0.010758, 0.030217, 0.038654", \
+                            "0, 0, 0, 0, 0.014619, 0.032813, 0.04125", \
+                            "0, 0, 0, 0, 0.026367, 0.045265, 0.053988" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.276701, 0.283012, 0.306185, 0.352528, 0.426514, 0.524073, 0.63316", \
+                            "0.272008, 0.275593, 0.298251, 0.349173, 0.420981, 0.518155, 0.626978", \
+                            "0.266099, 0.269003, 0.291371, 0.34034, 0.417285, 0.510906, 0.620609", \
+                            "0.258823, 0.263285, 0.285064, 0.33473, 0.409376, 0.504812, 0.61402", \
+                            "0.247888, 0.25227, 0.273448, 0.323235, 0.396605, 0.493768, 0.602525", \
+                            "0.249844, 0.254276, 0.277824, 0.325237, 0.3993, 0.496683, 0.605638", \
+                            "0.262265, 0.267067, 0.291212, 0.338052, 0.411752, 0.509432, 0.617837" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.763851, 0.760056, 0.745734, 0.714208, 0.689986, 0.670989, 0.662409", \
+                            "0.77099, 0.767195, 0.751696, 0.721369, 0.695838, 0.678139, 0.669537", \
+                            "0.777535, 0.773663, 0.760012, 0.727837, 0.7029, 0.684607, 0.676016", \
+                            "0.781682, 0.7777, 0.763719, 0.732182, 0.70939, 0.688952, 0.679943", \
+                            "0.794354, 0.790493, 0.776182, 0.744656, 0.719719, 0.701437, 0.692835", \
+                            "0.791912, 0.787952, 0.773652, 0.742115, 0.717178, 0.698885, 0.690305", \
+                            "0.778503, 0.774279, 0.759968, 0.728431, 0.70422, 0.685212, 0.676632" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.451627, 0.44638, 0.423434, 0.377025, 0.301778, 0.204423, 0.096107", \
+                            "0.458876, 0.454597, 0.432608, 0.381931, 0.309221, 0.211554, 0.103346", \
+                            "0.465146, 0.460471, 0.439087, 0.38984, 0.313346, 0.218595, 0.109692", \
+                            "0.469711, 0.464816, 0.443124, 0.394966, 0.320122, 0.221884, 0.113643", \
+                            "0.481151, 0.476707, 0.455917, 0.406912, 0.332222, 0.234846, 0.126645", \
+                            "0.479578, 0.47487, 0.451539, 0.404888, 0.329626, 0.232315, 0.124017", \
+                            "0.465751, 0.461153, 0.437228, 0.391358, 0.316371, 0.218647, 0.110019" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0374446;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.497013, 0.491975, 0.490721, 0.51249, 0.539704, 0.578589, 0.600842", \
+                            "0.492283, 0.48774, 0.485749, 0.507991, 0.5357, 0.573441, 0.596354", \
+                            "0.484847, 0.481558, 0.478214, 0.500379, 0.526086, 0.566852, 0.586454", \
+                            "0.47773, 0.474529, 0.471592, 0.494802, 0.520355, 0.560747, 0.581405", \
+                            "0.466796, 0.463397, 0.461153, 0.483362, 0.509916, 0.547954, 0.568821", \
+                            "0.469755, 0.467621, 0.463694, 0.485496, 0.51238, 0.547734, 0.574255", \
+                            "0.482251, 0.477917, 0.475123, 0.497849, 0.524612, 0.564707, 0.585167" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.633963, 0.638033, 0.654093, 0.690921, 0.756096, 0.838728, 0.933471", \
+                            "0.630047, 0.633072, 0.649187, 0.686213, 0.751641, 0.832601, 0.928653", \
+                            "0.622556, 0.62656, 0.640937, 0.678689, 0.744799, 0.825462, 0.921954", \
+                            "0.617881, 0.620048, 0.635778, 0.674091, 0.737836, 0.818356, 0.912802", \
+                            "0.606584, 0.60973, 0.624657, 0.661199, 0.726473, 0.806707, 0.904805", \
+                            "0.609158, 0.611336, 0.627165, 0.665566, 0.728563, 0.810524, 0.906125", \
+                            "0.620752, 0.623073, 0.639386, 0.677182, 0.740157, 0.823735, 0.917994" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.494197, 0.4983, 0.497101, 0.493339, 0.491788, 0.489423, 0.487487", \
+                            "0.499631, 0.503822, 0.502535, 0.498773, 0.497211, 0.494857, 0.49291", \
+                            "0.513909, 0.518001, 0.516813, 0.513051, 0.5115, 0.509135, 0.507199", \
+                            "0.546766, 0.550957, 0.54967, 0.545908, 0.544346, 0.541992, 0.540045", \
+                            "0.59059, 0.594682, 0.593483, 0.589721, 0.58817, 0.585805, 0.583869", \
+                            "0.624536, 0.628639, 0.62744, 0.623678, 0.622127, 0.619762, 0.617826", \
+                            "0.652366, 0.657712, 0.656414, 0.652652, 0.651101, 0.648736, 0.6468" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.507661, 0.503866, 0.486959, 0.470822, 0.466279, 0.470448, 0.476641", \
+                            "0.513095, 0.5093, 0.492393, 0.476256, 0.471702, 0.47586, 0.482075", \
+                            "0.527373, 0.523578, 0.506671, 0.490534, 0.485991, 0.49016, 0.496353", \
+                            "0.56023, 0.556435, 0.539528, 0.523193, 0.518848, 0.523017, 0.52921", \
+                            "0.604131, 0.600248, 0.583341, 0.567204, 0.562661, 0.566841, 0.573023", \
+                            "0.638, 0.634205, 0.617298, 0.601161, 0.596618, 0.600787, 0.60698", \
+                            "0.666974, 0.663179, 0.646272, 0.630135, 0.625592, 0.629761, 0.635954" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0155975;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.463507, 0.469579, 0.482438, 0.487784, 0.478346, 0.457831, 0.412984", \
+                            "0.458645, 0.46442, 0.47795, 0.48444, 0.471669, 0.453321, 0.40876", \
+                            "0.453145, 0.457699, 0.470778, 0.477444, 0.466092, 0.448129, 0.401643", \
+                            "0.445566, 0.45023, 0.464288, 0.470261, 0.460845, 0.441463, 0.394757", \
+                            "0.435072, 0.439967, 0.452694, 0.459118, 0.448228, 0.4279, 0.384538", \
+                            "0.437976, 0.44176, 0.455191, 0.461725, 0.45221, 0.431827, 0.386672", \
+                            "0.448602, 0.452474, 0.468292, 0.472791, 0.461967, 0.442057, 0.399113" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.152759, 0.155219, 0.176986, 0.233424, 0.317537, 0.427284, 0.560208", \
+                            "0.14737, 0.149119, 0.172106, 0.228546, 0.312686, 0.422543, 0.555379", \
+                            "0.140296, 0.142058, 0.16503, 0.22147, 0.305613, 0.41558, 0.548328", \
+                            "0.133283, 0.135629, 0.158573, 0.215014, 0.299874, 0.409101, 0.541794", \
+                            "0.122808, 0.124603, 0.147544, 0.203985, 0.288125, 0.397947, 0.530816", \
+                            "0.124751, 0.127129, 0.150074, 0.206515, 0.292358, 0.400455, 0.533357", \
+                            "0.137424, 0.139215, 0.162159, 0.218755, 0.302742, 0.412588, 0.545666" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.433675, 0.427977, 0.417934, 0.408419, 0.416878, 0.441507, 0.485199", \
+                            "0.438185, 0.432476, 0.422389, 0.412907, 0.421157, 0.445302, 0.488059", \
+                            "0.445423, 0.439494, 0.428824, 0.420695, 0.427691, 0.45232, 0.495077", \
+                            "0.449394, 0.446875, 0.433356, 0.42548, 0.434214, 0.456896, 0.500764", \
+                            "0.46266, 0.45562, 0.446853, 0.438152, 0.445687, 0.471218, 0.512468", \
+                            "0.460713, 0.455763, 0.444015, 0.434676, 0.442772, 0.468314, 0.509685", \
+                            "0.448492, 0.443432, 0.432069, 0.422598, 0.430826, 0.456181, 0.497728" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.610742, 0.608597, 0.586509, 0.530101, 0.444983, 0.335962, 0.203633", \
+                            "0.615263, 0.613107, 0.591019, 0.534611, 0.449482, 0.34056, 0.208138", \
+                            "0.622281, 0.620125, 0.598037, 0.541629, 0.4565, 0.347578, 0.215162", \
+                            "0.62854, 0.625768, 0.60368, 0.547272, 0.462143, 0.353232, 0.220803", \
+                            "0.639683, 0.637516, 0.615439, 0.55902, 0.473891, 0.36498, 0.232559", \
+                            "0.637494, 0.634733, 0.612645, 0.556237, 0.471108, 0.362186, 0.22976", \
+                            "0.624943, 0.622776, 0.600688, 0.54428, 0.459162, 0.35024, 0.217817" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.000177156;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_5v50.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_5v50.lib
new file mode 100755
index 0000000..050053f
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_5v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ff_n40C_5v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 5.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.087;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_5p5v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 5.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_5p5v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.165;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+			  index_2 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "2.93436, 2.94828, 2.98932, 3.05736, 3.16188, 3.30732, 3.50076", \
+                            "2.93544, 2.9472, 2.9862, 3.05604, 3.16032, 3.30648, 3.50076", \
+                            "2.93628, 2.9496, 2.98968, 3.06048, 3.16308, 3.3066, 3.50256", \
+                            "2.93712, 2.94888, 2.98944, 3.05988, 3.16392, 3.30912, 3.5028", \
+                            "2.94216, 2.95764, 2.99448, 3.0678, 3.17052, 3.31416, 3.51012", \
+                            "2.946, 2.95704, 2.997, 3.06816, 3.17292, 3.31824, 3.51168", \
+                            "2.93928, 2.95164, 2.9952, 3.06084, 3.16512, 3.312, 3.50436" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+  	                     "0.111416, 0.126984, 0.193128, 0.317796, 0.521292, 0.817896, 1.20924" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "3.02496, 3.03684, 3.07956, 3.14184, 3.22452, 3.33192, 3.47196", \
+                            "3.02412, 3.03696, 3.07848, 3.14088, 3.22452, 3.33264, 3.47124", \
+                            "3.0258, 3.03924, 3.08088, 3.14508, 3.22512, 3.3306, 3.47232", \
+                            "3.02652, 3.03948, 3.08208, 3.14436, 3.228, 3.33516, 3.47424", \
+                            "3.03072, 3.04752, 3.0852, 3.15168, 3.23376, 3.33948, 3.48108", \
+                            "3.0372, 3.04692, 3.08952, 3.15204, 3.23604, 3.3432, 3.48384", \
+                            "3.02904, 3.04224, 3.08616, 3.14592, 3.22836, 3.33684, 3.47544" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "0.113677, 0.128556, 0.175332, 0.254064, 0.374208, 0.547656, 0.776376" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.283835;
+                  clock                : true;
+                  max_transition       : 1.087;
+                  min_pulse_width_high : 1.4546445;
+                  min_pulse_width_low  : 1.58625;
+                  min_period           : 3.95697;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1140.56, 1140.56, 1140.56, 1140.56, 1140.56, 1140.56, 1140.56");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1140.56, 1140.56, 1140.56, 1140.56, 1140.56, 1140.56, 1140.56");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("0.000414013, 0.000414013, 0.000414013, 0.000414013, 0.000414013, 0.000414013, 0.000414013");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("0.000414013, 0.000414013, 0.000414013, 0.000414013, 0.000414013, 0.000414013, 0.000414013");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1025.26, 1025.26, 1025.26, 1025.26, 1025.26, 1025.26, 1025.26");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1025.26, 1025.26, 1025.26, 1025.26, 1025.26, 1025.26, 1025.26");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0171345;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.336941, 0.333674, 0.328526, 0.333597, 0.34595, 0.363429, 0.388212", \
+                            "0.336754, 0.333355, 0.328097, 0.333014, 0.345763, 0.362934, 0.386639", \
+                            "0.336545, 0.333168, 0.327998, 0.331474, 0.34551, 0.361878, 0.378818", \
+                            "0.336292, 0.333014, 0.327833, 0.332541, 0.34529, 0.361669, 0.376904", \
+                            "0.336424, 0.33231, 0.327569, 0.332706, 0.345059, 0.362241, 0.37873", \
+                            "0.335984, 0.332717, 0.327492, 0.332244, 0.344993, 0.362164, 0.378653", \
+                            "0.336281, 0.332783, 0.327371, 0.332321, 0.34705, 0.371283, 0.395725" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.322751, 0.323026, 0.329692, 0.344223, 0.375364, 0.4158, 0.461175", \
+                            "0.322498, 0.322839, 0.329505, 0.344036, 0.374869, 0.415778, 0.460988", \
+                            "0.322311, 0.322608, 0.329274, 0.343794, 0.375397, 0.414513, 0.460735", \
+                            "0.321948, 0.322278, 0.329032, 0.343563, 0.373197, 0.414293, 0.460515", \
+                            "0.321838, 0.322234, 0.328042, 0.343343, 0.373967, 0.414887, 0.460284", \
+                            "0.321728, 0.322025, 0.328746, 0.343365, 0.373747, 0.413996, 0.460218", \
+                            "0.321717, 0.322036, 0.328823, 0.343332, 0.373846, 0.414062, 0.460328" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.765523, 0.76879, 0.773938, 0.768867, 0.756514, 0.739332, 0.725252", \
+                            "0.767899, 0.771309, 0.776556, 0.77165, 0.758901, 0.741719, 0.727287", \
+                            "0.774191, 0.777568, 0.782738, 0.779262, 0.765226, 0.748858, 0.733964", \
+                            "0.790515, 0.793782, 0.798963, 0.794255, 0.781506, 0.765138, 0.749903", \
+                            "0.814275, 0.818378, 0.823119, 0.817982, 0.805629, 0.788447, 0.771958", \
+                            "0.834603, 0.83787, 0.843095, 0.838343, 0.825594, 0.808423, 0.791934", \
+                            "0.838827, 0.842325, 0.847737, 0.842798, 0.830049, 0.812867, 0.798545" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.779713, 0.779438, 0.772761, 0.75823, 0.727089, 0.686664, 0.641289", \
+                            "0.782155, 0.781814, 0.775148, 0.760617, 0.729795, 0.688875, 0.643676", \
+                            "0.788425, 0.788117, 0.781462, 0.766942, 0.735339, 0.696223, 0.650001", \
+                            "0.804848, 0.804518, 0.797764, 0.783233, 0.753599, 0.712503, 0.666281", \
+                            "0.82885, 0.828454, 0.822646, 0.807345, 0.776721, 0.735801, 0.690404", \
+                            "0.848848, 0.848562, 0.841841, 0.827222, 0.796829, 0.756591, 0.710369", \
+                            "0.853391, 0.853072, 0.846296, 0.831776, 0.801262, 0.761046, 0.71478" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0485806;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.432872, 0.435875, 0.443443, 0.461296, 0.493779, 0.530849, 0.567666", \
+                            "0.432091, 0.435028, 0.442607, 0.460427, 0.49291, 0.530079, 0.567413", \
+                            "0.423929, 0.426602, 0.434181, 0.452485, 0.484528, 0.521213, 0.558415", \
+                            "0.406637, 0.409618, 0.417197, 0.434995, 0.467456, 0.504625, 0.542278", \
+                            "0.378983, 0.38192, 0.38962, 0.407407, 0.439791, 0.47696, 0.514184", \
+                            "0.35002, 0.353023, 0.360569, 0.378389, 0.410487, 0.447997, 0.485221", \
+                            "0.328383, 0.330528, 0.338063, 0.355861, 0.387992, 0.425073, 0.462781" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.454135, 0.456401, 0.468391, 0.496166, 0.541816, 0.601953, 0.676478", \
+                            "0.452826, 0.455565, 0.46761, 0.495374, 0.540771, 0.60181, 0.675708", \
+                            "0.444884, 0.447282, 0.45914, 0.486904, 0.533368, 0.592251, 0.667216", \
+                            "0.428065, 0.430254, 0.442156, 0.469942, 0.513898, 0.576609, 0.650243", \
+                            "0.400257, 0.402534, 0.414183, 0.442288, 0.488301, 0.548438, 0.622589", \
+                            "0.371294, 0.373417, 0.385539, 0.413303, 0.457897, 0.518661, 0.593637", \
+                            "0.348249, 0.351087, 0.363033, 0.390797, 0.435369, 0.496155, 0.570064" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.605055, 0.603394, 0.59752, 0.580734, 0.561187, 0.545545, 0.53251", \
+                            "0.605088, 0.604373, 0.597608, 0.580998, 0.561451, 0.54582, 0.533082", \
+                            "0.605803, 0.604373, 0.598477, 0.581328, 0.562144, 0.546502, 0.533456", \
+                            "0.60863, 0.6072, 0.601326, 0.58454, 0.564982, 0.549362, 0.536624", \
+                            "0.611512, 0.610786, 0.604197, 0.587411, 0.567864, 0.552233, 0.539627", \
+                            "0.613019, 0.611578, 0.60588, 0.588918, 0.569448, 0.55374, 0.541134", \
+                            "0.606463, 0.605154, 0.599236, 0.582494, 0.562936, 0.547316, 0.53471" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.374418, 0.371943, 0.358215, 0.331111, 0.286055, 0.229888, 0.157688", \
+                            "0.374, 0.372174, 0.35849, 0.331441, 0.286671, 0.228324, 0.157926", \
+                            "0.375364, 0.372592, 0.359194, 0.331859, 0.287386, 0.230921, 0.158591", \
+                            "0.378114, 0.375375, 0.362021, 0.334895, 0.292457, 0.23149, 0.161481", \
+                            "0.381084, 0.377949, 0.365464, 0.337777, 0.292699, 0.235948, 0.164372", \
+                            "0.401588, 0.398992, 0.387332, 0.359271, 0.312939, 0.254044, 0.184288", \
+                            "0.438284, 0.435787, 0.424028, 0.395945, 0.349701, 0.290741, 0.221794" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00761544;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0, 0, 0, 0.006776, 0.026675, 0.041888, 0.05445", \
+                            "0, 0, 0, 0.006314, 0.026213, 0.041426, 0.053229", \
+                            "0, 0, 0, 0.005335, 0.025234, 0.040447, 0.05302", \
+                            "0, 0, 0, 0.002992, 0.022891, 0.038104, 0.049907", \
+                            "0, 0, 0, 0, 0.019426, 0.034639, 0.046343", \
+                            "0, 0, 0, 0, 0.017732, 0.032945, 0.044605", \
+                            "0, 0, 0, 0.00451, 0.024398, 0.039611, 0.051326" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.213179, 0.215747, 0.229844, 0.257432, 0.301609, 0.358226, 0.431409", \
+                            "0.213459, 0.215045, 0.229262, 0.256645, 0.300718, 0.359458, 0.430628", \
+                            "0.21208, 0.214957, 0.228248, 0.255802, 0.300025, 0.35651, 0.430199", \
+                            "0.209894, 0.213047, 0.225685, 0.252633, 0.295966, 0.356301, 0.427625", \
+                            "0.205941, 0.209398, 0.222075, 0.249796, 0.294569, 0.351505, 0.424248", \
+                            "0.204566, 0.207634, 0.221036, 0.247775, 0.291852, 0.349041, 0.42262", \
+                            "0.21175, 0.214454, 0.227214, 0.254666, 0.298496, 0.355542, 0.426888" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.605055, 0.603394, 0.59752, 0.580734, 0.561187, 0.545545, 0.53251", \
+                            "0.605088, 0.604373, 0.597608, 0.580998, 0.561451, 0.54582, 0.533082", \
+                            "0.605803, 0.604373, 0.598477, 0.581328, 0.562144, 0.546502, 0.533456", \
+                            "0.60863, 0.6072, 0.601326, 0.58454, 0.564982, 0.549362, 0.536624", \
+                            "0.611512, 0.610786, 0.604197, 0.587411, 0.567864, 0.552233, 0.539627", \
+                            "0.613019, 0.611578, 0.60588, 0.588918, 0.569448, 0.55374, 0.541134", \
+                            "0.606463, 0.605154, 0.599236, 0.582494, 0.562936, 0.547316, 0.53471" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.374418, 0.371943, 0.35926, 0.331111, 0.286121, 0.22989, 0.157688", \
+                            "0.374, 0.372174, 0.359524, 0.331441, 0.286671, 0.228324, 0.157926", \
+                            "0.375364, 0.372592, 0.360239, 0.331859, 0.287386, 0.230921, 0.158591", \
+                            "0.378114, 0.375375, 0.363055, 0.334895, 0.292589, 0.23149, 0.161481", \
+                            "0.381084, 0.377949, 0.366377, 0.337777, 0.292699, 0.235948, 0.164372", \
+                            "0.38258, 0.379522, 0.367466, 0.339295, 0.295262, 0.23815, 0.165774", \
+                            "0.375496, 0.373032, 0.361075, 0.332706, 0.289014, 0.231723, 0.161406" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0373455;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.373802, 0.371888, 0.36652, 0.373362, 0.393756, 0.410828, 0.441496", \
+                            "0.372757, 0.370073, 0.366839, 0.37301, 0.393261, 0.408925, 0.442189", \
+                            "0.372273, 0.369985, 0.365915, 0.371437, 0.392986, 0.409057, 0.442398", \
+                            "0.370007, 0.367719, 0.362164, 0.369556, 0.389697, 0.406791, 0.43934", \
+                            "0.366025, 0.363946, 0.359722, 0.366366, 0.386045, 0.402072, 0.435578", \
+                            "0.363748, 0.36256, 0.357456, 0.364639, 0.382954, 0.401104, 0.434027", \
+                            "0.3696, 0.368654, 0.363682, 0.371085, 0.390225, 0.407781, 0.440451" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.499862, 0.500335, 0.506638, 0.522324, 0.557315, 0.604648, 0.664873", \
+                            "0.498201, 0.499598, 0.505791, 0.522731, 0.557007, 0.603933, 0.665203", \
+                            "0.497211, 0.498476, 0.505274, 0.521631, 0.556149, 0.603031, 0.663344", \
+                            "0.495308, 0.496122, 0.50292, 0.518683, 0.55396, 0.60093, 0.66132", \
+                            "0.492173, 0.492426, 0.500126, 0.515581, 0.549978, 0.595815, 0.657008", \
+                            "0.489313, 0.491271, 0.497101, 0.514096, 0.54857, 0.595243, 0.656216", \
+                            "0.497233, 0.497596, 0.503756, 0.519981, 0.554202, 0.602184, 0.662706" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.402644, 0.40557, 0.408012, 0.410256, 0.411774, 0.412027, 0.411609", \
+                            "0.40491, 0.407869, 0.410278, 0.412533, 0.414051, 0.414293, 0.413886", \
+                            "0.411411, 0.414326, 0.416768, 0.419012, 0.42053, 0.420783, 0.420365", \
+                            "0.428164, 0.431101, 0.433532, 0.435776, 0.437294, 0.437547, 0.43714", \
+                            "0.444906, 0.447865, 0.450274, 0.452529, 0.454047, 0.454289, 0.453882", \
+                            "0.4785, 0.481338, 0.483758, 0.486002, 0.48752, 0.487773, 0.487355", \
+                            "0.5038, 0.506484, 0.508915, 0.511148, 0.512666, 0.512919, 0.512501" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.407264, 0.406208, 0.399135, 0.394669, 0.398354, 0.405174, 0.410311", \
+                            "0.409563, 0.408463, 0.401401, 0.396946, 0.400631, 0.407451, 0.412577", \
+                            "0.416196, 0.414964, 0.407891, 0.403425, 0.407121, 0.41393, 0.419067", \
+                            "0.43296, 0.431728, 0.424655, 0.420189, 0.423885, 0.430694, 0.435831", \
+                            "0.44957, 0.448481, 0.441408, 0.436942, 0.440627, 0.447447, 0.452573", \
+                            "0.483043, 0.481965, 0.474881, 0.470415, 0.474111, 0.48092, 0.486057", \
+                            "0.508178, 0.507089, 0.500027, 0.495561, 0.499246, 0.506066, 0.511203" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0156967;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.354398, 0.354574, 0.361119, 0.365937, 0.359095, 0.341913, 0.311531", \
+                            "0.35376, 0.354827, 0.361647, 0.364683, 0.358336, 0.342188, 0.311179", \
+                            "0.352451, 0.353793, 0.360261, 0.363869, 0.357819, 0.341121, 0.309815", \
+                            "0.348656, 0.351604, 0.358369, 0.36102, 0.355179, 0.338844, 0.305844", \
+                            "0.34595, 0.34837, 0.354046, 0.358006, 0.351571, 0.335566, 0.302676", \
+                            "0.344707, 0.346456, 0.352539, 0.356059, 0.34925, 0.332882, 0.301543", \
+                            "0.351395, 0.350955, 0.35893, 0.362153, 0.356125, 0.339196, 0.30844" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.121519, 0.123469, 0.136683, 0.173654, 0.228647, 0.303193, 0.396869", \
+                            "0.121179, 0.123001, 0.136305, 0.1731, 0.22807, 0.3036, 0.396506", \
+                            "0.119933, 0.122115, 0.135411, 0.172203, 0.227487, 0.302445, 0.39545", \
+                            "0.117634, 0.119758, 0.133066, 0.16984, 0.225408, 0.30008, 0.393283", \
+                            "0.11415, 0.116025, 0.129945, 0.166177, 0.221559, 0.296681, 0.389631", \
+                            "0.112446, 0.114404, 0.127611, 0.164565, 0.219909, 0.294734, 0.386243", \
+                            "0.118419, 0.120798, 0.133992, 0.17135, 0.225762, 0.301103, 0.394339" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.369831, 0.368038, 0.36179, 0.357698, 0.363726, 0.381337, 0.411719", \
+                            "0.370029, 0.368852, 0.36256, 0.357522, 0.364617, 0.382239, 0.412599", \
+                            "0.369875, 0.370095, 0.363451, 0.35959, 0.365453, 0.382932, 0.413633", \
+                            "0.373593, 0.371855, 0.365486, 0.362109, 0.367444, 0.384538, 0.415943", \
+                            "0.37697, 0.376068, 0.368566, 0.365662, 0.371657, 0.389389, 0.419749", \
+                            "0.378334, 0.377564, 0.371305, 0.367158, 0.373494, 0.390522, 0.42053", \
+                            "0.373021, 0.370359, 0.363869, 0.35948, 0.365662, 0.383394, 0.414249" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.490985, 0.489104, 0.475167, 0.438878, 0.38368, 0.309683, 0.216647", \
+                            "0.491612, 0.489742, 0.475827, 0.439527, 0.384263, 0.30976, 0.21747", \
+                            "0.492855, 0.490765, 0.476828, 0.440539, 0.385385, 0.310761, 0.218479", \
+                            "0.495011, 0.492965, 0.479039, 0.44275, 0.387552, 0.312983, 0.220525", \
+                            "0.498784, 0.496936, 0.482966, 0.446677, 0.391523, 0.317482, 0.224618", \
+                            "0.500192, 0.498289, 0.484374, 0.448074, 0.392876, 0.318307, 0.226324", \
+                            "0.493592, 0.491436, 0.477609, 0.441309, 0.386298, 0.311542, 0.219083" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0004140125;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_1v62.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_1v62.lib
new file mode 100755
index 0000000..f379f99
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_1v62.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_1v62) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 1.62;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 11.06;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.62);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_1p62v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 1.62;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_1p62v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.058;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+			  index_2 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "37.734, 37.8888, 38.3772, 39.1836, 40.41, 41.9904, 44.1228", \
+                            "37.7472, 37.92, 38.3784, 39.1992, 40.3836, 42.0156, 44.1312", \
+                            "37.9752, 38.1228, 38.694, 39.5064, 40.5996, 42.2028, 44.4384", \
+                            "38.2704, 38.4276, 38.9148, 39.75, 40.8984, 42.5304, 44.6856", \
+                            "38.7996, 38.9436, 39.3888, 40.206, 41.394, 43.092, 45.1548", \
+                            "39.5064, 39.642, 40.1268, 40.962, 42.1128, 43.7988, 45.9372", \
+                            "40.182, 40.3116, 40.818, 41.6196, 42.7968, 44.388, 46.5552" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+  	                     "1.07692, 1.2828, 2.01492, 3.37344, 5.42856, 8.43576, 12.4368" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "39.9912, 40.1172, 40.5168, 41.0364, 41.7456, 42.4716, 43.476", \
+                            "40.0776, 40.2048, 40.5864, 41.0616, 41.7228, 42.5388, 43.4916", \
+                            "40.2936, 40.4208, 40.8228, 41.3568, 41.9556, 42.7236, 43.7856", \
+                            "40.5696, 40.7064, 41.0712, 41.6016, 42.2748, 43.0596, 44.0436", \
+                            "41.0892, 41.2128, 41.58, 42.0804, 42.78, 43.566, 44.5092", \
+                            "41.7888, 41.9004, 42.276, 42.8376, 43.464, 44.3052, 45.288", \
+                            "42.4956, 42.6024, 42.972, 43.524, 44.1708, 44.9112, 45.8712" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "1.12518, 1.24956, 1.59288, 2.17272, 3.0816, 4.2456, 5.661" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.301782;
+                  clock                : true;
+                  max_transition       : 11.06;
+                  min_pulse_width_high : 25.77855;
+                  min_pulse_width_low  : 20.8014;
+                  min_period           : 53.6235;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("86.0949, 86.0949, 86.0949, 86.0949, 86.0949, 86.0949, 86.0949");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("86.0949, 86.0949, 86.0949, 86.0949, 86.0949, 86.0949, 86.0949");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("4.91314e-05, 4.91314e-05, 4.91314e-05, 4.91314e-05, 4.91314e-05, 4.91314e-05, 4.91314e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("4.91314e-05, 4.91314e-05, 4.91314e-05, 4.91314e-05, 4.91314e-05, 4.91314e-05, 4.91314e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("79.1103, 79.1103, 79.1103, 79.1103, 79.1103, 79.1103, 79.1103");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("79.1103, 79.1103, 79.1103, 79.1103, 79.1103, 79.1103, 79.1103");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0199649;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.60426, 3.56521, 3.59777, 3.98222, 4.68611, 5.81218, 7.31401", \
+                            "3.54486, 3.48975, 3.53914, 3.92139, 4.61241, 5.753, 7.23448", \
+                            "3.32442, 3.28933, 3.32244, 3.70458, 4.39582, 5.53762, 7.00854", \
+                            "2.9568, 2.91962, 2.9535, 3.33278, 4.01456, 5.16505, 6.64851", \
+                            "2.88046, 2.84372, 2.89344, 3.26711, 3.94812, 5.08508, 6.52476", \
+                            "2.86539, 2.8413, 2.88233, 3.25215, 3.94933, 5.08288, 6.55644", \
+                            "2.87903, 2.83393, 2.88244, 3.25303, 3.96594, 5.07111, 6.52476" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "2.4651, 2.49205, 2.69632, 3.12807, 3.84153, 4.88356, 6.22193", \
+                            "2.40757, 2.43287, 2.63472, 3.06911, 3.78037, 4.82438, 6.16649", \
+                            "2.18064, 2.2165, 2.42099, 2.85164, 3.564, 4.6046, 5.93175", \
+                            "2.16249, 2.20319, 2.40295, 2.83569, 3.54948, 4.58953, 5.90392", \
+                            "2.16029, 2.20165, 2.40218, 2.83393, 3.54651, 4.58832, 5.90326", \
+                            "2.15908, 2.19956, 2.39965, 2.83096, 3.54442, 4.58315, 5.90117", \
+                            "2.16909, 2.2011, 2.40097, 2.83217, 3.54519, 4.58403, 5.90205" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "8.14154, 8.1796, 8.1301, 7.76798, 7.07575, 5.9499, 4.47018", \
+                            "8.19302, 8.23075, 8.1807, 7.81913, 7.12437, 6.00105, 4.55532", \
+                            "8.36682, 8.40092, 8.35065, 7.98919, 7.29432, 6.17078, 4.71009", \
+                            "8.74632, 8.78273, 8.734, 8.3721, 7.70264, 6.55446, 5.10081", \
+                            "9.38311, 9.41985, 9.37013, 8.99646, 8.31545, 7.17849, 5.73881", \
+                            "10.2393, 10.2634, 10.2224, 9.85259, 9.15541, 8.02186, 6.5483", \
+                            "11.151, 11.1962, 11.1477, 10.7771, 10.0642, 8.95895, 7.5053" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "8.85214, 8.8198, 8.62037, 8.18785, 7.47373, 6.43379, 5.12303", \
+                            "8.90153, 8.87106, 8.67394, 8.239, 7.52697, 6.48505, 5.17022", \
+                            "9.08149, 9.04079, 8.84092, 8.40972, 7.69659, 6.65797, 5.35755", \
+                            "9.46506, 9.42447, 9.2246, 8.79186, 8.07818, 7.03802, 5.72374", \
+                            "10.1033, 10.0619, 9.86139, 9.42964, 8.71706, 7.67525, 6.36031", \
+                            "10.9457, 10.9053, 10.7051, 10.2738, 9.56032, 8.52159, 7.20357", \
+                            "11.8613, 11.8294, 11.6292, 11.198, 10.4849, 9.44614, 8.12801" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0455145;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "4.14843, 4.21223, 4.3857, 4.80502, 5.41244, 6.21819, 7.15924", \
+                            "4.09178, 4.1558, 4.3274, 4.75156, 5.35568, 6.16176, 7.09962", \
+                            "3.89103, 3.95494, 4.13006, 4.5507, 5.1546, 5.9609, 6.90151", \
+                            "3.53969, 3.58787, 3.76277, 4.18319, 4.73121, 5.59372, 6.53323", \
+                            "2.90697, 2.96186, 3.13533, 3.55344, 4.16163, 4.96749, 5.90458", \
+                            "2.22068, 2.28503, 2.46389, 2.88189, 3.48447, 4.28054, 5.22412", \
+                            "1.51239, 1.56651, 1.73888, 2.16172, 2.76826, 3.57698, 4.49746" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "5.59504, 5.64905, 5.84815, 6.3195, 7.02658, 8.02373, 9.42491", \
+                            "5.53575, 5.59273, 5.79304, 6.22083, 6.94947, 7.9673, 9.35209", \
+                            "5.33907, 5.39187, 5.59097, 6.05363, 6.74894, 7.78734, 9.1674", \
+                            "4.96881, 5.02458, 5.2239, 5.68678, 6.40222, 7.39398, 8.78416", \
+                            "4.34555, 4.38768, 4.59745, 5.06033, 5.75542, 6.77303, 8.13967", \
+                            "3.66652, 3.71085, 3.92128, 4.39659, 5.06121, 6.11688, 7.4668", \
+                            "2.94525, 3.00278, 3.20188, 3.66971, 4.35996, 5.39836, 6.74828" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "7.18432, 7.14296, 6.95442, 6.56821, 6.04879, 5.40056, 4.77224", \
+                            "6.81494, 6.76885, 6.58779, 6.19234, 5.67248, 5.02359, 4.36931", \
+                            "6.27583, 6.2293, 6.04791, 5.66082, 5.14107, 4.49295, 3.86463", \
+                            "5.8388, 5.79293, 5.60989, 5.21015, 4.70635, 4.05515, 3.38998", \
+                            "6.12425, 6.08168, 5.89556, 5.47976, 4.92767, 4.36018, 3.63979", \
+                            "6.63916, 6.59747, 6.41168, 6.02426, 5.45391, 4.84693, 4.22015", \
+                            "7.26957, 7.22964, 7.04176, 6.64708, 6.0995, 5.44236, 4.79215" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "4.2086, 4.17901, 3.98585, 3.48436, 2.74758, 1.77122, 0.41184", \
+                            "3.82646, 3.81568, 3.61658, 3.16052, 2.40636, 1.40272, 0.054197", \
+                            "3.30253, 3.27679, 3.07912, 2.61228, 1.8678, 0.823658, 0", \
+                            "2.84779, 2.83954, 2.64011, 2.17899, 1.40206, 0.429374, 0", \
+                            "3.14776, 3.08451, 2.92655, 2.46455, 1.71556, 0.711469, 0", \
+                            "3.65222, 3.60096, 3.44245, 2.97165, 2.2539, 1.18831, 0", \
+                            "4.29583, 4.26624, 4.07187, 3.59447, 2.86154, 1.81874, 0.532994" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00749419;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0.335049", \
+                            "0, 0, 0, 0, 0, 0.141077, 0.773157", \
+                            "0, 0, 0, 0, 0, 0, 0.511434", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0, 0, 0.154971, 0.588115, 1.32253, 2.3221, 3.68093", \
+                            "0.238291, 0.316602, 0.516736, 0.942348, 1.67024, 2.69291, 4.05284", \
+                            "0.772552, 0.855305, 1.05333, 1.4784, 2.20737, 3.23257, 4.58964", \
+                            "1.20506, 1.28561, 1.49303, 1.92533, 2.66728, 3.67004, 5.01655", \
+                            "0.911273, 1.01129, 1.20768, 1.64065, 2.36236, 3.38547, 4.70613", \
+                            "0.413611, 0.498564, 0.69454, 1.13196, 1.81467, 2.8677, 4.18253", \
+                            "0, 0, 0.06611, 0.512446, 1.21657, 2.24191, 3.55729" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "7.20588, 7.14659, 6.95585, 6.58086, 6.04879, 5.40573, 4.77224", \
+                            "6.83661, 6.77743, 6.58779, 6.22237, 5.67248, 5.03646, 4.36931", \
+                            "6.29827, 6.23898, 6.04813, 5.67325, 5.14107, 4.49812, 3.86463", \
+                            "5.86047, 5.80107, 5.61033, 5.23545, 4.70635, 4.06032, 3.3968", \
+                            "6.14592, 6.08652, 5.89567, 5.5209, 4.95099, 4.36018, 3.68357", \
+                            "6.6638, 6.59879, 6.41168, 6.03658, 5.46282, 4.84693, 4.22015", \
+                            "7.29179, 7.23239, 7.04176, 6.66699, 6.0995, 5.48548, 4.82416" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "4.2086, 4.17901, 3.98585, 3.48436, 2.74758, 1.77122, 0.41184", \
+                            "3.82646, 3.81568, 3.61658, 3.16052, 2.40636, 1.40272, 0.054197", \
+                            "3.30253, 3.27679, 3.07912, 2.61228, 1.8678, 0.823658, 0", \
+                            "2.84779, 2.83954, 2.64011, 2.17899, 1.40206, 0.429374, 0", \
+                            "3.14776, 3.08451, 2.92655, 2.46455, 1.71556, 0.711469, 0", \
+                            "3.65222, 3.60096, 3.44245, 2.97165, 2.2539, 1.18831, 0", \
+                            "4.29583, 4.26624, 4.07187, 3.59447, 2.86154, 1.81874, 0.532994" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0442479;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.57522, 3.54057, 3.58776, 4.00279, 4.7322, 5.8245, 7.10666", \
+                            "3.50999, 3.48326, 3.55058, 3.91226, 4.64145, 5.7552, 7.1995", \
+                            "3.30473, 3.26986, 3.31672, 3.71349, 4.4506, 5.54675, 6.97895", \
+                            "3.57907, 3.54387, 3.62153, 4.02908, 4.74232, 5.83385, 7.27034", \
+                            "3.29725, 3.25501, 3.27151, 3.69369, 4.42233, 5.52596, 6.91031", \
+                            "2.78597, 2.74868, 2.8028, 3.179, 3.9281, 5.02634, 6.45117", \
+                            "2.16304, 2.13664, 2.19934, 2.56289, 3.24643, 4.39791, 5.80767" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "2.59369, 2.64033, 2.84537, 3.27866, 4.00202, 5.08838, 6.42059", \
+                            "2.96835, 3.02104, 3.19495, 3.63616, 4.37426, 5.45809, 6.78524", \
+                            "3.50933, 3.52726, 3.73197, 4.17582, 4.91623, 5.99951, 7.31676", \
+                            "3.96462, 3.97793, 4.16438, 4.6244, 5.36822, 6.42917, 7.77018", \
+                            "3.66179, 3.68753, 3.88784, 4.32564, 5.05868, 6.14405, 7.48", \
+                            "3.14886, 3.16745, 3.35907, 3.80985, 4.54399, 5.63035, 6.95607", \
+                            "2.52252, 2.5597, 2.73042, 3.19693, 3.93085, 4.9852, 6.33127" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "2.69412, 2.71513, 2.66673, 2.23674, 1.54396, 0.633193, 0", \
+                            "2.75429, 2.77673, 2.71656, 2.32958, 1.6335, 0.709027, 0", \
+                            "2.96791, 2.98925, 2.94063, 2.52857, 1.83414, 0.912615, 0", \
+                            "3.32024, 3.344, 3.25776, 2.8721, 2.17932, 1.26843, 0.25178", \
+                            "3.94999, 3.97276, 3.94119, 3.53045, 2.83085, 1.90553, 0.919226", \
+                            "4.77224, 4.78291, 4.70052, 4.31497, 3.62208, 2.71128, 1.69224", \
+                            "5.6826, 5.69327, 5.6111, 5.22566, 4.53288, 3.62219, 2.60161" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.25435, 3.21068, 3.00971, 2.57455, 2.02026, 1.35861, 0.618761", \
+                            "3.31958, 3.27459, 3.08286, 2.63967, 2.08538, 1.42395, 0.683958", \
+                            "3.52836, 3.48469, 3.29153, 2.84856, 2.29438, 1.63262, 0.892716", \
+                            "3.88641, 3.85308, 3.65002, 3.20991, 2.65562, 1.99408, 1.25414", \
+                            "4.51572, 4.47183, 4.27889, 3.83581, 3.28141, 2.61998, 1.88001", \
+                            "5.32906, 5.28902, 5.09289, 4.65278, 4.0986, 3.43695, 2.69698", \
+                            "6.24129, 6.2029, 6.00864, 5.5638, 5.0094, 4.34797, 3.60822" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0171829;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.15931, 3.19297, 3.40615, 3.7653, 4.15712, 4.58876, 4.939", \
+                            "3.52902, 3.5695, 3.78917, 4.13545, 4.532, 4.97607, 5.36547", \
+                            "4.05339, 4.11587, 4.31145, 4.67841, 5.09685, 5.50715, 5.87422", \
+                            "4.49262, 4.54927, 4.76179, 5.11104, 5.51903, 5.93274, 6.31455", \
+                            "4.23533, 4.25425, 4.44972, 4.81624, 5.21983, 5.65367, 5.9906", \
+                            "3.67125, 3.74231, 3.93371, 4.33752, 4.71526, 5.12479, 5.53564", \
+                            "3.04997, 3.09507, 3.35159, 3.72867, 4.07781, 4.51737, 4.90842" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.626021, 1.88408", \
+                            "0, 0, 0, 0, 0.025267, 0.995808, 2.2539", \
+                            "0, 0, 0, 0, 0.566203, 1.53681, 2.79488", \
+                            "0, 0, 0, 0.264856, 1.00217, 1.97175, 3.22949", \
+                            "0, 0, 0, 0, 0.718234, 1.68872, 2.9469", \
+                            "0, 0, 0, 0, 0.198193, 1.16872, 2.42704", \
+                            "0, 0, 0, 0, 0, 0.554411, 1.80246" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.31771, 3.27129, 3.06416, 2.69995, 2.28822, 1.88716, 1.50788", \
+                            "2.94844, 2.89454, 2.69566, 2.32969, 1.93512, 1.50117, 1.13352", \
+                            "2.40416, 2.36885, 2.15325, 1.79531, 1.38402, 0.961675, 0.595155", \
+                            "1.9679, 1.9217, 1.73052, 1.353, 0.962742, 0.530101, 0.168537", \
+                            "2.22673, 2.20176, 2.0119, 1.63339, 1.24953, 0.815408, 0.453156", \
+                            "2.77508, 2.71777, 2.52824, 2.12905, 1.73415, 1.32935, 0.951434", \
+                            "3.40802, 3.35093, 3.15392, 2.78102, 2.39129, 1.95657, 1.57245" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "6.14801, 6.14636, 5.98422, 5.55566, 4.81745, 3.83174, 2.574", \
+                            "5.77126, 5.76917, 5.60736, 5.1788, 4.4407, 3.45488, 2.19714", \
+                            "5.23666, 5.23457, 5.07309, 4.64453, 3.90643, 2.92061, 1.66298", \
+                            "4.79798, 4.796, 4.63441, 4.20585, 3.46775, 2.48193, 1.22423", \
+                            "5.08156, 5.08002, 4.9181, 4.48954, 3.75133, 2.76562, 1.50788", \
+                            "5.59537, 5.5935, 5.43158, 5.00302, 4.26481, 3.2791, 2.02136", \
+                            "6.22314, 6.22193, 6.05968, 5.63145, 4.89324, 3.90753, 2.64979" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 4.913136e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_3v00.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_3v00.lib
new file mode 100755
index 0000000..172c1dd
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_3v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_3v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 3.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 7.0901;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_3p0v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 3.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_3p0v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.1270;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+			  index_2 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "12.588, 12.6504, 12.8256, 13.1088, 13.5144, 14.0712, 14.7672", \
+                            "12.6456, 12.6936, 12.8604, 13.134, 13.5276, 14.0664, 14.7972", \
+                            "12.6876, 12.7524, 12.9, 13.182, 13.5936, 14.1552, 14.8668", \
+                            "12.7632, 12.8148, 12.9864, 13.266, 13.662, 14.184, 14.922", \
+                            "12.8244, 12.8856, 13.0488, 13.3476, 13.746, 14.3088, 15.0072", \
+                            "12.864, 12.918, 13.1088, 13.3668, 13.788, 14.3352, 15.0276", \
+                            "12.8388, 12.8844, 13.0584, 13.3428, 13.7352, 14.2872, 15.0012" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+  	                     "0.431196, 0.512736, 0.77676, 1.24884, 2.01432, 3.10884, 4.54896" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "13.1052, 13.1652, 13.32, 13.5432, 13.8408, 14.2056, 14.6268", \
+                            "13.1592, 13.2, 13.3428, 13.5792, 13.8564, 14.2092, 14.6484", \
+                            "13.2036, 13.2648, 13.3956, 13.6248, 13.9296, 14.292, 14.7276", \
+                            "13.278, 13.3296, 13.4712, 13.7076, 13.9968, 14.3256, 14.7816", \
+                            "13.3416, 13.392, 13.5492, 13.7856, 14.0796, 14.4384, 14.8632", \
+                            "13.3812, 13.4328, 13.6104, 13.8132, 14.1192, 14.4756, 14.8872", \
+                            "13.3524, 13.3764, 13.5516, 13.782, 14.0724, 14.4204, 14.862" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "0.425016, 0.473628, 0.658908, 0.940344, 1.32276, 1.87296, 2.55216" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.314096;
+                  clock                : true;
+                  max_transition       : 7.0901;
+                  min_pulse_width_high : 5.18775;
+                  min_pulse_width_low  : 6.276795;
+                  min_period           : 17.08635;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("318.3, 318.3, 318.3, 318.3, 318.3, 318.3, 318.3");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("318.3, 318.3, 318.3, 318.3, 318.3, 318.3, 318.3");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("0.000156966, 0.000156966, 0.000156966, 0.000156966, 0.000156966, 0.000156966, 0.000156966");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("0.000156966, 0.000156966, 0.000156966, 0.000156966, 0.000156966, 0.000156966, 0.000156966");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("288.78, 288.78, 288.78, 288.78, 288.78, 288.78, 288.78");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("288.78, 288.78, 288.78, 288.78, 288.78, 288.78, 288.78");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0199854;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.07745, 1.06179, 1.07813, 1.23086, 1.46696, 1.63999, 1.74218", \
+                            "1.0474, 1.03836, 1.05515, 1.20921, 1.43528, 1.61832, 1.71457", \
+                            "0.965635, 0.954206, 0.972719, 1.11612, 1.34937, 1.52768, 1.62668", \
+                            "0.966262, 0.952248, 0.971146, 1.10939, 1.28579, 1.40756, 1.50403", \
+                            "0.96558, 0.951676, 0.970552, 1.10746, 1.26799, 1.37588, 1.42384", \
+                            "0.965173, 0.948662, 0.970871, 1.10671, 1.28274, 1.37951, 1.42604", \
+                            "0.963358, 0.947826, 0.969881, 1.10921, 1.28198, 1.39568, 1.47741" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.85173, 0.866393, 0.967296, 1.16884, 1.48016, 1.81456, 2.16282", \
+                            "0.844426, 0.861586, 0.960058, 1.15354, 1.46586, 1.78915, 2.13367", \
+                            "0.842589, 0.856548, 0.957825, 1.15805, 1.46388, 1.78684, 2.12443", \
+                            "0.841148, 0.855008, 0.956296, 1.15996, 1.46234, 1.7853, 2.12289", \
+                            "0.840444, 0.857285, 0.955658, 1.15929, 1.46146, 1.78475, 2.11607", \
+                            "0.841313, 0.856603, 0.954998, 1.15873, 1.46102, 1.78409, 2.12157", \
+                            "0.839036, 0.855844, 0.954184, 1.15961, 1.46025, 1.78321, 2.1208" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.849, 2.86319, 2.84405, 2.70721, 2.53121, 2.43353, 2.40493", \
+                            "2.87056, 2.88343, 2.8644, 2.72426, 2.55277, 2.45201, 2.41802", \
+                            "2.95405, 2.96549, 2.94701, 2.81017, 2.63219, 2.5366, 2.50008", \
+                            "3.12598, 3.13995, 3.12103, 2.98287, 2.80643, 2.71205, 2.6818", \
+                            "3.34873, 3.36259, 3.34367, 3.20683, 3.04623, 2.93843, 2.89443", \
+                            "3.52176, 3.53837, 3.51615, 3.3803, 3.20419, 3.1075, 3.07703", \
+                            "3.63836, 3.65398, 3.63187, 3.4925, 3.3198, 3.2219, 3.20507" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.97418, 2.95724, 2.8589, 2.65518, 2.35312, 2.02983, 1.69235", \
+                            "2.99486, 2.9777, 2.87925, 2.68576, 2.37347, 2.05018, 1.71545", \
+                            "3.07714, 3.06317, 2.96186, 2.76166, 2.45586, 2.1329, 1.79531", \
+                            "3.25105, 3.23719, 3.13588, 2.93227, 2.62988, 2.30692, 1.96933", \
+                            "3.4738, 3.45697, 3.35863, 3.15502, 2.85274, 2.52945, 2.19813", \
+                            "3.64562, 3.63033, 3.53199, 3.32827, 3.02588, 2.70292, 2.36533", \
+                            "3.76277, 3.74594, 3.6476, 3.44212, 3.14149, 2.81853, 2.48094" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0496645;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.42945, 1.45354, 1.54033, 1.70984, 1.93556, 2.13631, 2.31077", \
+                            "1.40976, 1.43363, 1.52097, 1.68674, 1.91488, 2.1186, 2.29955", \
+                            "1.32429, 1.34827, 1.4344, 1.60248, 1.83491, 2.03027, 2.20616", \
+                            "1.15834, 1.18105, 1.26992, 1.43605, 1.66452, 1.87022, 2.04479", \
+                            "0.986513, 1.00995, 1.09751, 1.26513, 1.49325, 1.69554, 1.86186", \
+                            "0.863621, 0.887271, 0.97427, 1.14232, 1.37115, 1.57003, 1.74471", \
+                            "0.775291, 0.799106, 0.886765, 1.05366, 1.28583, 1.48082, 1.65858" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.64549, 1.672, 1.77892, 1.99804, 2.34861, 2.75627, 3.21189", \
+                            "1.62525, 1.65209, 1.7589, 1.97868, 2.32881, 2.73625, 3.19297", \
+                            "1.53901, 1.56684, 1.67365, 1.88914, 2.24334, 2.651, 3.10662", \
+                            "1.3739, 1.40085, 1.50766, 1.72161, 2.07735, 2.48644, 2.94063", \
+                            "1.20369, 1.22904, 1.33584, 1.54979, 1.90388, 2.31319, 2.76419", \
+                            "1.07876, 1.10575, 1.21256, 1.43176, 1.78046, 2.19142, 2.6455", \
+                            "0.990638, 1.01758, 1.12442, 1.33727, 1.69411, 2.10177, 2.55739" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.09484, 2.07548, 1.99045, 1.84514, 1.72293, 1.64791, 1.59192", \
+                            "2.07922, 2.05942, 1.97395, 1.83348, 1.70357, 1.62525, 1.5829", \
+                            "2.10474, 2.08538, 2.00079, 1.85493, 1.72843, 1.64945, 1.6027", \
+                            "2.15809, 2.13862, 2.05227, 1.90773, 1.77661, 1.69587, 1.64945", \
+                            "2.22497, 2.20748, 2.11915, 1.9734, 1.84569, 1.76715, 1.72557", \
+                            "2.24323, 2.2275, 2.13994, 1.99342, 1.86846, 1.79597, 1.74152", \
+                            "2.23014, 2.21375, 2.12597, 1.98242, 1.85779, 1.77507, 1.72909" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.02518, 0.997326, 0.88616, 0.662981, 0.340076, 0, 0", \
+                            "1.00849, 0.983565, 0.869968, 0.651112, 0.327624, 0, 0", \
+                            "1.02989, 1.00724, 0.896544, 0.677336, 0.353045, 0, 0", \
+                            "1.08356, 1.05466, 0.946528, 0.730323, 0.402798, 0.008272, 0", \
+                            "1.17568, 1.14827, 1.04201, 0.822316, 0.467225, 0.0894542, 0", \
+                            "1.38248, 1.35498, 1.24877, 1.02397, 0.674069, 0.296124, 0", \
+                            "1.55111, 1.52372, 1.41746, 1.19776, 0.842776, 0.464915, 0.050457" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00804241;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.494516, 0.520454, 0.637373, 0.855052, 1.18116, 1.57102, 2.02312", \
+                            "0.507078, 0.535414, 0.64746, 0.86724, 1.19236, 1.58411, 2.03753", \
+                            "0.483362, 0.50644, 0.620818, 0.837353, 1.16433, 1.55617, 2.0075", \
+                            "0.428439, 0.451077, 0.564124, 0.782672, 1.10969, 1.50139, 1.95492", \
+                            "0.365442, 0.386672, 0.501457, 0.71654, 1.04971, 1.43682, 1.8843", \
+                            "0.347776, 0.375342, 0.488444, 0.706574, 1.02391, 1.42219, 1.87286", \
+                            "0.361174, 0.385253, 0.498817, 0.70873, 1.03903, 1.43341, 1.88342" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.09583, 2.07548, 1.99045, 1.8469, 1.72634, 1.64791, 1.59632", \
+                            "2.08241, 2.0603, 1.97527, 1.83348, 1.71281, 1.62525, 1.5829", \
+                            "2.10782, 2.08582, 2.00079, 1.85889, 1.73822, 1.64945, 1.60831", \
+                            "2.15809, 2.13862, 2.05227, 1.90872, 1.78805, 1.69829, 1.65814", \
+                            "2.22497, 2.20748, 2.11915, 1.97549, 1.85493, 1.76715, 1.72557", \
+                            "2.24521, 2.2275, 2.13994, 1.99617, 1.87561, 1.79597, 1.74559", \
+                            "2.23135, 2.21375, 2.12597, 1.98242, 1.86175, 1.77507, 1.73184" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.02518, 0.997326, 0.88616, 0.662981, 0.340076, 0, 0", \
+                            "1.00849, 0.983565, 0.869968, 0.651112, 0.327624, 0, 0", \
+                            "1.03133, 1.00839, 0.896544, 0.677666, 0.354761, 0, 0", \
+                            "1.08356, 1.05837, 0.946528, 0.730323, 0.404635, 0.008272, 0", \
+                            "1.14956, 1.12565, 1.01396, 0.797225, 0.463562, 0.075383, 0", \
+                            "1.17149, 1.14647, 1.0359, 0.812361, 0.495561, 0.0963754, 0", \
+                            "1.15677, 1.13295, 1.02212, 0.806905, 0.478654, 0.082291, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0442684;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.26245, 1.25393, 1.28233, 1.42835, 1.66892, 1.85955, 1.98352", \
+                            "1.27637, 1.27232, 1.29811, 1.44111, 1.68443, 1.86835, 1.99793", \
+                            "1.25357, 1.24927, 1.27403, 1.41867, 1.65616, 1.84811, 1.97362", \
+                            "1.20328, 1.1894, 1.2196, 1.36081, 1.60446, 1.7941, 1.92478", \
+                            "1.13049, 1.12674, 1.15205, 1.2991, 1.53923, 1.72579, 1.85042", \
+                            "1.11279, 1.10988, 1.13174, 1.28077, 1.52702, 1.71193, 1.83073", \
+                            "1.1294, 1.11832, 1.15333, 1.29569, 1.54209, 1.72601, 1.84525" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.54363, 1.56915, 1.66309, 1.8612, 2.19758, 2.53748, 2.90972", \
+                            "1.56387, 1.57762, 1.66551, 1.88386, 2.21254, 2.55585, 2.937", \
+                            "1.53538, 1.55441, 1.65011, 1.85064, 2.18647, 2.52901, 2.90477", \
+                            "1.47895, 1.50205, 1.59786, 1.79905, 2.12883, 2.47665, 2.85725", \
+                            "1.41482, 1.43, 1.53109, 1.72766, 2.06206, 2.40889, 2.78883", \
+                            "1.39436, 1.4157, 1.5125, 1.71259, 2.05073, 2.39382, 2.76914", \
+                            "1.41229, 1.43022, 1.52009, 1.72909, 2.05722, 2.41505, 2.78542" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.15012, 1.16108, 1.11689, 1.04318, 0.97834, 0.952908, 0.914628", \
+                            "1.17146, 1.18226, 1.14198, 1.06739, 1.01377, 0.982113, 0.943701", \
+                            "1.2559, 1.26687, 1.22361, 1.14942, 1.08413, 1.05859, 1.02043", \
+                            "1.42725, 1.4388, 1.39403, 1.31835, 1.25446, 1.22895, 1.19935", \
+                            "1.62833, 1.63878, 1.59698, 1.52174, 1.45618, 1.43011, 1.39986", \
+                            "1.82468, 1.83601, 1.79102, 1.71699, 1.65165, 1.6269, 1.59445", \
+                            "1.958, 1.96922, 1.92478, 1.85097, 1.7853, 1.76044, 1.72249" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.23625, 1.21452, 1.11971, 1.01432, 0.915926, 0.862543, 0.824725", \
+                            "1.25483, 1.23814, 1.14721, 1.03543, 0.937068, 0.883531, 0.845988", \
+                            "1.34178, 1.32066, 1.2255, 1.12008, 1.02354, 0.96833, 0.930633", \
+                            "1.51305, 1.49237, 1.39689, 1.29156, 1.19439, 1.13955, 1.102", \
+                            "1.71413, 1.69345, 1.59797, 1.4927, 1.39601, 1.34079, 1.30295", \
+                            "1.91081, 1.88914, 1.79421, 1.68894, 1.59049, 1.53692, 1.49941", \
+                            "2.04391, 2.02312, 1.93391, 1.82215, 1.72546, 1.67035, 1.63273" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0174724;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.23989, 1.25757, 1.32484, 1.38754, 1.37621, 1.31824, 1.21883", \
+                            "1.25655, 1.26514, 1.34167, 1.40635, 1.39821, 1.3376, 1.21291", \
+                            "1.22912, 1.24597, 1.32495, 1.3783, 1.3717, 1.309, 1.19835", \
+                            "1.16914, 1.19574, 1.26232, 1.31263, 1.32286, 1.24389, 1.13361", \
+                            "1.10087, 1.12258, 1.20487, 1.25252, 1.25799, 1.17835, 1.05855", \
+                            "1.08413, 1.11291, 1.18424, 1.23693, 1.22799, 1.16998, 1.05727", \
+                            "1.097, 1.12333, 1.19344, 1.2603, 1.2487, 1.18379, 1.0602" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.06754, 0.077132, 0.184144, 0.420244, 0.761112, 1.1673, 1.62536", \
+                            "0.0894949, 0.0930743, 0.200589, 0.436557, 0.77759, 1.17878, 1.63801", \
+                            "0.068552, 0.066737, 0.174008, 0.410586, 0.751036, 1.15639, 1.61183", \
+                            "0.013266, 0.011748, 0.119383, 0.355949, 0.696454, 1.10185, 1.55573", \
+                            "0, 0, 0.049731, 0.290887, 0.631378, 1.03678, 1.49182", \
+                            "0, 0, 0.033, 0.272323, 0.613349, 1.01875, 1.47411", \
+                            "0, 0, 0.050204, 0.279133, 0.627231, 1.02619, 1.48786" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.879483, 0.874654, 0.79354, 0.737462, 0.738947, 0.805002, 0.902748", \
+                            "0.864996, 0.855261, 0.78144, 0.718894, 0.720918, 0.780846, 0.905916", \
+                            "0.903056, 0.877426, 0.802945, 0.74811, 0.746834, 0.810887, 0.922416", \
+                            "0.961147, 0.930699, 0.862697, 0.812702, 0.80322, 0.888063, 0.99088", \
+                            "1.02709, 1.00549, 0.921668, 0.878053, 0.868604, 0.948321, 1.05631", \
+                            "1.04169, 1.0045, 0.939686, 0.884961, 0.885489, 0.951632, 1.07306", \
+                            "1.03253, 1.00565, 0.932833, 0.876216, 0.877679, 0.938927, 1.06579" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.5609, 1.55639, 1.45574, 1.2198, 0.881089, 0.478599, 0.020834", \
+                            "1.54484, 1.54088, 1.44034, 1.20438, 0.865326, 0.463177, 0.005412", \
+                            "1.57234, 1.56706, 1.46652, 1.2305, 0.89144, 0.489291, 0.031636", \
+                            "1.6313, 1.6258, 1.52526, 1.28924, 0.950202, 0.548042, 0.0902781", \
+                            "1.69631, 1.69092, 1.5906, 1.35465, 1.01557, 0.613426, 0.155692", \
+                            "1.70841, 1.70269, 1.60226, 1.3662, 1.02717, 0.62502, 0.167343", \
+                            "1.69829, 1.6951, 1.59456, 1.3585, 1.01949, 0.618992, 0.159675" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.000156966;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_4v50.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_4v50.lib
new file mode 100755
index 0000000..62f95d5
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_4v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_125C_4v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 4.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.775;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 4.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_4p5v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 4.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_4p5v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.202;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+			  index_2 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "8.2512, 8.28912, 8.39772, 8.5722, 8.82192, 9.20364, 9.666", \
+                            "8.2308, 8.26308, 8.38308, 8.55792, 8.8374, 9.18144, 9.65412", \
+                            "8.2464, 8.28336, 8.39004, 8.57664, 8.83896, 9.201, 9.67884", \
+                            "8.26764, 8.29884, 8.41308, 8.59128, 8.85708, 9.22008, 9.69972", \
+                            "8.28732, 8.32608, 8.43672, 8.61036, 8.88204, 9.24192, 9.7182", \
+                            "8.31336, 8.3532, 8.454, 8.63448, 8.91144, 9.26856, 9.74676", \
+                            "8.30628, 8.34672, 8.45172, 8.63604, 8.8968, 9.26124, 9.73656" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+  	                     "0.296544, 0.347652, 0.533436, 0.855828, 1.37556, 2.13348, 3.12096" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "8.51316, 8.55648, 8.65656, 8.8176, 9.01332, 9.29268, 9.61932", \
+                            "8.49828, 8.529, 8.64636, 8.8008, 9.0318, 9.27444, 9.60924", \
+                            "8.51148, 8.54952, 8.65344, 8.81844, 9.02964, 9.28872, 9.63192", \
+                            "8.53416, 8.57004, 8.67468, 8.83488, 9.04932, 9.3186, 9.65052", \
+                            "8.55696, 8.59152, 8.70024, 8.85132, 9.0726, 9.33492, 9.66996", \
+                            "8.57856, 8.62164, 8.71824, 8.883, 9.10188, 9.35988, 9.69864", \
+                            "8.57268, 8.61072, 8.71428, 8.87712, 9.08652, 9.351, 9.68448" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "0.306972, 0.342, 0.467496, 0.667236, 0.976536, 1.4064, 1.95924" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.319115;
+                  clock                : true;
+                  max_transition       : 2.775;
+                  min_pulse_width_high : 5.400885;
+                  min_pulse_width_low  : 4.969275;
+                  min_period           : 10.999965;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("761.49, 761.49, 761.49, 761.49, 761.49, 761.49, 761.49");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("761.49, 761.49, 761.49, 761.49, 761.49, 761.49, 761.49");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("0.000344655, 0.000344655, 0.000344655, 0.000344655, 0.000344655, 0.000344655, 0.000344655");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("0.000344655, 0.000344655, 0.000344655, 0.000344655, 0.000344655, 0.000344655, 0.000344655");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("693.63, 693.63, 693.63, 693.63, 693.63, 693.63, 693.63");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("693.63, 693.63, 693.63, 693.63, 693.63, 693.63, 693.63");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0198929;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.661606, 0.657778, 0.648373, 0.670637, 0.739849, 0.807807, 0.863643", \
+                            "0.653752, 0.650628, 0.641784, 0.662849, 0.7315, 0.808907, 0.84821", \
+                            "0.646206, 0.641905, 0.632841, 0.65263, 0.7095, 0.775302, 0.823306", \
+                            "0.64515, 0.641652, 0.63228, 0.652685, 0.708708, 0.747263, 0.790218", \
+                            "0.645183, 0.640607, 0.631917, 0.651024, 0.707806, 0.744656, 0.773267", \
+                            "0.645612, 0.640398, 0.629981, 0.650375, 0.706629, 0.746273, 0.772629", \
+                            "0.643467, 0.63943, 0.630388, 0.649759, 0.70642, 0.745382, 0.784949" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.586861, 0.592042, 0.615956, 0.674949, 0.778448, 0.908446, 1.04002", \
+                            "0.583022, 0.587829, 0.613118, 0.67166, 0.778162, 0.902253, 1.03293", \
+                            "0.580272, 0.58509, 0.610467, 0.66902, 0.775522, 0.901494, 1.03659", \
+                            "0.579249, 0.584485, 0.609455, 0.668019, 0.770803, 0.900482, 1.0293", \
+                            "0.578677, 0.58377, 0.608872, 0.666721, 0.77022, 0.90024, 1.03498", \
+                            "0.578127, 0.582835, 0.608212, 0.666765, 0.769582, 0.899437, 1.02827", \
+                            "0.577379, 0.58223, 0.607563, 0.665401, 0.77264, 0.898623, 1.03369" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.85273, 1.85724, 1.86582, 1.84646, 1.78959, 1.75065, 1.72425", \
+                            "1.86868, 1.87242, 1.88155, 1.86219, 1.80532, 1.75758, 1.73426", \
+                            "1.89596, 1.90025, 1.90927, 1.88947, 1.8326, 1.78794, 1.76154", \
+                            "1.9481, 1.95162, 1.96097, 1.94051, 1.88452, 1.84602, 1.80477", \
+                            "2.0328, 2.03731, 2.046, 2.02697, 1.9701, 1.93325, 1.90465", \
+                            "2.11508, 2.12036, 2.1307, 2.11035, 2.05414, 2.01443, 1.98814", \
+                            "2.17052, 2.17459, 2.18361, 2.16425, 2.1076, 2.06866, 2.02906" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.91884, 1.91367, 1.88969, 1.83073, 1.72722, 1.5972, 1.46564", \
+                            "1.93446, 1.92962, 1.90432, 1.8458, 1.73932, 1.61524, 1.48456", \
+                            "1.96185, 1.95701, 1.93171, 1.87308, 1.7666, 1.64065, 1.50557", \
+                            "2.01399, 2.00871, 1.98374, 1.92522, 1.82237, 1.69279, 1.56387", \
+                            "2.09924, 2.09418, 2.0691, 2.01124, 1.90773, 1.77771, 1.64296", \
+                            "2.18262, 2.17789, 2.15248, 2.09396, 1.99111, 1.86131, 1.7325", \
+                            "2.23663, 2.23179, 2.20649, 2.14863, 2.04138, 1.91543, 1.78035" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0513454;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.935836, 0.941512, 0.965943, 1.0207, 1.10688, 1.21391, 1.31417", \
+                            "0.929599, 0.935297, 0.958298, 1.01462, 1.10088, 1.20786, 1.30746", \
+                            "0.906015, 0.912516, 0.935935, 0.991012, 1.07719, 1.18403, 1.28392", \
+                            "0.852896, 0.859166, 0.884499, 0.938498, 1.02474, 1.12905, 1.23256", \
+                            "0.768999, 0.775027, 0.797709, 0.854128, 0.940258, 1.04476, 1.14747", \
+                            "0.692659, 0.698819, 0.723624, 0.778074, 0.863236, 0.970992, 1.07158", \
+                            "0.633809, 0.639507, 0.662497, 0.718784, 0.804958, 0.90937, 1.00672" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.01431, 1.02377, 1.05795, 1.13497, 1.26015, 1.42384, 1.61645", \
+                            "1.00823, 1.01774, 1.05185, 1.12804, 1.25362, 1.41757, 1.6093", \
+                            "0.98472, 0.994301, 1.02828, 1.10415, 1.23002, 1.39458, 1.58543", \
+                            "0.932063, 0.941039, 0.975733, 1.05162, 1.17791, 1.34145, 1.53318", \
+                            "0.847748, 0.85668, 0.891341, 0.968055, 1.09291, 1.25717, 1.44925", \
+                            "0.771496, 0.780329, 0.814561, 0.891539, 1.01612, 1.18503, 1.37478", \
+                            "0.712382, 0.722007, 0.756052, 0.831787, 0.957682, 1.12186, 1.31318" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.39359, 1.3871, 1.36367, 1.31307, 1.24977, 1.19712, 1.16434", \
+                            "1.39634, 1.38985, 1.36708, 1.31582, 1.25288, 1.20093, 1.16719", \
+                            "1.40063, 1.39392, 1.37049, 1.32011, 1.25719, 1.20402, 1.17157", \
+                            "1.4124, 1.40591, 1.38237, 1.33265, 1.26896, 1.22015, 1.18197", \
+                            "1.43726, 1.4311, 1.40767, 1.35784, 1.29481, 1.2453, 1.20836", \
+                            "1.45002, 1.44331, 1.41966, 1.36928, 1.30812, 1.2532, 1.22055", \
+                            "1.44276, 1.4366, 1.41372, 1.36257, 1.29921, 1.25076, 1.22118" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.711986, 0.703538, 0.667326, 0.590711, 0.466411, 0.311476, 0.123918", \
+                            "0.714329, 0.705012, 0.670142, 0.593439, 0.470041, 0.312774, 0.127466", \
+                            "0.71929, 0.709203, 0.675664, 0.596959, 0.474287, 0.317977, 0.135903", \
+                            "0.730675, 0.722183, 0.686994, 0.6116, 0.485386, 0.33165, 0.143455", \
+                            "0.754963, 0.746097, 0.71148, 0.635767, 0.511357, 0.35552, 0.168215", \
+                            "0.816299, 0.805387, 0.771309, 0.695816, 0.56507, 0.403029, 0.223945", \
+                            "0.912087, 0.901252, 0.867053, 0.791626, 0.66154, 0.502183, 0.319858" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00826446;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.332585, 0.33979, 0.374924, 0.452364, 0.575861, 0.731313, 0.919578", \
+                            "0.329032, 0.338217, 0.372504, 0.449889, 0.573738, 0.730719, 0.916355", \
+                            "0.323763, 0.331892, 0.365508, 0.445896, 0.566401, 0.724031, 0.9064", \
+                            "0.310563, 0.319781, 0.353793, 0.430628, 0.556611, 0.710281, 0.895543", \
+                            "0.287716, 0.29623, 0.330319, 0.406186, 0.531982, 0.686829, 0.874599", \
+                            "0.273107, 0.283052, 0.31647, 0.394768, 0.518298, 0.675532, 0.86262", \
+                            "0.279664, 0.289476, 0.323268, 0.398233, 0.52481, 0.679195, 0.86405" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.39359, 1.3893, 1.36532, 1.31384, 1.25217, 1.20045, 1.16464", \
+                            "1.39634, 1.39128, 1.3673, 1.31582, 1.25412, 1.20241, 1.16719", \
+                            "1.40063, 1.39557, 1.37159, 1.32011, 1.25839, 1.20667, 1.17157", \
+                            "1.4124, 1.40811, 1.38424, 1.33265, 1.27105, 1.22015, 1.18348", \
+                            "1.43726, 1.43253, 1.40866, 1.35784, 1.29547, 1.2453, 1.20836", \
+                            "1.45002, 1.44386, 1.41988, 1.36928, 1.30812, 1.25495, 1.22055", \
+                            "1.44276, 1.43792, 1.41405, 1.36257, 1.30086, 1.25076, 1.22118" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.714164, 0.704011, 0.667579, 0.590711, 0.466411, 0.311476, 0.123918", \
+                            "0.71511, 0.705012, 0.670395, 0.593439, 0.470041, 0.312774, 0.127466", \
+                            "0.719334, 0.709203, 0.676335, 0.597982, 0.474287, 0.317977, 0.135903", \
+                            "0.730675, 0.722568, 0.68805, 0.6116, 0.485386, 0.332013, 0.143702", \
+                            "0.756767, 0.746966, 0.71148, 0.635767, 0.511357, 0.35552, 0.168215", \
+                            "0.769824, 0.759517, 0.724636, 0.647229, 0.524161, 0.364958, 0.178082", \
+                            "0.76153, 0.751597, 0.717002, 0.642114, 0.516582, 0.360932, 0.178269" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.044176;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.823647, 0.823999, 0.819379, 0.843414, 0.913209, 0.987932, 1.05684", \
+                            "0.825649, 0.822877, 0.815078, 0.842523, 0.909887, 0.986194, 1.05754", \
+                            "0.819654, 0.811503, 0.810953, 0.833778, 0.902935, 0.97867, 1.04584", \
+                            "0.806333, 0.803583, 0.796686, 0.823317, 0.893134, 0.973247, 1.03288", \
+                            "0.785389, 0.781616, 0.774389, 0.801735, 0.868087, 0.94468, 1.01146", \
+                            "0.772827, 0.768218, 0.760749, 0.783959, 0.851719, 0.935528, 0.998327", \
+                            "0.773905, 0.772398, 0.765094, 0.79112, 0.858308, 0.937376, 1.00422" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.06086, 1.06592, 1.0878, 1.15079, 1.25613, 1.39656, 1.56057", \
+                            "1.05755, 1.06306, 1.08774, 1.14747, 1.25368, 1.39513, 1.5554", \
+                            "1.05145, 1.05571, 1.0814, 1.14034, 1.24899, 1.386, 1.54968", \
+                            "1.04247, 1.04686, 1.07457, 1.13001, 1.23667, 1.37368, 1.54099", \
+                            "1.01935, 1.02524, 1.04658, 1.10575, 1.20918, 1.34805, 1.51668", \
+                            "1.00314, 1.00928, 1.03036, 1.09096, 1.19764, 1.34024, 1.50183", \
+                            "1.00878, 1.01537, 1.03854, 1.09789, 1.20788, 1.34794, 1.50568" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.815375, 0.821821, 0.823658, 0.80729, 0.799106, 0.791626, 0.785334", \
+                            "0.825451, 0.832117, 0.83369, 0.817245, 0.809677, 0.802186, 0.795905", \
+                            "0.850146, 0.857351, 0.858484, 0.842237, 0.834471, 0.827035, 0.820391", \
+                            "0.895653, 0.903309, 0.904508, 0.888415, 0.880858, 0.87329, 0.867086", \
+                            "0.979308, 0.988933, 0.990308, 0.973555, 0.965833, 0.958397, 0.952259", \
+                            "1.07108, 1.07775, 1.07976, 1.06312, 1.05501, 1.04809, 1.04181", \
+                            "1.14063, 1.14892, 1.15036, 1.13342, 1.1257, 1.11827, 1.11212" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.849079, 0.843282, 0.815705, 0.771958, 0.753478, 0.747582, 0.749584", \
+                            "0.859903, 0.854106, 0.827013, 0.782562, 0.764093, 0.758208, 0.758329", \
+                            "0.88462, 0.878856, 0.850993, 0.807378, 0.788898, 0.783002, 0.790691", \
+                            "0.930776, 0.9251, 0.89738, 0.853765, 0.835285, 0.829389, 0.831391", \
+                            "1.01609, 1.01025, 0.982487, 0.938894, 0.920403, 0.914496, 0.916509", \
+                            "1.10571, 1.09995, 1.07221, 1.02848, 1.00999, 1.00409, 1.00609", \
+                            "1.17597, 1.17021, 1.14249, 1.09874, 1.08027, 1.07436, 1.07769" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0175897;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.753687, 0.759814, 0.783915, 0.810051, 0.811503, 0.786665, 0.7271", \
+                            "0.750959, 0.760045, 0.781275, 0.810128, 0.811085, 0.78584, 0.725219", \
+                            "0.743919, 0.752477, 0.775951, 0.799612, 0.803319, 0.778327, 0.721204", \
+                            "0.733656, 0.739981, 0.764555, 0.790823, 0.792341, 0.769241, 0.708004", \
+                            "0.70818, 0.715726, 0.73975, 0.760144, 0.767129, 0.745668, 0.682605", \
+                            "0.695156, 0.700612, 0.727023, 0.751443, 0.749848, 0.730477, 0.6688", \
+                            "0.701052, 0.71049, 0.73227, 0.757999, 0.761761, 0.736021, 0.677787" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.0792748, 0.0729003, 0.109566, 0.197916, 0.33781, 0.521884, 0.743105", \
+                            "0.0726011, 0.0738188, 0.106289, 0.194003, 0.338184, 0.51975, 0.742368", \
+                            "0.0661881, 0.0617859, 0.100191, 0.187482, 0.329956, 0.51282, 0.733502", \
+                            "0.054846, 0.052415, 0.090596, 0.175787, 0.31999, 0.502601, 0.722744", \
+                            "0.030162, 0.027687, 0.0651508, 0.151551, 0.294294, 0.477202, 0.697961", \
+                            "0.019558, 0.015015, 0.050677, 0.137841, 0.280379, 0.46354, 0.684992", \
+                            "0.025531, 0.020493, 0.0576741, 0.143745, 0.287705, 0.469502, 0.690261" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.69476, 0.68607, 0.66066, 0.635767, 0.633578, 0.658163, 0.716716", \
+                            "0.694925, 0.687379, 0.662805, 0.633127, 0.632313, 0.657888, 0.717893", \
+                            "0.700194, 0.691735, 0.66825, 0.642884, 0.639958, 0.666413, 0.720511", \
+                            "0.713086, 0.705793, 0.678469, 0.651959, 0.651365, 0.672474, 0.733062", \
+                            "0.734943, 0.728893, 0.707916, 0.681604, 0.677182, 0.698027, 0.761233", \
+                            "0.747494, 0.739321, 0.717167, 0.691988, 0.690569, 0.715264, 0.773344", \
+                            "0.743886, 0.735746, 0.711117, 0.683903, 0.681615, 0.708202, 0.76461" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.04449, 1.04897, 1.01291, 0.926728, 0.783541, 0.601524, 0.382294", \
+                            "1.04873, 1.04719, 1.01409, 0.927905, 0.784718, 0.60269, 0.383273", \
+                            "1.05507, 1.05648, 1.02043, 0.934252, 0.791065, 0.609037, 0.389818", \
+                            "1.06669, 1.06811, 1.03209, 0.946451, 0.802692, 0.620675, 0.401445", \
+                            "1.09177, 1.0942, 1.05744, 0.971267, 0.828058, 0.646041, 0.426822", \
+                            "1.10117, 1.10318, 1.06956, 0.983367, 0.84018, 0.658152, 0.438933", \
+                            "1.09633, 1.1001, 1.06402, 0.977845, 0.834647, 0.65263, 0.4334" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.000344655;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_1v62.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_1v62.lib
new file mode 100755
index 0000000..ff99a62
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_1v62.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_1v62) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 1.62;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 10.6;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.62);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_1p62v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 1.62;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_1p62v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.03;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+			  index_2 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "34.2708, 34.3872, 34.8216, 35.5848, 36.7944, 38.352, 40.452", \
+                            "34.3956, 34.4652, 34.9704, 35.6988, 36.7944, 38.4264, 40.572", \
+                            "34.5324, 34.6968, 35.0928, 35.9496, 37.0404, 38.6472, 40.7292", \
+                            "34.9212, 35.0184, 35.5056, 36.222, 37.4208, 39.0396, 41.1444", \
+                            "35.598, 35.7276, 36.1668, 36.9432, 38.0916, 39.6924, 41.7828", \
+                            "36.4272, 36.5244, 36.9384, 37.7112, 38.856, 40.4448, 42.5676", \
+                            "37.3716, 37.5612, 37.938, 38.7372, 39.852, 41.4576, 43.5108" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+  	                     "0.854148, 1.04194, 1.6896, 2.96928, 4.89756, 7.689, 11.3356" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "36.8388, 36.912, 37.206, 37.6416, 38.1648, 38.8116, 39.5988", \
+                            "36.9552, 36.9816, 37.3332, 37.7328, 38.1888, 38.8332, 39.7176", \
+                            "37.098, 37.2444, 37.5, 38.0112, 38.4408, 39.126, 39.8556", \
+                            "37.4472, 37.5504, 37.902, 38.28, 38.7888, 39.4836, 40.236", \
+                            "38.1672, 38.2884, 38.5812, 38.9856, 39.5256, 40.1544, 40.9668", \
+                            "39.0036, 39.0396, 39.3012, 39.7572, 40.302, 40.9404, 41.7072", \
+                            "39.8916, 40.0824, 40.2888, 40.7532, 41.2368, 41.8692, 42.6408" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "0.9051, 1.04794, 1.25268, 1.73352, 2.37804, 3.36696, 4.43532" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.295918;
+                  clock                : true;
+                  max_transition       : 10.6;
+                  min_pulse_width_high : 18.4434;
+                  min_pulse_width_low  : 24.2445;
+                  min_period           : 49.6236;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("81.2349, 81.2349, 81.2349, 81.2349, 81.2349, 81.2349, 81.2349");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("81.2349, 81.2349, 81.2349, 81.2349, 81.2349, 81.2349, 81.2349");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("3.54812e-05, 3.54812e-05, 3.54812e-05, 3.54812e-05, 3.54812e-05, 3.54812e-05, 3.54812e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("3.54812e-05, 3.54812e-05, 3.54812e-05, 3.54812e-05, 3.54812e-05, 3.54812e-05, 3.54812e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("75.087, 75.087, 75.087, 75.087, 75.087, 75.087, 75.087");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("75.087, 75.087, 75.087, 75.087, 75.087, 75.087, 75.087");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0194382;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.58182, 3.55476, 3.65497, 4.05977, 4.93812, 6.127, 7.74829", \
+                            "3.51824, 3.49129, 3.59128, 3.99531, 4.86783, 6.05704, 7.74939", \
+                            "3.28295, 3.26073, 3.35687, 3.76068, 4.63287, 5.82791, 7.51388", \
+                            "2.86638, 2.83679, 2.93711, 3.3418, 4.23148, 5.40903, 7.08092", \
+                            "2.87199, 2.83789, 2.92446, 3.32277, 4.22246, 5.40166, 7.07212", \
+                            "2.87419, 2.83767, 2.92479, 3.33014, 4.22213, 5.39968, 7.08598", \
+                            "2.87463, 2.84724, 2.92347, 3.33091, 4.23027, 5.40034, 7.05045" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.36808, 2.42143, 2.63549, 3.07582, 3.85506, 4.97728, 6.47163", \
+                            "2.31231, 2.35752, 2.57191, 3.01213, 3.7906, 4.91381, 6.40816", \
+                            "2.07394, 2.12509, 2.33398, 2.77662, 3.55597, 4.6783, 6.17276", \
+                            "2.0108, 2.07108, 2.29207, 2.7368, 3.49998, 4.63573, 6.12183", \
+                            "2.01124, 2.07141, 2.28987, 2.73691, 3.5002, 4.63408, 6.12315", \
+                            "2.01278, 2.06965, 2.2902, 2.73493, 3.49822, 4.63232, 6.1204", \
+                            "2.02081, 2.07009, 2.29086, 2.73636, 3.49888, 4.63155, 6.12172" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "7.26374, 7.30015, 7.21413, 6.81021, 5.91723, 4.73814, 3.11553", \
+                            "7.30708, 7.34327, 7.25747, 6.86246, 5.96805, 4.78423, 3.09518", \
+                            "7.54721, 7.58351, 7.4965, 7.09115, 6.20818, 5.02172, 3.33542", \
+                            "7.96686, 8.00052, 7.90537, 7.50156, 6.59945, 5.42949, 3.76046", \
+                            "8.65711, 8.69132, 8.60475, 8.20633, 7.30675, 6.12744, 4.45698", \
+                            "9.63501, 9.67153, 9.58441, 9.17906, 8.28707, 7.10952, 5.42311", \
+                            "10.8262, 10.8536, 10.7772, 10.3699, 9.47056, 8.30049, 6.65038" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "8.12449, 8.06839, 7.84751, 7.40289, 6.6396, 5.50561, 4.01764", \
+                            "8.1609, 8.11195, 7.89085, 7.44623, 6.68371, 5.54884, 4.06076", \
+                            "8.39916, 8.34229, 8.1334, 7.68636, 6.92318, 5.78897, 4.30089", \
+                            "8.82013, 8.75985, 8.53886, 8.09413, 7.33095, 6.1952, 4.7091", \
+                            "9.51797, 9.45769, 9.23923, 8.79219, 8.0289, 6.89502, 5.40595", \
+                            "10.4964, 10.4396, 10.219, 9.77427, 9.01098, 7.87688, 6.3888", \
+                            "11.6798, 11.6303, 11.4103, 10.9644, 10.2018, 9.06928, 7.579" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0435041;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.78796, 3.84692, 4.06868, 4.4957, 5.19002, 6.08267, 7.23668", \
+                            "3.72526, 3.78444, 4.00653, 4.433, 5.11269, 6.03922, 7.17398", \
+                            "3.50856, 3.56532, 3.80039, 4.23203, 4.90105, 5.8003, 6.95453", \
+                            "3.07351, 3.14215, 3.36413, 3.80875, 4.48492, 5.37757, 6.51827", \
+                            "2.37292, 2.44552, 2.67608, 3.1075, 3.78752, 4.67632, 5.79953", \
+                            "1.56596, 1.62514, 1.85944, 2.29174, 2.95405, 3.86078, 5.01468", \
+                            "0.611864, 0.667161, 0.915596, 1.34673, 2.02301, 2.91588, 4.06956" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "5.39176, 5.48251, 5.71373, 6.23436, 7.01602, 8.15584, 9.68517", \
+                            "5.33236, 5.41948, 5.66797, 6.17166, 6.94595, 8.1114, 9.55075", \
+                            "5.13799, 5.17088, 5.41684, 5.94847, 6.73431, 7.87402, 9.33163", \
+                            "4.7135, 4.77708, 5.02524, 5.5319, 6.31103, 7.45063, 8.97809", \
+                            "3.98717, 4.04855, 4.29209, 4.82757, 5.60967, 6.74949, 8.27871", \
+                            "3.19462, 3.26007, 3.49151, 4.00807, 4.79424, 5.93384, 7.39145", \
+                            "2.22728, 2.299, 2.55145, 3.06955, 3.84912, 4.97662, 6.52355" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "6.6902, 6.63696, 6.43852, 5.97729, 5.33775, 4.58172, 3.67928", \
+                            "6.27737, 6.22446, 6.02569, 5.56446, 4.91832, 4.11873, 3.26612", \
+                            "5.69052, 5.63288, 5.40067, 4.9687, 4.33928, 3.5739, 2.6752", \
+                            "5.37295, 5.3185, 5.12105, 4.65861, 4.02072, 3.2648, 2.37611", \
+                            "5.81328, 5.75564, 5.52827, 5.09586, 4.45797, 3.70205, 2.81743", \
+                            "6.56667, 6.51365, 6.27627, 5.85189, 5.20773, 4.45819, 3.55575", \
+                            "7.50629, 7.45327, 7.22128, 6.78887, 6.1512, 5.39517, 4.49273" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.98255, 3.95164, 3.67587, 3.15293, 2.41879, 1.26442, 0", \
+                            "3.56026, 3.5354, 3.27888, 2.7401, 2.00992, 0.832634, 0", \
+                            "2.9656, 2.92435, 2.68312, 2.13994, 1.41196, 0.256456, 0", \
+                            "2.66519, 2.63142, 2.38282, 1.83194, 1.10224, 0, 0", \
+                            "3.10123, 3.06779, 2.81083, 2.27403, 1.53857, 0.384461, 0", \
+                            "3.85957, 3.8247, 3.55234, 3.02522, 2.29526, 1.14057, 0", \
+                            "4.79402, 4.76531, 4.51242, 3.96539, 3.23301, 2.08527, 0.531861" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00722787;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.27503", \
+                            "0, 0, 0, 0, 0, 0, 0.685443", \
+                            "0, 0, 0, 0, 0, 0.35915, 1.27679", \
+                            "0, 0, 0, 0, 0, 0.670428, 1.58081", \
+                            "0, 0, 0, 0, 0, 0.234209, 1.13674", \
+                            "0, 0, 0, 0, 0, 0, 0.391193", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0, 0, 0.146942, 0.665203, 1.41427, 2.56927, 4.11246", \
+                            "0.254003, 0.275956, 0.525547, 1.07663, 1.82039, 2.98881, 4.47381", \
+                            "0.842193, 0.888228, 1.13969, 1.67695, 2.41637, 3.57247, 5.06132", \
+                            "1.1676, 1.19151, 1.43506, 1.99375, 2.73152, 3.87618, 5.46667", \
+                            "0.708587, 0.741961, 1.01357, 1.54561, 2.28954, 3.43948, 4.98861", \
+                            "0, 0, 0.267805, 0.798105, 1.53626, 2.67344, 4.17923", \
+                            "0, 0, 0, 0, 0.596871, 1.73481, 3.32739" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "6.72408, 6.67986, 6.43852, 6.00391, 5.35326, 4.59107, 3.69424", \
+                            "6.31136, 6.26714, 6.02569, 5.59119, 4.94043, 4.17076, 3.28152", \
+                            "5.71736, 5.67325, 5.42916, 4.9973, 4.34665, 3.58435, 2.68763", \
+                            "5.40727, 5.36195, 5.12105, 4.6871, 4.04074, 3.26843, 2.37655", \
+                            "5.84452, 5.79953, 5.55665, 5.12435, 4.4737, 3.7114, 2.81743", \
+                            "6.60066, 6.55644, 6.31301, 5.88049, 5.22984, 4.46754, 3.57082", \
+                            "7.53742, 7.49353, 7.25076, 6.82209, 6.17694, 5.4021, 4.50791" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.98255, 3.95164, 3.67741, 3.15293, 2.41879, 1.26442, 0", \
+                            "3.56026, 3.5354, 3.27888, 2.7401, 2.00992, 0.832634, 0", \
+                            "2.9656, 2.92435, 2.68312, 2.13994, 1.41196, 0.256456, 0", \
+                            "2.66519, 2.63142, 2.38282, 1.83194, 1.10224, 0, 0", \
+                            "3.10123, 3.06779, 2.81083, 2.27403, 1.53857, 0.384461, 0", \
+                            "3.85957, 3.8247, 3.55421, 3.02522, 2.29526, 1.14057, 0", \
+                            "4.79402, 4.76531, 4.51242, 3.96539, 3.23301, 2.08527, 0.531861" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0437212;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.52352, 3.51263, 3.61867, 4.03425, 4.9016, 6.12997, 7.73707", \
+                            "3.46808, 3.48238, 3.5574, 3.97298, 4.84033, 6.06177, 7.64555", \
+                            "3.23631, 3.21541, 3.33091, 3.7466, 4.61384, 5.83506, 7.42445", \
+                            "3.31397, 3.30715, 3.41385, 3.84197, 4.72373, 5.95595, 7.5372", \
+                            "2.87375, 2.85725, 2.99101, 3.40131, 4.30023, 5.50891, 7.09148", \
+                            "2.13752, 2.1219, 2.24169, 2.6455, 3.52902, 4.74507, 6.33369", \
+                            "1.20979, 1.19577, 1.31006, 1.71952, 2.61701, 3.82635, 5.34611" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.50261, 2.48391, 2.68114, 3.21299, 3.99443, 5.13546, 6.62783", \
+                            "2.90774, 2.89575, 3.08836, 3.62131, 4.41386, 5.55269, 7.03285", \
+                            "3.50625, 3.4694, 3.68632, 4.19298, 4.98443, 6.13008, 7.62179", \
+                            "3.80446, 3.78389, 3.97936, 4.51088, 5.30552, 6.46657, 7.94475", \
+                            "3.35379, 3.35269, 3.61801, 4.06725, 4.85199, 5.99159, 7.51619", \
+                            "2.61888, 2.58137, 2.79378, 3.33443, 4.11092, 5.2525, 6.73068", \
+                            "1.65484, 1.66551, 1.85735, 2.38535, 3.1746, 4.30727, 5.79986" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.4783, 2.49381, 2.36489, 1.95349, 1.08206, 0, 0", \
+                            "2.54826, 2.54342, 2.44112, 2.02972, 1.15825, 0.025047, 0", \
+                            "2.76507, 2.78806, 2.65111, 2.2396, 1.36818, 0.234978, 0", \
+                            "3.14501, 3.15689, 3.03105, 2.61954, 1.74713, 0.614955, 0", \
+                            "3.88883, 3.91061, 3.7708, 3.3594, 2.48798, 1.35476, 0", \
+                            "4.80667, 4.81855, 4.6926, 4.2812, 3.40978, 2.27656, 0.860937", \
+                            "5.9686, 5.98026, 5.8509, 5.44302, 4.5716, 3.43838, 2.02279" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.07736, 3.03259, 2.81787, 2.33959, 1.6665, 0.854337, 0", \
+                            "3.15359, 3.10871, 2.8941, 2.41934, 1.74339, 0.930534, 0", \
+                            "3.36248, 3.31859, 3.10398, 2.62933, 1.95261, 1.14049, 0.130794", \
+                            "3.74132, 3.69853, 3.48403, 3.00927, 2.33255, 1.52042, 0.510983", \
+                            "4.48338, 4.43839, 4.20189, 3.74902, 3.07241, 2.2594, 1.25062", \
+                            "5.40507, 5.36019, 5.14052, 4.66125, 3.9941, 3.18142, 2.17261", \
+                            "6.56469, 6.52201, 6.30564, 5.83264, 5.15603, 4.34313, 3.33421" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0170448;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.27965, 3.35368, 3.58347, 3.99861, 4.51682, 5.18419, 5.90612", \
+                            "3.69127, 3.76255, 3.982, 4.41562, 4.94362, 5.60021, 6.31026", \
+                            "4.29759, 4.37349, 4.57578, 5.00544, 5.53146, 6.20158, 6.90976", \
+                            "4.59888, 4.66642, 4.90105, 5.32059, 5.84177, 6.50595, 7.21523", \
+                            "4.15701, 4.22873, 4.44136, 4.8796, 5.41453, 6.07365, 6.75576", \
+                            "3.40582, 3.46643, 3.69512, 4.12588, 4.65883, 5.30948, 6.02272", \
+                            "2.46708, 2.54265, 2.76804, 3.19693, 3.71261, 4.36645, 5.08068" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.95194, 2.40339", \
+                            "0, 0, 0, 0, 0.190847, 1.36686, 2.81831", \
+                            "0, 0, 0, 0.048279, 0.777084, 1.95129, 3.40362", \
+                            "0, 0, 0, 0.361636, 1.0924, 2.26721, 3.71811", \
+                            "0, 0, 0, 0, 0.649121, 1.81632, 3.27547", \
+                            "0, 0, 0, 0, 0, 1.06794, 2.51966", \
+                            "0, 0, 0, 0, 0, 0.140577, 1.59346" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.23301, 3.16943, 2.94294, 2.51944, 1.98605, 1.33716, 0.631114", \
+                            "2.82326, 2.75781, 2.53649, 2.10947, 1.57729, 0.9372, 0.21948", \
+                            "2.22343, 2.14918, 1.9404, 1.51437, 0.979286, 0.330528, 0", \
+                            "1.91543, 1.85394, 1.62536, 1.20189, 0.668591, 0.019712, 0", \
+                            "2.3595, 2.29427, 2.06778, 1.64428, 1.11088, 0.462044, 0", \
+                            "3.10574, 3.04854, 2.82216, 2.39866, 1.86527, 1.21642, 0.510378", \
+                            "4.05548, 3.99245, 3.76849, 3.34268, 2.81149, 2.16227, 1.45376" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "5.92328, 5.89776, 5.70801, 5.1953, 4.41309, 3.26788, 1.78002", \
+                            "5.51067, 5.48999, 5.2965, 4.78368, 4.00158, 2.85626, 1.3684", \
+                            "4.91634, 4.895, 4.70151, 4.18869, 3.40659, 2.26127, 0.773454", \
+                            "4.60515, 4.58414, 4.39065, 3.87783, 3.09573, 1.95041, 0.462561", \
+                            "5.0468, 5.02645, 4.83296, 4.32014, 3.53804, 2.39272, 0.904882", \
+                            "5.80184, 5.78083, 5.58734, 5.07452, 4.29242, 3.1471, 1.65924", \
+                            "6.74377, 6.71858, 6.52938, 6.01645, 5.23435, 4.08903, 2.60117" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 3.548124e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_3v00.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_3v00.lib
new file mode 100755
index 0000000..092288a
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_3v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_3v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 3.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 6.4830;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_3p0v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 3.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_3p0v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.1369;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+			  index_2 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "8.68008, 8.70864, 8.83476, 9.0192, 9.28956, 9.6828, 10.20252", \
+                            "8.67768, 8.71236, 8.83332, 9.02856, 9.31224, 9.70068, 10.20852", \
+                            "8.72796, 8.7774, 8.89728, 9.11064, 9.3618, 9.75528, 10.26", \
+                            "8.8392, 8.8776, 8.99628, 9.19116, 9.47772, 9.85812, 10.3608", \
+                            "8.91492, 8.94168, 9.07128, 9.25332, 9.54228, 9.92772, 10.43016", \
+                            "8.95164, 8.991, 9.10872, 9.29676, 9.57708, 9.95856, 10.45524", \
+                            "8.93844, 8.97528, 9.08796, 9.29112, 9.56808, 9.95664, 10.46112" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+  	                     "0.266136, 0.317256, 0.48858, 0.803724, 1.32132, 2.05416, 3.01836" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "9.039, 9.0642, 9.1866, 9.34044, 9.534, 9.79752, 10.12752", \
+                            "9.03468, 9.06708, 9.18156, 9.34692, 9.55932, 9.819, 10.13088", \
+                            "9.0882, 9.1374, 9.24864, 9.42924, 9.60504, 9.86868, 10.18788", \
+                            "9.19584, 9.23508, 9.3462, 9.50964, 9.72036, 9.96756, 10.29456", \
+                            "9.2694, 9.303, 9.42, 9.57744, 9.78348, 10.03836, 10.35576", \
+                            "9.3114, 9.34704, 9.45408, 9.61368, 9.822, 10.07388, 10.39368", \
+                            "9.29724, 9.32916, 9.43692, 9.61632, 9.81672, 10.07148, 10.39176" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "0.257664, 0.306264, 0.419688, 0.611196, 0.881232, 1.266, 1.76772" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.311327;
+                  clock                : true;
+                  max_transition       : 6.4830;
+                  min_pulse_width_high : 4.15185;
+                  min_pulse_width_low  : 4.27521;
+                  min_period           : 11.604405;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("300.42, 300.42, 300.42, 300.42, 300.42, 300.42, 300.42");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("300.42, 300.42, 300.42, 300.42, 300.42, 300.42, 300.42");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("0.000122169, 0.000122169, 0.000122169, 0.000122169, 0.000122169, 0.000122169, 0.000122169");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("0.000122169, 0.000122169, 0.000122169, 0.000122169, 0.000122169, 0.000122169, 0.000122169");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("275.565, 275.565, 275.565, 275.565, 275.565, 275.565, 275.565");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("275.565, 275.565, 275.565, 275.565, 275.565, 275.565, 275.565");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0196131;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.805761, 0.788755, 0.830236, 1.01044, 1.24413, 1.40866, 1.55276", \
+                            "0.783046, 0.766249, 0.808885, 0.983972, 1.22211, 1.40635, 1.54088", \
+                            "0.75218, 0.735438, 0.774521, 0.942975, 1.13006, 1.31164, 1.44793", \
+                            "0.750585, 0.732545, 0.772288, 0.939378, 1.11054, 1.23415, 1.33782", \
+                            "0.750398, 0.735702, 0.772277, 0.940786, 1.11503, 1.22792, 1.28277", \
+                            "0.751069, 0.736802, 0.772277, 0.933328, 1.11417, 1.22788, 1.28135", \
+                            "0.749067, 0.732292, 0.770858, 0.936386, 1.11458, 1.22922, 1.32616" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.659142, 0.672859, 0.765248, 0.962115, 1.22426, 1.5125, 1.80323", \
+                            "0.653642, 0.669009, 0.761189, 0.958122, 1.22026, 1.49952, 1.79399", \
+                            "0.650705, 0.664873, 0.757328, 0.946594, 1.21633, 1.49996, 1.79014", \
+                            "0.648307, 0.66319, 0.755656, 0.95249, 1.21466, 1.49402, 1.78838", \
+                            "0.648021, 0.662706, 0.755161, 0.95205, 1.21424, 1.49699, 1.78794", \
+                            "0.647735, 0.662728, 0.754886, 0.951786, 1.21392, 1.50007, 1.78772", \
+                            "0.647009, 0.661716, 0.754127, 0.951016, 1.21314, 1.49941, 1.78695" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.90542, 1.92368, 1.88298, 1.71479, 1.5411, 1.44672, 1.37379", \
+                            "1.92907, 1.94711, 1.90531, 1.74163, 1.56398, 1.45002, 1.39018", \
+                            "2.01773, 2.03445, 1.9954, 1.82688, 1.65462, 1.53967, 1.48533", \
+                            "2.19384, 2.21199, 2.17217, 2.00508, 1.83392, 1.71039, 1.65638", \
+                            "2.36654, 2.38128, 2.34465, 2.17613, 2.00189, 1.88903, 1.83414", \
+                            "2.51262, 2.52692, 2.49139, 2.33035, 2.14951, 2.03577, 1.98231", \
+                            "2.60117, 2.618, 2.57939, 2.41384, 2.23564, 2.12102, 2.06855" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "2.00651, 1.99287, 1.90047, 1.70357, 1.44144, 1.16212, 0.86768", \
+                            "2.03148, 2.01619, 1.92401, 1.727, 1.46487, 1.1856, 0.891154", \
+                            "2.11915, 2.10507, 2.01256, 1.82325, 1.55353, 1.26998, 0.97977", \
+                            "2.29614, 2.28129, 2.18878, 1.99199, 1.72986, 1.45046, 1.15607", \
+                            "2.46895, 2.45421, 2.36181, 2.16491, 1.90267, 1.61997, 1.32902", \
+                            "2.61591, 2.60095, 2.50877, 2.31187, 2.04974, 1.76352, 1.47598", \
+                            "2.70325, 2.68851, 2.59611, 2.39921, 2.13708, 1.85086, 1.56332" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0486854;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.02853, 1.04851, 1.13831, 1.30152, 1.50601, 1.68124, 1.83139", \
+                            "1.00905, 1.02936, 1.11889, 1.28338, 1.48709, 1.66287, 1.80807", \
+                            "0.925133, 0.944284, 1.03422, 1.19735, 1.40151, 1.57344, 1.7193", \
+                            "0.764786, 0.784751, 0.874621, 1.0378, 1.24161, 1.41779, 1.56607", \
+                            "0.637285, 0.649264, 0.74745, 0.909513, 1.11373, 1.28897, 1.43528", \
+                            "0.529936, 0.547833, 0.639331, 0.803957, 1.00709, 1.17929, 1.32979", \
+                            "0.480018, 0.499939, 0.590931, 0.754347, 0.957341, 1.12478, 1.27555" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.15862, 1.18856, 1.29646, 1.51283, 1.82864, 2.18933, 2.58137", \
+                            "1.14565, 1.1691, 1.27592, 1.49226, 1.80928, 2.16799, 2.5619", \
+                            "1.05554, 1.07934, 1.19106, 1.40085, 1.72447, 2.08538, 2.47709", \
+                            "0.896225, 0.919765, 1.0327, 1.24873, 1.56497, 1.92599, 2.31759", \
+                            "0.768724, 0.791538, 0.904453, 1.11918, 1.43671, 1.79993, 2.18933", \
+                            "0.664675, 0.687731, 0.797522, 1.01369, 1.32979, 1.69158, 2.08241", \
+                            "0.610665, 0.635767, 0.746856, 0.964293, 1.2802, 1.64197, 2.0328" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.46927, 1.45079, 1.36125, 1.23293, 1.12124, 1.04293, 1.00221", \
+                            "1.47884, 1.46047, 1.37082, 1.24259, 1.13163, 1.0526, 1.01505", \
+                            "1.52768, 1.51008, 1.42054, 1.2923, 1.17407, 1.10505, 1.06952", \
+                            "1.60457, 1.5862, 1.49666, 1.3684, 1.25667, 1.18138, 1.13864", \
+                            "1.68157, 1.66848, 1.57278, 1.44441, 1.33342, 1.25408, 1.21639", \
+                            "1.69972, 1.68135, 1.59192, 1.46355, 1.3519, 1.27646, 1.23281", \
+                            "1.70269, 1.68432, 1.59478, 1.46751, 1.35553, 1.28585, 1.24117" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.734723, 0.70708, 0.597839, 0.38852, 0.0950532, 0, 0", \
+                            "0.75141, 0.721358, 0.60742, 0.392931, 0.10391, 0, 0", \
+                            "0.796565, 0.776413, 0.656117, 0.451517, 0.153395, 0, 0", \
+                            "0.874742, 0.855195, 0.735284, 0.520124, 0.229669, 0, 0", \
+                            "1.02625, 1.00735, 0.891616, 0.675213, 0.367961, 0.043538, 0", \
+                            "1.20898, 1.186, 1.0705, 0.853567, 0.546777, 0.22046, 0", \
+                            "1.33815, 1.31483, 1.19944, 0.982179, 0.675719, 0.349404, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00791289;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0, 0, 0, 0, 0.080685, 0.157355, 0.206639", \
+                            "0, 0, 0, 0, 0.06919, 0.151473, 0.200124", \
+                            "0, 0, 0, 0, 0.024486, 0.100279, 0.139098", \
+                            "0, 0, 0, 0, 0, 0.024706, 0.069784", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.42757, 0.454487, 0.565004, 0.77561, 1.07304, 1.41647, 1.81214", \
+                            "0.410388, 0.444895, 0.555841, 0.770187, 1.06513, 1.4058, 1.80125", \
+                            "0.36355, 0.386254, 0.503151, 0.707476, 1.0104, 1.35322, 1.74988", \
+                            "0.285487, 0.309903, 0.429209, 0.641388, 0.937805, 1.27729, 1.67453", \
+                            "0.209361, 0.234589, 0.348128, 0.562881, 0.85712, 1.20463, 1.59742", \
+                            "0.194381, 0.221735, 0.333498, 0.545908, 0.841566, 1.18309, 1.58114", \
+                            "0.189922, 0.217867, 0.329692, 0.540518, 0.83842, 1.17966, 1.57465" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.47004, 1.45497, 1.36433, 1.23293, 1.12396, 1.04706, 1.00221", \
+                            "1.47972, 1.46465, 1.37401, 1.24259, 1.13361, 1.05669, 1.01505", \
+                            "1.52922, 1.51415, 1.42351, 1.2923, 1.18315, 1.10625, 1.06952", \
+                            "1.60545, 1.59038, 1.49974, 1.3684, 1.25939, 1.18248, 1.13864", \
+                            "1.68157, 1.66848, 1.57586, 1.44441, 1.33551, 1.25855, 1.21639", \
+                            "1.70071, 1.68564, 1.595, 1.46355, 1.35465, 1.27774, 1.23281", \
+                            "1.70357, 1.6885, 1.59786, 1.46751, 1.35751, 1.28585, 1.24117" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.736362, 0.711711, 0.599918, 0.38852, 0.0951907, 0, 0", \
+                            "0.751454, 0.721358, 0.609521, 0.392931, 0.104838, 0, 0", \
+                            "0.797962, 0.776413, 0.658295, 0.453222, 0.154297, 0, 0", \
+                            "0.876018, 0.855195, 0.735284, 0.520124, 0.230639, 0, 0", \
+                            "0.952952, 0.928268, 0.812185, 0.597795, 0.30657, 0, 0", \
+                            "0.968561, 0.941809, 0.830566, 0.61534, 0.325886, 0, 0", \
+                            "0.970651, 0.945032, 0.83325, 0.622028, 0.3289, 0, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0438961;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.976536, 0.968055, 1.01454, 1.17565, 1.41669, 1.6192, 1.77199", \
+                            "0.968176, 0.962522, 1.01001, 1.17759, 1.40921, 1.60149, 1.75824", \
+                            "0.910668, 0.907368, 0.955548, 1.12156, 1.35652, 1.56937, 1.70577", \
+                            "0.837309, 0.831193, 0.881364, 1.04306, 1.27384, 1.47334, 1.64109", \
+                            "0.755601, 0.748055, 0.803363, 0.962126, 1.20369, 1.39623, 1.55716", \
+                            "0.742973, 0.740157, 0.785708, 0.953348, 1.18021, 1.39469, 1.53934", \
+                            "0.74283, 0.735207, 0.776688, 0.944779, 1.17555, 1.3816, 1.53714" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.22393, 1.23901, 1.32847, 1.53604, 1.81423, 2.12993, 2.45289", \
+                            "1.21949, 1.23078, 1.32044, 1.52658, 1.80334, 2.10991, 2.44552", \
+                            "1.16032, 1.17194, 1.2663, 1.47059, 1.75252, 2.06118, 2.39041", \
+                            "1.08503, 1.1005, 1.19097, 1.397, 1.67222, 1.97769, 2.31594", \
+                            "1.01383, 1.02702, 1.11455, 1.3167, 1.59797, 1.90597, 2.23927", \
+                            "0.993102, 1.00331, 1.10078, 1.3013, 1.57828, 1.88782, 2.22288", \
+                            "0.985787, 0.998437, 1.08878, 1.29536, 1.573, 1.88936, 2.21353" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.883036, 0.893882, 0.842919, 0.761508, 0.677776, 0.604109, 0.544203", \
+                            "0.901879, 0.910503, 0.861817, 0.779867, 0.696674, 0.607849, 0.56309", \
+                            "0.987184, 0.998184, 0.947562, 0.86614, 0.78958, 0.718762, 0.648824", \
+                            "1.1465, 1.15705, 1.10642, 1.02448, 0.940764, 0.852489, 0.807631", \
+                            "1.3497, 1.35971, 1.30966, 1.22757, 1.14542, 1.05564, 1.01103", \
+                            "1.51646, 1.52515, 1.47642, 1.39502, 1.31131, 1.24666, 1.1777", \
+                            "1.64483, 1.65341, 1.60402, 1.5235, 1.44056, 1.3662, 1.30658" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.945483, 0.929753, 0.848056, 0.760331, 0.697785, 0.60104, 0.561759", \
+                            "0.964326, 0.948794, 0.866954, 0.779218, 0.716672, 0.619927, 0.580195", \
+                            "1.05049, 1.03542, 0.952699, 0.864963, 0.802417, 0.705298, 0.666325", \
+                            "1.20876, 1.19149, 1.11156, 1.02384, 0.961356, 0.864589, 0.825264", \
+                            "1.41251, 1.39425, 1.31483, 1.22706, 1.1646, 1.06778, 1.02845", \
+                            "1.57949, 1.5642, 1.47554, 1.38952, 1.33067, 1.23596, 1.19246", \
+                            "1.70753, 1.69268, 1.60996, 1.52218, 1.4597, 1.36147, 1.32352" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.017407;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.989637, 1.00862, 1.07927, 1.14198, 1.16256, 1.1106, 1.02049", \
+                            "0.984973, 1.00485, 1.07866, 1.13252, 1.14857, 1.0974, 1.02432", \
+                            "0.926926, 0.951489, 1.02338, 1.08155, 1.09331, 1.04927, 0.96437", \
+                            "0.850696, 0.871629, 0.948596, 1.0013, 1.01856, 0.977878, 0.881166", \
+                            "0.776468, 0.80212, 0.866228, 0.928653, 0.942986, 0.898018, 0.808082", \
+                            "0.754886, 0.781, 0.854843, 0.912021, 0.920227, 0.875798, 0.79068", \
+                            "0.754897, 0.776633, 0.848562, 0.903067, 0.917356, 0.873334, 0.786434" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.151047, 0.16124, 0.270003, 0.488455, 0.769593, 1.09871, 1.48203", \
+                            "0.145683, 0.152288, 0.261775, 0.480766, 0.761145, 1.08677, 1.47378", \
+                            "0.0922163, 0.0983697, 0.207229, 0.426272, 0.706827, 1.03504, 1.41977", \
+                            "0.016225, 0.023364, 0.132266, 0.350724, 0.631873, 0.956659, 1.34442", \
+                            "0, 0, 0.055396, 0.275178, 0.554026, 0.882915, 1.2655", \
+                            "0, 0, 0.036553, 0.255387, 0.536162, 0.864358, 1.24854", \
+                            "0, 0, 0.031856, 0.250848, 0.531476, 0.859694, 1.24387" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.633127, 0.610731, 0.540012, 0.48015, 0.460317, 0.505263, 0.601524", \
+                            "0.639375, 0.618596, 0.544456, 0.490776, 0.478159, 0.520531, 0.60016", \
+                            "0.69872, 0.674784, 0.603218, 0.544434, 0.532279, 0.573705, 0.660781", \
+                            "0.770924, 0.747582, 0.671825, 0.615615, 0.604021, 0.640607, 0.737583", \
+                            "0.851411, 0.82654, 0.757878, 0.696861, 0.685421, 0.72864, 0.81444", \
+                            "0.870265, 0.844536, 0.774257, 0.712954, 0.702152, 0.743831, 0.835197", \
+                            "0.868714, 0.847044, 0.776655, 0.720654, 0.705507, 0.745085, 0.830236" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.11164, 1.10062, 0.994202, 0.775533, 0.496034, 0.169149, 0", \
+                            "1.1169, 1.10926, 1.00286, 0.784179, 0.504669, 0.180588, 0", \
+                            "1.173, 1.1642, 1.05779, 0.839124, 0.559603, 0.232725, 0", \
+                            "1.24497, 1.23659, 1.13018, 0.911515, 0.631994, 0.305118, 0", \
+                            "1.32572, 1.31736, 1.21092, 0.992244, 0.712778, 0.385847, 0.00319", \
+                            "1.34189, 1.3343, 1.2279, 1.00923, 0.729707, 0.402831, 0.020174", \
+                            "1.34409, 1.33672, 1.2303, 1.01164, 0.732094, 0.405218, 0.022561" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.000122169;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_4v50.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_4v50.lib
new file mode 100755
index 0000000..ca4d059
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_4v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__ss_n40C_4v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 4.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.008;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 4.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_4p5v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 4.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_4p5v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.253;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+			  index_2 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "5.59128, 5.60736, 5.68692, 5.81604, 6.00492, 6.27132, 6.62484", \
+                            "5.57796, 5.61024, 5.69304, 5.81352, 6.0096, 6.27348, 6.6168", \
+                            "5.59968, 5.62068, 5.69736, 5.83284, 6.02028, 6.28704, 6.63012", \
+                            "5.61564, 5.63988, 5.72184, 5.85108, 6.039, 6.3066, 6.64968", \
+                            "5.6382, 5.66448, 5.74644, 5.87016, 6.06684, 6.32532, 6.67704", \
+                            "5.65752, 5.68392, 5.75988, 5.89152, 6.07968, 6.34416, 6.693", \
+                            "5.65452, 5.66856, 5.74596, 5.87772, 6.0708, 6.33264, 6.67668" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+  	                     "0.179748, 0.214224, 0.333888, 0.562116, 0.91974, 1.44756, 2.12832" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "5.77452, 5.79396, 5.8716, 5.99472, 6.15108, 6.35424, 6.61644", \
+                            "5.76636, 5.79732, 5.88312, 5.99412, 6.15492, 6.3552, 6.6084", \
+                            "5.7846, 5.81064, 5.88684, 6.00912, 6.1674, 6.36864, 6.62244", \
+                            "5.80236, 5.82816, 5.9136, 6.0294, 6.18552, 6.39072, 6.64428", \
+                            "5.82564, 5.8506, 5.93532, 6.04932, 6.21132, 6.40428, 6.67068", \
+                            "5.8434, 5.86764, 5.94732, 6.07248, 6.22488, 6.4248, 6.68952", \
+                            "5.84004, 5.85516, 5.93508, 6.05916, 6.21852, 6.41316, 6.66852" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "0.17886, 0.207852, 0.303576, 0.4461, 0.669384, 0.984924, 1.39788" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.317588;
+                  clock                : true;
+                  max_transition       : 2.008;
+                  min_pulse_width_high : 3.340065;
+                  min_pulse_width_low  : 3.503625;
+                  min_period           : 7.594575;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("735.458, 735.458, 735.458, 735.458, 735.458, 735.458, 735.458");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("735.458, 735.458, 735.458, 735.458, 735.458, 735.458, 735.458");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("0.000275315, 0.000275315, 0.000275315, 0.000275315, 0.000275315, 0.000275315, 0.000275315");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("0.000275315, 0.000275315, 0.000275315, 0.000275315, 0.000275315, 0.000275315, 0.000275315");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("662.67, 662.67, 662.67, 662.67, 662.67, 662.67, 662.67");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("662.67, 662.67, 662.67, 662.67, 662.67, 662.67, 662.67");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0195989;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.512413, 0.50754, 0.49907, 0.514338, 0.555852, 0.610918, 0.658779", \
+                            "0.508706, 0.503602, 0.495605, 0.510818, 0.55187, 0.607695, 0.651992", \
+                            "0.505043, 0.500533, 0.492173, 0.506418, 0.543653, 0.589974, 0.640387", \
+                            "0.504537, 0.496749, 0.490424, 0.505186, 0.542421, 0.591932, 0.623953", \
+                            "0.504031, 0.499741, 0.489874, 0.505846, 0.547448, 0.588313, 0.619102", \
+                            "0.503118, 0.495616, 0.48928, 0.505175, 0.546744, 0.587587, 0.621841", \
+                            "0.502722, 0.498102, 0.489093, 0.5049, 0.546425, 0.587356, 0.618145" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.458403, 0.460317, 0.47652, 0.515691, 0.585035, 0.669075, 0.760793", \
+                            "0.455334, 0.456588, 0.473209, 0.510543, 0.581559, 0.665599, 0.757328", \
+                            "0.449515, 0.453728, 0.469832, 0.509014, 0.578325, 0.662134, 0.751256", \
+                            "0.450714, 0.452606, 0.468391, 0.50215, 0.577148, 0.661155, 0.752917", \
+                            "0.450142, 0.451055, 0.46794, 0.504427, 0.576532, 0.660572, 0.749474", \
+                            "0.449669, 0.451913, 0.467489, 0.503833, 0.576213, 0.659967, 0.75163", \
+                            "0.448855, 0.450813, 0.46717, 0.506264, 0.575069, 0.659659, 0.750035" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.23281, 1.23769, 1.24616, 1.23089, 1.18937, 1.14842, 1.11762", \
+                            "1.23929, 1.24441, 1.2524, 1.23719, 1.19613, 1.1531, 1.12388", \
+                            "1.25637, 1.26086, 1.26918, 1.25499, 1.21775, 1.17143, 1.13625", \
+                            "1.29426, 1.30207, 1.30834, 1.2936, 1.25639, 1.20686, 1.17485", \
+                            "1.35927, 1.36356, 1.37346, 1.35751, 1.31582, 1.27501, 1.2442", \
+                            "1.41273, 1.42021, 1.42659, 1.41064, 1.36906, 1.32825, 1.29404", \
+                            "1.4421, 1.44672, 1.45574, 1.4399, 1.39843, 1.35751, 1.32671" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.28678, 1.28491, 1.26874, 1.22955, 1.16019, 1.07615, 0.984434", \
+                            "1.29272, 1.2914, 1.27479, 1.23747, 1.16644, 1.08241, 0.990671", \
+                            "1.31186, 1.30768, 1.29162, 1.25239, 1.18308, 1.09927, 1.01015", \
+                            "1.34805, 1.34618, 1.33045, 1.29668, 1.22166, 1.13764, 1.04589", \
+                            "1.41317, 1.41229, 1.39535, 1.35883, 1.28678, 1.20273, 1.11383", \
+                            "1.46619, 1.46388, 1.44837, 1.41196, 1.33958, 1.25586, 1.16419", \
+                            "1.496, 1.49402, 1.47763, 1.43858, 1.36972, 1.28513, 1.1948" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0508258;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.67529, 0.679954, 0.697884, 0.738397, 0.805464, 0.891396, 0.970442", \
+                            "0.669042, 0.673728, 0.691658, 0.732138, 0.801064, 0.88506, 0.963776", \
+                            "0.652366, 0.656777, 0.673695, 0.715418, 0.784003, 0.86823, 0.946847", \
+                            "0.613063, 0.617848, 0.635668, 0.676335, 0.744876, 0.829147, 0.907786", \
+                            "0.547085, 0.551716, 0.569679, 0.610335, 0.680042, 0.76318, 0.841786", \
+                            "0.488477, 0.492459, 0.51106, 0.551562, 0.618651, 0.704583, 0.780714", \
+                            "0.443597, 0.448514, 0.465982, 0.506627, 0.573551, 0.659483, 0.738375" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.71797, 0.723415, 0.749683, 0.804936, 0.894696, 1.01336, 1.14546", \
+                            "0.712503, 0.71709, 0.741851, 0.797192, 0.88858, 1.00746, 1.14074", \
+                            "0.69509, 0.700007, 0.724933, 0.781759, 0.87153, 0.991441, 1.12543", \
+                            "0.656271, 0.661353, 0.687302, 0.742203, 0.832975, 0.952721, 1.08548", \
+                            "0.589963, 0.595111, 0.621137, 0.675444, 0.766623, 0.886435, 1.01996", \
+                            "0.531894, 0.536866, 0.561275, 0.616836, 0.708213, 0.827827, 0.960894", \
+                            "0.486596, 0.492052, 0.516175, 0.572924, 0.663179, 0.782738, 0.916058" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.0062, 1.00373, 0.984709, 0.94545, 0.899745, 0.850421, 0.814286", \
+                            "1.01241, 1.01056, 0.990935, 0.951665, 0.901417, 0.856614, 0.820644", \
+                            "1.01743, 1.01446, 0.996908, 0.956296, 0.906895, 0.861476, 0.825594", \
+                            "1.03169, 1.02918, 1.01022, 0.970728, 0.921316, 0.875908, 0.83996", \
+                            "1.05168, 1.04875, 1.03017, 0.990913, 0.940467, 0.896368, 0.859936", \
+                            "1.06478, 1.06143, 1.04288, 1.00362, 0.957902, 0.909051, 0.875171", \
+                            "1.0699, 1.06631, 1.04776, 1.00851, 0.962786, 0.913935, 0.877316" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.542102, 0.533863, 0.507738, 0.450956, 0.362571, 0.256502, 0.128454", \
+                            "0.547998, 0.540892, 0.514591, 0.456929, 0.367752, 0.261273, 0.131921", \
+                            "0.556204, 0.546964, 0.519387, 0.462, 0.373637, 0.265627, 0.135623", \
+                            "0.568106, 0.559482, 0.532609, 0.475453, 0.386958, 0.28028, 0.151471", \
+                            "0.586047, 0.580481, 0.553718, 0.498146, 0.406329, 0.301961, 0.169797", \
+                            "0.665456, 0.660616, 0.636493, 0.579843, 0.485463, 0.373615, 0.251627", \
+                            "0.73667, 0.731148, 0.707949, 0.65065, 0.557546, 0.44506, 0.323235" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00819589;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.019162", \
+                            "0, 0, 0, 0, 0, 0, 0.013574", \
+                            "0, 0, 0, 0, 0, 0, 0.007271", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.26806, 0.275825, 0.303061, 0.358732, 0.447744, 0.553674, 0.681637", \
+                            "0.262783, 0.269463, 0.297418, 0.353375, 0.443036, 0.549901, 0.679349", \
+                            "0.257283, 0.262812, 0.292567, 0.347336, 0.435028, 0.542861, 0.67474", \
+                            "0.240857, 0.249976, 0.277871, 0.333399, 0.422488, 0.528407, 0.659043", \
+                            "0.225141, 0.229452, 0.259175, 0.31405, 0.403623, 0.508541, 0.640695", \
+                            "0.208628, 0.216789, 0.245361, 0.300641, 0.386056, 0.496859, 0.624932", \
+                            "0.203828, 0.210417, 0.239544, 0.294943, 0.383108, 0.490039, 0.61908" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.00928, 1.0043, 0.986216, 0.948123, 0.899745, 0.851884, 0.814473", \
+                            "1.01516, 1.01056, 0.99209, 0.953997, 0.901417, 0.857758, 0.820644", \
+                            "1.02003, 1.01505, 0.996974, 0.958881, 0.906895, 0.862631, 0.825594", \
+                            "1.03445, 1.02947, 1.01138, 0.973291, 0.921316, 0.877052, 0.83996", \
+                            "1.05442, 1.04943, 1.03135, 0.993267, 0.940467, 0.897017, 0.859936", \
+                            "1.0671, 1.06213, 1.04403, 1.00594, 0.957902, 0.9097, 0.875171", \
+                            "1.07199, 1.06701, 1.04894, 1.01083, 0.962786, 0.914595, 0.877316" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.542817, 0.533918, 0.508288, 0.450956, 0.362868, 0.256502, 0.128669", \
+                            "0.547998, 0.540892, 0.514767, 0.456929, 0.367752, 0.261273, 0.131921", \
+                            "0.556204, 0.546964, 0.519585, 0.462, 0.373637, 0.265797, 0.135623", \
+                            "0.568117, 0.559482, 0.533027, 0.475453, 0.387156, 0.28028, 0.151471", \
+                            "0.586476, 0.580481, 0.551892, 0.495484, 0.406637, 0.301961, 0.169797", \
+                            "0.60038, 0.592416, 0.567358, 0.508222, 0.425029, 0.314457, 0.184743", \
+                            "0.605385, 0.599588, 0.57244, 0.513975, 0.426305, 0.319517, 0.190098" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0438819;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.611611, 0.608223, 0.601249, 0.621467, 0.675587, 0.734404, 0.791285", \
+                            "0.603493, 0.597806, 0.592834, 0.614328, 0.668976, 0.726726, 0.784795", \
+                            "0.596233, 0.594297, 0.589578, 0.605022, 0.662167, 0.720236, 0.773729", \
+                            "0.585046, 0.579238, 0.570647, 0.592548, 0.645425, 0.70807, 0.763851", \
+                            "0.565268, 0.554884, 0.550154, 0.575091, 0.629156, 0.687577, 0.743424", \
+                            "0.552211, 0.546161, 0.540155, 0.556237, 0.61732, 0.674817, 0.72666", \
+                            "0.545446, 0.545358, 0.532642, 0.55484, 0.609114, 0.673508, 0.724306" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.817586, 0.824648, 0.842072, 0.881672, 0.952908, 1.04562, 1.15452", \
+                            "0.816046, 0.818169, 0.835131, 0.87296, 0.948321, 1.03819, 1.15084", \
+                            "0.810788, 0.814671, 0.829092, 0.869913, 0.940214, 1.03363, 1.14203", \
+                            "0.790427, 0.796334, 0.814451, 0.856438, 0.927696, 1.0207, 1.12818", \
+                            "0.772288, 0.779372, 0.796477, 0.831072, 0.906323, 0.998052, 1.10683", \
+                            "0.763367, 0.765545, 0.783596, 0.819885, 0.895026, 0.984533, 1.09552", \
+                            "0.755656, 0.761321, 0.777172, 0.813406, 0.88913, 0.981508, 1.09079" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.634678, 0.639166, 0.643302, 0.638473, 0.634667, 0.634502, 0.632984", \
+                            "0.636801, 0.643192, 0.645733, 0.641278, 0.637472, 0.638231, 0.635789", \
+                            "0.657844, 0.66363, 0.666512, 0.661738, 0.656304, 0.658691, 0.656249", \
+                            "0.698896, 0.704264, 0.707685, 0.703175, 0.702427, 0.69971, 0.697257", \
+                            "0.759594, 0.765204, 0.768031, 0.763488, 0.759682, 0.760441, 0.757999", \
+                            "0.831479, 0.837353, 0.839839, 0.832887, 0.831567, 0.832326, 0.829884", \
+                            "0.892606, 0.897105, 0.901395, 0.897413, 0.896621, 0.893948, 0.891495" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.655567, 0.651574, 0.63404, 0.606727, 0.600996, 0.610258, 0.611358", \
+                            "0.658152, 0.654379, 0.638077, 0.612436, 0.60379, 0.613052, 0.614009", \
+                            "0.678645, 0.674707, 0.65736, 0.629992, 0.624261, 0.633512, 0.634623", \
+                            "0.719983, 0.715792, 0.69872, 0.671011, 0.66528, 0.669218, 0.675631", \
+                            "0.780593, 0.776457, 0.759484, 0.734646, 0.726011, 0.735262, 0.736362", \
+                            "0.852247, 0.848397, 0.830841, 0.803616, 0.798039, 0.807147, 0.808192", \
+                            "0.91388, 0.909953, 0.891979, 0.86801, 0.859518, 0.867581, 0.869869" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.017554;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.581779, 0.587598, 0.604868, 0.627539, 0.633325, 0.621808, 0.594605", \
+                            "0.577896, 0.579865, 0.59785, 0.620829, 0.626384, 0.614691, 0.588038", \
+                            "0.568876, 0.573848, 0.592339, 0.61468, 0.621698, 0.605594, 0.581295", \
+                            "0.556985, 0.56265, 0.577555, 0.600281, 0.606342, 0.595551, 0.568953", \
+                            "0.53592, 0.541156, 0.557403, 0.580745, 0.587796, 0.57398, 0.549692", \
+                            "0.522852, 0.527274, 0.544555, 0.568513, 0.574706, 0.561572, 0.535315", \
+                            "0.518639, 0.5236, 0.540782, 0.563695, 0.569096, 0.554213, 0.529815" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.106823, 0.106077, 0.127118, 0.191153, 0.288068, 0.413347, 0.565235", \
+                            "0.0996017, 0.0980353, 0.120608, 0.184784, 0.280841, 0.406725, 0.558613", \
+                            "0.0945604, 0.0922229, 0.114761, 0.178706, 0.274803, 0.400653, 0.550671", \
+                            "0.0799975, 0.078859, 0.100385, 0.165495, 0.261931, 0.386485, 0.538615", \
+                            "0.05984, 0.0598411, 0.0808247, 0.145078, 0.239293, 0.366751, 0.518826", \
+                            "0.046948, 0.047487, 0.0679844, 0.132057, 0.228093, 0.353837, 0.504515", \
+                            "0.040832, 0.041338, 0.0631488, 0.127091, 0.223329, 0.349041, 0.500302" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.531894, 0.526757, 0.506495, 0.484759, 0.478632, 0.489236, 0.51766", \
+                            "0.530475, 0.533049, 0.514272, 0.491326, 0.485243, 0.496023, 0.526405", \
+                            "0.544511, 0.538945, 0.521169, 0.498619, 0.491073, 0.502337, 0.53207", \
+                            "0.555555, 0.550473, 0.535744, 0.512501, 0.505054, 0.515669, 0.54659", \
+                            "0.576807, 0.571186, 0.555423, 0.530772, 0.523798, 0.535359, 0.56287", \
+                            "0.588885, 0.585178, 0.567952, 0.545215, 0.537713, 0.549252, 0.578523", \
+                            "0.595144, 0.588643, 0.571692, 0.548581, 0.542454, 0.555555, 0.584617" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.762399, 0.762938, 0.741895, 0.677072, 0.581042, 0.456126, 0.307593", \
+                            "0.769714, 0.770539, 0.748451, 0.683628, 0.587598, 0.462693, 0.31416", \
+                            "0.774785, 0.776391, 0.754061, 0.689568, 0.593538, 0.468622, 0.321288", \
+                            "0.788986, 0.790317, 0.768328, 0.70345, 0.60742, 0.482504, 0.333971", \
+                            "0.808478, 0.809072, 0.787952, 0.723129, 0.627099, 0.502172, 0.353628", \
+                            "0.822074, 0.821975, 0.800965, 0.736153, 0.640123, 0.515207, 0.366674", \
+                            "0.826155, 0.827563, 0.805706, 0.740894, 0.644864, 0.519948, 0.371415" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0002753145;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_1v80.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_1v80.lib
new file mode 100755
index 0000000..b448641
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_1v80.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_1v80) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 1.8;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 4.617;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.8);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_1p8v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 1.8;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_1p8v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 0.9747;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+			  index_2 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "16.1892, 16.2684, 16.4556, 16.8096, 17.2884, 17.994, 18.9", \
+                            "16.2108, 16.2792, 16.4796, 16.812, 17.3208, 18.0048, 18.9192", \
+                            "16.2708, 16.3284, 16.5336, 16.8876, 17.388, 18.1068, 18.9792", \
+                            "16.3848, 16.4628, 16.6596, 17.0064, 17.5044, 18.2088, 19.11", \
+                            "16.5312, 16.62, 16.824, 17.1552, 17.6568, 18.342, 19.248", \
+                            "16.722, 16.7952, 16.986, 17.3604, 17.8392, 18.5148, 19.428", \
+                            "16.9764, 17.058, 17.2368, 17.6076, 18.0816, 18.7836, 19.6884" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+  	                     "0.501768, 0.587328, 0.881388, 1.43976, 2.3292, 3.64464, 5.3358" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "17.106, 17.1648, 17.3232, 17.5812, 17.8548, 18.2016, 18.6444", \
+                            "17.1168, 17.1768, 17.3352, 17.5728, 17.8728, 18.216, 18.6768", \
+                            "17.1672, 17.2452, 17.412, 17.6436, 17.9328, 18.3048, 18.7164", \
+                            "17.2944, 17.3592, 17.532, 17.7684, 18.0528, 18.4296, 18.8472", \
+                            "17.4384, 17.5164, 17.6832, 17.9064, 18.1956, 18.5544, 18.9912", \
+                            "17.6172, 17.7024, 17.8524, 18.1236, 18.39, 18.7248, 19.1784", \
+                            "17.88, 17.952, 18.0912, 18.3672, 18.6192, 18.9864, 19.4232" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "0.510036, 0.562236, 0.751656, 0.980544, 1.35432, 1.8816, 2.53392" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.282773;
+                  clock                : true;
+                  max_transition       : 4.617;
+                  min_pulse_width_high : 10.91484;
+                  min_pulse_width_low  : 10.288695;
+                  min_period           : 22.4703;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("102.006, 102.006, 102.006, 102.006, 102.006, 102.006, 102.006");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("102.006, 102.006, 102.006, 102.006, 102.006, 102.006, 102.006");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("4.42944e-05, 4.42944e-05, 4.42944e-05, 4.42944e-05, 4.42944e-05, 4.42944e-05, 4.42944e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("4.42944e-05, 4.42944e-05, 4.42944e-05, 4.42944e-05, 4.42944e-05, 4.42944e-05, 4.42944e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("93.51, 93.51, 93.51, 93.51, 93.51, 93.51, 93.51");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("93.51, 93.51, 93.51, 93.51, 93.51, 93.51, 93.51");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0183483;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.55683, 1.53714, 1.54451, 1.67651, 1.94766, 2.37446, 2.88629", \
+                            "1.54429, 1.52185, 1.53274, 1.65781, 1.90652, 2.35422, 2.8699", \
+                            "1.45992, 1.44716, 1.45541, 1.58686, 1.85856, 2.28525, 2.80126", \
+                            "1.3198, 1.30303, 1.31422, 1.44826, 1.68949, 2.10859, 2.6565", \
+                            "1.28743, 1.27572, 1.28337, 1.41636, 1.68564, 2.05843, 2.55024", \
+                            "1.28775, 1.27604, 1.29114, 1.41658, 1.68553, 2.08186, 2.5674", \
+                            "1.29177, 1.27505, 1.27921, 1.41658, 1.67827, 2.0889, 2.56212" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.1518, 1.16936, 1.23589, 1.38028, 1.6841, 2.06107, 2.57092", \
+                            "1.13121, 1.13923, 1.21524, 1.3596, 1.66342, 2.04039, 2.55035", \
+                            "1.08002, 1.09462, 1.16475, 1.31154, 1.61117, 1.99144, 2.49106", \
+                            "1.07985, 1.09214, 1.16425, 1.31107, 1.61062, 1.991, 2.49051", \
+                            "1.07851, 1.09311, 1.16312, 1.30991, 1.60952, 1.9899, 2.48941", \
+                            "1.07873, 1.0933, 1.16343, 1.31019, 1.60985, 1.99012, 2.48974", \
+                            "1.07738, 1.09244, 1.16255, 1.30934, 1.60897, 1.98924, 2.48886" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "3.82855, 3.85, 3.8445, 3.70656, 3.44542, 3.03479, 2.56157", \
+                            "3.84846, 3.86958, 3.86694, 3.73615, 3.49712, 3.06262, 2.58346", \
+                            "3.92304, 3.93437, 3.93019, 3.79291, 3.53122, 3.12059, 2.6422", \
+                            "4.08067, 4.09596, 4.0887, 3.9589, 3.72361, 3.31848, 2.80313", \
+                            "4.33301, 4.34467, 4.33708, 4.20409, 3.93481, 3.56202, 3.07021", \
+                            "4.64497, 4.65674, 4.64167, 4.51616, 4.24721, 3.85099, 3.36534", \
+                            "4.98113, 4.99785, 4.99367, 4.85639, 4.5947, 4.18396, 3.71074" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "4.04624, 4.03117, 3.96121, 3.81436, 3.51472, 3.13445, 2.63483", \
+                            "4.07484, 4.0656, 3.98981, 3.84296, 3.54343, 3.16305, 2.66343", \
+                            "4.13171, 4.11708, 4.0469, 3.90016, 3.60052, 3.22014, 2.72063", \
+                            "4.29319, 4.28098, 4.20882, 4.06197, 3.76244, 3.38206, 2.88255", \
+                            "4.5419, 4.52738, 4.45731, 4.31057, 4.01093, 3.63055, 3.13104", \
+                            "4.85408, 4.83945, 4.76938, 4.62253, 4.32289, 3.94262, 3.443", \
+                            "5.19552, 5.18045, 5.11038, 4.96353, 4.664, 4.28362, 3.78411" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0443232;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.8898, 1.89981, 1.98495, 2.12795, 2.3529, 2.63703, 2.96098", \
+                            "1.86164, 1.87968, 1.96064, 2.10265, 2.33156, 2.62306, 2.94338", \
+                            "1.8018, 1.81973, 1.89607, 2.03896, 2.26402, 2.54804, 2.8743", \
+                            "1.66012, 1.67002, 1.75175, 1.8975, 2.11695, 2.40911, 2.73295", \
+                            "1.42725, 1.44507, 1.5213, 1.66375, 1.88705, 2.17415, 2.50041", \
+                            "1.21175, 1.22949, 1.30237, 1.44881, 1.67189, 1.95778, 2.28371", \
+                            "0.99825, 1.00807, 1.09258, 1.23529, 1.46036, 1.74438, 2.0691" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.41307, 2.43023, 2.51977, 2.68081, 2.98177, 3.38349, 3.8863", \
+                            "2.39184, 2.41241, 2.49865, 2.65991, 2.96087, 3.36259, 3.86738", \
+                            "2.32386, 2.34124, 2.43232, 2.59171, 2.89289, 3.29461, 3.79731", \
+                            "2.18251, 2.20275, 2.28932, 2.45025, 2.75121, 3.15293, 3.65574", \
+                            "1.94942, 1.96669, 2.05766, 2.21705, 2.51823, 2.91995, 3.42474", \
+                            "1.73316, 1.75098, 1.84085, 2.00156, 2.30274, 2.70446, 3.20925", \
+                            "1.52042, 1.53758, 1.62712, 1.78816, 2.08923, 2.49095, 2.99574" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.64099, 2.629, 2.54925, 2.40251, 2.20737, 2.01124, 1.7996", \
+                            "2.6092, 2.59677, 2.5157, 2.37193, 2.17624, 1.96944, 1.7721", \
+                            "2.55497, 2.53341, 2.45707, 2.31594, 2.12014, 1.92478, 1.7314", \
+                            "2.59897, 2.58456, 2.50096, 2.35983, 2.17019, 1.96658, 1.76814", \
+                            "2.73636, 2.7148, 2.64385, 2.51361, 2.3023, 2.10617, 1.91213", \
+                            "2.91214, 2.89058, 2.81985, 2.67311, 2.47819, 2.28624, 2.08032", \
+                            "3.10189, 3.08792, 3.00421, 2.86308, 2.66739, 2.47192, 2.2737" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.34541, 1.32308, 1.22717, 1.07775, 0.775269, 0.384263, 0", \
+                            "1.31472, 1.29619, 1.20042, 1.04309, 0.744744, 0.354112, 0", \
+                            "1.2591, 1.23605, 1.13995, 0.990143, 0.688677, 0.298048, 0", \
+                            "1.30269, 1.28405, 1.18463, 1.03026, 0.732611, 0.341616, 0", \
+                            "1.44012, 1.41757, 1.32121, 1.16782, 0.870012, 0.479017, 0", \
+                            "1.61843, 1.59346, 1.49754, 1.34431, 1.04579, 0.654808, 0.165045", \
+                            "1.80631, 1.78354, 1.68795, 1.53395, 1.23589, 0.844921, 0.354541" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00715846;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.187958", \
+                            "0, 0, 0, 0, 0, 0.012496, 0.213907", \
+                            "0, 0, 0, 0, 0, 0.068695, 0.264407", \
+                            "0, 0, 0, 0, 0, 0.023804, 0.220402", \
+                            "0, 0, 0, 0, 0, 0, 0.086086", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0.634953, 0.653048, 0.749804, 0.899217, 1.20408, 1.59346, 2.08571", \
+                            "0.667513, 0.68079, 0.780285, 0.934648, 1.23687, 1.63383, 2.12421", \
+                            "0.726506, 0.742973, 0.842127, 0.991243, 1.29506, 1.6819, 2.17767", \
+                            "0.681978, 0.695805, 0.795784, 0.948805, 1.25019, 1.64032, 2.13246", \
+                            "0.547657, 0.565609, 0.662805, 0.814396, 1.11675, 1.50667, 1.99771", \
+                            "0.36652, 0.386639, 0.48301, 0.634667, 0.937178, 1.32649, 1.81731", \
+                            "0.177471, 0.19484, 0.290542, 0.443982, 0.74547, 1.13538, 1.62657" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.65133, 2.63054, 2.5542, 2.41175, 2.20913, 2.0152, 1.82512", \
+                            "2.62064, 2.59996, 2.52362, 2.38117, 2.17712, 1.98462, 1.78893", \
+                            "2.56465, 2.54386, 2.46752, 2.32507, 2.12113, 1.92852, 1.73283", \
+                            "2.60854, 2.58775, 2.51141, 2.36907, 2.17019, 1.97252, 1.77672", \
+                            "2.74593, 2.72525, 2.64891, 2.51361, 2.30241, 2.10991, 1.91422", \
+                            "2.92127, 2.90037, 2.82403, 2.68158, 2.47819, 2.28624, 2.08934", \
+                            "3.11179, 3.091, 3.01466, 2.87232, 2.66827, 2.47577, 2.27997" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.34981, 1.32737, 1.23346, 1.07775, 0.775269, 0.384263, 0", \
+                            "1.31921, 1.30122, 1.20042, 1.04323, 0.744744, 0.354112, 0", \
+                            "1.26301, 1.24017, 1.14241, 0.990143, 0.688677, 0.298048, 0", \
+                            "1.30654, 1.28909, 1.19087, 1.03158, 0.732611, 0.341616, 0", \
+                            "1.44441, 1.42175, 1.32363, 1.16782, 0.870012, 0.479017, 0", \
+                            "1.62096, 1.59698, 1.50326, 1.34431, 1.04579, 0.654808, 0.165045", \
+                            "1.81027, 1.78772, 1.69422, 1.53406, 1.23589, 0.844921, 0.355256" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0404789;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.58389, 1.58334, 1.59192, 1.75175, 2.01245, 2.44717, 2.95471", \
+                            "1.61491, 1.61238, 1.62085, 1.75439, 2.0427, 2.44915, 2.98199", \
+                            "1.66771, 1.67189, 1.6808, 1.83106, 2.10782, 2.53088, 3.02885", \
+                            "1.62734, 1.63009, 1.63405, 1.76407, 2.05238, 2.46697, 2.99464", \
+                            "1.49072, 1.49215, 1.49644, 1.63768, 1.92071, 2.35675, 2.86066", \
+                            "1.31882, 1.31175, 1.31668, 1.48973, 1.7424, 2.17932, 2.68587", \
+                            "1.12496, 1.12703, 1.13575, 1.29327, 1.55386, 1.98726, 2.4937" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.85438, 1.88034, 1.92775, 2.08582, 2.39734, 2.80698, 3.31199", \
+                            "1.88243, 1.90432, 1.95547, 2.1274, 2.41615, 2.84207, 3.33971", \
+                            "1.93677, 1.96559, 2.01443, 2.18042, 2.47621, 2.89278, 3.39559", \
+                            "1.892, 1.92214, 1.97263, 2.14577, 2.43584, 2.85791, 3.35423", \
+                            "1.75175, 1.78761, 1.8381, 2.01113, 2.30439, 2.7225, 3.21211", \
+                            "1.58752, 1.60996, 1.6621, 1.81742, 2.12025, 2.5443, 3.04535", \
+                            "1.39436, 1.40932, 1.46597, 1.6467, 1.93072, 2.35576, 2.84306" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.31885, 1.33155, 1.31142, 1.14622, 0.893024, 0.555269, 0.223059", \
+                            "1.34123, 1.35223, 1.33265, 1.19335, 0.933339, 0.602932, 0.205915", \
+                            "1.40217, 1.41405, 1.39469, 1.24233, 1.00755, 0.662761, 0.302506", \
+                            "1.54539, 1.55859, 1.54033, 1.40118, 1.14728, 0.805937, 0.415613", \
+                            "1.77936, 1.79135, 1.77639, 1.63251, 1.35355, 1.0158, 0.670395", \
+                            "2.08032, 2.09143, 2.07328, 1.90575, 1.65253, 1.31473, 0.969386", \
+                            "2.38755, 2.39943, 2.38007, 2.21496, 1.96174, 1.62393, 1.27856" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.51701, 1.50205, 1.43099, 1.2754, 1.084, 0.858935, 0.62777", \
+                            "1.53758, 1.52372, 1.45134, 1.29581, 1.10442, 0.879351, 0.648186", \
+                            "1.59995, 1.58532, 1.51415, 1.35861, 1.16719, 0.942194, 0.711084", \
+                            "1.74669, 1.73118, 1.661, 1.50546, 1.31399, 1.08903, 0.857846", \
+                            "1.97758, 1.96251, 1.89145, 1.73591, 1.5444, 1.31948, 1.08834", \
+                            "2.27623, 2.26149, 2.19043, 2.03489, 1.84349, 1.6181, 1.38732", \
+                            "2.58566, 2.57213, 2.49964, 2.3441, 2.15259, 1.92764, 1.69642" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0160437;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.79762, 1.83007, 1.88859, 2.01113, 2.14225, 2.23069, 2.35279", \
+                            "1.81225, 1.84019, 1.9107, 2.03368, 2.16898, 2.23443, 2.34377", \
+                            "1.88155, 1.91235, 1.96658, 2.09957, 2.22717, 2.31473, 2.42286", \
+                            "1.82677, 1.8535, 1.92478, 2.05887, 2.1857, 2.2803, 2.37886", \
+                            "1.70005, 1.73426, 1.78354, 1.92126, 2.05524, 2.13543, 2.26017", \
+                            "1.52779, 1.5565, 1.61964, 1.74119, 1.87231, 1.95569, 2.08175", \
+                            "1.331, 1.35586, 1.42164, 1.55628, 1.68542, 1.76363, 1.87693" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0, 0, 0.03718, 0.207596, 0.479842, 0.867295, 1.34332", \
+                            "0, 0, 0.06347, 0.235245, 0.507496, 0.895202, 1.37049", \
+                            "0.042493, 0.052987, 0.115133, 0.292512, 0.565213, 0.952688, 1.42824", \
+                            "0, 0.01034, 0.079772, 0.249733, 0.521972, 0.909689, 1.38501", \
+                            "0, 0, 0, 0.114538, 0.387178, 0.774653, 1.25062", \
+                            "0, 0, 0, 0, 0.209484, 0.597201, 1.07294", \
+                            "0, 0, 0, 0, 0.021021, 0.408287, 0.883619" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.0226, 0.99253, 0.932019, 0.804584, 0.674971, 0.593505, 0.460119", \
+                            "0.992398, 0.970904, 0.904805, 0.774147, 0.641267, 0.575619, 0.462737", \
+                            "0.931502, 0.901703, 0.840004, 0.713768, 0.584188, 0.502942, 0.382932", \
+                            "0.98175, 0.964018, 0.890516, 0.764324, 0.632126, 0.535249, 0.434005", \
+                            "1.11093, 1.08084, 1.02039, 0.895147, 0.763246, 0.682, 0.56243", \
+                            "1.29225, 1.26237, 1.20068, 1.07411, 0.944768, 0.863555, 0.732413", \
+                            "1.48346, 1.46553, 1.39205, 1.26581, 1.13622, 1.05513, 0.934989" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.16733, 2.1681, 2.09924, 1.92335, 1.65044, 1.26653, 0.797995", \
+                            "2.1362, 2.13752, 2.06888, 1.89299, 1.62008, 1.23609, 0.767558", \
+                            "2.07658, 2.07746, 2.00849, 1.8326, 1.55969, 1.17575, 0.707212", \
+                            "2.12707, 2.12795, 2.05898, 1.88309, 1.61018, 1.2262, 0.757669", \
+                            "2.255, 2.25621, 2.18757, 2.01168, 1.73877, 1.35487, 0.886281", \
+                            "2.43716, 2.43804, 2.36907, 2.19318, 1.92027, 1.53637, 1.0678", \
+                            "2.62801, 2.62955, 2.56058, 2.38469, 2.11178, 1.72777, 1.25926" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 4.42944e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_3v30.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_3v30.lib
new file mode 100755
index 0000000..3b1ad7c
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_3v30.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_3v30) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 3.3;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 3.1779;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.3);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_3p3v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 3.3;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_3p3v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0423;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+			  index_2 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "6.501, 6.52944, 6.6054, 6.75576, 6.95352, 7.24428, 7.6194", \
+                            "6.52092, 6.53112, 6.624, 6.77184, 6.96972, 7.24668, 7.61748", \
+                            "6.54048, 6.55488, 6.64812, 6.78072, 6.98232, 7.26864, 7.63908", \
+                            "6.5478, 6.57204, 6.66264, 6.80544, 7.00668, 7.29372, 7.65852", \
+                            "6.58644, 6.61404, 6.6972, 6.8394, 7.04412, 7.32564, 7.68708", \
+                            "6.59304, 6.62436, 6.69216, 6.84408, 7.03632, 7.32516, 7.70964", \
+                            "6.56652, 6.59484, 6.67728, 6.8208, 7.03392, 7.31196, 7.67916" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+  	                     "0.226416, 0.266448, 0.397956, 0.6414, 1.0328, 1.59912, 2.33844" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "6.75216, 6.7842, 6.84312, 6.97872, 7.1232, 7.32012, 7.56228", \
+                            "6.77172, 6.78324, 6.8706, 6.98808, 7.14084, 7.32228, 7.56", \
+                            "6.79488, 6.80376, 6.88956, 7.00212, 7.1538, 7.34724, 7.58376", \
+                            "6.80088, 6.8268, 6.90552, 7.02564, 7.17636, 7.36656, 7.59756", \
+                            "6.83568, 6.8628, 6.9408, 7.05828, 7.21932, 7.40544, 7.62708", \
+                            "6.8466, 6.87564, 6.93708, 7.06536, 7.20492, 7.40304, 7.65", \
+                            "6.82104, 6.84816, 6.92268, 7.04304, 7.20672, 7.38696, 7.6182" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "0.226176, 0.258888, 0.349932, 0.482796, 0.695256, 0.97752, 1.3524" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.293631;
+                  clock                : true;
+                  max_transition       : 3.1779;
+                  min_pulse_width_high : 3.287265;
+                  min_pulse_width_low  : 3.891015;
+                  min_period           : 8.55972;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("375.012, 375.012, 375.012, 375.012, 375.012, 375.012, 375.012");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("375.012, 375.012, 375.012, 375.012, 375.012, 375.012, 375.012");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("0.000149223, 0.000149223, 0.000149223, 0.000149223, 0.000149223, 0.000149223, 0.000149223");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("0.000149223, 0.000149223, 0.000149223, 0.000149223, 0.000149223, 0.000149223, 0.000149223");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("338.663, 338.663, 338.663, 338.663, 338.663, 338.663, 338.663");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("338.663, 338.663, 338.663, 338.663, 338.663, 338.663, 338.663");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0183651;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.617452, 0.603218, 0.603757, 0.658537, 0.734668, 0.789503, 0.820743", \
+                            "0.606892, 0.592669, 0.594671, 0.64801, 0.725835, 0.778327, 0.816695", \
+                            "0.585376, 0.576631, 0.575333, 0.621643, 0.694804, 0.746295, 0.785664", \
+                            "0.58707, 0.575894, 0.57508, 0.621401, 0.676775, 0.697411, 0.735746", \
+                            "0.586102, 0.575784, 0.575223, 0.621027, 0.676489, 0.695893, 0.719697", \
+                            "0.586487, 0.575322, 0.574508, 0.620818, 0.676269, 0.695629, 0.719433", \
+                            "0.586245, 0.575553, 0.574739, 0.620543, 0.675994, 0.723998, 0.763367" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.542047, 0.549076, 0.583968, 0.662596, 0.788106, 0.926486, 1.07853", \
+                            "0.540639, 0.548339, 0.581691, 0.662585, 0.786951, 0.926035, 1.07732", \
+                            "0.539363, 0.546458, 0.580987, 0.662354, 0.785521, 0.925518, 1.07591", \
+                            "0.539385, 0.546205, 0.579986, 0.659725, 0.785235, 0.924968, 1.06691", \
+                            "0.53878, 0.545842, 0.580052, 0.662123, 0.784894, 0.923241, 1.0753", \
+                            "0.538615, 0.545622, 0.579403, 0.66022, 0.784696, 0.923758, 1.0751", \
+                            "0.538593, 0.545325, 0.579601, 0.658955, 0.784421, 0.924099, 1.07478" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.59203, 1.60325, 1.60413, 1.55782, 1.50403, 1.48159, 1.46058", \
+                            "1.59742, 1.60864, 1.60897, 1.5631, 1.50766, 1.48753, 1.46454", \
+                            "1.63438, 1.64307, 1.64439, 1.59808, 1.54264, 1.52328, 1.49952", \
+                            "1.70863, 1.71985, 1.72062, 1.67431, 1.61898, 1.59863, 1.57564", \
+                            "1.80092, 1.81126, 1.81181, 1.76594, 1.7105, 1.69114, 1.66727", \
+                            "1.87781, 1.88903, 1.8898, 1.84349, 1.78805, 1.76869, 1.74493", \
+                            "1.93017, 1.94084, 1.94172, 1.89585, 1.84041, 1.82105, 1.79718" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.63999, 1.63295, 1.59808, 1.51943, 1.39392, 1.25555, 1.10351", \
+                            "1.6456, 1.6379, 1.60457, 1.52361, 1.39931, 1.26018, 1.10889", \
+                            "1.68036, 1.67332, 1.63878, 1.55738, 1.43418, 1.29426, 1.14384", \
+                            "1.75637, 1.74955, 1.71578, 1.63603, 1.51052, 1.37071, 1.22881", \
+                            "1.84822, 1.84118, 1.80697, 1.72491, 1.60204, 1.46377, 1.31164", \
+                            "1.92566, 1.91873, 1.88496, 1.80411, 1.67959, 1.54055, 1.38919", \
+                            "1.9778, 1.97109, 1.93688, 1.85746, 1.73206, 1.59236, 1.44166" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0480112;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.824076, 0.834196, 0.86735, 0.935715, 1.03133, 1.12476, 1.20448", \
+                            "0.814275, 0.824714, 0.85789, 0.926849, 1.0218, 1.11525, 1.19274", \
+                            "0.782188, 0.792132, 0.825638, 0.89474, 0.98967, 1.08357, 1.16194", \
+                            "0.714604, 0.724581, 0.757867, 0.826969, 0.921811, 1.01526, 1.09417", \
+                            "0.640211, 0.650243, 0.683474, 0.752455, 0.847385, 0.94138, 1.01979", \
+                            "0.584837, 0.5951, 0.628375, 0.697477, 0.792407, 0.886303, 0.964678", \
+                            "0.548757, 0.558778, 0.592053, 0.661012, 0.755975, 0.849992, 0.928367" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.919754, 0.92466, 0.971476, 1.06695, 1.21594, 1.40162, 1.6049", \
+                            "0.910558, 0.915211, 0.962005, 1.05747, 1.20646, 1.39293, 1.59544", \
+                            "0.878053, 0.882926, 0.927696, 1.0254, 1.17422, 1.35861, 1.56321", \
+                            "0.810282, 0.815166, 0.86251, 0.95744, 1.10642, 1.29212, 1.49435", \
+                            "0.735977, 0.740773, 0.788799, 0.883212, 1.03201, 1.21833, 1.42098", \
+                            "0.680867, 0.685685, 0.73249, 0.827926, 0.976316, 1.16336, 1.36598", \
+                            "0.644457, 0.649396, 0.697411, 0.791637, 0.940027, 1.12632, 1.32968" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.15279, 1.14387, 1.11067, 1.04864, 1.00038, 0.962324, 0.952721", \
+                            "1.15797, 1.14906, 1.11585, 1.05473, 1.00443, 0.967494, 0.957935", \
+                            "1.18036, 1.17103, 1.13782, 1.0767, 1.02769, 0.989461, 0.979869", \
+                            "1.19457, 1.18504, 1.15184, 1.09074, 1.04157, 1.00351, 0.993894", \
+                            "1.22312, 1.21369, 1.18038, 1.11927, 1.06913, 1.03204, 1.02243", \
+                            "1.22848, 1.21972, 1.18586, 1.12536, 1.07483, 1.03785, 1.02812", \
+                            "1.22086, 1.21196, 1.17875, 1.11764, 1.06733, 1.0304, 1.0208" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.606089, 0.597938, 0.548427, 0.456709, 0.312686, 0.135105, 0", \
+                            "0.61237, 0.602987, 0.55407, 0.461912, 0.317988, 0.141843, 0", \
+                            "0.633127, 0.625636, 0.580734, 0.484253, 0.33902, 0.164846, 0", \
+                            "0.647449, 0.639672, 0.593186, 0.498289, 0.353914, 0.17627, 0", \
+                            "0.676654, 0.668228, 0.618926, 0.526724, 0.382426, 0.206091, 0.009955", \
+                            "0.750508, 0.745437, 0.6996, 0.60247, 0.453024, 0.278991, 0.0858429", \
+                            "0.826804, 0.821733, 0.775082, 0.678733, 0.529342, 0.355531, 0.162162" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.007649;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.016192, 0.032076", \
+                            "0, 0, 0, 0, 0, 0.011671, 0.027544", \
+                            "0, 0, 0, 0, 0, 0, 0.005522", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.359975, 0.37026, 0.418968, 0.511588, 0.655842, 0.833316, 1.0328", \
+                            "0.353958, 0.364672, 0.414766, 0.507386, 0.651178, 0.827277, 1.02122", \
+                            "0.3333, 0.342067, 0.388113, 0.48554, 0.629882, 0.804463, 1.00606", \
+                            "0.316943, 0.327272, 0.375826, 0.469689, 0.613008, 0.791351, 0.991749", \
+                            "0.286483, 0.296793, 0.348029, 0.43758, 0.583858, 0.76021, 0.958298", \
+                            "0.281355, 0.29234, 0.341902, 0.435347, 0.577698, 0.754963, 0.952985", \
+                            "0.291546, 0.301199, 0.351681, 0.444422, 0.586993, 0.766403, 0.966306" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.15333, 1.14547, 1.1135, 1.05229, 1.00098, 0.962324, 0.952721", \
+                            "1.15851, 1.15065, 1.11869, 1.05747, 1.00616, 0.967494, 0.957935", \
+                            "1.18048, 1.17263, 1.14066, 1.07944, 1.02814, 0.989461, 0.979869", \
+                            "1.19457, 1.18668, 1.1547, 1.09349, 1.04218, 1.00351, 0.993894", \
+                            "1.22312, 1.21523, 1.18325, 1.12202, 1.07072, 1.03204, 1.02243", \
+                            "1.22921, 1.22136, 1.18939, 1.12817, 1.07687, 1.03795, 1.02812", \
+                            "1.22142, 1.21356, 1.1816, 1.12038, 1.06908, 1.0304, 1.0208" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.606441, 0.597938, 0.54934, 0.456709, 0.313445, 0.135105, 0", \
+                            "0.612766, 0.602987, 0.55506, 0.461912, 0.318659, 0.141843, 0", \
+                            "0.633556, 0.625636, 0.580734, 0.484253, 0.340362, 0.164846, 0", \
+                            "0.647845, 0.639672, 0.593186, 0.498289, 0.354651, 0.17764, 0", \
+                            "0.676995, 0.668228, 0.618926, 0.526724, 0.383097, 0.206091, 0.010186", \
+                            "0.683298, 0.67419, 0.62744, 0.532554, 0.391039, 0.212552, 0.016357", \
+                            "0.674498, 0.666633, 0.617364, 0.524777, 0.383262, 0.20453, 0.008646" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0404958;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.739365, 0.734503, 0.735185, 0.788854, 0.870551, 0.929808, 0.977592", \
+                            "0.732391, 0.726847, 0.729564, 0.781935, 0.863511, 0.925837, 0.96844", \
+                            "0.717783, 0.712008, 0.712712, 0.761508, 0.844393, 0.906301, 0.952028", \
+                            "0.696674, 0.694386, 0.695673, 0.74624, 0.827123, 0.888316, 0.933097", \
+                            "0.669097, 0.662607, 0.664818, 0.717332, 0.79871, 0.861069, 0.905146", \
+                            "0.662046, 0.658999, 0.660308, 0.710369, 0.792099, 0.854491, 0.901186", \
+                            "0.675169, 0.671704, 0.670043, 0.723195, 0.805134, 0.865326, 0.911328" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.932107, 0.937464, 0.979473, 1.05448, 1.18665, 1.3398, 1.51162", \
+                            "0.926354, 0.931403, 0.973445, 1.05194, 1.17762, 1.33353, 1.50491", \
+                            "0.908578, 0.917169, 0.955977, 1.03174, 1.16234, 1.31626, 1.48753", \
+                            "0.888503, 0.899503, 0.935495, 1.01404, 1.14665, 1.29965, 1.47213", \
+                            "0.861333, 0.869, 0.910437, 0.986524, 1.11575, 1.27103, 1.44177", \
+                            "0.85448, 0.864039, 0.9031, 0.986513, 1.10935, 1.26386, 1.4355", \
+                            "0.866151, 0.876733, 0.914265, 0.988999, 1.11959, 1.27569, 1.44716" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.694408, 0.700084, 0.693396, 0.674872, 0.665566, 0.659021, 0.646778", \
+                            "0.708136, 0.714186, 0.706453, 0.688633, 0.678623, 0.672078, 0.659846", \
+                            "0.740839, 0.745855, 0.739167, 0.720643, 0.711337, 0.704803, 0.69256", \
+                            "0.806091, 0.811096, 0.804397, 0.785829, 0.776567, 0.770022, 0.757812", \
+                            "0.900471, 0.905564, 0.898799, 0.880286, 0.870969, 0.863599, 0.852192", \
+                            "0.968176, 0.973742, 0.966009, 0.94787, 0.938168, 0.931645, 0.919424", \
+                            "1.02094, 1.02604, 1.01927, 1.00074, 0.991441, 0.984885, 0.972664" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.73007, 0.721787, 0.683705, 0.646734, 0.623744, 0.614493, 0.615395", \
+                            "0.743446, 0.734822, 0.696784, 0.659791, 0.636823, 0.628408, 0.628188", \
+                            "0.775841, 0.767547, 0.729487, 0.692505, 0.669537, 0.660264, 0.660495", \
+                            "0.841104, 0.832777, 0.796939, 0.757735, 0.734481, 0.726352, 0.726099", \
+                            "0.935792, 0.926948, 0.888723, 0.852137, 0.829158, 0.820743, 0.820501", \
+                            "1.003, 0.994356, 0.956329, 0.912879, 0.896368, 0.887953, 0.887711", \
+                            "1.05594, 1.04761, 1.00958, 0.972609, 0.949619, 0.941215, 0.940984" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0163071;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.693968, 0.705672, 0.736681, 0.756723, 0.752576, 0.715616, 0.645887", \
+                            "0.694331, 0.705298, 0.731643, 0.746999, 0.748011, 0.716133, 0.641949", \
+                            "0.675884, 0.682803, 0.712448, 0.728046, 0.727628, 0.694617, 0.623359", \
+                            "0.656293, 0.667315, 0.69476, 0.711964, 0.713119, 0.673849, 0.606782", \
+                            "0.630344, 0.639452, 0.666358, 0.684266, 0.682803, 0.644369, 0.573056", \
+                            "0.62491, 0.621962, 0.655347, 0.67804, 0.677897, 0.64174, 0.568876", \
+                            "0.633523, 0.641817, 0.673706, 0.689359, 0.688809, 0.650232, 0.583308" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.140605, 0.142299, 0.186201, 0.288335, 0.443168, 0.628595, 0.858946", \
+                            "0.134339, 0.140911, 0.177095, 0.283091, 0.437723, 0.626197, 0.854007", \
+                            "0.115546, 0.119538, 0.16139, 0.261871, 0.418836, 0.603801, 0.833316", \
+                            "0.100142, 0.105882, 0.140863, 0.247389, 0.401742, 0.587147, 0.819489", \
+                            "0.069729, 0.073513, 0.115278, 0.217653, 0.372526, 0.559757, 0.79079", \
+                            "0.063459, 0.067188, 0.109079, 0.212506, 0.366245, 0.552794, 0.783277", \
+                            "0.074855, 0.078991, 0.120623, 0.223245, 0.376497, 0.565246, 0.793507" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.568491, 0.558855, 0.529606, 0.506616, 0.510015, 0.545105, 0.608575", \
+                            "0.571329, 0.554983, 0.529397, 0.514426, 0.514096, 0.543961, 0.62018", \
+                            "0.593648, 0.583264, 0.553773, 0.536019, 0.534589, 0.563035, 0.640948", \
+                            "0.60907, 0.596376, 0.567556, 0.552772, 0.552189, 0.586487, 0.657822", \
+                            "0.63272, 0.621467, 0.596332, 0.58091, 0.580899, 0.615263, 0.686103", \
+                            "0.646272, 0.635734, 0.605814, 0.58597, 0.589006, 0.622776, 0.690019", \
+                            "0.630674, 0.623403, 0.591107, 0.576323, 0.575355, 0.610038, 0.68079" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.882629, 0.880506, 0.841038, 0.735592, 0.5841, 0.395395, 0.169497", \
+                            "0.886435, 0.884224, 0.844701, 0.739255, 0.587763, 0.399179, 0.173169", \
+                            "0.907258, 0.905146, 0.865667, 0.760232, 0.608729, 0.420035, 0.194136", \
+                            "0.923868, 0.921316, 0.88242, 0.776963, 0.625471, 0.436777, 0.21015", \
+                            "0.952754, 0.950719, 0.911196, 0.805761, 0.654247, 0.465674, 0.239657", \
+                            "0.960333, 0.958298, 0.918775, 0.81334, 0.661837, 0.473132, 0.247239", \
+                            "0.947903, 0.945494, 0.90596, 0.800525, 0.649033, 0.460449, 0.234431" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0001492227;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_5v00.lib b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_5v00.lib
new file mode 100755
index 0000000..308090c
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram256x8m8wm1/gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_5v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram256x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[7:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram256x8m8wm1__tt_025C_5v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 5.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.547;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_5p0v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 5.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_5p0v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram256x8m8wm1) {
+        area             : 147212.4368;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 8;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.119;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+			  index_2 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "4.56924, 4.58664, 4.647, 4.74636, 4.8942, 5.0994, 5.36832", \
+                            "4.5726, 4.58808, 4.65036, 4.74732, 4.8972, 5.10216, 5.3682", \
+                            "4.57788, 4.5966, 4.65096, 4.75116, 4.90224, 5.1084, 5.37408", \
+                            "4.58376, 4.60212, 4.66188, 4.761, 4.911, 5.11476, 5.38416", \
+                            "4.59036, 4.6062, 4.66932, 4.76688, 4.91916, 5.121, 5.39028", \
+                            "4.59924, 4.61844, 4.67292, 4.77552, 4.91916, 5.12604, 5.39652", \
+                            "4.58808, 4.6104, 4.66632, 4.76412, 4.91076, 5.11704, 5.38512" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+  	                     "0.16728, 0.193944, 0.293448, 0.47322, 0.760944, 1.18441, 1.73928" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "4.71576, 4.73436, 4.79244, 4.88376, 5.0052, 5.15532, 5.35128", \
+                            "4.7178, 4.73592, 4.7952, 4.88532, 5.00844, 5.16204, 5.34984", \
+                            "4.72368, 4.74216, 4.79928, 4.88916, 5.0124, 5.16552, 5.3568", \
+                            "4.72848, 4.7508, 4.80864, 4.8996, 5.01948, 5.1726, 5.36388", \
+                            "4.73496, 4.755, 4.81572, 4.90644, 5.03088, 5.17776, 5.37192", \
+                            "4.74444, 4.7658, 4.82196, 4.9146, 5.0298, 5.18496, 5.37792", \
+                            "4.73496, 4.75788, 4.81104, 4.90116, 5.02224, 5.17548, 5.36976" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "0.175236, 0.196392, 0.263148, 0.378876, 0.5466, 0.788136, 1.10702" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.298056;
+                  clock                : true;
+                  max_transition       : 1.547;
+                  min_pulse_width_high : 2.6907;
+                  min_pulse_width_low  : 2.666055;
+                  min_period           : 6.11805;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("939.625, 939.625, 939.625, 939.625, 939.625, 939.625, 939.625");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("939.625, 939.625, 939.625, 939.625, 939.625, 939.625, 939.625");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("0.000343015, 0.000343015, 0.000343015, 0.000343015, 0.000343015, 0.000343015, 0.000343015");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("0.000343015, 0.000343015, 0.000343015, 0.000343015, 0.000343015, 0.000343015, 0.000343015");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("841.225, 841.225, 841.225, 841.225, 841.225, 841.225, 841.225");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("841.225, 841.225, 841.225, 841.225, 841.225, 841.225, 841.225");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0182857;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.435039, 0.43054, 0.423115, 0.429814, 0.454465, 0.485716, 0.522511", \
+                            "0.433994, 0.429308, 0.422136, 0.429077, 0.45342, 0.485859, 0.516164", \
+                            "0.432421, 0.429264, 0.421146, 0.428054, 0.448701, 0.474155, 0.507628", \
+                            "0.431508, 0.428241, 0.420816, 0.427867, 0.452199, 0.474276, 0.488114", \
+                            "0.431926, 0.427966, 0.420651, 0.427515, 0.448107, 0.473935, 0.493053", \
+                            "0.432553, 0.42746, 0.420079, 0.427229, 0.451572, 0.473649, 0.484836", \
+                            "0.432366, 0.428186, 0.420123, 0.425447, 0.451396, 0.473143, 0.490556" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.406043, 0.407836, 0.418022, 0.444125, 0.495627, 0.558151, 0.627693", \
+                            "0.40491, 0.407033, 0.416977, 0.443168, 0.494659, 0.555731, 0.626714", \
+                            "0.403579, 0.406153, 0.415976, 0.442134, 0.493119, 0.556094, 0.62414", \
+                            "0.404558, 0.405812, 0.415778, 0.441892, 0.493405, 0.554532, 0.625438", \
+                            "0.403425, 0.405493, 0.415415, 0.441584, 0.49302, 0.555555, 0.625086", \
+                            "0.40293, 0.405218, 0.415151, 0.441991, 0.491645, 0.555269, 0.624811", \
+                            "0.402787, 0.405064, 0.414975, 0.441012, 0.491502, 0.555093, 0.623139" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "1.10807, 1.11256, 1.12, 1.11329, 1.08865, 1.07075, 1.04697", \
+                            "1.114, 1.11869, 1.12586, 1.11892, 1.09458, 1.07467, 1.05867", \
+                            "1.12508, 1.12824, 1.13636, 1.12945, 1.1088, 1.08335, 1.06281", \
+                            "1.1552, 1.15848, 1.1659, 1.15885, 1.13452, 1.11243, 1.0986", \
+                            "1.19611, 1.20007, 1.20737, 1.20052, 1.17992, 1.1541, 1.13497", \
+                            "1.2305, 1.23559, 1.24298, 1.23582, 1.21147, 1.1894, 1.17821", \
+                            "1.25854, 1.26273, 1.27083, 1.26544, 1.23952, 1.21778, 1.20129" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "1.13707, 1.13528, 1.12508, 1.09898, 1.04749, 0.984951, 0.91542", \
+                            "1.14309, 1.14098, 1.13102, 1.10483, 1.05334, 0.992266, 0.921283", \
+                            "1.15392, 1.15135, 1.14153, 1.11536, 1.06438, 1.00141, 0.933361", \
+                            "1.18216, 1.18089, 1.17094, 1.14483, 1.09331, 1.03219, 0.961279", \
+                            "1.22461, 1.22254, 1.21261, 1.18645, 1.13501, 1.07248, 1.00295", \
+                            "1.26012, 1.25784, 1.24789, 1.22106, 1.1714, 1.10778, 1.03825", \
+                            "1.2881, 1.2859, 1.276, 1.24991, 1.19942, 1.13583, 1.06778" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0494975;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.59026, 0.593956, 0.60588, 0.633644, 0.678403, 0.734525, 0.790658", \
+                            "0.588511, 0.592196, 0.603867, 0.631961, 0.676654, 0.733403, 0.789767", \
+                            "0.575058, 0.579766, 0.591668, 0.619311, 0.664169, 0.721083, 0.775533", \
+                            "0.549054, 0.553718, 0.5654, 0.593329, 0.638209, 0.6952, 0.749793", \
+                            "0.506539, 0.510169, 0.521928, 0.549802, 0.594605, 0.651376, 0.705078", \
+                            "0.466862, 0.471548, 0.4829, 0.511115, 0.555973, 0.612964, 0.667788", \
+                            "0.430166, 0.434654, 0.446182, 0.47443, 0.519288, 0.576312, 0.630322" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.628111, 0.631158, 0.649693, 0.68926, 0.756932, 0.846945, 0.951995", \
+                            "0.625702, 0.62964, 0.647757, 0.687918, 0.755348, 0.845064, 0.95062", \
+                            "0.613855, 0.617177, 0.63547, 0.675037, 0.741048, 0.832722, 0.937783", \
+                            "0.586729, 0.591074, 0.609301, 0.647911, 0.716683, 0.806564, 0.912582", \
+                            "0.543499, 0.547712, 0.565785, 0.604329, 0.673277, 0.763037, 0.868307", \
+                            "0.504691, 0.508871, 0.527098, 0.565323, 0.634777, 0.724636, 0.829928", \
+                            "0.468633, 0.472274, 0.49038, 0.528935, 0.597927, 0.687654, 0.793144" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.846736, 0.845548, 0.833822, 0.807598, 0.777843, 0.751652, 0.73326", \
+                            "0.850333, 0.849035, 0.837496, 0.811305, 0.781396, 0.755766, 0.736901", \
+                            "0.850223, 0.84964, 0.837474, 0.81103, 0.781495, 0.755865, 0.737022", \
+                            "0.855921, 0.855756, 0.843557, 0.817212, 0.787446, 0.761728, 0.742874", \
+                            "0.865766, 0.865271, 0.853083, 0.826672, 0.79673, 0.771045, 0.752708", \
+                            "0.86845, 0.86724, 0.855756, 0.829455, 0.799601, 0.773971, 0.755106", \
+                            "0.862741, 0.861322, 0.849871, 0.823559, 0.793705, 0.768064, 0.748704" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.475178, 0.471449, 0.452903, 0.410696, 0.344223, 0.26255, 0.159353", \
+                            "0.480084, 0.474012, 0.455334, 0.413985, 0.348403, 0.266961, 0.162017", \
+                            "0.477565, 0.473605, 0.455906, 0.413941, 0.348975, 0.265719, 0.160392", \
+                            "0.484011, 0.479853, 0.461582, 0.421256, 0.355124, 0.272941, 0.169489", \
+                            "0.493284, 0.489808, 0.471097, 0.430573, 0.364309, 0.2794, 0.177078", \
+                            "0.51986, 0.515108, 0.496705, 0.458843, 0.3872, 0.298661, 0.199863", \
+                            "0.574486, 0.570229, 0.552299, 0.513513, 0.443003, 0.35508, 0.255575" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00784667;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.255199, 0.259273, 0.277871, 0.319352, 0.384956, 0.467346, 0.570658", \
+                            "0.248316, 0.255679, 0.273519, 0.315436, 0.379676, 0.461428, 0.566852", \
+                            "0.251206, 0.255124, 0.272809, 0.315337, 0.379698, 0.462836, 0.568304", \
+                            "0.245983, 0.250582, 0.268654, 0.308847, 0.375397, 0.456104, 0.560967", \
+                            "0.236476, 0.240114, 0.25853, 0.298551, 0.365376, 0.44902, 0.552475", \
+                            "0.232035, 0.236233, 0.255134, 0.294943, 0.362582, 0.444587, 0.547316", \
+                            "0.234754, 0.242908, 0.260467, 0.300751, 0.368522, 0.452265, 0.554884" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.846736, 0.845548, 0.834251, 0.808423, 0.777843, 0.751652, 0.73326", \
+                            "0.850333, 0.849035, 0.837749, 0.811921, 0.781396, 0.755766, 0.736901", \
+                            "0.850223, 0.84964, 0.837749, 0.811921, 0.781495, 0.755865, 0.737022", \
+                            "0.855921, 0.855756, 0.8437, 0.817872, 0.787446, 0.761728, 0.742874", \
+                            "0.865766, 0.865271, 0.853083, 0.826881, 0.79673, 0.771045, 0.752708", \
+                            "0.86845, 0.86724, 0.855943, 0.830115, 0.799601, 0.773971, 0.755106", \
+                            "0.862741, 0.861322, 0.850014, 0.824186, 0.793705, 0.768064, 0.748704" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.475178, 0.471449, 0.452903, 0.411895, 0.344784, 0.262831, 0.159353", \
+                            "0.48026, 0.474232, 0.455873, 0.415877, 0.349316, 0.268338, 0.162017", \
+                            "0.477565, 0.473979, 0.455906, 0.41459, 0.348975, 0.265719, 0.160392", \
+                            "0.484011, 0.479853, 0.461582, 0.422323, 0.355124, 0.274344, 0.169489", \
+                            "0.493944, 0.489808, 0.471097, 0.431629, 0.364309, 0.2794, 0.177078", \
+                            "0.496837, 0.492591, 0.473682, 0.434445, 0.365838, 0.28424, 0.180464", \
+                            "0.493526, 0.487025, 0.46794, 0.428692, 0.360217, 0.276254, 0.173187" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0404163;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.514866, 0.51073, 0.505769, 0.511808, 0.547426, 0.58674, 0.621632", \
+                            "0.510818, 0.506671, 0.501897, 0.511709, 0.542883, 0.583121, 0.615648", \
+                            "0.511302, 0.505835, 0.500544, 0.50974, 0.542168, 0.581658, 0.616121", \
+                            "0.505076, 0.49973, 0.495715, 0.504823, 0.53746, 0.577478, 0.611006", \
+                            "0.494989, 0.491425, 0.485474, 0.494461, 0.527098, 0.56683, 0.600765", \
+                            "0.493042, 0.487817, 0.482383, 0.491645, 0.523798, 0.563178, 0.598246", \
+                            "0.496848, 0.494307, 0.488235, 0.496584, 0.529793, 0.568348, 0.60357" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.682451, 0.683661, 0.692549, 0.722414, 0.775192, 0.847814, 0.933724", \
+                            "0.678051, 0.677754, 0.689535, 0.718025, 0.771969, 0.843667, 0.928015", \
+                            "0.677391, 0.679305, 0.690745, 0.71764, 0.77187, 0.841643, 0.927212", \
+                            "0.671242, 0.6743, 0.68563, 0.713152, 0.765666, 0.837584, 0.922416", \
+                            "0.659956, 0.664323, 0.674278, 0.70224, 0.753588, 0.826254, 0.916047", \
+                            "0.658856, 0.660088, 0.670186, 0.698423, 0.752114, 0.823757, 0.909755", \
+                            "0.664059, 0.667348, 0.676357, 0.705628, 0.756514, 0.828982, 0.916146" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.535073, 0.538538, 0.541244, 0.539121, 0.539022, 0.537922, 0.536657", \
+                            "0.538714, 0.542278, 0.544874, 0.542762, 0.542663, 0.541552, 0.540287", \
+                            "0.551364, 0.554961, 0.557799, 0.555797, 0.555445, 0.554213, 0.55308", \
+                            "0.57409, 0.577555, 0.580261, 0.578138, 0.578039, 0.576939, 0.575674", \
+                            "0.617166, 0.620928, 0.623524, 0.621654, 0.621302, 0.620202, 0.618937", \
+                            "0.65901, 0.66264, 0.665291, 0.663421, 0.663069, 0.661969, 0.660704", \
+                            "0.694265, 0.696927, 0.700436, 0.698324, 0.698225, 0.697114, 0.69586" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.547503, 0.544995, 0.532411, 0.516538, 0.515867, 0.520652, 0.528759", \
+                            "0.551133, 0.54846, 0.536041, 0.520377, 0.519255, 0.524282, 0.533148", \
+                            "0.563508, 0.561253, 0.548834, 0.532939, 0.53229, 0.537075, 0.545941", \
+                            "0.58652, 0.583847, 0.571637, 0.555566, 0.554642, 0.559669, 0.567776", \
+                            "0.629541, 0.627121, 0.614691, 0.598829, 0.597905, 0.602932, 0.609136", \
+                            "0.671528, 0.668877, 0.656469, 0.640794, 0.639914, 0.644688, 0.652047", \
+                            "0.706673, 0.704033, 0.691823, 0.675741, 0.674817, 0.679844, 0.68871" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0164131;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.474419, 0.479633, 0.488642, 0.500786, 0.496551, 0.476663, 0.440737", \
+                            "0.470976, 0.474892, 0.486244, 0.496507, 0.491513, 0.474903, 0.435666", \
+                            "0.470426, 0.473484, 0.484924, 0.494186, 0.491579, 0.473253, 0.433103", \
+                            "0.466158, 0.469172, 0.479127, 0.490204, 0.485254, 0.469876, 0.430111", \
+                            "0.45452, 0.459778, 0.468688, 0.479655, 0.475343, 0.459019, 0.421234", \
+                            "0.451539, 0.454652, 0.466499, 0.477114, 0.473165, 0.455213, 0.416702", \
+                            "0.456368, 0.463177, 0.471493, 0.483725, 0.478764, 0.459943, 0.423368" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.107906, 0.109314, 0.127823, 0.177889, 0.255253, 0.356895, 0.487179", \
+                            "0.106632, 0.105019, 0.123577, 0.173342, 0.251109, 0.352693, 0.483021", \
+                            "0.106043, 0.105075, 0.122597, 0.172909, 0.251257, 0.351637, 0.482053", \
+                            "0.100623, 0.0997975, 0.117819, 0.16848, 0.246239, 0.347589, 0.477015", \
+                            "0.0897655, 0.0887315, 0.10696, 0.158502, 0.235754, 0.336644, 0.466356", \
+                            "0.0873928, 0.0860497, 0.104257, 0.154325, 0.23267, 0.333542, 0.463661", \
+                            "0.0933174, 0.0913374, 0.110183, 0.158814, 0.238382, 0.339768, 0.469051" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.469887, 0.463903, 0.454773, 0.444092, 0.44748, 0.466136, 0.502898", \
+                            "0.471746, 0.468677, 0.45925, 0.449207, 0.451363, 0.470041, 0.509245", \
+                            "0.47399, 0.471196, 0.459492, 0.448822, 0.452397, 0.469491, 0.506979", \
+                            "0.479303, 0.476267, 0.46541, 0.453189, 0.457798, 0.475684, 0.515108", \
+                            "0.490358, 0.486838, 0.475233, 0.464772, 0.467456, 0.486035, 0.522951", \
+                            "0.491502, 0.490094, 0.478632, 0.467555, 0.471306, 0.488796, 0.527395", \
+                            "0.486409, 0.481877, 0.472681, 0.462143, 0.46552, 0.483989, 0.521884" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.658955, 0.659527, 0.641311, 0.591547, 0.513392, 0.411917, 0.283426", \
+                            "0.662486, 0.664224, 0.645964, 0.596233, 0.518045, 0.416658, 0.288079", \
+                            "0.662508, 0.664202, 0.645997, 0.596266, 0.517594, 0.416603, 0.287969", \
+                            "0.668162, 0.669702, 0.651629, 0.601898, 0.52371, 0.422235, 0.293744", \
+                            "0.678392, 0.680526, 0.661947, 0.612216, 0.534028, 0.432564, 0.304062", \
+                            "0.681362, 0.682792, 0.664741, 0.61501, 0.536349, 0.435347, 0.306856", \
+                            "0.675884, 0.677589, 0.659351, 0.60962, 0.531432, 0.429957, 0.301466" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.000343015;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.cdl b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.cdl
new file mode 100644
index 0000000..971b9e5
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.cdl
@@ -0,0 +1,3375 @@
+* Copyright 2022 GlobalFoundries PDK Authors
+*
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     http://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+**************************************
+* Revision: 1.0
+**************************************
+
+
+*.SCALE METER
+
+.SUBCKT power_route_04
+** N=2 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I01
+** N=2765 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I04
+** N=3805 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$47122476
+** N=5 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I12
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I13 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=6.81e-06 AD=2.9964e-12 AS=2.9964e-12 PD=1.45e-05 PS=1.45e-05 NRD=0.0646109 NRS=0.0646109 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46889004 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+X0 1 2 3 4 pmos_5p0_I13 $T=-155 0 0 0 $X=-1195 $Y=-620
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I02 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=6.81e-06 AD=2.9964e-12 AS=2.9964e-12 PD=1.45e-05 PS=1.45e-05 NRD=0.0646109 NRS=0.0646109 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47119404 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+X0 1 2 3 4 nmos_5p0_I02 $T=-155 0 0 0 $X=-835 $Y=-620
+.ENDS
+***************************************
+.SUBCKT ypass_gate vss 3 b d bb db ypass pcb vdd
+** N=26 EP=9 IP=25 FDC=5
+*.SEEDPROM
+X2 bb b pcb vdd pmos_5p0_I13 $T=1240 50985 1 0 $X=200 $Y=43555
+X3 bb db 3 vdd pmos_5p0_I13 $T=1250 43050 1 0 $X=210 $Y=35620
+X4 b d 3 vdd pmos_1p2$$46889004 $T=1405 15300 1 0 $X=-25 $Y=7790
+X5 b d ypass vss nmos_1p2$$47119404 $T=1405 24575 1 0 $X=260 $Y=17090
+X6 bb db ypass vss nmos_1p2$$47119404 $T=1405 34595 1 0 $X=260 $Y=27110
+.ENDS
+***************************************
+.SUBCKT mux821 1 2 3 4 5 6 7 8 9 13 14 15 16 17 18 19 20 21 22 23
++ 24 25 26 27 28 29 30 31 32 33 42 43 44 45 46 47 48
+** N=86 EP=37 IP=165 FDC=48
+*.SEEDPROM
+M0 13 42 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=1510 $Y=2370 $D=2
+M1 16 43 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=3750 $Y=2370 $D=2
+M2 19 44 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=7705 $Y=2370 $D=2
+M3 22 45 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=9945 $Y=2370 $D=2
+M4 25 46 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=13895 $Y=2370 $D=2
+M5 28 47 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=16135 $Y=2370 $D=2
+M6 31 48 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=20090 $Y=2370 $D=2
+M7 2 9 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=22330 $Y=2370 $D=2
+X10 5 3 7 8 pmos_5p0_I13 $T=23310 51440 1 0 $X=22270 $Y=44010
+X11 5 6 2 8 pmos_5p0_I13 $T=23320 43505 1 0 $X=22280 $Y=36075
+X12 3 4 2 8 pmos_1p2$$46889004 $T=23475 15755 1 0 $X=22045 $Y=8245
+X13 3 4 9 1 nmos_1p2$$47119404 $T=23475 25030 1 0 $X=22330 $Y=17545
+X14 5 6 9 1 nmos_1p2$$47119404 $T=23475 35050 1 0 $X=22330 $Y=27565
+X15 1 13 15 4 14 6 42 7 8 ypass_gate $T=3490 455 1 180 $X=-1160 $Y=0
+X16 1 16 18 4 17 6 43 7 8 ypass_gate $T=3490 455 0 0 $X=2385 $Y=0
+X17 1 19 21 4 20 6 44 7 8 ypass_gate $T=9685 455 1 180 $X=5035 $Y=0
+X18 1 22 24 4 23 6 45 7 8 ypass_gate $T=9685 455 0 0 $X=8580 $Y=0
+X19 1 25 27 4 26 6 46 7 8 ypass_gate $T=15875 455 1 180 $X=11225 $Y=0
+X20 1 28 30 4 29 6 47 7 8 ypass_gate $T=15875 455 0 0 $X=14770 $Y=0
+X21 1 31 33 4 32 6 48 7 8 ypass_gate $T=22070 455 1 180 $X=17420 $Y=0
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I18
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I13
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I14
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$202587180
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I16
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I15 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=3.42e-06 AD=8.892e-13 AS=1.5048e-12 PD=4.46e-06 PS=8.6e-06 NRD=0.304094 NRS=0.51462 m=1 nf=2 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I04
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$202595372
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$202586156
+** N=3 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$202596396
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I11
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I19
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT wen_wm1 vss wep 3 4 5 6 7 8 9 10 11 12 13 men vdd wen GWEN 18 19
+** N=43 EP=19 IP=113 FDC=31
+M0 3 wen vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=1765 $Y=5060 $D=2
+M1 6 men vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=3.562e-13 AS=6.028e-13 PD=1.89e-06 PS=3.62e-06 NRD=0.189781 NRS=0.321168 m=1 nf=1 $X=1765 $Y=8905 $D=2
+M2 vss GWEN 3 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=2885 $Y=5060 $D=2
+M3 vss vss 6 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=3.562e-13 PD=3.62e-06 PS=1.89e-06 NRD=0.321168 NRS=0.189781 m=1 nf=1 $X=2885 $Y=8905 $D=2
+M4 4 3 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5125 $Y=4650 $D=2
+M5 5 6 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5125 $Y=9315 $D=2
+M6 9 6 4 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=7660 $Y=8385 $D=2
+M7 7 10 vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=6.028e-13 PD=3.62e-06 PS=3.62e-06 NRD=0.321168 NRS=0.321168 m=1 nf=1 $X=8920 $Y=4240 $D=2
+M8 11 5 9 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=9970 $Y=9700 $D=2
+M9 vss 12 11 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=11090 $Y=9700 $D=2
+M10 vss 9 12 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=13330 $Y=9700 $D=2
+M11 13 12 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=14450 $Y=9700 $D=2
+M12 wep 7 vss vss nmos_5p0 L=6e-07 W=2.4e-06 AD=7.68e-13 AS=7.68e-13 PD=5.12e-06 PS=5.12e-06 NRD=1.2 NRS=1.2 m=1 nf=3 $X=12720 $Y=4810 $D=2
+M13 vss 13 8 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=6.028e-13 PD=3.62e-06 PS=3.62e-06 NRD=0.321168 NRS=0.321168 m=1 nf=1 $X=17810 $Y=9290 $D=2
+M14 men 8 10 vss nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=20050 $Y=8385 $D=2
+M15 vss 13 10 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=22290 $Y=8385 $D=2
+M16 18 wen vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=1765 $Y=600 $D=8
+M17 19 men vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=1765 $Y=12055 $D=8
+M18 3 GWEN 18 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=2885 $Y=600 $D=8
+M19 6 vss 19 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=2885 $Y=12055 $D=8
+M20 4 3 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5125 $Y=600 $D=8
+M21 5 6 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5125 $Y=12055 $D=8
+M22 9 5 4 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=1.17084e-12 AS=9.988e-13 PD=4.78598e-06 PS=5.42e-06 NRD=0.22722 NRS=0.193833 m=1 nf=1 $X=7660 $Y=12055 $D=8
+M23 11 6 9 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.87097e-13 AS=-6.48697e-13 PD=-2.78573e-06 PS=-2.70573e-06 NRD=-0.745548 NRS=-0.703882 m=1 nf=1 $X=9395 $Y=12055 $D=8
+M24 vdd 12 11 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.14386e-12 PD=5.42e-06 PS=4.72975e-06 NRD=0.193833 NRS=0.221983 m=1 nf=1 $X=11090 $Y=12055 $D=8
+M25 vdd 9 12 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=13330 $Y=12055 $D=8
+M26 13 12 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=14450 $Y=12055 $D=8
+M27 wep 7 vdd vdd pmos_5p0 L=6e-07 W=6e-06 AD=1.92e-12 AS=1.92e-12 PD=9.92e-06 PS=9.92e-06 NRD=0.48 NRS=0.48 m=1 nf=3 $X=12720 $Y=870 $D=8
+M28 men 13 10 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=20050 $Y=12055 $D=8
+X48 vdd 7 10 pmos_5p0_I15 $T=8920 2870 1 0 $X=7880 $Y=540
+X49 vdd 8 13 pmos_5p0_I15 $T=16690 12625 0 0 $X=15650 $Y=12005
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$44997676
+** N=7 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I02
+** N=6 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46286892
+** N=5 EP=0 IP=6 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I10 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=1.135e-05 AD=3.3596e-12 AS=3.3596e-12 PD=1.658e-05 PS=1.658e-05 NRD=0.651982 NRS=0.651982 m=1 nf=5 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I16 1 2 3 4 5
+** N=6 EP=5 IP=0 FDC=2
+M0 2 4 1 2 pmos_5p0 L=6e-07 W=1.2e-06 AD=3.12e-13 AS=5.28e-13 PD=1.72e-06 PS=3.28e-06 NRD=0.216667 NRS=0.366667 m=1 nf=1 $X=0 $Y=0 $D=8
+M1 3 5 2 2 pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=3.12e-13 PD=3.28e-06 PS=1.72e-06 NRD=0.366667 NRS=0.216667 m=1 nf=1 $X=1120 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I17 1 2 3 4 5
+** N=5 EP=5 IP=0 FDC=2
+M0 2 4 1 2 nmos_5p0 L=6e-07 W=6e-07 AD=1.56e-13 AS=2.64e-13 PD=1.12e-06 PS=2.08e-06 NRD=0.433333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+M1 3 5 2 2 nmos_5p0 L=6e-07 W=6e-07 AD=2.64e-13 AS=1.56e-13 PD=2.08e-06 PS=1.12e-06 NRD=0.733333 NRS=0.433333 m=1 nf=1 $X=1120 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46285868
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46281772
+** N=5 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I09
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I08 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I09
+** N=6 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT sacntl_2 vss 2 pcb 4 5 6 7 8 9 10 11 18 19 20 21 22 23 24 25 26
++ se vdd men
+** N=54 EP=23 IP=83 FDC=39
+M0 2 11 vss vss nmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=795 $Y=26115 $D=2
+M1 4 men vss vss nmos_5p0 L=6e-07 W=5.7e-06 AD=1.6872e-12 AS=1.6872e-12 PD=9.8e-06 PS=9.8e-06 NRD=1.29825 NRS=1.29825 m=1 nf=5 $X=855 $Y=4275 $D=2
+M2 vss 10 pcb vss nmos_5p0 L=6e-07 W=1.589e-05 AD=4.54e-12 AS=4.54e-12 PD=2.216e-05 PS=2.216e-05 NRD=0.881057 NRS=0.881057 m=1 nf=7 $X=1950 $Y=9235 $D=2
+M3 5 4 vss vss nmos_5p0 L=6e-07 W=2.86e-06 AD=7.436e-13 AS=1.2584e-12 PD=3.38e-06 PS=6.6e-06 NRD=0.0909091 NRS=0.153846 m=1 nf=1 $X=10910 $Y=8645 $D=2
+M4 6 11 5 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12030 $Y=8645 $D=2
+M5 7 19 6 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13150 $Y=8645 $D=2
+M6 8 19 7 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14270 $Y=8645 $D=2
+M7 9 11 8 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15390 $Y=8645 $D=2
+M8 vss 4 9 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=1.2584e-12 AS=7.436e-13 PD=6.6e-06 PS=3.38e-06 NRD=0.153846 NRS=0.0909091 m=1 nf=1 $X=16510 $Y=8645 $D=2
+M9 10 7 vss vss nmos_5p0 L=6e-07 W=5.22e-06 AD=1.3572e-12 AS=2.2968e-12 PD=6.26e-06 PS=1.22e-05 NRD=0.199234 NRS=0.337165 m=1 nf=2 $X=18750 $Y=8895 $D=2
+M10 11 20 vss vss nmos_5p0 L=6e-07 W=1.44e-06 AD=6.336e-13 AS=6.336e-13 PD=3.76e-06 PS=3.76e-06 NRD=0.305556 NRS=0.305556 m=1 nf=1 $X=21255 $Y=4090 $D=2
+M11 se 19 vss vss nmos_5p0 L=6e-07 W=9.08e-06 AD=2.3608e-12 AS=3.178e-12 PD=1.116e-05 PS=1.642e-05 NRD=0.45815 NRS=0.61674 m=1 nf=4 $X=19460 $Y=25030 $D=2
+M12 2 11 vdd vdd pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=3.0008e-12 PD=7.86e-06 PS=1.54e-05 NRD=0.152493 NRS=0.258065 m=1 nf=2 $X=795 $Y=20945 $D=8
+M13 4 men vdd vdd pmos_5p0 L=6e-07 W=1.135e-05 AD=3.3596e-12 AS=3.3596e-12 PD=1.658e-05 PS=1.658e-05 NRD=0.651982 NRS=0.651982 m=1 nf=5 $X=855 $Y=590 $D=8
+M14 19 2 vdd vdd pmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=5370 $Y=20990 $D=8
+M15 vdd 4 19 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=8730 $Y=20990 $D=8
+M16 pcb 10 vdd vdd pmos_5p0 L=6e-07 W=4.09e-05 AD=1.0634e-11 AS=1.21023e-11 PD=4.61e-05 PS=4.6818e-05 NRD=0.635697 NRS=0.723472 m=1 nf=10 $X=830 $Y=14055 $D=8
+M17 7 19 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.06e-06 PS=9.96e-06 NRD=0.0572687 NRS=0.0969163 m=1 nf=1 $X=14270 $Y=13710 $D=8
+M18 vdd 11 7 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15390 $Y=13710 $D=8
+M19 7 4 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.1804e-12 PD=9.96e-06 PS=5.06e-06 NRD=0.0969163 NRS=0.0572687 m=1 nf=1 $X=16510 $Y=13710 $D=8
+M20 vdd 25 26 vdd pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=5.28e-13 PD=3.28e-06 PS=3.28e-06 NRD=0.366667 NRS=0.366667 m=1 nf=1 $X=18950 $Y=1670 $D=8
+M21 10 7 vdd vdd pmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=4.3584e-12 PD=2.008e-05 PS=2.008e-05 NRD=0.211454 NRS=0.211454 m=1 nf=3 $X=18750 $Y=13710 $D=8
+M22 se 19 vdd vdd pmos_5p0 L=6e-07 W=2.72e-05 AD=7.072e-12 AS=8.0512e-12 PD=3.24e-05 PS=3.856e-05 NRD=0.955882 NRS=1.08824 m=1 nf=10 $X=12740 $Y=20450 $D=8
+X23 vdd 11 20 pmos_5p0_I15 $T=21255 985 0 0 $X=20215 $Y=365
+X27 vss 18 2 vss nmos_5p0_I10 $T=5370 25030 0 0 $X=4690 $Y=24410
+X28 19 18 4 vss nmos_5p0_I10 $T=12415 25030 0 0 $X=11735 $Y=24410
+X29 20 vdd 21 4 vss pmos_5p0_I16 $T=8080 1480 0 0 $X=7040 $Y=860
+X30 22 vdd 23 21 22 pmos_5p0_I16 $T=11705 1480 0 0 $X=10665 $Y=860
+X31 24 vdd 25 23 24 pmos_5p0_I16 $T=15325 1480 0 0 $X=14285 $Y=860
+X32 20 vss 21 4 vss nmos_5p0_I17 $T=8080 4420 0 0 $X=7400 $Y=3800
+X33 22 vss 23 21 22 nmos_5p0_I17 $T=11705 4420 0 0 $X=11025 $Y=3800
+X34 24 vss 25 23 24 nmos_5p0_I17 $T=15325 4420 0 0 $X=14645 $Y=3800
+X39 26 vss 25 vss nmos_5p0_I08 $T=18950 4420 0 0 $X=18270 $Y=3800
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I05
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I10
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I03
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I01
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT outbuf_oe q vss 3 4 5 15 16 17 18 vdd GWE se qp qn 24
+** N=66 EP=15 IP=48 FDC=18
+M0 vss 5 q vss nmos_5p0 L=6e-07 W=1.272e-05 AD=3.3072e-12 AS=4.0704e-12 PD=1.584e-05 PS=2.08e-05 NRD=0.735849 NRS=0.90566 m=1 nf=6 $X=395 $Y=2665 $D=2
+M1 3 GWE vss vss nmos_5p0 L=6e-07 W=1.6e-06 AD=7.04e-13 AS=7.04e-13 PD=4.08e-06 PS=4.08e-06 NRD=0.275 NRS=0.275 m=1 nf=1 $X=8145 $Y=2720 $D=2
+M2 17 3 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=10105 $Y=2700 $D=2
+M3 vss 16 4 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=13175 $Y=12845 $D=2
+M4 5 15 4 vss nmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=2.1792e-12 PD=1.1e-05 PS=1.1e-05 NRD=0.422907 NRS=0.422907 m=1 nf=3 $X=12455 $Y=2720 $D=2
+M5 vss se 15 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=17045 $Y=4035 $D=2
+M6 5 qn 18 vss nmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=1.988e-12 PD=6.72e-06 PS=9.92e-06 NRD=0.183099 NRS=0.246479 m=1 nf=2 $X=19905 $Y=1945 $D=2
+M7 vss 3 18 vss nmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=1.988e-12 PD=6.72e-06 PS=9.92e-06 NRD=0.183099 NRS=0.246479 m=1 nf=2 $X=22145 $Y=1945 $D=2
+M8 vdd 5 q vdd pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=7.2576e-12 PD=2.58e-05 PS=3.408e-05 NRD=0.412698 NRS=0.507937 m=1 nf=6 $X=395 $Y=6190 $D=8
+M9 3 GWE vdd vdd pmos_5p0 L=6e-07 W=4e-06 AD=1.76e-12 AS=1.76e-12 PD=8.88e-06 PS=8.88e-06 NRD=0.11 NRS=0.11 m=1 nf=1 $X=8145 $Y=6395 $D=8
+M10 17 3 vdd vdd pmos_5p0 L=6e-07 W=4.5e-06 AD=1.98e-12 AS=1.98e-12 PD=9.88e-06 PS=9.88e-06 NRD=0.0977778 NRS=0.0977778 m=1 nf=1 $X=10105 $Y=6175 $D=8
+M11 4 16 vdd vdd pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.24202e-12 PD=3.32e-06 PS=5.60564e-06 NRD=0.45614 NRS=0.955691 m=1 nf=2 $X=12055 $Y=10310 $D=8
+M12 5 se 4 vdd pmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=2.1792e-12 PD=1.1e-05 PS=1.1e-05 NRD=0.422907 NRS=0.422907 m=1 nf=3 $X=12455 $Y=6395 $D=8
+M13 16 5 vdd vdd pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=7.79385e-13 PD=3.28e-06 PS=2.57436e-06 NRD=0.366667 NRS=0.541239 m=1 nf=1 $X=15085 $Y=10250 $D=8
+M14 vdd se 15 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=17045 $Y=7030 $D=8
+M15 5 qp 24 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=3.969e-12 PD=1.238e-05 PS=1.841e-05 NRD=0.0917108 NRS=0.123457 m=1 nf=2 $X=19680 $Y=6685 $D=8
+M16 vdd 17 24 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=3.969e-12 PD=1.238e-05 PS=1.841e-05 NRD=0.0917108 NRS=0.123457 m=1 nf=2 $X=21920 $Y=6685 $D=8
+X22 vss 16 5 vss nmos_5p0_I08 $T=15150 13365 1 0 $X=14470 $Y=12145
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46887980 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.361e-05 AD=5.9884e-12 AS=5.9884e-12 PD=2.81e-05 PS=2.81e-05 NRD=0.0323292 NRS=0.0323292 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46273580 1 2 3
+** N=3 EP=3 IP=3 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46883884 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=1.134e-05 AD=4.9896e-12 AS=4.9896e-12 PD=2.356e-05 PS=2.356e-05 NRD=0.0388007 NRS=0.0388007 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I06 1 2 3 4 5 6
+** N=6 EP=6 IP=0 FDC=2
+M0 2 4 1 6 pmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=0 $Y=0 $D=8
+M1 3 5 2 6 pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=1120 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I07 1 2 3 4 5 6
+** N=6 EP=6 IP=0 FDC=2
+M0 2 4 1 6 nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=0 $Y=0 $D=2
+M1 3 5 2 6 nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=1120 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46563372 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT M1_NWELL_I01
+** N=4 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT din vss 2 3 4 d db 7 8 9 10 11 12 vdd datain men wep
+** N=69 EP=16 IP=73 FDC=24
+M0 2 4 vss vss nmos_5p0 L=6e-07 W=1.361e-05 AD=5.9884e-12 AS=5.9884e-12 PD=2.81e-05 PS=2.81e-05 NRD=0.0323292 NRS=0.0323292 m=1 nf=1 $X=260 $Y=10430 $D=2
+M1 3 wep vss vss nmos_5p0 L=6e-07 W=1.14e-06 AD=7.866e-13 AS=7.923e-13 PD=3.66e-06 PS=3.67e-06 NRD=0.605263 NRS=0.609649 m=1 nf=1 $X=3600 $Y=38320 $D=2
+M2 vss 10 4 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=11165 $Y=8655 $D=2
+M3 3 wep vdd vdd pmos_5p0 L=6e-07 W=2.97e-06 AD=1.13602e-12 AS=1.7523e-12 PD=4.5e-06 PS=8.3e-06 NRD=0.515152 NRS=0.794613 m=1 nf=2 $X=3025 $Y=35440 $D=8
+M4 vdd 2 7 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=4.9896e-12 AS=4.9896e-12 PD=2.356e-05 PS=2.356e-05 NRD=0.0388007 NRS=0.0388007 m=1 nf=1 $X=6980 $Y=26220 $D=8
+X5 4 vdd 10 vdd pmos_5p0_I13 $T=11165 455 0 0 $X=10125 $Y=-165
+X6 d 2 3 vdd pmos_1p2$$46889004 $T=2655 26220 0 0 $X=1225 $Y=25510
+X7 db 7 3 vdd pmos_1p2$$46889004 $T=4895 26220 0 0 $X=3465 $Y=25510
+X9 vdd 2 4 pmos_1p2$$46887980 $T=415 26220 0 0 $X=-1015 $Y=25510
+X10 vdd 12 men pmos_1p2$$46273580 $T=2920 7175 1 0 $X=1490 $Y=5355
+X11 vdd 11 4 pmos_1p2$$46273580 $T=7060 8140 1 0 $X=5630 $Y=6320
+X12 d 2 wep vss nmos_1p2$$46883884 $T=2655 12695 0 0 $X=1510 $Y=12010
+X13 db 7 wep vss nmos_1p2$$46883884 $T=4895 12695 0 0 $X=3750 $Y=12010
+X14 7 vss 2 vss nmos_1p2$$46883884 $T=7135 12695 0 0 $X=5990 $Y=12010
+X15 8 vdd 9 datain 8 vdd pmos_5p0_I06 $T=2765 3195 0 0 $X=1725 $Y=2575
+X16 9 10 11 men 12 vdd pmos_5p0_I06 $T=6905 3605 0 0 $X=5865 $Y=2985
+X17 8 vss 9 datain 8 vss nmos_5p0_I07 $T=2765 1790 1 0 $X=2085 $Y=210
+X18 9 10 11 12 men vss nmos_5p0_I07 $T=6905 725 0 0 $X=6225 $Y=105
+X19 vss 12 men vss nmos_1p2$$46563372 $T=3470 9035 0 0 $X=2325 $Y=8350
+X20 vss 11 4 vss nmos_1p2$$46563372 $T=7060 10495 1 0 $X=5915 $Y=8860
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46553132
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46897196 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46898220
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46551084
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT sa 1 vss 3 4 qn qp 7 pcb vdd d db se
+** N=105 EP=12 IP=47 FDC=27
+M0 1 vss vss vss nmos_5p0 L=6e-07 W=3.41e-06 AD=8.866e-13 AS=1.5004e-12 PD=3.93e-06 PS=7.7e-06 NRD=0.0762463 NRS=0.129032 m=1 nf=1 $X=11660 $Y=16585 $D=2
+M1 3 4 1 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12780 $Y=16585 $D=2
+M2 4 1 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=13900 $Y=16585 $D=2
+M3 7 4 vss vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=15170 $Y=8510 $D=2
+M4 1 4 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=16140 $Y=16585 $D=2
+M5 4 1 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=18380 $Y=16585 $D=2
+M6 vss 7 qp vss nmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=17410 $Y=8510 $D=2
+M7 1 4 3 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20620 $Y=16585 $D=2
+M8 qn 1 vss vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=20770 $Y=8510 $D=2
+M9 3 se vss vss nmos_5p0 L=6e-07 W=2.272e-05 AD=5.9072e-12 AS=6.9296e-12 PD=2.688e-05 PS=3.328e-05 NRD=0.732394 NRS=0.859155 m=1 nf=8 $X=12945 $Y=12550 $D=2
+M10 vss vss 1 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=1.5004e-12 AS=8.866e-13 PD=7.7e-06 PS=3.93e-06 NRD=0.129032 NRS=0.0762463 m=1 nf=1 $X=21740 $Y=16585 $D=2
+M11 4 vdd vdd vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=2.366e-13 AS=4.004e-13 PD=1.43e-06 PS=2.7e-06 NRD=0.285714 NRS=0.483516 m=1 nf=1 $X=13985 $Y=24010 $D=8
+M12 vdd 1 4 vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15105 $Y=24010 $D=8
+M13 d pcb vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=15755 $Y=30660 $D=8
+M14 7 4 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=15170 $Y=4385 $D=8
+M15 4 pcb 1 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=16875 $Y=26330 $D=8
+M16 db pcb d vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=16875 $Y=30660 $D=8
+M17 1 4 vdd vdd pmos_5p0 L=6e-07 W=1.82e-06 AD=4.732e-13 AS=4.732e-13 PD=2.86e-06 PS=2.86e-06 NRD=0.571429 NRS=0.571429 m=1 nf=2 $X=16225 $Y=24010 $D=8
+M18 vdd pcb db vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=17995 $Y=30660 $D=8
+M19 4 1 vdd vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=18465 $Y=24010 $D=8
+M20 qp 7 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.1804e-12 PD=5.58e-06 PS=5.58e-06 NRD=0.229075 NRS=0.229075 m=1 nf=2 $X=17410 $Y=4385 $D=8
+M21 vdd vdd 4 vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=4.004e-13 AS=2.366e-13 PD=2.7e-06 PS=1.43e-06 NRD=0.483516 NRS=0.285714 m=1 nf=1 $X=19585 $Y=24010 $D=8
+M22 qn 1 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=19650 $Y=4385 $D=8
+X28 db 1 se vdd pmos_1p2$$46897196 $T=12475 26330 0 0 $X=11045 $Y=25620
+X29 d 4 se vdd pmos_1p2$$46897196 $T=12475 30660 0 0 $X=11045 $Y=29950
+X30 d 4 se vdd pmos_1p2$$46897196 $T=20400 26330 0 0 $X=18970 $Y=25620
+X31 db 1 se vdd pmos_1p2$$46897196 $T=20400 30660 0 0 $X=18970 $Y=29950
+.ENDS
+***************************************
+.SUBCKT saout_m2 1 VSS q datain pcb men VDD b[0] bb[0] WEN b[7] bb[7] bb[6] b[6] b[5] bb[5] bb[4] b[4] b[3] bb[3]
++ bb[2] b[2] b[1] bb[1] 54 GWE ypass[7] ypass[6] ypass[5] ypass[4] ypass[3] ypass[2] ypass[1] ypass[0] GWEN 78 79 80 81 82
++ 83 84
+** N=135 EP=42 IP=161 FDC=187
+*.SEEDPROM
+X0 VSS 54 b[0] 74 bb[0] 77 pcb VDD ypass[0] 78 bb[7] b[7] 79 bb[6] b[6] 80 bb[5] b[5] 81 bb[4]
++ b[4] 82 bb[3] b[3] 83 bb[2] b[2] 84 bb[1] b[1] ypass[7] ypass[6] ypass[5] ypass[4] ypass[3] ypass[2] ypass[1]
++ mux821 $T=2765 83345 0 0 $X=-1345 $Y=83340
+X1 VSS 1 86 89 90 87 92 96 91 97 93 94 95 men VDD WEN GWEN 85 88 wen_wm1 $T=1610 -16845 0 0 $X=100 $Y=-17385
+X2 VSS 98 pcb 72 103 104 105 106 108 111 112 100 99 101 75 102 73 107 109 110
++ 76 VDD men
++ sacntl_2 $T=3160 150 0 0 $X=425 $Y=30
+X3 q VSS 113 115 116 118 117 114 120 VDD GWE 76 134 135 119 outbuf_oe $T=3160 27580 0 0 $X=500 $Y=25785
+X4 VSS 121 124 129 74 77 126 122 125 127 128 123 VDD datain men 1 din $T=1615 39060 0 0 $X=500 $Y=38775
+X5 130 VSS 132 131 135 134 133 pcb VDD 74 77 76 sa $T=3160 43075 0 0 $X=1375 $Y=42095
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_dummy 1 2 3 4 5 7
+** N=9 EP=6 IP=0 FDC=4
+*.SEEDPROM
+M0 1 7 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=180 $Y=260 $D=2
+M1 3 5 1 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=1710 $D=2
+M2 5 1 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=1710 $D=2
+M3 5 7 4 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=2220 $Y=260 $D=2
+.ENDS
+***************************************
+.SUBCKT ICV_7 1 3 4 5 6 7 8 9 10 11
+** N=15 EP=10 IP=18 FDC=8
+*.SEEDPROM
+X0 5 4 1 6 7 3 018SRAM_cell1_dummy $T=-3000 0 0 0 $X=-3340 $Y=-340
+X1 9 8 1 10 11 3 018SRAM_cell1_dummy $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_8 1 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19
+** N=27 EP=18 IP=30 FDC=16
+*.SEEDPROM
+X0 1 3 4 5 6 7 8 9 10 11 ICV_7 $T=-6000 0 0 0 $X=-9340 $Y=-340
+X1 1 3 12 13 14 15 16 17 18 19 ICV_7 $T=0 0 0 0 $X=-3340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_9 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22
+** N=30 EP=18 IP=33 FDC=16
+*.SEEDPROM
+X0 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 ICV_8 $T=-3000 0 0 0 $X=-12340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1
+** N=8 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_2x 1 2 3 5 6 7 8 9 10
+** N=12 EP=9 IP=16 FDC=8
+*.SEEDPROM
+M0 1 5 7 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=180 $Y=3470 $D=2
+M1 9 6 1 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=180 $Y=4760 $D=2
+M2 3 8 7 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=1840 $D=2
+M3 3 10 9 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=6210 $D=2
+M4 8 7 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=1840 $D=2
+M5 10 9 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=6210 $D=2
+M6 2 5 8 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=2220 $Y=3470 $D=2
+M7 10 6 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=2220 $Y=4760 $D=2
+.ENDS
+***************************************
+.SUBCKT ICV_11 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
+** N=16 EP=15 IP=24 FDC=16
+*.SEEDPROM
+X0 5 6 2 3 4 9 11 10 12 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+X1 7 8 2 3 4 13 15 14 16 018SRAM_cell1_2x $T=3000 0 0 0 $X=2660 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18
+** N=26 EP=18 IP=32 FDC=40
+*.SEEDPROM
+M0 1 20 19 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=8060 $D=8
+M1 1 24 23 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=9340 $D=8
+M2 20 19 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=8060 $D=8
+M3 24 23 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=9340 $D=8
+M4 1 22 21 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=8060 $D=8
+M5 1 26 25 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=9340 $D=8
+M6 22 21 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=8060 $D=8
+M7 26 25 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=9340 $D=8
+X8 2 3 4 7 8 9 10 11 19 12 20 13 21 14 22 ICV_11 $T=0 0 0 0 $X=-340 $Y=-340
+X9 2 5 6 7 8 9 10 23 15 24 16 25 17 26 18 ICV_11 $T=0 9000 0 0 $X=-340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_13 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30
+** N=30 EP=30 IP=36 FDC=80
+*.SEEDPROM
+X0 1 2 3 4 5 6 7 8 9 10 15 16 17 18 19 20 21 22 ICV_12 $T=0 0 0 0 $X=-340 $Y=-340
+X1 1 2 3 4 5 6 11 12 13 14 23 24 25 26 27 28 29 30 ICV_12 $T=6000 0 0 0 $X=5660 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_14 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34
+** N=50 EP=34 IP=60 FDC=176
+*.SEEDPROM
+M0 1 36 35 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=17060 $D=8
+M1 1 44 43 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=18340 $D=8
+M2 36 35 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=17060 $D=8
+M3 44 43 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=18340 $D=8
+M4 1 38 37 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=17060 $D=8
+M5 1 46 45 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=18340 $D=8
+M6 38 37 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=17060 $D=8
+M7 46 45 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=18340 $D=8
+M8 1 40 39 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=17060 $D=8
+M9 1 48 47 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=18340 $D=8
+M10 40 39 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=17060 $D=8
+M11 48 47 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=18340 $D=8
+M12 1 42 41 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=17060 $D=8
+M13 1 50 49 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=18340 $D=8
+M14 42 41 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=17060 $D=8
+M15 50 49 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=18340 $D=8
+X16 1 2 3 4 5 6 11 12 13 14 15 16 17 18 19 20 21 22 35 36
++ 37 38 23 24 25 26 39 40 41 42
++ ICV_13 $T=0 0 0 0 $X=-340 $Y=-340
+X17 1 2 7 8 9 10 11 12 13 14 15 16 17 18 43 44 45 46 27 28
++ 29 30 47 48 49 50 31 32 33 34
++ ICV_13 $T=0 18000 0 0 $X=-340 $Y=17660
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_2x
+** N=10 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_15
+** N=15 EP=0 IP=20 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_16
+** N=19 EP=0 IP=24 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_25 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
++ 27 28 29 30 31 32 33 34 35 36 37 38 39 40
+** N=40 EP=34 IP=50 FDC=176
+*.SEEDPROM
+X0 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
++ 27 28 29 30 31 32 33 34 35 36 37 38 39 40
++ ICV_14 $T=0 -4500 1 180 $X=-12340 $Y=-4840
+.ENDS
+***************************************
+.SUBCKT ICV_26 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
++ 27 28 29 30 31 32 36 37 38 39 40 41 42 43 44 45 46 47 48 49
++ 50 51
+** N=67 EP=42 IP=80 FDC=368
+*.SEEDPROM
+M0 7 58 59 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-11370 $Y=30560 $D=8
+M1 7 66 67 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-11370 $Y=31840 $D=8
+M2 58 59 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-10230 $Y=30560 $D=8
+M3 66 67 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-10230 $Y=31840 $D=8
+M4 7 56 57 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=30560 $D=8
+M5 7 64 65 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=31840 $D=8
+M6 56 57 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=30560 $D=8
+M7 64 65 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=31840 $D=8
+M8 7 54 55 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=30560 $D=8
+M9 7 62 63 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=31840 $D=8
+M10 54 55 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=30560 $D=8
+M11 62 63 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=31840 $D=8
+M12 7 52 53 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=30560 $D=8
+M13 7 60 61 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=31840 $D=8
+M14 52 53 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=30560 $D=8
+M15 60 61 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=31840 $D=8
+X16 7 8 9 10 11 12 13 14 15 16 32 31 30 29 28 27 26 25 36 37
++ 38 39 40 41 42 43 52 53 54 55 56 57 58 59
++ ICV_25 $T=0 0 0 0 $X=-12340 $Y=-4840
+X17 7 8 17 18 19 20 21 22 23 24 32 31 30 29 28 27 26 25 60 61
++ 62 63 64 65 66 67 44 45 46 47 48 49 50 51
++ ICV_25 $T=0 36000 0 0 $X=-12340 $Y=31160
+.ENDS
+***************************************
+.SUBCKT ICV_27 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
++ 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46
++ 47 48 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67
+** N=83 EP=58 IP=96 FDC=752
+*.SEEDPROM
+M0 7 74 75 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-11370 $Y=66560 $D=8
+M1 7 82 83 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-11370 $Y=67840 $D=8
+M2 74 75 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-10230 $Y=66560 $D=8
+M3 82 83 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-10230 $Y=67840 $D=8
+M4 7 72 73 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=66560 $D=8
+M5 7 80 81 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=67840 $D=8
+M6 72 73 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=66560 $D=8
+M7 80 81 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=67840 $D=8
+M8 7 70 71 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=66560 $D=8
+M9 7 78 79 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=67840 $D=8
+M10 70 71 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=66560 $D=8
+M11 78 79 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=67840 $D=8
+M12 7 68 69 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=66560 $D=8
+M13 7 76 77 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=67840 $D=8
+M14 68 69 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=66560 $D=8
+M15 76 77 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=67840 $D=8
+X16 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 41 42
++ 43 44 45 46 47 48 52 53 54 55 56 57 58 59 68 69 70 71 72 73
++ 74 75
++ ICV_26 $T=0 0 0 0 $X=-12340 $Y=-4840
+X17 7 8 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42
++ 43 44 45 46 47 48 76 77 78 79 80 81 82 83 60 61 62 63 64 65
++ 66 67
++ ICV_26 $T=0 72000 0 0 $X=-12340 $Y=67160
+.ENDS
+***************************************
+.SUBCKT ICV_10 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24
++ 25 26 27 28 29 30 31 32 33 34 35 36 37 38
+** N=54 EP=34 IP=57 FDC=32
+*.SEEDPROM
+X0 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 ICV_8 $T=-15000 0 0 0 $X=-24340 $Y=-340
+X1 4 6 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 ICV_9 $T=0 0 0 0 $X=-12340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT dcap_103_novia
+** N=2 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_5
+** N=2 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_6
+** N=2 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_17 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
++ 27 28 29 30 31 32 33 34 35 36 37 38 39 40
+** N=40 EP=34 IP=50 FDC=176
+*.SEEDPROM
+X0 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
++ 27 28 29 30 31 32 33 34 35 36 37 38 39 40
++ ICV_14 $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_18 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
+** N=16 EP=15 IP=24 FDC=16
+*.SEEDPROM
+X0 5 6 2 3 4 9 11 10 12 018SRAM_cell1_2x $T=-3000 0 0 0 $X=-3340 $Y=-340
+X1 7 8 2 3 4 13 15 14 16 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_19 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18
+** N=26 EP=18 IP=32 FDC=40
+*.SEEDPROM
+M0 1 20 19 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=8060 $D=8
+M1 1 24 23 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=9340 $D=8
+M2 20 19 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=8060 $D=8
+M3 24 23 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=9340 $D=8
+M4 1 22 21 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=8060 $D=8
+M5 1 26 25 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=9340 $D=8
+M6 22 21 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=8060 $D=8
+M7 26 25 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=9340 $D=8
+X8 2 3 4 7 8 9 10 11 19 12 20 13 21 14 22 ICV_18 $T=0 0 0 0 $X=-3340 $Y=-340
+X9 2 5 6 7 8 9 10 23 15 24 16 25 17 26 18 ICV_18 $T=0 9000 0 0 $X=-3340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_20 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30
+** N=30 EP=30 IP=36 FDC=80
+*.SEEDPROM
+X0 1 2 3 4 5 6 7 8 9 10 15 16 17 18 19 20 21 22 ICV_19 $T=-6000 0 0 0 $X=-9340 $Y=-340
+X1 1 2 3 4 5 6 11 12 13 14 23 24 25 26 27 28 29 30 ICV_19 $T=0 0 0 0 $X=-3340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_21 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34
+** N=50 EP=34 IP=60 FDC=176
+*.SEEDPROM
+M0 1 36 35 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=17060 $D=8
+M1 1 44 43 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=18340 $D=8
+M2 36 35 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=17060 $D=8
+M3 44 43 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=18340 $D=8
+M4 1 38 37 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=17060 $D=8
+M5 1 46 45 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=18340 $D=8
+M6 38 37 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=17060 $D=8
+M7 46 45 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=18340 $D=8
+M8 1 40 39 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=17060 $D=8
+M9 1 48 47 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=18340 $D=8
+M10 40 39 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=17060 $D=8
+M11 48 47 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=18340 $D=8
+M12 1 42 41 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=17060 $D=8
+M13 1 50 49 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=18340 $D=8
+M14 42 41 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=17060 $D=8
+M15 50 49 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=18340 $D=8
+X16 1 2 3 4 5 6 11 12 13 14 15 16 17 18 19 20 21 22 35 36
++ 37 38 23 24 25 26 39 40 41 42
++ ICV_20 $T=0 0 0 0 $X=-9340 $Y=-340
+X17 1 2 7 8 9 10 11 12 13 14 15 16 17 18 43 44 45 46 27 28
++ 29 30 47 48 49 50 31 32 33 34
++ ICV_20 $T=0 18000 0 0 $X=-9340 $Y=17660
+.ENDS
+***************************************
+.SUBCKT ICV_22 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40
++ 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58
+** N=58 EP=58 IP=68 FDC=352
+*.SEEDPROM
+X0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 27 28
++ 29 30 31 32 33 34 35 36 37 38 39 40 41 42
++ ICV_21 $T=-12000 0 0 0 $X=-21340 $Y=-340
+X1 1 2 3 4 5 6 7 8 9 10 19 20 21 22 23 24 25 26 43 44
++ 45 46 47 48 49 50 51 52 53 54 55 56 57 58
++ ICV_21 $T=0 0 0 0 $X=-9340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_23 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
++ 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46
++ 47 48 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69
++ 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89
++ 90 91 92 93 94 95 96 97 98 99
+** N=147 EP=90 IP=196 FDC=1104
+*.SEEDPROM
+M0 7 101 100 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=35060 $D=8
+M1 7 109 108 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=36340 $D=8
+M2 101 100 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=35060 $D=8
+M3 109 108 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=36340 $D=8
+M4 7 103 102 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=35060 $D=8
+M5 7 111 110 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=36340 $D=8
+M6 103 102 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=35060 $D=8
+M7 111 110 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=36340 $D=8
+M8 7 105 104 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=35060 $D=8
+M9 7 113 112 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=36340 $D=8
+M10 105 104 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=35060 $D=8
+M11 113 112 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=36340 $D=8
+M12 7 107 106 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=35060 $D=8
+M13 7 115 114 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=36340 $D=8
+M14 107 106 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=35060 $D=8
+M15 115 114 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=36340 $D=8
+M16 7 130 131 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15630 $Y=35060 $D=8
+M17 7 146 147 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15630 $Y=36340 $D=8
+M18 130 131 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16770 $Y=35060 $D=8
+M19 146 147 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16770 $Y=36340 $D=8
+M20 7 128 129 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18630 $Y=35060 $D=8
+M21 7 144 145 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18630 $Y=36340 $D=8
+M22 128 129 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19770 $Y=35060 $D=8
+M23 144 145 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19770 $Y=36340 $D=8
+M24 7 126 127 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21630 $Y=35060 $D=8
+M25 7 142 143 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21630 $Y=36340 $D=8
+M26 126 127 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22770 $Y=35060 $D=8
+M27 142 143 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22770 $Y=36340 $D=8
+M28 7 124 125 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24630 $Y=35060 $D=8
+M29 7 140 141 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24630 $Y=36340 $D=8
+M30 124 125 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25770 $Y=35060 $D=8
+M31 140 141 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25770 $Y=36340 $D=8
+M32 7 122 123 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27630 $Y=35060 $D=8
+M33 7 138 139 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27630 $Y=36340 $D=8
+M34 122 123 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28770 $Y=35060 $D=8
+M35 138 139 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28770 $Y=36340 $D=8
+M36 7 120 121 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30630 $Y=35060 $D=8
+M37 7 136 137 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30630 $Y=36340 $D=8
+M38 120 121 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31770 $Y=35060 $D=8
+M39 136 137 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31770 $Y=36340 $D=8
+M40 7 118 119 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33630 $Y=35060 $D=8
+M41 7 134 135 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33630 $Y=36340 $D=8
+M42 118 119 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34770 $Y=35060 $D=8
+M43 134 135 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34770 $Y=36340 $D=8
+M44 7 116 117 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=36630 $Y=35060 $D=8
+M45 7 132 133 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=36630 $Y=36340 $D=8
+M46 116 117 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=37770 $Y=35060 $D=8
+M47 132 133 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=37770 $Y=36340 $D=8
+X48 7 8 9 10 11 12 13 14 15 16 25 26 27 28 29 30 31 32 52 53
++ 54 55 56 57 58 59 100 101 102 103 104 105 106 107
++ ICV_17 $T=0 0 0 0 $X=-340 $Y=-340
+X49 7 8 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 108 109
++ 110 111 112 113 114 115 60 61 62 63 64 65 66 67
++ ICV_17 $T=0 36000 0 0 $X=-340 $Y=35660
+X50 7 8 9 10 11 12 13 14 15 16 33 34 35 36 37 38 39 40 41 42
++ 43 44 45 46 47 48 68 69 70 71 72 73 74 75 116 117 118 119 120 121
++ 122 123 76 77 78 79 80 81 82 83 124 125 126 127 128 129 130 131
++ ICV_22 $T=18000 0 1 180 $X=14660 $Y=-340
+X51 7 8 17 18 19 20 21 22 23 24 33 34 35 36 37 38 39 40 41 42
++ 43 44 45 46 47 48 132 133 134 135 136 137 138 139 84 85 86 87 88 89
++ 90 91 140 141 142 143 144 145 146 147 92 93 94 95 96 97 98 99
++ ICV_22 $T=18000 36000 1 180 $X=14660 $Y=35660
+.ENDS
+***************************************
+.SUBCKT ICV_24 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
++ 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46
++ 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 68 69
++ 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89
++ 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109
++ 110 111 112 113 114 115
+** N=163 EP=106 IP=192 FDC=2256
+*.SEEDPROM
+M0 7 117 116 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=71060 $D=8
+M1 7 141 140 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=72340 $D=8
+M2 117 116 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=71060 $D=8
+M3 141 140 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=72340 $D=8
+M4 7 119 118 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=71060 $D=8
+M5 7 143 142 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=72340 $D=8
+M6 119 118 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=71060 $D=8
+M7 143 142 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=72340 $D=8
+M8 7 121 120 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=71060 $D=8
+M9 7 145 144 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=72340 $D=8
+M10 121 120 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=71060 $D=8
+M11 145 144 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=72340 $D=8
+M12 7 123 122 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=71060 $D=8
+M13 7 147 146 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=72340 $D=8
+M14 123 122 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=71060 $D=8
+M15 147 146 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=72340 $D=8
+M16 7 138 139 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15630 $Y=71060 $D=8
+M17 7 162 163 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15630 $Y=72340 $D=8
+M18 138 139 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16770 $Y=71060 $D=8
+M19 162 163 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16770 $Y=72340 $D=8
+M20 7 136 137 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18630 $Y=71060 $D=8
+M21 7 160 161 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18630 $Y=72340 $D=8
+M22 136 137 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19770 $Y=71060 $D=8
+M23 160 161 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19770 $Y=72340 $D=8
+M24 7 134 135 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21630 $Y=71060 $D=8
+M25 7 158 159 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21630 $Y=72340 $D=8
+M26 134 135 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22770 $Y=71060 $D=8
+M27 158 159 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22770 $Y=72340 $D=8
+M28 7 132 133 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24630 $Y=71060 $D=8
+M29 7 156 157 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24630 $Y=72340 $D=8
+M30 132 133 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25770 $Y=71060 $D=8
+M31 156 157 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25770 $Y=72340 $D=8
+M32 7 130 131 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27630 $Y=71060 $D=8
+M33 7 154 155 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27630 $Y=72340 $D=8
+M34 130 131 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28770 $Y=71060 $D=8
+M35 154 155 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28770 $Y=72340 $D=8
+M36 7 128 129 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30630 $Y=71060 $D=8
+M37 7 152 153 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30630 $Y=72340 $D=8
+M38 128 129 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31770 $Y=71060 $D=8
+M39 152 153 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31770 $Y=72340 $D=8
+M40 7 126 127 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33630 $Y=71060 $D=8
+M41 7 150 151 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33630 $Y=72340 $D=8
+M42 126 127 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34770 $Y=71060 $D=8
+M43 150 151 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34770 $Y=72340 $D=8
+M44 7 124 125 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=36630 $Y=71060 $D=8
+M45 7 148 149 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=36630 $Y=72340 $D=8
+M46 124 125 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=37770 $Y=71060 $D=8
+M47 148 149 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=37770 $Y=72340 $D=8
+X48 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 41 42
++ 43 44 45 46 47 48 64 63 62 61 60 59 58 57 56 55 54 53 52 51
++ 50 49 68 69 70 71 72 73 74 75 116 117 118 119 120 121 122 123 76 77
++ 78 79 80 81 82 83 84 85 86 87 88 89 90 91 124 125 126 127 128 129
++ 130 131 132 133 134 135 136 137 138 139
++ ICV_23 $T=0 0 0 0 $X=-340 $Y=-340
+X49 7 8 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42
++ 43 44 45 46 47 48 64 63 62 61 60 59 58 57 56 55 54 53 52 51
++ 50 49 140 141 142 143 144 145 146 147 92 93 94 95 96 97 98 99 148 149
++ 150 151 152 153 154 155 156 157 158 159 160 161 162 163 100 101 102 103 104 105
++ 106 107 108 109 110 111 112 113 114 115
++ ICV_23 $T=0 72000 0 0 $X=-340 $Y=71660
+.ENDS
+***************************************
+.SUBCKT saout_R_m2 1 vss q pcb datain men vdd b[7] bb[7] WEN b[0] bb[0] bb[1] b[1] b[2] bb[2] bb[3] b[3] b[4] bb[4]
++ bb[5] b[5] b[6] bb[6] 54 GWE ypass[0] ypass[1] ypass[2] ypass[3] ypass[4] ypass[5] ypass[6] ypass[7] GWEN 74 75 76 77 78
++ 79 80
+** N=131 EP=42 IP=161 FDC=187
+*.SEEDPROM
+X0 vss 54 b[7] 70 bb[7] 73 pcb vdd ypass[7] 74 bb[0] b[0] 75 bb[1] b[1] 76 bb[2] b[2] 77 bb[3]
++ b[3] 78 bb[4] b[4] 79 bb[5] b[5] 80 bb[6] b[6] ypass[0] ypass[1] ypass[2] ypass[3] ypass[4] ypass[5] ypass[6]
++ mux821 $T=2765 83310 0 0 $X=-1345 $Y=83305
+X1 vss 1 82 85 86 83 88 92 87 93 89 90 91 men vdd WEN GWEN 81 84 wen_wm1 $T=1610 -16880 0 0 $X=100 $Y=-17420
+X2 vss 94 pcb 68 99 100 101 102 104 107 108 96 95 97 71 98 69 103 105 106
++ 72 vdd men
++ sacntl_2 $T=3160 115 0 0 $X=425 $Y=-5
+X3 q vss 109 111 112 114 113 110 116 vdd GWE 72 130 131 115 outbuf_oe $T=3160 27545 0 0 $X=500 $Y=25750
+X4 vss 117 120 125 70 73 122 118 121 123 124 119 vdd datain men 1 din $T=1615 39025 0 0 $X=500 $Y=38740
+X5 126 vss 128 127 131 130 129 pcb vdd 70 73 72 sa $T=3160 43040 0 0 $X=1375 $Y=42060
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_bndry
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_2x_bndry
+** N=10 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_38
+** N=15 EP=0 IP=20 FDC=0
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_cutPC
+** N=7 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_39 1 2 4 7 8 9 10
+** N=10 EP=7 IP=14 FDC=8
+*.SEEDPROM
+M0 1 4 7 4 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=180 $Y=-1030 $D=2
+M1 9 4 1 4 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=180 $Y=260 $D=2
+M2 4 8 7 4 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=-2660 $D=2
+M3 4 10 9 4 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=1710 $D=2
+M4 8 7 4 4 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=-2660 $D=2
+M5 10 9 4 4 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=1710 $D=2
+M6 2 4 8 4 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=2220 $Y=-1030 $D=2
+M7 10 4 2 4 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=2220 $Y=260 $D=2
+.ENDS
+***************************************
+.SUBCKT ICV_40 1 2 7 8 9 10 11 12
+** N=16 EP=8 IP=20 FDC=20
+*.SEEDPROM
+M0 1 14 13 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=-5440 $D=8
+M1 1 16 15 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=-4160 $D=8
+M2 14 13 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=-5440 $D=8
+M3 16 15 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=-4160 $D=8
+X4 7 8 2 9 10 13 14 ICV_39 $T=0 -9000 0 0 $X=-340 $Y=-13840
+X5 7 8 2 15 16 11 12 ICV_39 $T=0 0 0 0 $X=-340 $Y=-4840
+.ENDS
+***************************************
+.SUBCKT ICV_41 7 8 17 18 22 23 24 25
+** N=29 EP=8 IP=48 FDC=44
+*.SEEDPROM
+M0 7 26 27 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=12560 $D=8
+M1 7 28 29 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=13840 $D=8
+M2 26 27 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=12560 $D=8
+M3 28 29 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=13840 $D=8
+X6 7 8 17 18 26 27 22 23 ICV_40 $T=6000 0 0 180 $X=2660 $Y=-4840
+X7 7 8 17 18 24 25 28 29 ICV_40 $T=6000 18000 0 180 $X=2660 $Y=13160
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I07
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT M1_POLY2_I01
+** N=2 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I03
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2_01_R270 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.1e-05 AD=2.86e-12 AS=4.84e-12 PD=1.204e-05 PS=2.376e-05 NRD=0.0945455 NRS=0.16 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I05
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xdec 1 2 men 6 vss 8 28 vdd
+** N=104 EP=8 IP=41 FDC=6
+*.SEEDPROM
+M0 2 6 men vss nmos_5p0 L=6e-07 W=6.6e-06 AD=2.904e-12 AS=1.716e-12 PD=1.496e-05 PS=7.64e-06 NRD=0.266667 NRS=0.157576 m=1 nf=2 $X=37460 $Y=965 $D=2
+M1 vss 8 6 vss nmos_5p0 L=6e-07 W=6.6e-07 AD=2.904e-13 AS=2.904e-13 PD=2.2e-06 PS=2.2e-06 NRD=0.666667 NRS=0.666667 m=1 nf=1 $X=45970 $Y=965 $D=2
+M2 2 8 men vdd pmos_5p0 L=6e-07 W=6.6e-06 AD=2.904e-12 AS=1.716e-12 PD=1.496e-05 PS=7.64e-06 NRD=0.266667 NRS=0.157576 m=1 nf=2 $X=32185 $Y=965 $D=8
+M3 vdd 8 6 vdd pmos_5p0 L=6e-07 W=1.59e-06 AD=6.996e-13 AS=6.996e-13 PD=4.06e-06 PS=4.06e-06 NRD=0.27673 NRS=0.27673 m=1 nf=1 $X=43020 $Y=965 $D=8
+X12 vdd 1 2 pmos_1p2_01_R270 $T=29780 1120 0 90 $X=23605 $Y=-360
+X13 vdd 28 2 pmos_1p2_01_R270 $T=91805 1120 1 90 $X=91120 $Y=-360
+.ENDS
+***************************************
+.SUBCKT xdec8 vss xc xb xa[1] xa[2] xa[3] xa[4] xa[5] xa[6] vdd 102 men 104 LWL[1] RWL[1] LWL[2] RWL[2] LWL[3] RWL[3] LWL[4]
++ RWL[4] LWL[5] RWL[5] LWL[6] RWL[6] 120 121 270 273 316 319
+** N=335 EP=31 IP=616 FDC=126
+*.SEEDPROM
+M0 vss 275 274 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=5740 $D=2
+M1 vss 274 LWL[1] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=7020 $D=2
+M2 vss 281 LWL[2] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=9260 $D=2
+M3 281 282 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=11660 $D=2
+M4 vss 289 288 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=14740 $D=2
+M5 vss 288 LWL[3] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=16020 $D=2
+M6 vss 295 LWL[4] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=18260 $D=2
+M7 295 296 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=20660 $D=2
+M8 vss 303 302 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=16340 $Y=23740 $D=2
+M9 vss 302 LWL[5] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=25020 $D=2
+M10 vss 309 LWL[6] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=16340 $Y=27260 $D=2
+M11 309 310 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=16340 $Y=29660 $D=2
+M12 vss 277 275 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=8140 $D=2
+M13 282 284 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=9260 $D=2
+M14 vss 291 289 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=17140 $D=2
+M15 296 298 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=18260 $D=2
+M16 vss 305 303 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=46620 $Y=26140 $D=2
+M17 310 312 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=46620 $Y=27260 $D=2
+M18 324 xa[1] 277 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=5900 $D=2
+M19 325 xb 324 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=7020 $D=2
+M20 vss xc 325 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=8085 $D=2
+M21 327 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=9315 $D=2
+M22 326 xb 327 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=10380 $D=2
+M23 284 xa[2] 326 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=11500 $D=2
+M24 328 xa[3] 291 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=14900 $D=2
+M25 329 xb 328 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=16020 $D=2
+M26 vss xc 329 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=17085 $D=2
+M27 331 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=18315 $D=2
+M28 330 xb 331 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=19380 $D=2
+M29 298 xa[4] 330 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=20500 $D=2
+M30 332 xa[5] 305 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=66460 $Y=23900 $D=2
+M31 333 xb 332 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=66460 $Y=25020 $D=2
+M32 vss xc 333 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=66460 $Y=26085 $D=2
+M33 335 xc vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=66460 $Y=27315 $D=2
+M34 334 xb 335 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=66460 $Y=28380 $D=2
+M35 312 xa[6] 334 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=66460 $Y=29500 $D=2
+M36 vss 275 280 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=5740 $D=2
+M37 vss 280 RWL[1] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=7020 $D=2
+M38 vss 287 RWL[2] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=9260 $D=2
+M39 287 282 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=11660 $D=2
+M40 vss 289 294 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=14740 $D=2
+M41 vss 294 RWL[3] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=16020 $D=2
+M42 vss 301 RWL[4] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=18260 $D=2
+M43 301 296 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=20660 $D=2
+M44 vss 303 308 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=100255 $Y=23740 $D=2
+M45 vss 308 RWL[5] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=25020 $D=2
+M46 vss 315 RWL[6] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=100255 $Y=27260 $D=2
+M47 315 310 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=100255 $Y=29660 $D=2
+M48 LWL[1] 274 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=5900 $D=8
+M49 vdd 281 LWL[2] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=9260 $D=8
+M50 LWL[3] 288 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=14900 $D=8
+M51 vdd 295 LWL[4] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=18260 $D=8
+M52 LWL[5] 302 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=4665 $Y=23900 $D=8
+M53 vdd 309 LWL[6] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=4665 $Y=27260 $D=8
+M54 vdd xa[1] 277 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=5900 $D=8
+M55 277 xb vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=7020 $D=8
+M56 vdd xc 277 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=8140 $D=8
+M57 284 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=9260 $D=8
+M58 vdd xb 284 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=10380 $D=8
+M59 284 xa[2] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=11500 $D=8
+M60 vdd xa[3] 291 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=14900 $D=8
+M61 291 xb vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=16020 $D=8
+M62 vdd xc 291 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=17140 $D=8
+M63 298 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=18260 $D=8
+M64 vdd xb 298 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=19380 $D=8
+M65 298 xa[4] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=20500 $D=8
+M66 vdd xa[5] 305 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=71980 $Y=23900 $D=8
+M67 305 xb vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=25020 $D=8
+M68 vdd xc 305 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=26140 $D=8
+M69 312 xc vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=27260 $D=8
+M70 vdd xb 312 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=71980 $Y=28380 $D=8
+M71 312 xa[6] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=71980 $Y=29500 $D=8
+M72 RWL[1] 280 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=5900 $D=8
+M73 vdd 287 RWL[2] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=9260 $D=8
+M74 RWL[3] 294 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=14900 $D=8
+M75 vdd 301 RWL[4] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=18260 $D=8
+M76 RWL[5] 308 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=106930 $Y=23900 $D=8
+M77 vdd 315 RWL[6] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=106930 $Y=27260 $D=8
+X78 270 102 men 271 vss 104 273 vdd xdec $T=5 4500 1 0 $X=0 $Y=-1140
+X79 274 275 men 276 vss 277 280 vdd xdec $T=5 4500 0 0 $X=0 $Y=3385
+X80 281 282 men 283 vss 284 287 vdd xdec $T=5 13500 1 0 $X=0 $Y=7860
+X81 288 289 men 290 vss 291 294 vdd xdec $T=5 13500 0 0 $X=0 $Y=12385
+X82 295 296 men 297 vss 298 301 vdd xdec $T=5 22500 1 0 $X=0 $Y=16860
+X83 302 303 men 304 vss 305 308 vdd xdec $T=5 22500 0 0 $X=0 $Y=21385
+X84 309 310 men 311 vss 312 315 vdd xdec $T=5 31500 1 0 $X=0 $Y=25860
+X85 316 120 men 317 vss 121 319 vdd xdec $T=5 31500 0 0 $X=0 $Y=30385
+.ENDS
+***************************************
+.SUBCKT pmoscap_R270
+** N=26 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_35
+** N=6 EP=0 IP=8 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_36
+** N=10 EP=0 IP=12 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_37 1 50 51 52 54 55 56 57 58 59 104 105 106 107 108 109 112 113 114 115
++ 116 117 119 126 127 128 129 130 131 132 133
+** N=137 EP=31 IP=157 FDC=126
+*.SEEDPROM
+X0 1 51 52 59 58 57 56 55 54 50 126 119 127 104 112 105 113 106 114 107
++ 115 108 116 109 117 128 129 130 131 132 133
++ xdec8 $T=9750 2385 1 270 $X=-27390 $Y=-118710
+.ENDS
+***************************************
+.SUBCKT pmoscap_L1_W2_R270
+** N=13 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I03
+** N=2001 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47513644
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I21
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47641644
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I12
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xpredec0_xa 2 3 13 29 30
+** N=40 EP=5 IP=40 FDC=4
+*.SEEDPROM
+M0 40 29 2 3 nmos_5p0 L=6e-07 W=1.225e-05 AD=3.185e-12 AS=7.2275e-12 PD=1.277e-05 PS=2.568e-05 NRD=0.0212245 NRS=0.0481633 m=1 nf=1 $X=3255 $Y=2430 $D=2
+M1 3 30 40 3 nmos_5p0 L=6e-07 W=1.225e-05 AD=7.28875e-12 AS=3.185e-12 PD=2.569e-05 PS=1.277e-05 NRD=0.0485714 NRS=0.0212245 m=1 nf=1 $X=4375 $Y=2430 $D=2
+M2 2 29 13 13 pmos_5p0 L=6e-07 W=1.52e-05 AD=3.952e-12 AS=6.688e-12 PD=1.572e-05 PS=3.128e-05 NRD=0.0171053 NRS=0.0289474 m=1 nf=1 $X=3255 $Y=19540 $D=8
+M3 13 30 2 13 pmos_5p0 L=6e-07 W=1.52e-05 AD=6.688e-12 AS=3.952e-12 PD=3.128e-05 PS=1.572e-05 NRD=0.0289474 NRS=0.0171053 m=1 nf=1 $X=4375 $Y=19540 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2_161 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT alatch vss ab a vdd enb en
+** N=16 EP=6 IP=24 FDC=8
+M0 ab 12 vss vss nmos_5p0 L=6e-07 W=3.64e-06 AD=9.464e-13 AS=1.6016e-12 PD=4.68e-06 PS=9.04e-06 NRD=0.285714 NRS=0.483516 m=1 nf=2 $X=2590 $Y=1475 $D=2
+M1 vss ab 11 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=3710 $Y=12935 $D=2
+M2 a en 12 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=6280 $Y=1020 $D=2
+M3 11 enb 12 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=6280 $Y=12935 $D=2
+M4 ab 12 vdd vdd pmos_5p0 L=6e-07 W=9.08e-06 AD=2.3608e-12 AS=3.9952e-12 PD=1.012e-05 PS=1.992e-05 NRD=0.114537 NRS=0.193833 m=1 nf=2 $X=2590 $Y=4695 $D=8
+M5 a enb 12 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=6280 $Y=5895 $D=8
+X10 11 vdd ab vdd pmos_1p2_161 $T=3865 11540 1 0 $X=2435 $Y=9910
+X11 12 11 en vdd pmos_1p2_161 $T=6435 11540 1 0 $X=5005 $Y=9910
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$47335468
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT xpredec0_bot 1 2 3 8 9 10 11
+** N=33 EP=7 IP=7 FDC=12
+M0 2 33 1 1 nmos_5p0 L=6e-07 W=7.04e-06 AD=3.0976e-12 AS=3.0976e-12 PD=1.496e-05 PS=1.496e-05 NRD=0.0625 NRS=0.0625 m=1 nf=1 $X=3755 $Y=35615 $D=2
+M1 3 2 1 1 nmos_5p0 L=6e-07 W=5.22e-06 AD=2.2968e-12 AS=2.2968e-12 PD=1.132e-05 PS=1.132e-05 NRD=0.0842912 NRS=0.0842912 m=1 nf=1 $X=6325 $Y=36010 $D=2
+M2 2 33 8 8 pmos_5p0 L=6e-07 W=1.769e-05 AD=7.7836e-12 AS=7.7836e-12 PD=3.626e-05 PS=3.626e-05 NRD=0.0248728 NRS=0.0248728 m=1 nf=1 $X=3755 $Y=16320 $D=8
+M3 3 2 8 8 pmos_5p0 L=6e-07 W=1.316e-05 AD=5.7904e-12 AS=5.7904e-12 PD=2.72e-05 PS=2.72e-05 NRD=0.0334347 NRS=0.0334347 m=1 nf=1 $X=6325 $Y=20855 $D=8
+X4 1 33 9 8 11 10 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+.ENDS
+***************************************
+.SUBCKT xpredec0 vss vdd men clk A[1] A[0] x[3] x[2] x[1] x[0]
+** N=99 EP=10 IP=158 FDC=56
+M0 x[3] 90 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=5.5388e-12 PD=2.024e-05 PS=2.514e-05 NRD=0.229075 NRS=0.268722 m=1 nf=4 $X=260 $Y=50820 $D=2
+M1 x[2] 92 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=4.7216e-12 PD=2.024e-05 PS=2.024e-05 NRD=0.229075 NRS=0.229075 m=1 nf=4 $X=4740 $Y=50820 $D=2
+M2 x[1] 94 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=4.7216e-12 PD=2.024e-05 PS=2.024e-05 NRD=0.229075 NRS=0.229075 m=1 nf=4 $X=9220 $Y=50820 $D=2
+M3 x[0] 96 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=5.5388e-12 PD=2.024e-05 PS=2.514e-05 NRD=0.229075 NRS=0.268722 m=1 nf=4 $X=13700 $Y=50820 $D=2
+M4 17 men vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=3.562e-13 AS=6.028e-13 PD=1.89e-06 PS=3.62e-06 NRD=0.189781 NRS=0.321168 m=1 nf=1 $X=21630 $Y=51200 $D=2
+M5 vss clk 17 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=3.562e-13 PD=3.62e-06 PS=1.89e-06 NRD=0.321168 NRS=0.189781 m=1 nf=1 $X=22750 $Y=51200 $D=2
+M6 x[3] 90 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.38348e-11 PD=4.744e-05 PS=5.914e-05 NRD=0.0917108 NRS=0.107584 m=1 nf=4 $X=260 $Y=38080 $D=8
+M7 x[2] 92 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.744e-05 PS=4.744e-05 NRD=0.0917108 NRS=0.0917108 m=1 nf=4 $X=4740 $Y=38080 $D=8
+M8 x[1] 94 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.744e-05 PS=4.744e-05 NRD=0.0917108 NRS=0.0917108 m=1 nf=4 $X=9220 $Y=38080 $D=8
+M9 x[0] 96 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.38348e-11 PD=4.744e-05 PS=5.914e-05 NRD=0.0917108 NRS=0.107584 m=1 nf=4 $X=13700 $Y=38080 $D=8
+M10 98 men vdd vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=4.39037e-13 AS=1.01447e-12 PD=2.22e-06 PS=4.6e-06 NRD=0.151026 NRS=0.348974 m=1 nf=1 $X=21630 $Y=47525 $D=8
+M11 17 clk 98 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=8.525e-15 AS=-8.525e-15 PD=1e-08 PS=-1e-08 NRD=0.00293255 NRS=-0.00293255 m=1 nf=1 $X=22745 $Y=47525 $D=8
+M12 99 clk 17 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=-8.525e-15 AS=8.525e-15 PD=-1e-08 PS=1e-08 NRD=-0.00293255 NRS=0.00293255 m=1 nf=1 $X=23870 $Y=47525 $D=8
+M13 vdd men 99 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=1.01447e-12 AS=4.39037e-13 PD=4.6e-06 PS=2.22e-06 NRD=0.348974 NRS=0.151026 m=1 nf=1 $X=24985 $Y=47525 $D=8
+M14 18 17 vdd vdd pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=29010 $Y=47595 $D=8
+X17 18 vss 17 vss nmos_1p2$$46563372 $T=29755 51180 0 0 $X=28610 $Y=50495
+X18 90 vss vdd 30 31 xpredec0_xa $T=-2205 170 0 0 $X=-1440 $Y=-5
+X19 92 vss vdd 30 32 xpredec0_xa $T=11165 170 1 180 $X=3000 $Y=-5
+X20 94 vss vdd 33 31 xpredec0_xa $T=6755 170 0 0 $X=7520 $Y=-5
+X21 96 vss vdd 33 32 xpredec0_xa $T=20125 170 1 180 $X=11960 $Y=-5
+X22 vss 30 33 vdd A[1] 17 18 xpredec0_bot $T=18665 3160 0 0 $X=18135 $Y=-5
+X23 vss 31 32 vdd A[0] 17 18 xpredec0_bot $T=27120 3160 0 0 $X=26590 $Y=-5
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE_I02
+** N=38 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I01
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I04
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1_ys
+** N=8 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_32 1 2 4 5 7 8
+** N=8 EP=6 IP=10 FDC=4
+*.SEEDPROM
+M0 1 7 4 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=3510 $Y=1700 $D=2
+M1 8 5 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=6870 $Y=1700 $D=2
+M2 2 7 4 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=3510 $Y=14855 $D=8
+M3 8 5 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=6870 $Y=14855 $D=8
+.ENDS
+***************************************
+.SUBCKT ICV_33 1 2 4 5 6 7 8 9 11 13
+** N=14 EP=10 IP=16 FDC=12
+*.SEEDPROM
+M0 1 14 6 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=9110 $Y=1700 $D=2
+M1 12 7 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=12470 $Y=1700 $D=2
+M2 2 14 6 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=9110 $Y=14855 $D=8
+M3 12 7 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=12470 $Y=14855 $D=8
+X4 1 2 4 5 11 14 ICV_32 $T=0 0 0 0 $X=-5 $Y=-5
+X5 1 2 8 9 12 13 ICV_32 $T=11200 0 0 0 $X=11195 $Y=-5
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47514668
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1_bot 1 2 3 10 11 12 13
+** N=34 EP=7 IP=20 FDC=12
+M0 2 30 1 1 nmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.9976e-12 PD=9.96e-06 PS=9.96e-06 NRD=0.0969163 NRS=0.0969163 m=1 nf=1 $X=3755 $Y=33350 $D=2
+M1 3 2 1 1 nmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.9976e-12 PD=9.96e-06 PS=9.96e-06 NRD=0.0969163 NRS=0.0969163 m=1 nf=1 $X=6325 $Y=33350 $D=2
+X2 10 2 30 pmos_1p2$$46887980 $T=3910 18340 0 0 $X=2480 $Y=17630
+X3 10 3 2 pmos_1p2$$46887980 $T=6480 18340 0 0 $X=5050 $Y=17630
+X4 1 30 11 10 13 12 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47821868
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47820844
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1_xa
+** N=29 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_34 1 2 5 8 9 10 11 12
+** N=22 EP=8 IP=36 FDC=16
+M0 20 10 13 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=1.73655e-12 AS=4.05195e-12 PD=7.32e-06 PS=1.481e-05 NRD=0.0374449 NRS=0.0873715 m=1 nf=1 $X=-2370 $Y=-33035 $D=2
+M1 19 9 20 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=3.405e-14 AS=-3.405e-14 PD=1e-08 PS=-1e-08 NRD=0.000734214 NRS=-0.000734214 m=1 nf=1 $X=-1260 $Y=-33035 $D=2
+M2 1 2 19 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=3.405e-14 AS=-3.405e-14 PD=1e-08 PS=-1e-08 NRD=0.000734214 NRS=-0.000734214 m=1 nf=1 $X=-140 $Y=-33035 $D=2
+M3 1 13 11 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=-2375 $Y=-2950 $D=2
+M4 21 5 1 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=-3.405e-14 AS=3.405e-14 PD=-1e-08 PS=1e-08 NRD=-0.000734214 NRS=0.000734214 m=1 nf=1 $X=990 $Y=-33035 $D=2
+M5 22 9 21 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=-3.405e-14 AS=3.405e-14 PD=-1e-08 PS=1e-08 NRD=-0.000734214 NRS=0.000734214 m=1 nf=1 $X=2110 $Y=-33035 $D=2
+M6 16 10 22 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=4.05195e-12 AS=1.73655e-12 PD=1.481e-05 PS=7.32e-06 NRD=0.0873715 NRS=0.0374449 m=1 nf=1 $X=3220 $Y=-33035 $D=2
+M7 12 16 1 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=1.7706e-12 PD=1.1e-05 PS=8.37e-06 NRD=0.422907 NRS=0.343612 m=1 nf=3 $X=985 $Y=-2950 $D=2
+M8 8 10 13 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=1.4742e-12 AS=2.4948e-12 PD=6.19e-06 PS=1.222e-05 NRD=0.0458554 NRS=0.0776014 m=1 nf=1 $X=-2375 $Y=-19360 $D=8
+M9 13 9 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=-1255 $Y=-19360 $D=8
+M10 8 2 13 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=-135 $Y=-19360 $D=8
+M11 8 13 11 8 pmos_5p0 L=6e-07 W=1.731e-05 AD=4.5006e-12 AS=5.5392e-12 PD=1.887e-05 PS=2.5e-05 NRD=0.135182 NRS=0.166378 m=1 nf=3 $X=-2375 $Y=-10125 $D=8
+M12 16 5 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=985 $Y=-19360 $D=8
+M13 8 9 16 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2105 $Y=-19360 $D=8
+M14 16 10 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=2.4948e-12 AS=1.4742e-12 PD=1.222e-05 PS=6.19e-06 NRD=0.0776014 NRS=0.0458554 m=1 nf=1 $X=3225 $Y=-19360 $D=8
+M15 12 16 8 8 pmos_5p0 L=6e-07 W=1.731e-05 AD=5.5392e-12 AS=4.5006e-12 PD=2.5e-05 PS=1.887e-05 NRD=0.166378 NRS=0.135182 m=1 nf=3 $X=985 $Y=-10125 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47109164 1 2 3
+** N=4 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=3.42e-06 AD=8.892e-13 AS=1.5048e-12 PD=4.46e-06 PS=8.6e-06 NRD=0.304094 NRS=0.51462 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47342636
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I18
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1 1 2 men clk ly[6] ly[7] ly[0] ly[1] ly[2] ly[3] ly[4] ly[5] ry[0] ry[1] ry[2] ry[3] ry[4] ry[5] ry[6] ry[7]
++ A[2] A[1] A[0]
+** N=374 EP=23 IP=151 FDC=172
+M0 367 358 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=3.9952e-12 PD=1.904e-05 PS=1.904e-05 NRD=0.0484581 NRS=0.0484581 m=1 nf=1 $X=2545 $Y=46970 $D=2
+M1 1 371 ly[3] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=21585 $Y=46970 $D=2
+M2 368 361 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=24945 $Y=46970 $D=2
+M3 188 189 1 1 nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=5.984e-13 PD=3.6e-06 PS=3.6e-06 NRD=0.323529 NRS=0.323529 m=1 nf=1 $X=31760 $Y=4985 $D=2
+M4 189 clk 1 1 nmos_5p0 L=6e-07 W=1.91e-06 AD=4.966e-13 AS=8.404e-13 PD=2.43e-06 PS=4.7e-06 NRD=0.136126 NRS=0.230366 m=1 nf=1 $X=38610 $Y=5010 $D=2
+M5 1 men 189 1 nmos_5p0 L=6e-07 W=1.91e-06 AD=8.404e-13 AS=4.966e-13 PD=4.7e-06 PS=2.43e-06 NRD=0.230366 NRS=0.136126 m=1 nf=1 $X=39730 $Y=5010 $D=2
+M6 1 372 ly[7] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=43985 $Y=46970 $D=2
+M7 369 358 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=47345 $Y=46970 $D=2
+M8 1 373 ry[3] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=66385 $Y=46970 $D=2
+M9 370 361 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=69745 $Y=46970 $D=2
+M10 1 374 ry[7] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=8.7168e-12 AS=8.7168e-12 PD=3.824e-05 PS=3.824e-05 NRD=0.105727 NRS=0.105727 m=1 nf=3 $X=88785 $Y=46970 $D=2
+M11 367 358 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=8.8e-12 PD=4.088e-05 PS=4.088e-05 NRD=0.022 NRS=0.022 m=1 nf=1 $X=2545 $Y=60125 $D=8
+M12 2 371 ly[3] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=21585 $Y=60125 $D=8
+M13 368 361 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=24945 $Y=60125 $D=8
+M14 365 men 2 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=5.915e-13 AS=1.35362e-12 PD=2.795e-06 PS=5.74e-06 NRD=0.114286 NRS=0.261538 m=1 nf=1 $X=36375 $Y=1335 $D=8
+M15 189 clk 365 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=37495 $Y=1335 $D=8
+M16 366 clk 189 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=38615 $Y=1335 $D=8
+M17 2 men 366 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=1.34225e-12 AS=5.915e-13 PD=5.73e-06 PS=2.795e-06 NRD=0.259341 NRS=0.114286 m=1 nf=1 $X=39735 $Y=1335 $D=8
+M18 2 372 ly[7] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=43985 $Y=60125 $D=8
+M19 369 358 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=47345 $Y=60125 $D=8
+M20 2 373 ry[3] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=66385 $Y=60125 $D=8
+M21 370 361 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=69745 $Y=60125 $D=8
+M22 2 374 ry[7] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.92e-11 AS=1.92e-11 PD=8.192e-05 PS=8.192e-05 NRD=0.048 NRS=0.048 m=1 nf=3 $X=88785 $Y=60125 $D=8
+X23 1 2 ly[0] 357 ly[1] 359 ly[2] 360 367 371 ICV_33 $T=1275 45270 0 0 $X=1270 $Y=45265
+X24 1 2 ly[4] 362 ly[5] 363 ly[6] 364 368 372 ICV_33 $T=23675 45270 0 0 $X=23670 $Y=45265
+X25 1 2 ry[0] 357 ry[1] 359 ry[2] 360 369 373 ICV_33 $T=46075 45270 0 0 $X=46070 $Y=45265
+X26 1 2 ry[4] 362 ry[5] 363 ry[6] 364 370 374 ICV_33 $T=68475 45270 0 0 $X=68470 $Y=45265
+X27 1 190 191 2 A[2] 189 188 ypredec1_bot $T=1920 5135 0 0 $X=1820 $Y=1970
+X28 1 192 193 2 A[1] 189 188 ypredec1_bot $T=10375 5135 0 0 $X=10275 $Y=1970
+X29 1 194 195 2 A[0] 189 188 ypredec1_bot $T=18830 5135 0 0 $X=18730 $Y=1970
+X30 1 195 194 2 192 190 363 364 ICV_34 $T=33645 42985 1 180 $X=28115 $Y=7365
+X31 1 195 194 2 193 190 361 362 ICV_34 $T=41810 42985 1 180 $X=36280 $Y=7365
+X32 1 195 194 2 192 191 359 360 ICV_34 $T=49980 42985 1 180 $X=44450 $Y=7365
+X33 1 195 194 2 193 191 358 357 ICV_34 $T=58150 42985 1 180 $X=52620 $Y=7365
+X34 2 188 189 pmos_1p2$$47109164 $T=32795 1405 1 180 $X=28795 $Y=720
+.ENDS
+***************************************
+.SUBCKT M1_NWELL_01
+** N=49 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I20 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 2 nmos_5p0 L=6e-07 W=1.011e-05 AD=4.4484e-12 AS=4.4484e-12 PD=2.11e-05 PS=2.11e-05 NRD=0.0435213 NRS=0.0435213 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE$10
+** N=13 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_1p2_02_R90 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=2.526e-05 AD=6.5676e-12 AS=1.11144e-11 PD=2.63e-05 PS=5.228e-05 NRD=0.0411718 NRS=0.0696754 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I14 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=6.59e-06 AD=2.8996e-12 AS=2.8996e-12 PD=1.406e-05 PS=1.406e-05 NRD=0.0667678 NRS=0.0667678 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I11 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 2 nmos_5p0 L=6e-07 W=2.64e-06 AD=1.1616e-12 AS=1.1616e-12 PD=6.16e-06 PS=6.16e-06 NRD=0.166667 NRS=0.166667 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I17 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=4.72e-05 AD=1.2272e-11 AS=1.39712e-11 PD=5.24e-05 PS=6.256e-05 NRD=0.550847 NRS=0.627119 m=1 nf=10 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I06 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=6e-07 W=1.92e-05 AD=4.992e-12 AS=5.6832e-12 PD=2.44e-05 PS=2.896e-05 NRD=1.35417 NRS=1.54167 m=1 nf=10 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE_I01
+** N=7 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT wen_v2 vss vdd wen clk IGWEN GWE
+** N=50 EP=6 IP=93 FDC=30
+M0 vss wen 28 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=2545 $Y=1065 $D=2
+M1 11 wen vss vss nmos_5p0 L=6e-07 W=6e-06 AD=1.92e-12 AS=1.92e-12 PD=9.92e-06 PS=9.92e-06 NRD=0.48 NRS=0.48 m=1 nf=3 $X=1260 $Y=16070 $D=2
+M2 29 clk vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=3665 $Y=1065 $D=2
+M3 30 29 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5905 $Y=1475 $D=2
+M4 33 29 28 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=8440 $Y=545 $D=2
+M5 34 30 33 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=10750 $Y=1860 $D=2
+M6 vss 35 34 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=11870 $Y=1860 $D=2
+M7 vss 33 35 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=14110 $Y=1860 $D=2
+M8 15 35 vss vss nmos_5p0 L=6e-07 W=2.4e-06 AD=6.24e-13 AS=1.056e-12 PD=3.44e-06 PS=6.56e-06 NRD=0.433333 NRS=0.733333 m=1 nf=2 $X=16465 $Y=1620 $D=2
+M9 15 30 31 vss nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=19750 $Y=545 $D=2
+M10 32 29 31 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=23090 $Y=1240 $D=2
+M11 vss 19 32 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=24210 $Y=1240 $D=2
+M12 19 31 vss vss nmos_5p0 L=6e-07 W=6.23e-06 AD=1.78e-12 AS=1.78e-12 PD=1.112e-05 PS=1.112e-05 NRD=2.24719 NRS=2.24719 m=1 nf=7 $X=26535 $Y=1905 $D=2
+M13 vdd wen 28 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=2545 $Y=4215 $D=8
+M14 29 clk vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=3665 $Y=4215 $D=8
+M15 30 29 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5905 $Y=4215 $D=8
+M16 11 wen vdd vdd pmos_5p0 L=6e-07 W=1.488e-05 AD=3.8688e-12 AS=4.7616e-12 PD=1.8e-05 PS=2.368e-05 NRD=0.629032 NRS=0.774194 m=1 nf=6 $X=1260 $Y=9420 $D=8
+M17 33 30 28 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=1.17422e-12 AS=9.988e-13 PD=4.793e-06 PS=5.42e-06 NRD=0.227875 NRS=0.193833 m=1 nf=1 $X=8440 $Y=4215 $D=8
+M18 34 29 33 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.91897e-13 AS=-6.43897e-13 PD=-2.79573e-06 PS=-2.69573e-06 NRD=-0.750757 NRS=-0.698673 m=1 nf=1 $X=10180 $Y=4215 $D=8
+M19 vdd 35 34 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.14048e-12 PD=5.42e-06 PS=4.72272e-06 NRD=0.193833 NRS=0.221328 m=1 nf=1 $X=11870 $Y=4215 $D=8
+M20 vdd 33 35 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=14110 $Y=4215 $D=8
+M21 15 35 vdd vdd pmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=2.4992e-12 PD=6.72e-06 PS=1.312e-05 NRD=0.183099 NRS=0.309859 m=1 nf=2 $X=16465 $Y=4215 $D=8
+M22 15 29 31 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=2.13253e-12 PD=5.58e-06 PS=1.01287e-05 NRD=0.229075 NRS=0.413851 m=1 nf=2 $X=19750 $Y=4215 $D=8
+M23 32 30 31 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.59976e-13 AS=-6.40776e-13 PD=-2.72923e-06 PS=-2.68923e-06 NRD=-0.71612 NRS=-0.695287 m=1 nf=1 $X=22550 $Y=5525 $D=8
+M24 vdd 19 32 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.12024e-12 PD=5.42e-06 PS=4.68056e-06 NRD=0.193833 NRS=0.2174 m=1 nf=1 $X=24210 $Y=4215 $D=8
+M25 19 31 vdd vdd pmos_5p0 L=6e-07 W=1.54e-05 AD=4.4e-12 AS=4.4e-12 PD=2.16e-05 PS=2.16e-05 NRD=0.909091 NRS=0.909091 m=1 nf=7 $X=26535 $Y=4215 $D=8
+X46 vdd IGWEN 11 pmos_5p0_I17 $T=10115 9420 0 0 $X=9075 $Y=8800
+X47 vdd GWE 19 pmos_5p0_I17 $T=23345 9420 0 0 $X=22305 $Y=8800
+X48 vss IGWEN 11 nmos_5p0_I06 $T=10115 16070 0 0 $X=9435 $Y=15450
+X49 vss GWE 19 nmos_5p0_I06 $T=23345 16070 0 0 $X=22665 $Y=15450
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47512620
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xpredec1_xa
+** N=29 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47337516 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.633e-05 AD=7.1852e-12 AS=7.1852e-12 PD=3.354e-05 PS=3.354e-05 NRD=0.0269443 NRS=0.0269443 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47336492 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=6e-07 W=6.58e-06 AD=2.8952e-12 AS=2.8952e-12 PD=1.404e-05 PS=1.404e-05 NRD=0.0668693 NRS=0.0668693 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT xpredec1_bot 1 2 3 10 11 12 13
+** N=32 EP=7 IP=19 FDC=12
+X0 1 32 11 10 13 12 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+X2 10 2 32 pmos_1p2$$47337516 $T=3910 18340 0 0 $X=2480 $Y=17635
+X3 10 3 2 pmos_1p2$$47337516 $T=6480 18340 0 0 $X=5050 $Y=17635
+X4 1 2 32 nmos_1p2$$47336492 $T=3910 36070 0 0 $X=2765 $Y=35385
+X5 1 3 2 nmos_1p2$$47336492 $T=6480 36070 0 0 $X=5335 $Y=35385
+.ENDS
+***************************************
+.SUBCKT xpredec1 vss men vdd clk A[2] A[1] A[0] x[7] x[6] x[5] x[4] x[3] x[2] x[1] x[0]
+** N=91 EP=15 IP=199 FDC=108
+M0 77 18 51 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=1700 $Y=2310 $D=2
+M1 76 19 77 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2820 $Y=2310 $D=2
+M2 vss 20 76 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=3940 $Y=2310 $D=2
+M3 vss 51 x[7] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=1700 $Y=48000 $D=2
+M4 78 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=5060 $Y=2310 $D=2
+M5 79 19 78 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=6180 $Y=2310 $D=2
+M6 54 18 79 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=7300 $Y=2310 $D=2
+M7 x[6] 54 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=5060 $Y=48000 $D=2
+M8 81 18 57 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=9870 $Y=2310 $D=2
+M9 80 22 81 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=10990 $Y=2310 $D=2
+M10 vss 20 80 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12110 $Y=2310 $D=2
+M11 vss 57 x[5] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=9870 $Y=48000 $D=2
+M12 82 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13230 $Y=2310 $D=2
+M13 83 22 82 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14350 $Y=2310 $D=2
+M14 60 18 83 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=15470 $Y=2310 $D=2
+M15 x[4] 60 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=13230 $Y=48000 $D=2
+M16 85 23 63 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=18035 $Y=2310 $D=2
+M17 84 19 85 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=19155 $Y=2310 $D=2
+M18 vss 20 84 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20275 $Y=2310 $D=2
+M19 vss 63 x[3] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=18035 $Y=48000 $D=2
+M20 86 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=21395 $Y=2310 $D=2
+M21 87 19 86 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=22515 $Y=2310 $D=2
+M22 66 23 87 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=23635 $Y=2310 $D=2
+M23 x[2] 66 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=21395 $Y=48000 $D=2
+M24 89 23 69 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=26205 $Y=2310 $D=2
+M25 88 22 89 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=27325 $Y=2310 $D=2
+M26 vss 20 88 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=28445 $Y=2310 $D=2
+M27 vss 69 x[1] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=26205 $Y=48000 $D=2
+M28 90 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=29565 $Y=2310 $D=2
+M29 91 22 90 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=30685 $Y=2310 $D=2
+M30 72 23 91 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=31805 $Y=2310 $D=2
+M31 x[0] 72 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=29565 $Y=48000 $D=2
+M32 17 men vss vss nmos_5p0 L=6e-07 W=1.91e-06 AD=4.966e-13 AS=8.404e-13 PD=2.43e-06 PS=4.7e-06 NRD=0.136126 NRS=0.230366 m=1 nf=1 $X=37165 $Y=51200 $D=2
+M33 vss clk 17 vss nmos_5p0 L=6e-07 W=1.91e-06 AD=8.404e-13 AS=4.966e-13 PD=4.7e-06 PS=2.43e-06 NRD=0.230366 NRS=0.136126 m=1 nf=1 $X=38285 $Y=51200 $D=2
+M34 vss 17 16 vss nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=5.984e-13 PD=3.6e-06 PS=3.6e-06 NRD=0.323529 NRS=0.323529 m=1 nf=1 $X=45140 $Y=51180 $D=2
+M35 vdd 18 51 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=1700 $Y=21650 $D=8
+M36 51 19 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2820 $Y=21650 $D=8
+M37 vdd 20 51 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=3940 $Y=21650 $D=8
+M38 vdd 51 x[7] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=1700 $Y=35260 $D=8
+M39 54 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=5060 $Y=21650 $D=8
+M40 vdd 19 54 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=6180 $Y=21650 $D=8
+M41 54 18 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=7300 $Y=21650 $D=8
+M42 x[6] 54 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=5060 $Y=35260 $D=8
+M43 vdd 18 57 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=9870 $Y=21650 $D=8
+M44 57 22 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=10990 $Y=21650 $D=8
+M45 vdd 20 57 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12110 $Y=21650 $D=8
+M46 vdd 57 x[5] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=9870 $Y=35260 $D=8
+M47 60 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13230 $Y=21650 $D=8
+M48 vdd 22 60 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14350 $Y=21650 $D=8
+M49 60 18 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=15470 $Y=21650 $D=8
+M50 x[4] 60 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=13230 $Y=35260 $D=8
+M51 vdd 23 63 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=18035 $Y=21650 $D=8
+M52 63 19 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=19155 $Y=21650 $D=8
+M53 vdd 20 63 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20275 $Y=21650 $D=8
+M54 vdd 63 x[3] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=18035 $Y=35260 $D=8
+M55 66 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=21395 $Y=21650 $D=8
+M56 vdd 19 66 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=22515 $Y=21650 $D=8
+M57 66 23 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=23635 $Y=21650 $D=8
+M58 x[2] 66 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=21395 $Y=35260 $D=8
+M59 vdd 23 69 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=26205 $Y=21650 $D=8
+M60 69 22 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=27325 $Y=21650 $D=8
+M61 vdd 20 69 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=28445 $Y=21650 $D=8
+M62 vdd 69 x[1] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=26205 $Y=35260 $D=8
+M63 72 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=29565 $Y=21650 $D=8
+M64 vdd 22 72 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=30685 $Y=21650 $D=8
+M65 72 23 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=31805 $Y=21650 $D=8
+M66 x[0] 72 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=29565 $Y=35260 $D=8
+M67 74 men vdd vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=5.915e-13 AS=1.35362e-12 PD=2.795e-06 PS=5.74e-06 NRD=0.114286 NRS=0.261538 m=1 nf=1 $X=37165 $Y=47525 $D=8
+M68 17 clk 74 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=38285 $Y=47525 $D=8
+M69 75 clk 17 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=39405 $Y=47525 $D=8
+M70 vdd men 75 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=1.35362e-12 AS=5.915e-13 PD=5.74e-06 PS=2.795e-06 NRD=0.261538 NRS=0.114286 m=1 nf=1 $X=40525 $Y=47525 $D=8
+X71 vdd 16 17 pmos_1p2$$47109164 $T=44700 47595 0 0 $X=42105 $Y=46910
+X83 vss 18 23 vdd A[2] 17 16 xpredec1_bot $T=34205 3160 0 0 $X=33675 $Y=-5
+X84 vss 19 22 vdd A[1] 17 16 xpredec1_bot $T=42655 3160 0 0 $X=42125 $Y=-5
+X85 vss 20 21 vdd A[0] 17 16 xpredec1_bot $T=51110 3160 0 0 $X=50580 $Y=-5
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I08 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=1.2e-06 W=9e-07 AD=3.96e-13 AS=3.96e-13 PD=2.68e-06 PS=2.68e-06 NRD=0.488889 NRS=0.488889 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I15 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=1.2e-06 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$48624684
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47815724
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_28
+** N=10 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_29
+** N=15 EP=0 IP=20 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_30 1 2 3 4 9 11 14 16
+** N=16 EP=8 IP=24 FDC=20
+*.SEEDPROM
+M0 1 12 10 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=8060 $D=8
+M1 1 15 13 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=9340 $D=8
+M2 12 10 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=8060 $D=8
+M3 15 13 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=9340 $D=8
+X4 3 4 2 2 2 9 11 10 12 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+X5 3 4 2 2 2 13 15 14 16 018SRAM_cell1_2x $T=0 9000 0 0 $X=-340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_31 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
++ 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49
+** N=53 EP=40 IP=82 FDC=220
+*.SEEDPROM
+M0 7 51 50 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=17060 $D=8
+M1 7 53 52 7 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=18340 $D=8
+M2 51 50 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=17060 $D=8
+M3 53 52 7 7 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=18340 $D=8
+X4 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 30 31
++ 32 33 34 35 36 37 38 39 40 41 42 43 44 45
++ ICV_14 $T=-3000 0 1 180 $X=-15340 $Y=-340
+X7 7 8 25 26 46 47 50 51 ICV_30 $T=0 0 0 0 $X=-340 $Y=-340
+X8 7 8 25 26 52 53 48 49 ICV_30 $T=0 18000 0 0 $X=-340 $Y=17660
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_dummy_R
+** N=8 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_1
+** N=11 EP=0 IP=14 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_2 3 4 8 9 10 11
+** N=16 EP=6 IP=22 FDC=4
+*.SEEDPROM
+M0 4 4 8 4 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=3560 $D=8
+M1 4 4 10 4 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=4840 $D=8
+M2 9 3 4 4 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=3560 $D=8
+M3 11 3 4 4 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=4840 $D=8
+.ENDS
+***************************************
+.SUBCKT ICV_3 1 2 3 4 10 12 14 15
+** N=19 EP=8 IP=26 FDC=16
+*.SEEDPROM
+M0 1 3 16 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=3180 $Y=7970 $D=2
+M1 18 3 1 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=3180 $Y=9260 $D=2
+M2 3 4 16 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=3630 $Y=6340 $D=2
+M3 3 4 18 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=3630 $Y=10710 $D=2
+M4 17 3 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=4770 $Y=6340 $D=2
+M5 19 3 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=4770 $Y=10710 $D=2
+M6 2 3 17 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=5220 $Y=7970 $D=2
+M7 19 3 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=5220 $Y=9260 $D=2
+X8 3 4 10 12 16 17 ICV_2 $T=0 0 0 0 $X=-340 $Y=-340
+X9 3 4 18 19 14 15 ICV_2 $T=0 9000 0 0 $X=-340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_4 1 2 3 4 14 16 18 19
+** N=23 EP=8 IP=30 FDC=40
+*.SEEDPROM
+M0 1 3 20 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=3180 $Y=16970 $D=2
+M1 22 3 1 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=3180 $Y=18260 $D=2
+M2 3 4 20 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=3630 $Y=15340 $D=2
+M3 3 4 22 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=3630 $Y=19710 $D=2
+M4 21 3 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=4770 $Y=15340 $D=2
+M5 23 3 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=4770 $Y=19710 $D=2
+M6 2 3 21 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=5220 $Y=16970 $D=2
+M7 23 3 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=5220 $Y=18260 $D=2
+X8 1 2 3 4 14 16 20 21 ICV_3 $T=0 0 0 0 $X=-340 $Y=-340
+X9 1 2 3 4 22 23 18 19 ICV_3 $T=0 18000 0 0 $X=-340 $Y=17660
+.ENDS
+***************************************
+.SUBCKT gf180mcu_fd_ip_sram__sram512x8m8wm1 A[8] A[7] A[6] A[5] A[4] A[3] A[2]
++ A[1] A[0] CEN CLK D[7] D[6] D[5] D[4] D[3] D[2] D[1] D[0] GWEN Q[7] Q[6]
++ Q[5] Q[4] Q[3] Q[2] Q[1] Q[0] VDD VSS WEN[7] WEN[6] WEN[5] WEN[4] WEN[3]
++ WEN[2] WEN[1] WEN[0]
+** N=24897 EP=38 IP=4916 FDC=29933
+M0 23498 VSS 703 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=120390 $Y=176390 $D=2
+M1 703 VSS 23500 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=120390 $Y=472100 $D=2
+M2 VSS 23497 23498 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=120840 $Y=177840 $D=2
+M3 VSS 23499 23500 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=120840 $Y=470470 $D=2
+M4 23497 23498 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=121980 $Y=177840 $D=2
+M5 23499 23500 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=121980 $Y=470470 $D=2
+M6 23497 VSS 702 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=122430 $Y=176390 $D=2
+M7 702 VSS 23499 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=122430 $Y=472100 $D=2
+M8 VSS 24106 23202 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=168355 $Y=180895 $D=2
+M9 24106 24042 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=168355 $Y=183295 $D=2
+M10 VSS 24044 24108 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=168355 $Y=213375 $D=2
+M11 VSS 24108 23209 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=214655 $D=2
+M12 VSS 24110 23210 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=216895 $D=2
+M13 24110 24046 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=168355 $Y=219295 $D=2
+M14 VSS 24048 24112 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=168355 $Y=249375 $D=2
+M15 VSS 24112 23217 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=250655 $D=2
+M16 VSS 24114 23218 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=252895 $D=2
+M17 24114 24050 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=168355 $Y=255295 $D=2
+M18 VSS 24052 24116 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=168355 $Y=285375 $D=2
+M19 VSS 24116 23225 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=286655 $D=2
+M20 VSS 24118 23226 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=288895 $D=2
+M21 24118 24054 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=168355 $Y=291295 $D=2
+M22 VSS 24056 24120 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=168355 $Y=321375 $D=2
+M23 VSS 24120 23233 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=322655 $D=2
+M24 VSS 24122 23234 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=324895 $D=2
+M25 24122 24058 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=168355 $Y=327295 $D=2
+M26 VSS 24060 24124 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=168355 $Y=357375 $D=2
+M27 VSS 24124 23241 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=358655 $D=2
+M28 VSS 24126 23242 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=360895 $D=2
+M29 24126 24062 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=168355 $Y=363295 $D=2
+M30 VSS 24064 24128 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=168355 $Y=393375 $D=2
+M31 VSS 24128 23249 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=394655 $D=2
+M32 VSS 24130 23250 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=396895 $D=2
+M33 24130 24066 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=168355 $Y=399295 $D=2
+M34 VSS 24068 24132 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=168355 $Y=429375 $D=2
+M35 VSS 24132 23257 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=430655 $D=2
+M36 VSS 24134 23258 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=168355 $Y=432895 $D=2
+M37 24134 24070 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=168355 $Y=435295 $D=2
+M38 VSS 24072 24136 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=168355 $Y=465375 $D=2
+M39 VSS 24136 23265 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=168355 $Y=466655 $D=2
+M40 24042 24043 VSS VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=198635 $Y=180895 $D=2
+M41 VSS 24045 24044 VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=198635 $Y=215775 $D=2
+M42 24046 24047 VSS VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=198635 $Y=216895 $D=2
+M43 VSS 24049 24048 VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=198635 $Y=251775 $D=2
+M44 24050 24051 VSS VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=198635 $Y=252895 $D=2
+M45 VSS 24053 24052 VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=198635 $Y=287775 $D=2
+M46 24054 24055 VSS VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=198635 $Y=288895 $D=2
+M47 VSS 24057 24056 VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=198635 $Y=323775 $D=2
+M48 24058 24059 VSS VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=198635 $Y=324895 $D=2
+M49 VSS 24061 24060 VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=198635 $Y=359775 $D=2
+M50 24062 24063 VSS VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=198635 $Y=360895 $D=2
+M51 VSS 24065 24064 VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=198635 $Y=395775 $D=2
+M52 24066 24067 VSS VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=198635 $Y=396895 $D=2
+M53 VSS 24069 24068 VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=198635 $Y=431775 $D=2
+M54 24070 24071 VSS VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=198635 $Y=432895 $D=2
+M55 VSS 24073 24072 VSS nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=198635 $Y=467775 $D=2
+M56 2 VDD 1 VSS nmos_5p0 L=6e-07 W=6.59e-06 AD=2.8996e-12 AS=2.8996e-12 PD=1.406e-05 PS=1.406e-05 NRD=0.0667678 NRS=0.0667678 m=1 nf=1 $X=204815 $Y=471000 $D=2
+M57 24707 1040 VSS VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=7.32375e-13 AS=2.079e-12 PD=3.615e-06 PS=7.62e-06 NRD=0.0738095 NRS=0.209524 m=1 nf=1 $X=218475 $Y=180950 $D=2
+M58 24706 1044 24707 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=218475 $Y=182015 $D=2
+M59 24043 1052 24706 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=218475 $Y=183135 $D=2
+M60 24708 1045 24045 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=218475 $Y=213535 $D=2
+M61 24709 1044 24708 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=218475 $Y=214655 $D=2
+M62 VSS 1040 24709 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=218475 $Y=215720 $D=2
+M63 24711 1040 VSS VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=218475 $Y=216950 $D=2
+M64 24710 1043 24711 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=218475 $Y=218015 $D=2
+M65 24047 1052 24710 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=218475 $Y=219135 $D=2
+M66 24712 1045 24049 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=218475 $Y=249535 $D=2
+M67 24713 1043 24712 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=218475 $Y=250655 $D=2
+M68 VSS 1040 24713 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=218475 $Y=251720 $D=2
+M69 24715 1040 VSS VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=218475 $Y=252950 $D=2
+M70 24714 1042 24715 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=218475 $Y=254015 $D=2
+M71 24051 1052 24714 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=218475 $Y=255135 $D=2
+M72 24716 1045 24053 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=218475 $Y=285535 $D=2
+M73 24717 1042 24716 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=218475 $Y=286655 $D=2
+M74 VSS 1040 24717 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=218475 $Y=287720 $D=2
+M75 24719 1040 VSS VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=218475 $Y=288950 $D=2
+M76 24718 1041 24719 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=218475 $Y=290015 $D=2
+M77 24055 1052 24718 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=218475 $Y=291135 $D=2
+M78 24720 1045 24057 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=218475 $Y=321535 $D=2
+M79 24721 1041 24720 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=218475 $Y=322655 $D=2
+M80 VSS 1040 24721 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=218475 $Y=323720 $D=2
+M81 24723 1038 VSS VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=218475 $Y=324950 $D=2
+M82 24722 1044 24723 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=218475 $Y=326015 $D=2
+M83 24059 1052 24722 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=218475 $Y=327135 $D=2
+M84 24724 1045 24061 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=218475 $Y=357535 $D=2
+M85 24725 1044 24724 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=218475 $Y=358655 $D=2
+M86 VSS 1038 24725 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=218475 $Y=359720 $D=2
+M87 24727 1038 VSS VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=218475 $Y=360950 $D=2
+M88 24726 1043 24727 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=218475 $Y=362015 $D=2
+M89 24063 1052 24726 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=218475 $Y=363135 $D=2
+M90 24728 1045 24065 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=218475 $Y=393535 $D=2
+M91 24729 1043 24728 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=218475 $Y=394655 $D=2
+M92 VSS 1038 24729 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=218475 $Y=395720 $D=2
+M93 24731 1038 VSS VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=218475 $Y=396950 $D=2
+M94 24730 1042 24731 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=218475 $Y=398015 $D=2
+M95 24067 1052 24730 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=218475 $Y=399135 $D=2
+M96 24732 1045 24069 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=218475 $Y=429535 $D=2
+M97 24733 1042 24732 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=218475 $Y=430655 $D=2
+M98 VSS 1038 24733 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=218475 $Y=431720 $D=2
+M99 24735 1038 VSS VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=218475 $Y=432950 $D=2
+M100 24734 1041 24735 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=218475 $Y=434015 $D=2
+M101 24071 1052 24734 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=218475 $Y=435135 $D=2
+M102 24736 1045 24073 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=218475 $Y=465535 $D=2
+M103 24737 1041 24736 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=218475 $Y=466655 $D=2
+M104 VSS 1038 24737 VSS nmos_5p0 L=6e-07 W=3.15e-06 AD=2.079e-12 AS=7.32375e-13 PD=7.62e-06 PS=3.615e-06 NRD=0.209524 NRS=0.0738095 m=1 nf=1 $X=218475 $Y=467720 $D=2
+M105 VSS 1 1000 VSS nmos_5p0 L=6e-07 W=1.36e-06 AD=3.536e-13 AS=5.984e-13 PD=1.88e-06 PS=3.6e-06 NRD=0.191176 NRS=0.323529 m=1 nf=1 $X=233770 $Y=54135 $D=2
+M106 1000 CLK VSS VSS nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=3.536e-13 PD=3.6e-06 PS=1.88e-06 NRD=0.323529 NRS=0.191176 m=1 nf=1 $X=234890 $Y=54135 $D=2
+M107 616 619 VSS VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=242235 $Y=54135 $D=2
+M108 281 1006 VSS VSS nmos_5p0 L=1e-06 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=243265 $Y=46010 $D=2
+M109 CEN 1000 619 VSS nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=245925 $Y=54135 $D=2
+M110 250 468 VSS VSS nmos_5p0 L=6e-07 W=4.99e-05 AD=1.47704e-11 AS=1.47704e-11 PD=6.284e-05 PS=6.284e-05 NRD=0.148297 NRS=0.148297 m=1 nf=5 $X=241995 $Y=72320 $D=2
+M111 317 281 VSS VSS nmos_5p0 L=6e-07 W=7.5e-07 AD=3.3e-13 AS=3.3e-13 PD=2.38e-06 PS=2.38e-06 NRD=0.586667 NRS=0.586667 m=1 nf=1 $X=246495 $Y=46075 $D=2
+M112 354 317 VSS VSS nmos_5p0 L=6e-07 W=3.02e-06 AD=1.3288e-12 AS=1.3288e-12 PD=6.92e-06 PS=6.92e-06 NRD=0.145695 NRS=0.145695 m=1 nf=1 $X=249065 $Y=46070 $D=2
+M113 24027 354 VSS VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=1.34946e-11 PD=2.32e-05 PS=4.655e-05 NRD=0.0114638 NRS=0.0262346 m=1 nf=1 $X=256125 $Y=28435 $D=2
+M114 VSS 24107 23266 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=252270 $Y=180895 $D=2
+M115 24107 24042 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=252270 $Y=183295 $D=2
+M116 VSS 24044 24109 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=252270 $Y=213375 $D=2
+M117 VSS 24109 23273 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=214655 $D=2
+M118 VSS 24111 23274 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=216895 $D=2
+M119 24111 24046 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=252270 $Y=219295 $D=2
+M120 VSS 24048 24113 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=252270 $Y=249375 $D=2
+M121 VSS 24113 23281 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=250655 $D=2
+M122 VSS 24115 23282 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=252895 $D=2
+M123 24115 24050 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=252270 $Y=255295 $D=2
+M124 VSS 24052 24117 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=252270 $Y=285375 $D=2
+M125 VSS 24117 23289 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=286655 $D=2
+M126 VSS 24119 23290 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=288895 $D=2
+M127 24119 24054 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=252270 $Y=291295 $D=2
+M128 VSS 24056 24121 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=252270 $Y=321375 $D=2
+M129 VSS 24121 23297 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=322655 $D=2
+M130 VSS 24123 23298 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=324895 $D=2
+M131 24123 24058 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=252270 $Y=327295 $D=2
+M132 VSS 24060 24125 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=252270 $Y=357375 $D=2
+M133 VSS 24125 23305 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=358655 $D=2
+M134 VSS 24127 23306 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=360895 $D=2
+M135 24127 24062 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=252270 $Y=363295 $D=2
+M136 VSS 24064 24129 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=252270 $Y=393375 $D=2
+M137 VSS 24129 23313 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=394655 $D=2
+M138 VSS 24131 23314 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=396895 $D=2
+M139 24131 24066 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=252270 $Y=399295 $D=2
+M140 VSS 24068 24133 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=252270 $Y=429375 $D=2
+M141 VSS 24133 23321 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=430655 $D=2
+M142 VSS 24135 23322 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=252270 $Y=432895 $D=2
+M143 24135 24070 VSS VSS nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=252270 $Y=435295 $D=2
+M144 VSS 24072 24137 VSS nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=252270 $Y=465375 $D=2
+M145 VSS 24137 23329 VSS nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=252270 $Y=466655 $D=2
+M146 24028 CLK 24027 VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=257245 $Y=28435 $D=2
+M147 445 616 24028 VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=1.33812e-11 AS=5.8968e-12 PD=4.654e-05 PS=2.32e-05 NRD=0.0260141 NRS=0.0114638 m=1 nf=1 $X=258365 $Y=28435 $D=2
+M148 24029 495 VSS VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=4.7177e-12 AS=1.07963e-11 PD=1.8665e-05 PS=3.748e-05 NRD=0.014329 NRS=0.0327914 m=1 nf=1 $X=262120 $Y=29545 $D=2
+M149 468 445 24029 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=263240 $Y=29545 $D=2
+M150 24030 445 468 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=264360 $Y=29545 $D=2
+M151 VSS 495 24030 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=1.07055e-11 AS=4.7177e-12 PD=3.747e-05 PS=1.8665e-05 NRD=0.0325158 NRS=0.014329 m=1 nf=1 $X=265480 $Y=29545 $D=2
+M152 24031 468 VSS VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=1.16905e-12 AS=2.7013e-12 PD=5.055e-06 PS=1.027e-05 NRD=0.0567181 NRS=0.131057 m=1 nf=1 $X=268545 $Y=43150 $D=2
+M153 495 607 24031 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=2.27e-14 AS=-2.27e-14 PD=1e-08 PS=-1e-08 NRD=0.00110132 NRS=-0.00110132 m=1 nf=1 $X=269660 $Y=43150 $D=2
+M154 24032 607 495 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=-2.27e-14 AS=2.27e-14 PD=-1e-08 PS=1e-08 NRD=-0.00110132 NRS=0.00110132 m=1 nf=1 $X=270785 $Y=43150 $D=2
+M155 VSS 468 24032 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=2.7013e-12 AS=1.16905e-12 PD=1.027e-05 PS=5.055e-06 NRD=0.131057 NRS=0.0567181 m=1 nf=1 $X=271900 $Y=43150 $D=2
+M156 1 250 VSS VSS nmos_5p0 L=6e-07 W=0.0001474 AD=3.8324e-11 AS=4.09772e-11 PD=0.0001578 PS=0.00017326 NRD=0.705563 NRS=0.75441 m=1 nf=20 $X=253180 $Y=76320 $D=2
+M157 23502 VSS 705 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=304270 $Y=176390 $D=2
+M158 705 VSS 23504 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=304270 $Y=472100 $D=2
+M159 VSS 23501 23502 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=304720 $Y=177840 $D=2
+M160 VSS 23503 23504 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=304720 $Y=470470 $D=2
+M161 23501 23502 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=305860 $Y=177840 $D=2
+M162 23503 23504 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=305860 $Y=470470 $D=2
+M163 23501 VSS 704 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=306310 $Y=176390 $D=2
+M164 704 VSS 23503 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=306310 $Y=472100 $D=2
+M165 24074 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=418270 $Y=176390 $D=2
+M166 614 VSS 24076 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=211100 $D=2
+M167 24078 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=212390 $D=2
+M168 614 VSS 24080 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=247100 $D=2
+M169 24082 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=248390 $D=2
+M170 614 VSS 24084 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=283100 $D=2
+M171 24086 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=284390 $D=2
+M172 614 VSS 24088 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=319100 $D=2
+M173 24090 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=320390 $D=2
+M174 614 VSS 24092 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=355100 $D=2
+M175 24094 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=356390 $D=2
+M176 614 VSS 24096 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=391100 $D=2
+M177 24098 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=392390 $D=2
+M178 614 VSS 24100 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=427100 $D=2
+M179 24102 VSS 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=428390 $D=2
+M180 614 1002 24104 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=418270 $Y=463100 $D=2
+M181 23859 1002 614 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=418270 $Y=464390 $D=2
+M182 614 1002 23857 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=418270 $Y=472100 $D=2
+M183 VSS VDD 24074 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=177840 $D=2
+M184 VSS VDD 24076 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=209470 $D=2
+M185 VSS VDD 24078 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=213840 $D=2
+M186 VSS VDD 24080 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=245470 $D=2
+M187 VSS VDD 24082 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=249840 $D=2
+M188 VSS VDD 24084 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=281470 $D=2
+M189 VSS VDD 24086 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=285840 $D=2
+M190 VSS VDD 24088 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=317470 $D=2
+M191 VSS VDD 24090 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=321840 $D=2
+M192 VSS VDD 24092 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=353470 $D=2
+M193 VSS VDD 24094 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=357840 $D=2
+M194 VSS VDD 24096 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=389470 $D=2
+M195 VSS VDD 24098 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=393840 $D=2
+M196 VSS VDD 24100 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=425470 $D=2
+M197 VSS VDD 24102 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=429840 $D=2
+M198 VSS VDD 24104 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=461470 $D=2
+M199 VSS VDD 23859 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=465840 $D=2
+M200 VSS VDD 23857 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=418720 $Y=470470 $D=2
+M201 24075 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=177840 $D=2
+M202 24077 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=209470 $D=2
+M203 24079 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=213840 $D=2
+M204 24081 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=245470 $D=2
+M205 24083 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=249840 $D=2
+M206 24085 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=281470 $D=2
+M207 24087 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=285840 $D=2
+M208 24089 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=317470 $D=2
+M209 24091 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=321840 $D=2
+M210 24093 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=353470 $D=2
+M211 24095 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=357840 $D=2
+M212 24097 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=389470 $D=2
+M213 24099 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=393840 $D=2
+M214 24101 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=425470 $D=2
+M215 24103 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=429840 $D=2
+M216 24105 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=461470 $D=2
+M217 23860 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=465840 $D=2
+M218 23858 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=419860 $Y=470470 $D=2
+M219 606 614 VSS VSS nmos_5p0 L=6e-07 W=2.76e-06 AD=7.176e-13 AS=1.2144e-12 PD=3.8e-06 PS=7.28e-06 NRD=0.376812 NRS=0.637681 m=1 nf=2 $X=418770 $Y=94540 $D=2
+M220 607 606 VSS VSS nmos_5p0 L=6e-07 W=1.7e-05 AD=4.42e-12 AS=7.48e-12 PD=1.804e-05 PS=3.576e-05 NRD=0.0611765 NRS=0.103529 m=1 nf=2 $X=418790 $Y=79115 $D=2
+M221 613 VDD VSS VSS nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=5.016e-13 PD=2.18e-06 PS=4.04e-06 NRD=0.912281 NRS=1.54386 m=1 nf=2 $X=419015 $Y=110805 $D=2
+M222 24075 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=420310 $Y=176390 $D=2
+M223 615 VSS 24077 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=211100 $D=2
+M224 24079 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=212390 $D=2
+M225 615 VSS 24081 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=247100 $D=2
+M226 24083 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=248390 $D=2
+M227 615 VSS 24085 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=283100 $D=2
+M228 24087 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=284390 $D=2
+M229 615 VSS 24089 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=319100 $D=2
+M230 24091 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=320390 $D=2
+M231 615 VSS 24093 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=355100 $D=2
+M232 24095 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=356390 $D=2
+M233 615 VSS 24097 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=391100 $D=2
+M234 24099 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=392390 $D=2
+M235 615 VSS 24101 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=427100 $D=2
+M236 24103 VSS 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=428390 $D=2
+M237 615 1002 24105 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=420310 $Y=463100 $D=2
+M238 23860 1002 615 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=420310 $Y=464390 $D=2
+M239 615 1002 23858 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=420310 $Y=472100 $D=2
+M240 VDD 23446 23448 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=179690 $D=8
+M241 VDD 24167 24166 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=180970 $D=8
+M242 VDD 24175 24174 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=323690 $D=8
+M243 VDD 24183 24182 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=324970 $D=8
+M244 VDD 24191 24190 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=467690 $D=8
+M245 VDD 23534 23536 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=12840 $Y=468970 $D=8
+M246 23446 23448 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=179690 $D=8
+M247 24167 24166 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=180970 $D=8
+M248 24175 24174 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=323690 $D=8
+M249 24183 24182 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=324970 $D=8
+M250 24191 24190 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=467690 $D=8
+M251 23534 23536 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=13980 $Y=468970 $D=8
+M252 23915 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=13620 $Y=160970 $D=8
+M253 23916 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=13620 $Y=164845 $D=8
+M254 24138 23885 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=13835 $Y=112830 $D=8
+M255 VDD 23442 23444 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=179690 $D=8
+M256 VDD 24169 24168 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=180970 $D=8
+M257 VDD 24177 24176 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=323690 $D=8
+M258 VDD 24185 24184 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=324970 $D=8
+M259 VDD 24193 24192 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=467690 $D=8
+M260 VDD 23530 23532 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=15840 $Y=468970 $D=8
+M261 23442 23444 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=179690 $D=8
+M262 24169 24168 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=180970 $D=8
+M263 24177 24176 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=323690 $D=8
+M264 24185 24184 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=324970 $D=8
+M265 24193 24192 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=467690 $D=8
+M266 23530 23532 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=16980 $Y=468970 $D=8
+M267 24139 23884 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=16365 $Y=112830 $D=8
+M268 23918 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=16720 $Y=160970 $D=8
+M269 23917 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=16720 $Y=164845 $D=8
+M270 VDD 23438 23440 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=179690 $D=8
+M271 VDD 24171 24170 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=180970 $D=8
+M272 VDD 24179 24178 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=323690 $D=8
+M273 VDD 24187 24186 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=324970 $D=8
+M274 VDD 24195 24194 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=467690 $D=8
+M275 VDD 23526 23528 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=18840 $Y=468970 $D=8
+M276 23438 23440 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=179690 $D=8
+M277 24171 24170 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=180970 $D=8
+M278 24179 24178 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=323690 $D=8
+M279 24187 24186 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=324970 $D=8
+M280 24195 24194 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=467690 $D=8
+M281 23526 23528 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=19980 $Y=468970 $D=8
+M282 23919 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=19815 $Y=160970 $D=8
+M283 23920 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=19815 $Y=164845 $D=8
+M284 24140 23883 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=20030 $Y=112830 $D=8
+M285 VDD 23434 23436 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=179690 $D=8
+M286 VDD 24173 24172 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=180970 $D=8
+M287 VDD 24181 24180 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=323690 $D=8
+M288 VDD 24189 24188 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=324970 $D=8
+M289 VDD 24197 24196 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=467690 $D=8
+M290 VDD 23522 23524 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=21840 $Y=468970 $D=8
+M291 23434 23436 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=179690 $D=8
+M292 24173 24172 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=180970 $D=8
+M293 24181 24180 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=323690 $D=8
+M294 24189 24188 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=324970 $D=8
+M295 24197 24196 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=467690 $D=8
+M296 23522 23524 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=22980 $Y=468970 $D=8
+M297 24141 23882 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=22560 $Y=112830 $D=8
+M298 23922 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=22915 $Y=160970 $D=8
+M299 23921 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=22915 $Y=164845 $D=8
+M300 VDD 23342 23344 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=179690 $D=8
+M301 VDD 24263 24262 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=180970 $D=8
+M302 VDD 24287 24286 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=323690 $D=8
+M303 VDD 24311 24310 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=324970 $D=8
+M304 VDD 24335 24334 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=467690 $D=8
+M305 VDD 23518 23520 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=24840 $Y=468970 $D=8
+M306 23342 23344 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=179690 $D=8
+M307 24263 24262 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=180970 $D=8
+M308 24287 24286 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=323690 $D=8
+M309 24311 24310 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=324970 $D=8
+M310 24335 24334 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=467690 $D=8
+M311 23518 23520 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=25980 $Y=468970 $D=8
+M312 23923 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=26005 $Y=160970 $D=8
+M313 23924 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=26005 $Y=164845 $D=8
+M314 24142 23881 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=26220 $Y=112830 $D=8
+M315 VDD 23338 23340 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=179690 $D=8
+M316 VDD 24265 24264 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=180970 $D=8
+M317 VDD 24289 24288 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=323690 $D=8
+M318 VDD 24313 24312 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=324970 $D=8
+M319 VDD 24337 24336 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=467690 $D=8
+M320 VDD 23514 23516 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=27840 $Y=468970 $D=8
+M321 23338 23340 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=179690 $D=8
+M322 24265 24264 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=180970 $D=8
+M323 24289 24288 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=323690 $D=8
+M324 24313 24312 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=324970 $D=8
+M325 24337 24336 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=467690 $D=8
+M326 23514 23516 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=28980 $Y=468970 $D=8
+M327 24143 23880 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=28750 $Y=112830 $D=8
+M328 23926 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=29105 $Y=160970 $D=8
+M329 23925 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=29105 $Y=164845 $D=8
+M330 VDD 23350 23352 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=179690 $D=8
+M331 VDD 24267 24266 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=180970 $D=8
+M332 VDD 24291 24290 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=323690 $D=8
+M333 VDD 24315 24314 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=324970 $D=8
+M334 VDD 24339 24338 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=467690 $D=8
+M335 VDD 23510 23512 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=30840 $Y=468970 $D=8
+M336 23350 23352 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=179690 $D=8
+M337 24267 24266 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=180970 $D=8
+M338 24291 24290 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=323690 $D=8
+M339 24315 24314 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=324970 $D=8
+M340 24339 24338 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=467690 $D=8
+M341 23510 23512 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=31980 $Y=468970 $D=8
+M342 23927 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=32200 $Y=160970 $D=8
+M343 23928 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=32200 $Y=164845 $D=8
+M344 24144 23879 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=32415 $Y=112830 $D=8
+M345 VDD 23346 23348 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=179690 $D=8
+M346 VDD 24269 24268 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=180970 $D=8
+M347 VDD 24293 24292 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=323690 $D=8
+M348 VDD 24317 24316 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=324970 $D=8
+M349 VDD 24341 24340 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=467690 $D=8
+M350 VDD 23506 23508 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=33840 $Y=468970 $D=8
+M351 23346 23348 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=179690 $D=8
+M352 24269 24268 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=180970 $D=8
+M353 24293 24292 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=323690 $D=8
+M354 24317 24316 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=324970 $D=8
+M355 24341 24340 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=467690 $D=8
+M356 23506 23508 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=34980 $Y=468970 $D=8
+M357 24034 23878 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=34945 $Y=112830 $D=8
+M358 1064 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=35120 $Y=160970 $D=8
+M359 1065 23198 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=35120 $Y=164845 $D=8
+M360 1076 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=38575 $Y=160970 $D=8
+M361 1077 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=38575 $Y=164845 $D=8
+M362 VDD 23566 23568 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=179690 $D=8
+M363 VDD 24284 24285 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=180970 $D=8
+M364 VDD 24308 24309 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=323690 $D=8
+M365 VDD 24332 24333 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=324970 $D=8
+M366 VDD 24356 24357 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=467690 $D=8
+M367 VDD 23598 23600 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=39840 $Y=468970 $D=8
+M368 24038 23885 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=38620 $Y=112830 $D=8
+M369 23566 23568 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=179690 $D=8
+M370 24284 24285 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=180970 $D=8
+M371 24308 24309 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=323690 $D=8
+M372 24332 24333 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=324970 $D=8
+M373 24356 24357 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=467690 $D=8
+M374 23598 23600 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=40980 $Y=468970 $D=8
+M375 24652 23884 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=41145 $Y=112830 $D=8
+M376 23983 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=41500 $Y=160970 $D=8
+M377 23984 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=41500 $Y=164845 $D=8
+M378 VDD 23562 23564 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=179690 $D=8
+M379 VDD 24282 24283 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=180970 $D=8
+M380 VDD 24306 24307 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=323690 $D=8
+M381 VDD 24330 24331 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=324970 $D=8
+M382 VDD 24354 24355 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=467690 $D=8
+M383 VDD 23594 23596 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=42840 $Y=468970 $D=8
+M384 23562 23564 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=179690 $D=8
+M385 24282 24283 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=180970 $D=8
+M386 24306 24307 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=323690 $D=8
+M387 24330 24331 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=324970 $D=8
+M388 24354 24355 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=467690 $D=8
+M389 23594 23596 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=43980 $Y=468970 $D=8
+M390 23982 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=44595 $Y=160970 $D=8
+M391 23981 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=44595 $Y=164845 $D=8
+M392 VDD 23558 23560 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=179690 $D=8
+M393 VDD 24280 24281 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=180970 $D=8
+M394 VDD 24304 24305 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=323690 $D=8
+M395 VDD 24328 24329 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=324970 $D=8
+M396 VDD 24352 24353 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=467690 $D=8
+M397 VDD 23590 23592 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=45840 $Y=468970 $D=8
+M398 24651 23883 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=44810 $Y=112830 $D=8
+M399 23558 23560 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=179690 $D=8
+M400 24280 24281 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=180970 $D=8
+M401 24304 24305 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=323690 $D=8
+M402 24328 24329 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=324970 $D=8
+M403 24352 24353 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=467690 $D=8
+M404 23590 23592 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=46980 $Y=468970 $D=8
+M405 24650 23882 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=47340 $Y=112830 $D=8
+M406 23979 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=47695 $Y=160970 $D=8
+M407 23980 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=47695 $Y=164845 $D=8
+M408 VDD 23554 23556 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=179690 $D=8
+M409 VDD 24278 24279 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=180970 $D=8
+M410 VDD 24302 24303 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=323690 $D=8
+M411 VDD 24326 24327 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=324970 $D=8
+M412 VDD 24350 24351 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=467690 $D=8
+M413 VDD 23586 23588 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=48840 $Y=468970 $D=8
+M414 23554 23556 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=179690 $D=8
+M415 24278 24279 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=180970 $D=8
+M416 24302 24303 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=323690 $D=8
+M417 24326 24327 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=324970 $D=8
+M418 24350 24351 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=467690 $D=8
+M419 23586 23588 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=49980 $Y=468970 $D=8
+M420 VDD 23550 23552 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=179690 $D=8
+M421 VDD 24276 24277 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=180970 $D=8
+M422 VDD 24300 24301 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=323690 $D=8
+M423 VDD 24324 24325 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=324970 $D=8
+M424 VDD 24348 24349 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=467690 $D=8
+M425 VDD 23582 23584 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=51840 $Y=468970 $D=8
+M426 23978 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=50785 $Y=160970 $D=8
+M427 23977 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=50785 $Y=164845 $D=8
+M428 24649 23881 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=51000 $Y=112830 $D=8
+M429 23550 23552 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=179690 $D=8
+M430 24276 24277 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=180970 $D=8
+M431 24300 24301 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=323690 $D=8
+M432 24324 24325 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=324970 $D=8
+M433 24348 24349 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=467690 $D=8
+M434 23582 23584 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=52980 $Y=468970 $D=8
+M435 24648 23880 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=53530 $Y=112830 $D=8
+M436 VDD 23546 23548 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=179690 $D=8
+M437 VDD 24274 24275 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=180970 $D=8
+M438 VDD 24298 24299 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=323690 $D=8
+M439 VDD 24322 24323 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=324970 $D=8
+M440 VDD 24346 24347 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=467690 $D=8
+M441 VDD 23578 23580 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=54840 $Y=468970 $D=8
+M442 23975 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=53885 $Y=160970 $D=8
+M443 23976 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=53885 $Y=164845 $D=8
+M444 23546 23548 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=179690 $D=8
+M445 24274 24275 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=180970 $D=8
+M446 24298 24299 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=323690 $D=8
+M447 24322 24323 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=324970 $D=8
+M448 24346 24347 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=467690 $D=8
+M449 23578 23580 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=55980 $Y=468970 $D=8
+M450 VDD 23542 23544 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=179690 $D=8
+M451 VDD 24272 24273 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=180970 $D=8
+M452 VDD 24296 24297 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=323690 $D=8
+M453 VDD 24320 24321 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=324970 $D=8
+M454 VDD 24344 24345 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=467690 $D=8
+M455 VDD 23574 23576 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=57840 $Y=468970 $D=8
+M456 23974 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=56980 $Y=160970 $D=8
+M457 23973 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=56980 $Y=164845 $D=8
+M458 24647 23879 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=57195 $Y=112830 $D=8
+M459 23542 23544 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=179690 $D=8
+M460 24272 24273 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=180970 $D=8
+M461 24296 24297 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=323690 $D=8
+M462 24320 24321 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=324970 $D=8
+M463 24344 24345 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=467690 $D=8
+M464 23574 23576 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=58980 $Y=468970 $D=8
+M465 VDD 23538 23540 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=179690 $D=8
+M466 VDD 24270 24271 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=180970 $D=8
+M467 VDD 24294 24295 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=323690 $D=8
+M468 VDD 24318 24319 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=324970 $D=8
+M469 VDD 24342 24343 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=467690 $D=8
+M470 VDD 23570 23572 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=60840 $Y=468970 $D=8
+M471 24646 23878 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=59725 $Y=112830 $D=8
+M472 23971 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=60080 $Y=160970 $D=8
+M473 23972 1074 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=60080 $Y=164845 $D=8
+M474 23538 23540 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=179690 $D=8
+M475 24270 24271 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=180970 $D=8
+M476 24294 24295 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=323690 $D=8
+M477 24318 24319 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=324970 $D=8
+M478 24342 24343 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=467690 $D=8
+M479 23570 23572 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=61980 $Y=468970 $D=8
+M480 VDD 23462 23464 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=179690 $D=8
+M481 VDD 24199 24198 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=180970 $D=8
+M482 VDD 24207 24206 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=323690 $D=8
+M483 VDD 24215 24214 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=324970 $D=8
+M484 VDD 24223 24222 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=467690 $D=8
+M485 VDD 23630 23632 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=66840 $Y=468970 $D=8
+M486 23462 23464 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=179690 $D=8
+M487 24199 24198 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=180970 $D=8
+M488 24207 24206 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=323690 $D=8
+M489 24215 24214 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=324970 $D=8
+M490 24223 24222 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=467690 $D=8
+M491 23630 23632 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=67980 $Y=468970 $D=8
+M492 23929 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=67620 $Y=160970 $D=8
+M493 23930 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=67620 $Y=164845 $D=8
+M494 24145 23885 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=67835 $Y=112830 $D=8
+M495 VDD 23458 23460 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=179690 $D=8
+M496 VDD 24201 24200 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=180970 $D=8
+M497 VDD 24209 24208 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=323690 $D=8
+M498 VDD 24217 24216 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=324970 $D=8
+M499 VDD 24225 24224 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=467690 $D=8
+M500 VDD 23626 23628 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=69840 $Y=468970 $D=8
+M501 23458 23460 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=179690 $D=8
+M502 24201 24200 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=180970 $D=8
+M503 24209 24208 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=323690 $D=8
+M504 24217 24216 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=324970 $D=8
+M505 24225 24224 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=467690 $D=8
+M506 23626 23628 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=70980 $Y=468970 $D=8
+M507 24146 23884 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=70365 $Y=112830 $D=8
+M508 23932 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=70720 $Y=160970 $D=8
+M509 23931 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=70720 $Y=164845 $D=8
+M510 VDD 23454 23456 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=179690 $D=8
+M511 VDD 24203 24202 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=180970 $D=8
+M512 VDD 24211 24210 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=323690 $D=8
+M513 VDD 24219 24218 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=324970 $D=8
+M514 VDD 24227 24226 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=467690 $D=8
+M515 VDD 23622 23624 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=72840 $Y=468970 $D=8
+M516 23454 23456 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=179690 $D=8
+M517 24203 24202 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=180970 $D=8
+M518 24211 24210 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=323690 $D=8
+M519 24219 24218 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=324970 $D=8
+M520 24227 24226 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=467690 $D=8
+M521 23622 23624 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=73980 $Y=468970 $D=8
+M522 23933 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=73815 $Y=160970 $D=8
+M523 23934 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=73815 $Y=164845 $D=8
+M524 24147 23883 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=74030 $Y=112830 $D=8
+M525 VDD 23450 23452 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=179690 $D=8
+M526 VDD 24205 24204 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=180970 $D=8
+M527 VDD 24213 24212 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=323690 $D=8
+M528 VDD 24221 24220 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=324970 $D=8
+M529 VDD 24229 24228 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=467690 $D=8
+M530 VDD 23618 23620 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=75840 $Y=468970 $D=8
+M531 23450 23452 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=179690 $D=8
+M532 24205 24204 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=180970 $D=8
+M533 24213 24212 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=323690 $D=8
+M534 24221 24220 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=324970 $D=8
+M535 24229 24228 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=467690 $D=8
+M536 23618 23620 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=76980 $Y=468970 $D=8
+M537 24148 23882 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=76560 $Y=112830 $D=8
+M538 23936 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=76915 $Y=160970 $D=8
+M539 23935 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=76915 $Y=164845 $D=8
+M540 VDD 23358 23360 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=179690 $D=8
+M541 VDD 24359 24358 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=180970 $D=8
+M542 VDD 24383 24382 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=323690 $D=8
+M543 VDD 24407 24406 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=324970 $D=8
+M544 VDD 24431 24430 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=467690 $D=8
+M545 VDD 23614 23616 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=78840 $Y=468970 $D=8
+M546 23358 23360 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=179690 $D=8
+M547 24359 24358 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=180970 $D=8
+M548 24383 24382 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=323690 $D=8
+M549 24407 24406 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=324970 $D=8
+M550 24431 24430 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=467690 $D=8
+M551 23614 23616 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=79980 $Y=468970 $D=8
+M552 23937 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=80005 $Y=160970 $D=8
+M553 23938 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=80005 $Y=164845 $D=8
+M554 24149 23881 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=80220 $Y=112830 $D=8
+M555 VDD 23354 23356 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=179690 $D=8
+M556 VDD 24361 24360 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=180970 $D=8
+M557 VDD 24385 24384 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=323690 $D=8
+M558 VDD 24409 24408 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=324970 $D=8
+M559 VDD 24433 24432 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=467690 $D=8
+M560 VDD 23610 23612 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=81840 $Y=468970 $D=8
+M561 23354 23356 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=179690 $D=8
+M562 24361 24360 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=180970 $D=8
+M563 24385 24384 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=323690 $D=8
+M564 24409 24408 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=324970 $D=8
+M565 24433 24432 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=467690 $D=8
+M566 23610 23612 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=82980 $Y=468970 $D=8
+M567 24150 23880 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=82750 $Y=112830 $D=8
+M568 23940 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=83105 $Y=160970 $D=8
+M569 23939 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=83105 $Y=164845 $D=8
+M570 VDD 23366 23368 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=179690 $D=8
+M571 VDD 24363 24362 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=180970 $D=8
+M572 VDD 24387 24386 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=323690 $D=8
+M573 VDD 24411 24410 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=324970 $D=8
+M574 VDD 24435 24434 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=467690 $D=8
+M575 VDD 23606 23608 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=84840 $Y=468970 $D=8
+M576 23366 23368 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=179690 $D=8
+M577 24363 24362 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=180970 $D=8
+M578 24387 24386 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=323690 $D=8
+M579 24411 24410 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=324970 $D=8
+M580 24435 24434 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=467690 $D=8
+M581 23606 23608 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=85980 $Y=468970 $D=8
+M582 23941 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=86200 $Y=160970 $D=8
+M583 23942 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=86200 $Y=164845 $D=8
+M584 24151 23879 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=86415 $Y=112830 $D=8
+M585 VDD 23362 23364 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=179690 $D=8
+M586 VDD 24365 24364 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=180970 $D=8
+M587 VDD 24389 24388 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=323690 $D=8
+M588 VDD 24413 24412 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=324970 $D=8
+M589 VDD 24437 24436 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=467690 $D=8
+M590 VDD 23602 23604 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=87840 $Y=468970 $D=8
+M591 23362 23364 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=179690 $D=8
+M592 24365 24364 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=180970 $D=8
+M593 24389 24388 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=323690 $D=8
+M594 24413 24412 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=324970 $D=8
+M595 24437 24436 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=467690 $D=8
+M596 23602 23604 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=88980 $Y=468970 $D=8
+M597 24035 23878 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=88945 $Y=112830 $D=8
+M598 1066 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=89120 $Y=160970 $D=8
+M599 1067 23199 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=89120 $Y=164845 $D=8
+M600 1079 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=92575 $Y=160970 $D=8
+M601 1080 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=92575 $Y=164845 $D=8
+M602 VDD 23662 23664 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=179690 $D=8
+M603 VDD 24380 24381 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=180970 $D=8
+M604 VDD 24404 24405 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=323690 $D=8
+M605 VDD 24428 24429 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=324970 $D=8
+M606 VDD 24452 24453 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=467690 $D=8
+M607 VDD 23694 23696 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=93840 $Y=468970 $D=8
+M608 24039 23885 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=92620 $Y=112830 $D=8
+M609 23662 23664 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=179690 $D=8
+M610 24380 24381 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=180970 $D=8
+M611 24404 24405 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=323690 $D=8
+M612 24428 24429 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=324970 $D=8
+M613 24452 24453 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=467690 $D=8
+M614 23694 23696 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=94980 $Y=468970 $D=8
+M615 24659 23884 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=95145 $Y=112830 $D=8
+M616 23997 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=95500 $Y=160970 $D=8
+M617 23998 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=95500 $Y=164845 $D=8
+M618 VDD 23658 23660 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=179690 $D=8
+M619 VDD 24378 24379 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=180970 $D=8
+M620 VDD 24402 24403 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=323690 $D=8
+M621 VDD 24426 24427 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=324970 $D=8
+M622 VDD 24450 24451 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=467690 $D=8
+M623 VDD 23690 23692 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=96840 $Y=468970 $D=8
+M624 23658 23660 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=179690 $D=8
+M625 24378 24379 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=180970 $D=8
+M626 24402 24403 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=323690 $D=8
+M627 24426 24427 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=324970 $D=8
+M628 24450 24451 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=467690 $D=8
+M629 23690 23692 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=97980 $Y=468970 $D=8
+M630 23996 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=98595 $Y=160970 $D=8
+M631 23995 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=98595 $Y=164845 $D=8
+M632 VDD 23654 23656 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=179690 $D=8
+M633 VDD 24376 24377 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=180970 $D=8
+M634 VDD 24400 24401 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=323690 $D=8
+M635 VDD 24424 24425 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=324970 $D=8
+M636 VDD 24448 24449 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=467690 $D=8
+M637 VDD 23686 23688 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=99840 $Y=468970 $D=8
+M638 24658 23883 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=98810 $Y=112830 $D=8
+M639 23654 23656 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=179690 $D=8
+M640 24376 24377 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=180970 $D=8
+M641 24400 24401 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=323690 $D=8
+M642 24424 24425 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=324970 $D=8
+M643 24448 24449 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=467690 $D=8
+M644 23686 23688 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=100980 $Y=468970 $D=8
+M645 24657 23882 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=101340 $Y=112830 $D=8
+M646 23993 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=101695 $Y=160970 $D=8
+M647 23994 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=101695 $Y=164845 $D=8
+M648 VDD 23650 23652 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=179690 $D=8
+M649 VDD 24374 24375 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=180970 $D=8
+M650 VDD 24398 24399 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=323690 $D=8
+M651 VDD 24422 24423 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=324970 $D=8
+M652 VDD 24446 24447 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=467690 $D=8
+M653 VDD 23682 23684 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=102840 $Y=468970 $D=8
+M654 23650 23652 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=179690 $D=8
+M655 24374 24375 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=180970 $D=8
+M656 24398 24399 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=323690 $D=8
+M657 24422 24423 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=324970 $D=8
+M658 24446 24447 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=467690 $D=8
+M659 23682 23684 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=103980 $Y=468970 $D=8
+M660 VDD 23646 23648 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=179690 $D=8
+M661 VDD 24372 24373 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=180970 $D=8
+M662 VDD 24396 24397 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=323690 $D=8
+M663 VDD 24420 24421 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=324970 $D=8
+M664 VDD 24444 24445 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=467690 $D=8
+M665 VDD 23678 23680 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=105840 $Y=468970 $D=8
+M666 23992 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=104785 $Y=160970 $D=8
+M667 23991 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=104785 $Y=164845 $D=8
+M668 24656 23881 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=105000 $Y=112830 $D=8
+M669 23646 23648 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=179690 $D=8
+M670 24372 24373 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=180970 $D=8
+M671 24396 24397 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=323690 $D=8
+M672 24420 24421 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=324970 $D=8
+M673 24444 24445 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=467690 $D=8
+M674 23678 23680 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=106980 $Y=468970 $D=8
+M675 24655 23880 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=107530 $Y=112830 $D=8
+M676 VDD 23642 23644 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=179690 $D=8
+M677 VDD 24370 24371 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=180970 $D=8
+M678 VDD 24394 24395 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=323690 $D=8
+M679 VDD 24418 24419 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=324970 $D=8
+M680 VDD 24442 24443 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=467690 $D=8
+M681 VDD 23674 23676 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=108840 $Y=468970 $D=8
+M682 23989 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=107885 $Y=160970 $D=8
+M683 23990 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=107885 $Y=164845 $D=8
+M684 23642 23644 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=179690 $D=8
+M685 24370 24371 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=180970 $D=8
+M686 24394 24395 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=323690 $D=8
+M687 24418 24419 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=324970 $D=8
+M688 24442 24443 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=467690 $D=8
+M689 23674 23676 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=109980 $Y=468970 $D=8
+M690 VDD 23638 23640 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=179690 $D=8
+M691 VDD 24368 24369 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=180970 $D=8
+M692 VDD 24392 24393 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=323690 $D=8
+M693 VDD 24416 24417 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=324970 $D=8
+M694 VDD 24440 24441 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=467690 $D=8
+M695 VDD 23670 23672 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=111840 $Y=468970 $D=8
+M696 23988 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=110980 $Y=160970 $D=8
+M697 23987 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=110980 $Y=164845 $D=8
+M698 24654 23879 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=111195 $Y=112830 $D=8
+M699 23638 23640 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=179690 $D=8
+M700 24368 24369 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=180970 $D=8
+M701 24392 24393 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=323690 $D=8
+M702 24416 24417 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=324970 $D=8
+M703 24440 24441 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=467690 $D=8
+M704 23670 23672 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=112980 $Y=468970 $D=8
+M705 VDD 23634 23636 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=179690 $D=8
+M706 VDD 24366 24367 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=180970 $D=8
+M707 VDD 24390 24391 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=323690 $D=8
+M708 VDD 24414 24415 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=324970 $D=8
+M709 VDD 24438 24439 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=467690 $D=8
+M710 VDD 23666 23668 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=114840 $Y=468970 $D=8
+M711 24653 23878 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=113725 $Y=112830 $D=8
+M712 23985 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=114080 $Y=160970 $D=8
+M713 23986 1078 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=114080 $Y=164845 $D=8
+M714 23634 23636 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=179690 $D=8
+M715 24366 24367 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=180970 $D=8
+M716 24390 24391 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=323690 $D=8
+M717 24414 24415 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=324970 $D=8
+M718 24438 24439 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=467690 $D=8
+M719 23666 23668 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=115980 $Y=468970 $D=8
+M720 VDD 23497 23498 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=179690 $D=8
+M721 VDD 24674 24675 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=180970 $D=8
+M722 VDD 24676 24677 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=215690 $D=8
+M723 VDD 24678 24679 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=216970 $D=8
+M724 VDD 24680 24681 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=251690 $D=8
+M725 VDD 24682 24683 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=252970 $D=8
+M726 VDD 24684 24685 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=287690 $D=8
+M727 VDD 24686 24687 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=288970 $D=8
+M728 VDD 24688 24689 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=323690 $D=8
+M729 VDD 24690 24691 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=324970 $D=8
+M730 VDD 24692 24693 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=359690 $D=8
+M731 VDD 24694 24695 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=360970 $D=8
+M732 VDD 24696 24697 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=395690 $D=8
+M733 VDD 24698 24699 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=396970 $D=8
+M734 VDD 24700 24701 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=431690 $D=8
+M735 VDD 24702 24703 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=432970 $D=8
+M736 VDD 24704 24705 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=467690 $D=8
+M737 VDD 23499 23500 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=120840 $Y=468970 $D=8
+M738 VDD VSS VDD VDD pmos_5p0 L=2.365e-06 W=8.19e-05 AD=0 AS=6.1309e-11 PD=0 PS=0.000217698 NRD=0 NRS=11.8457 m=1 nf=36 $X=10835 $Y=171065 $D=8
+M739 23497 23498 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=179690 $D=8
+M740 24674 24675 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=180970 $D=8
+M741 24676 24677 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=215690 $D=8
+M742 24678 24679 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=216970 $D=8
+M743 24680 24681 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=251690 $D=8
+M744 24682 24683 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=252970 $D=8
+M745 24684 24685 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=287690 $D=8
+M746 24686 24687 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=288970 $D=8
+M747 24688 24689 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=323690 $D=8
+M748 24690 24691 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=324970 $D=8
+M749 24692 24693 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=359690 $D=8
+M750 24694 24695 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=360970 $D=8
+M751 24696 24697 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=395690 $D=8
+M752 24698 24699 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=396970 $D=8
+M753 24700 24701 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=431690 $D=8
+M754 24702 24703 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=432970 $D=8
+M755 24704 24705 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=467690 $D=8
+M756 23499 23500 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=121980 $Y=468970 $D=8
+M757 VDD VSS VDD VDD pmos_5p0 L=3.94e-06 W=0.000357175 AD=0 AS=2.0381e-10 PD=0 PS=0.00079952 NRD=0 NRS=6.74977 m=1 nf=65 $X=146370 $Y=180915 $D=8
+M758 VDD 24106 23202 VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=156680 $Y=180895 $D=8
+M759 23209 24108 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=156680 $Y=213535 $D=8
+M760 VDD 24110 23210 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=156680 $Y=216895 $D=8
+M761 23217 24112 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=156680 $Y=249535 $D=8
+M762 VDD 24114 23218 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=156680 $Y=252895 $D=8
+M763 23225 24116 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=156680 $Y=285535 $D=8
+M764 VDD 24118 23226 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=156680 $Y=288895 $D=8
+M765 23233 24120 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=156680 $Y=321535 $D=8
+M766 VDD 24122 23234 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=156680 $Y=324895 $D=8
+M767 23241 24124 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=156680 $Y=357535 $D=8
+M768 VDD 24126 23242 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=156680 $Y=360895 $D=8
+M769 23249 24128 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=156680 $Y=393535 $D=8
+M770 VDD 24130 23250 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=156680 $Y=396895 $D=8
+M771 23257 24132 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=156680 $Y=429535 $D=8
+M772 VDD 24134 23258 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=156680 $Y=432895 $D=8
+M773 23265 24136 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=156680 $Y=465535 $D=8
+M774 24043 1040 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=180895 $D=8
+M775 VDD 1044 24043 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=182015 $D=8
+M776 24043 1052 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=183135 $D=8
+M777 VDD 1045 24045 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=213535 $D=8
+M778 24045 1044 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=214655 $D=8
+M779 VDD 1040 24045 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=215775 $D=8
+M780 24047 1040 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=216895 $D=8
+M781 VDD 1043 24047 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=218015 $D=8
+M782 24047 1052 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=219135 $D=8
+M783 VDD 1045 24049 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=249535 $D=8
+M784 24049 1043 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=250655 $D=8
+M785 VDD 1040 24049 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=251775 $D=8
+M786 24051 1040 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=252895 $D=8
+M787 VDD 1042 24051 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=254015 $D=8
+M788 24051 1052 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=255135 $D=8
+M789 VDD 1045 24053 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=285535 $D=8
+M790 24053 1042 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=286655 $D=8
+M791 VDD 1040 24053 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=287775 $D=8
+M792 24055 1040 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=288895 $D=8
+M793 VDD 1041 24055 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=290015 $D=8
+M794 24055 1052 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=291135 $D=8
+M795 VDD 1045 24057 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=321535 $D=8
+M796 24057 1041 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=322655 $D=8
+M797 VDD 1040 24057 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=323775 $D=8
+M798 24059 1038 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=324895 $D=8
+M799 VDD 1044 24059 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=326015 $D=8
+M800 24059 1052 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=327135 $D=8
+M801 VDD 1045 24061 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=357535 $D=8
+M802 24061 1044 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=358655 $D=8
+M803 VDD 1038 24061 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=359775 $D=8
+M804 24063 1038 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=360895 $D=8
+M805 VDD 1043 24063 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=362015 $D=8
+M806 24063 1052 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=363135 $D=8
+M807 VDD 1045 24065 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=393535 $D=8
+M808 24065 1043 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=394655 $D=8
+M809 VDD 1038 24065 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=395775 $D=8
+M810 24067 1038 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=396895 $D=8
+M811 VDD 1042 24067 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=398015 $D=8
+M812 24067 1052 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=399135 $D=8
+M813 VDD 1045 24069 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=429535 $D=8
+M814 24069 1042 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=430655 $D=8
+M815 VDD 1038 24069 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=431775 $D=8
+M816 24071 1038 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=432895 $D=8
+M817 VDD 1041 24071 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=434015 $D=8
+M818 24071 1052 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=435135 $D=8
+M819 VDD 1045 24073 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=223995 $Y=465535 $D=8
+M820 24073 1041 VDD VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=223995 $Y=466655 $D=8
+M821 VDD 1038 24073 VDD pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=223995 $Y=467775 $D=8
+M822 24033 1 VDD VDD pmos_5p0 L=5.95e-07 W=2.28e-06 AD=5.985e-13 AS=1.3566e-12 PD=2.805e-06 PS=5.75e-06 NRD=0.115132 NRS=0.260965 m=1 nf=1 $X=233770 $Y=57780 $D=8
+M823 617 1000 VDD VDD pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=233770 $Y=63100 $D=8
+M824 1000 CLK 24033 VDD pmos_5p0 L=5.95e-07 W=2.28e-06 AD=1.3566e-12 AS=5.985e-13 PD=5.75e-06 PS=2.805e-06 NRD=0.260965 NRS=0.115132 m=1 nf=1 $X=234890 $Y=57780 $D=8
+M825 616 619 VDD VDD pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=4.9896e-12 PD=1.238e-05 PS=2.444e-05 NRD=0.0917108 NRS=0.155203 m=1 nf=2 $X=242235 $Y=57810 $D=8
+M826 281 1006 VDD VDD pmos_5p0 L=1e-06 W=9e-07 AD=3.96e-13 AS=3.96e-13 PD=2.68e-06 PS=2.68e-06 NRD=0.488889 NRS=0.488889 m=1 nf=1 $X=243265 $Y=42525 $D=8
+M827 CEN 617 619 VDD pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=245925 $Y=59010 $D=8
+M828 618 1000 619 VDD pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=245925 $Y=64875 $D=8
+M829 317 281 VDD VDD pmos_5p0 L=6e-07 W=1.89e-06 AD=8.316e-13 AS=8.316e-13 PD=4.66e-06 PS=4.66e-06 NRD=0.232804 NRS=0.232804 m=1 nf=1 $X=246495 $Y=41535 $D=8
+M830 354 317 VDD VDD pmos_5p0 L=6e-07 W=7.54e-06 AD=1.9604e-12 AS=3.3176e-12 PD=8.58e-06 PS=1.684e-05 NRD=0.137931 NRS=0.233422 m=1 nf=2 $X=249065 $Y=39655 $D=8
+M831 250 468 VDD VDD pmos_5p0 L=6e-07 W=0.0001248 AD=3.2448e-11 AS=3.69283e-11 PD=0.00013 PS=0.000130718 NRD=0.208333 NRS=0.237099 m=1 nf=10 $X=240535 $Y=94430 $D=8
+M832 445 354 VDD VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=5.07e-12 AS=8.58e-12 PD=2.002e-05 PS=3.988e-05 NRD=0.0133333 NRS=0.0225641 m=1 nf=1 $X=256125 $Y=53590 $D=8
+M833 VDD CLK 445 VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=257245 $Y=53590 $D=8
+M834 445 616 VDD VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=8.58e-12 AS=5.07e-12 PD=3.988e-05 PS=2.002e-05 NRD=0.0225641 NRS=0.0133333 m=1 nf=1 $X=258365 $Y=53590 $D=8
+M835 VDD 495 468 VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=9.9792e-12 PD=2.32e-05 PS=4.624e-05 NRD=0.0114638 NRS=0.0194004 m=1 nf=1 $X=262120 $Y=50420 $D=8
+M836 468 445 VDD VDD pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.64e-05 PS=4.64e-05 NRD=0.0229277 NRS=0.0229277 m=1 nf=2 $X=263240 $Y=50420 $D=8
+M837 468 495 VDD VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=9.9792e-12 AS=5.8968e-12 PD=4.624e-05 PS=2.32e-05 NRD=0.0194004 NRS=0.0114638 m=1 nf=1 $X=265480 $Y=50420 $D=8
+M838 VDD 24107 23266 VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=258945 $Y=180895 $D=8
+M839 23273 24109 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=258945 $Y=213535 $D=8
+M840 VDD 24111 23274 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=258945 $Y=216895 $D=8
+M841 23281 24113 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=258945 $Y=249535 $D=8
+M842 VDD 24115 23282 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=258945 $Y=252895 $D=8
+M843 23289 24117 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=258945 $Y=285535 $D=8
+M844 VDD 24119 23290 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=258945 $Y=288895 $D=8
+M845 23297 24121 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=258945 $Y=321535 $D=8
+M846 VDD 24123 23298 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=258945 $Y=324895 $D=8
+M847 23305 24125 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=258945 $Y=357535 $D=8
+M848 VDD 24127 23306 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=258945 $Y=360895 $D=8
+M849 23313 24129 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=258945 $Y=393535 $D=8
+M850 VDD 24131 23314 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=258945 $Y=396895 $D=8
+M851 23321 24133 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=258945 $Y=429535 $D=8
+M852 VDD 24135 23322 VDD pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=258945 $Y=432895 $D=8
+M853 23329 24137 VDD VDD pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=258945 $Y=465535 $D=8
+M854 VDD 468 495 VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=9.9792e-12 PD=2.32e-05 PS=4.624e-05 NRD=0.0114638 NRS=0.0194004 m=1 nf=1 $X=268545 $Y=50420 $D=8
+M855 495 607 VDD VDD pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.64e-05 PS=4.64e-05 NRD=0.0229277 NRS=0.0229277 m=1 nf=2 $X=269665 $Y=50420 $D=8
+M856 495 468 VDD VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=9.9792e-12 AS=5.8968e-12 PD=4.624e-05 PS=2.32e-05 NRD=0.0194004 NRS=0.0114638 m=1 nf=1 $X=271905 $Y=50420 $D=8
+M857 1 250 VDD VDD pmos_5p0 L=6e-07 W=0.0003674 AD=9.5524e-11 AS=1.02119e-10 PD=0.0003778 PS=0.000378518 NRD=0.28307 NRS=0.302613 m=1 nf=20 $X=253180 $Y=88540 $D=8
+M858 VDD VSS VDD VDD pmos_5p0 L=3.94e-06 W=0.000357175 AD=0 AS=2.0381e-10 PD=0 PS=0.00079952 NRD=0 NRS=6.74977 m=1 nf=65 $X=273750 $Y=180915 $D=8
+M859 VDD 23501 23502 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=179690 $D=8
+M860 VDD 24754 24755 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=180970 $D=8
+M861 VDD 24756 24757 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=215690 $D=8
+M862 VDD 24774 24775 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=216970 $D=8
+M863 VDD 24776 24777 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=251690 $D=8
+M864 VDD 24794 24795 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=252970 $D=8
+M865 VDD 24796 24797 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=287690 $D=8
+M866 VDD 24814 24815 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=288970 $D=8
+M867 VDD 24816 24817 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=323690 $D=8
+M868 VDD 24834 24835 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=324970 $D=8
+M869 VDD 24836 24837 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=359690 $D=8
+M870 VDD 24854 24855 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=360970 $D=8
+M871 VDD 24856 24857 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=395690 $D=8
+M872 VDD 24874 24875 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=396970 $D=8
+M873 VDD 24876 24877 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=431690 $D=8
+M874 VDD 24894 24895 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=432970 $D=8
+M875 VDD 24896 24897 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=467690 $D=8
+M876 VDD 23503 23504 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=304720 $Y=468970 $D=8
+M877 23501 23502 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=179690 $D=8
+M878 24754 24755 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=180970 $D=8
+M879 24756 24757 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=215690 $D=8
+M880 24774 24775 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=216970 $D=8
+M881 24776 24777 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=251690 $D=8
+M882 24794 24795 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=252970 $D=8
+M883 24796 24797 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=287690 $D=8
+M884 24814 24815 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=288970 $D=8
+M885 24816 24817 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=323690 $D=8
+M886 24834 24835 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=324970 $D=8
+M887 24836 24837 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=359690 $D=8
+M888 24854 24855 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=360970 $D=8
+M889 24856 24857 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=395690 $D=8
+M890 24874 24875 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=396970 $D=8
+M891 24876 24877 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=431690 $D=8
+M892 24894 24895 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=432970 $D=8
+M893 24896 24897 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=467690 $D=8
+M894 23503 23504 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=305860 $Y=468970 $D=8
+M895 VDD 23478 23480 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=179690 $D=8
+M896 VDD 24739 24738 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=180970 $D=8
+M897 VDD 24747 24746 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=215690 $D=8
+M898 VDD 24759 24758 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=216970 $D=8
+M899 VDD 24767 24766 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=251690 $D=8
+M900 VDD 24779 24778 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=252970 $D=8
+M901 VDD 24787 24786 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=287690 $D=8
+M902 VDD 24799 24798 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=288970 $D=8
+M903 VDD 24807 24806 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=323690 $D=8
+M904 VDD 24819 24818 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=324970 $D=8
+M905 VDD 24827 24826 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=359690 $D=8
+M906 VDD 24839 24838 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=360970 $D=8
+M907 VDD 24847 24846 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=395690 $D=8
+M908 VDD 24859 24858 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=396970 $D=8
+M909 VDD 24867 24866 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=431690 $D=8
+M910 VDD 24879 24878 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=432970 $D=8
+M911 VDD 24887 24886 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=467690 $D=8
+M912 VDD 23414 23416 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=310720 $Y=468970 $D=8
+M913 23478 23480 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=179690 $D=8
+M914 24739 24738 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=180970 $D=8
+M915 24747 24746 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=215690 $D=8
+M916 24759 24758 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=216970 $D=8
+M917 24767 24766 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=251690 $D=8
+M918 24779 24778 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=252970 $D=8
+M919 24787 24786 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=287690 $D=8
+M920 24799 24798 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=288970 $D=8
+M921 24807 24806 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=323690 $D=8
+M922 24819 24818 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=324970 $D=8
+M923 24827 24826 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=359690 $D=8
+M924 24839 24838 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=360970 $D=8
+M925 24847 24846 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=395690 $D=8
+M926 24859 24858 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=396970 $D=8
+M927 24867 24866 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=431690 $D=8
+M928 24879 24878 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=432970 $D=8
+M929 24887 24886 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=467690 $D=8
+M930 23414 23416 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=311860 $Y=468970 $D=8
+M931 23943 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=311500 $Y=160970 $D=8
+M932 23944 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=311500 $Y=164845 $D=8
+M933 24152 23886 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=311715 $Y=112830 $D=8
+M934 VDD 23474 23476 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=179690 $D=8
+M935 VDD 24741 24740 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=180970 $D=8
+M936 VDD 24749 24748 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=215690 $D=8
+M937 VDD 24761 24760 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=216970 $D=8
+M938 VDD 24769 24768 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=251690 $D=8
+M939 VDD 24781 24780 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=252970 $D=8
+M940 VDD 24789 24788 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=287690 $D=8
+M941 VDD 24801 24800 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=288970 $D=8
+M942 VDD 24809 24808 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=323690 $D=8
+M943 VDD 24821 24820 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=324970 $D=8
+M944 VDD 24829 24828 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=359690 $D=8
+M945 VDD 24841 24840 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=360970 $D=8
+M946 VDD 24849 24848 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=395690 $D=8
+M947 VDD 24861 24860 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=396970 $D=8
+M948 VDD 24869 24868 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=431690 $D=8
+M949 VDD 24881 24880 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=432970 $D=8
+M950 VDD 24889 24888 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=467690 $D=8
+M951 VDD 23410 23412 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=313720 $Y=468970 $D=8
+M952 23474 23476 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=179690 $D=8
+M953 24741 24740 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=180970 $D=8
+M954 24749 24748 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=215690 $D=8
+M955 24761 24760 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=216970 $D=8
+M956 24769 24768 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=251690 $D=8
+M957 24781 24780 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=252970 $D=8
+M958 24789 24788 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=287690 $D=8
+M959 24801 24800 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=288970 $D=8
+M960 24809 24808 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=323690 $D=8
+M961 24821 24820 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=324970 $D=8
+M962 24829 24828 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=359690 $D=8
+M963 24841 24840 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=360970 $D=8
+M964 24849 24848 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=395690 $D=8
+M965 24861 24860 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=396970 $D=8
+M966 24869 24868 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=431690 $D=8
+M967 24881 24880 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=432970 $D=8
+M968 24889 24888 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=467690 $D=8
+M969 23410 23412 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=314860 $Y=468970 $D=8
+M970 24153 23887 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=314245 $Y=112830 $D=8
+M971 23946 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=314600 $Y=160970 $D=8
+M972 23945 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=314600 $Y=164845 $D=8
+M973 VDD 23470 23472 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=179690 $D=8
+M974 VDD 24743 24742 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=180970 $D=8
+M975 VDD 24751 24750 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=215690 $D=8
+M976 VDD 24763 24762 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=216970 $D=8
+M977 VDD 24771 24770 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=251690 $D=8
+M978 VDD 24783 24782 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=252970 $D=8
+M979 VDD 24791 24790 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=287690 $D=8
+M980 VDD 24803 24802 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=288970 $D=8
+M981 VDD 24811 24810 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=323690 $D=8
+M982 VDD 24823 24822 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=324970 $D=8
+M983 VDD 24831 24830 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=359690 $D=8
+M984 VDD 24843 24842 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=360970 $D=8
+M985 VDD 24851 24850 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=395690 $D=8
+M986 VDD 24863 24862 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=396970 $D=8
+M987 VDD 24871 24870 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=431690 $D=8
+M988 VDD 24883 24882 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=432970 $D=8
+M989 VDD 24891 24890 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=467690 $D=8
+M990 VDD 23406 23408 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=316720 $Y=468970 $D=8
+M991 23470 23472 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=179690 $D=8
+M992 24743 24742 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=180970 $D=8
+M993 24751 24750 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=215690 $D=8
+M994 24763 24762 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=216970 $D=8
+M995 24771 24770 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=251690 $D=8
+M996 24783 24782 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=252970 $D=8
+M997 24791 24790 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=287690 $D=8
+M998 24803 24802 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=288970 $D=8
+M999 24811 24810 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=323690 $D=8
+M1000 24823 24822 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=324970 $D=8
+M1001 24831 24830 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=359690 $D=8
+M1002 24843 24842 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=360970 $D=8
+M1003 24851 24850 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=395690 $D=8
+M1004 24863 24862 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=396970 $D=8
+M1005 24871 24870 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=431690 $D=8
+M1006 24883 24882 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=432970 $D=8
+M1007 24891 24890 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=467690 $D=8
+M1008 23406 23408 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=317860 $Y=468970 $D=8
+M1009 23947 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=317695 $Y=160970 $D=8
+M1010 23948 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=317695 $Y=164845 $D=8
+M1011 24154 23888 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=317910 $Y=112830 $D=8
+M1012 VDD 23466 23468 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=179690 $D=8
+M1013 VDD 24745 24744 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=180970 $D=8
+M1014 VDD 24753 24752 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=215690 $D=8
+M1015 VDD 24765 24764 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=216970 $D=8
+M1016 VDD 24773 24772 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=251690 $D=8
+M1017 VDD 24785 24784 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=252970 $D=8
+M1018 VDD 24793 24792 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=287690 $D=8
+M1019 VDD 24805 24804 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=288970 $D=8
+M1020 VDD 24813 24812 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=323690 $D=8
+M1021 VDD 24825 24824 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=324970 $D=8
+M1022 VDD 24833 24832 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=359690 $D=8
+M1023 VDD 24845 24844 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=360970 $D=8
+M1024 VDD 24853 24852 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=395690 $D=8
+M1025 VDD 24865 24864 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=396970 $D=8
+M1026 VDD 24873 24872 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=431690 $D=8
+M1027 VDD 24885 24884 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=432970 $D=8
+M1028 VDD 24893 24892 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=467690 $D=8
+M1029 VDD 23402 23404 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=319720 $Y=468970 $D=8
+M1030 23466 23468 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=179690 $D=8
+M1031 24745 24744 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=180970 $D=8
+M1032 24753 24752 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=215690 $D=8
+M1033 24765 24764 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=216970 $D=8
+M1034 24773 24772 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=251690 $D=8
+M1035 24785 24784 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=252970 $D=8
+M1036 24793 24792 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=287690 $D=8
+M1037 24805 24804 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=288970 $D=8
+M1038 24813 24812 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=323690 $D=8
+M1039 24825 24824 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=324970 $D=8
+M1040 24833 24832 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=359690 $D=8
+M1041 24845 24844 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=360970 $D=8
+M1042 24853 24852 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=395690 $D=8
+M1043 24865 24864 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=396970 $D=8
+M1044 24873 24872 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=431690 $D=8
+M1045 24885 24884 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=432970 $D=8
+M1046 24893 24892 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=467690 $D=8
+M1047 23402 23404 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=320860 $Y=468970 $D=8
+M1048 24155 23889 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=320440 $Y=112830 $D=8
+M1049 23950 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=320795 $Y=160970 $D=8
+M1050 23949 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=320795 $Y=164845 $D=8
+M1051 VDD 23374 23376 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=179690 $D=8
+M1052 VDD 24455 24454 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=180970 $D=8
+M1053 VDD 24479 24478 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=323690 $D=8
+M1054 VDD 24503 24502 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=324970 $D=8
+M1055 VDD 24527 24526 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=467690 $D=8
+M1056 VDD 23430 23432 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=322720 $Y=468970 $D=8
+M1057 23374 23376 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=179690 $D=8
+M1058 24455 24454 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=180970 $D=8
+M1059 24479 24478 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=323690 $D=8
+M1060 24503 24502 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=324970 $D=8
+M1061 24527 24526 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=467690 $D=8
+M1062 23430 23432 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=323860 $Y=468970 $D=8
+M1063 23951 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=323885 $Y=160970 $D=8
+M1064 23952 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=323885 $Y=164845 $D=8
+M1065 24156 23890 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=324100 $Y=112830 $D=8
+M1066 VDD 23370 23372 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=179690 $D=8
+M1067 VDD 24457 24456 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=180970 $D=8
+M1068 VDD 24481 24480 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=323690 $D=8
+M1069 VDD 24505 24504 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=324970 $D=8
+M1070 VDD 24529 24528 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=467690 $D=8
+M1071 VDD 23426 23428 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=325720 $Y=468970 $D=8
+M1072 23370 23372 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=179690 $D=8
+M1073 24457 24456 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=180970 $D=8
+M1074 24481 24480 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=323690 $D=8
+M1075 24505 24504 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=324970 $D=8
+M1076 24529 24528 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=467690 $D=8
+M1077 23426 23428 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=326860 $Y=468970 $D=8
+M1078 24157 23891 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=326630 $Y=112830 $D=8
+M1079 23954 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=326985 $Y=160970 $D=8
+M1080 23953 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=326985 $Y=164845 $D=8
+M1081 VDD 23382 23384 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=179690 $D=8
+M1082 VDD 24459 24458 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=180970 $D=8
+M1083 VDD 24483 24482 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=323690 $D=8
+M1084 VDD 24507 24506 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=324970 $D=8
+M1085 VDD 24531 24530 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=467690 $D=8
+M1086 VDD 23422 23424 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=328720 $Y=468970 $D=8
+M1087 23382 23384 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=179690 $D=8
+M1088 24459 24458 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=180970 $D=8
+M1089 24483 24482 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=323690 $D=8
+M1090 24507 24506 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=324970 $D=8
+M1091 24531 24530 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=467690 $D=8
+M1092 23422 23424 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=329860 $Y=468970 $D=8
+M1093 23955 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=330080 $Y=160970 $D=8
+M1094 23956 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=330080 $Y=164845 $D=8
+M1095 24158 23892 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=330295 $Y=112830 $D=8
+M1096 VDD 23378 23380 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=179690 $D=8
+M1097 VDD 24461 24460 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=180970 $D=8
+M1098 VDD 24485 24484 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=323690 $D=8
+M1099 VDD 24509 24508 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=324970 $D=8
+M1100 VDD 24533 24532 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=467690 $D=8
+M1101 VDD 23418 23420 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=331720 $Y=468970 $D=8
+M1102 23378 23380 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=179690 $D=8
+M1103 24461 24460 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=180970 $D=8
+M1104 24485 24484 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=323690 $D=8
+M1105 24509 24508 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=324970 $D=8
+M1106 24533 24532 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=467690 $D=8
+M1107 23418 23420 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=332860 $Y=468970 $D=8
+M1108 24036 23893 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=332825 $Y=112830 $D=8
+M1109 1069 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=333000 $Y=160970 $D=8
+M1110 1070 23200 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=333000 $Y=164845 $D=8
+M1111 1083 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=336455 $Y=160970 $D=8
+M1112 1084 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=336455 $Y=164845 $D=8
+M1113 VDD 23726 23728 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=179690 $D=8
+M1114 VDD 24476 24477 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=180970 $D=8
+M1115 VDD 24500 24501 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=323690 $D=8
+M1116 VDD 24524 24525 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=324970 $D=8
+M1117 VDD 24548 24549 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=467690 $D=8
+M1118 VDD 23758 23760 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=337720 $Y=468970 $D=8
+M1119 24040 23886 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=336500 $Y=112830 $D=8
+M1120 23726 23728 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=179690 $D=8
+M1121 24476 24477 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=180970 $D=8
+M1122 24500 24501 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=323690 $D=8
+M1123 24524 24525 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=324970 $D=8
+M1124 24548 24549 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=467690 $D=8
+M1125 23758 23760 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=338860 $Y=468970 $D=8
+M1126 24666 23887 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=339025 $Y=112830 $D=8
+M1127 24011 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=339380 $Y=160970 $D=8
+M1128 24012 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=339380 $Y=164845 $D=8
+M1129 VDD 23722 23724 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=179690 $D=8
+M1130 VDD 24474 24475 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=180970 $D=8
+M1131 VDD 24498 24499 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=323690 $D=8
+M1132 VDD 24522 24523 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=324970 $D=8
+M1133 VDD 24546 24547 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=467690 $D=8
+M1134 VDD 23754 23756 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=340720 $Y=468970 $D=8
+M1135 23722 23724 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=179690 $D=8
+M1136 24474 24475 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=180970 $D=8
+M1137 24498 24499 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=323690 $D=8
+M1138 24522 24523 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=324970 $D=8
+M1139 24546 24547 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=467690 $D=8
+M1140 23754 23756 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=341860 $Y=468970 $D=8
+M1141 24010 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=342475 $Y=160970 $D=8
+M1142 24009 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=342475 $Y=164845 $D=8
+M1143 VDD 23718 23720 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=179690 $D=8
+M1144 VDD 24472 24473 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=180970 $D=8
+M1145 VDD 24496 24497 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=323690 $D=8
+M1146 VDD 24520 24521 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=324970 $D=8
+M1147 VDD 24544 24545 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=467690 $D=8
+M1148 VDD 23750 23752 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=343720 $Y=468970 $D=8
+M1149 24665 23888 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=342690 $Y=112830 $D=8
+M1150 23718 23720 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=179690 $D=8
+M1151 24472 24473 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=180970 $D=8
+M1152 24496 24497 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=323690 $D=8
+M1153 24520 24521 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=324970 $D=8
+M1154 24544 24545 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=467690 $D=8
+M1155 23750 23752 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=344860 $Y=468970 $D=8
+M1156 24664 23889 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=345220 $Y=112830 $D=8
+M1157 24007 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=345575 $Y=160970 $D=8
+M1158 24008 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=345575 $Y=164845 $D=8
+M1159 VDD 23714 23716 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=179690 $D=8
+M1160 VDD 24470 24471 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=180970 $D=8
+M1161 VDD 24494 24495 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=323690 $D=8
+M1162 VDD 24518 24519 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=324970 $D=8
+M1163 VDD 24542 24543 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=467690 $D=8
+M1164 VDD 23746 23748 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=346720 $Y=468970 $D=8
+M1165 23714 23716 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=179690 $D=8
+M1166 24470 24471 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=180970 $D=8
+M1167 24494 24495 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=323690 $D=8
+M1168 24518 24519 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=324970 $D=8
+M1169 24542 24543 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=467690 $D=8
+M1170 23746 23748 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=347860 $Y=468970 $D=8
+M1171 VDD 23710 23712 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=179690 $D=8
+M1172 VDD 24468 24469 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=180970 $D=8
+M1173 VDD 24492 24493 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=323690 $D=8
+M1174 VDD 24516 24517 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=324970 $D=8
+M1175 VDD 24540 24541 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=467690 $D=8
+M1176 VDD 23742 23744 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=349720 $Y=468970 $D=8
+M1177 24006 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=348665 $Y=160970 $D=8
+M1178 24005 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=348665 $Y=164845 $D=8
+M1179 24663 23890 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=348880 $Y=112830 $D=8
+M1180 23710 23712 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=179690 $D=8
+M1181 24468 24469 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=180970 $D=8
+M1182 24492 24493 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=323690 $D=8
+M1183 24516 24517 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=324970 $D=8
+M1184 24540 24541 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=467690 $D=8
+M1185 23742 23744 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=350860 $Y=468970 $D=8
+M1186 24662 23891 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=351410 $Y=112830 $D=8
+M1187 VDD 23706 23708 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=179690 $D=8
+M1188 VDD 24466 24467 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=180970 $D=8
+M1189 VDD 24490 24491 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=323690 $D=8
+M1190 VDD 24514 24515 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=324970 $D=8
+M1191 VDD 24538 24539 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=467690 $D=8
+M1192 VDD 23738 23740 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=352720 $Y=468970 $D=8
+M1193 24003 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=351765 $Y=160970 $D=8
+M1194 24004 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=351765 $Y=164845 $D=8
+M1195 23706 23708 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=179690 $D=8
+M1196 24466 24467 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=180970 $D=8
+M1197 24490 24491 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=323690 $D=8
+M1198 24514 24515 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=324970 $D=8
+M1199 24538 24539 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=467690 $D=8
+M1200 23738 23740 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=353860 $Y=468970 $D=8
+M1201 VDD 23702 23704 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=179690 $D=8
+M1202 VDD 24464 24465 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=180970 $D=8
+M1203 VDD 24488 24489 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=323690 $D=8
+M1204 VDD 24512 24513 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=324970 $D=8
+M1205 VDD 24536 24537 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=467690 $D=8
+M1206 VDD 23734 23736 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=355720 $Y=468970 $D=8
+M1207 24002 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=354860 $Y=160970 $D=8
+M1208 24001 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=354860 $Y=164845 $D=8
+M1209 24661 23892 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=355075 $Y=112830 $D=8
+M1210 23702 23704 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=179690 $D=8
+M1211 24464 24465 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=180970 $D=8
+M1212 24488 24489 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=323690 $D=8
+M1213 24512 24513 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=324970 $D=8
+M1214 24536 24537 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=467690 $D=8
+M1215 23734 23736 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=356860 $Y=468970 $D=8
+M1216 VDD 23698 23700 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=179690 $D=8
+M1217 VDD 24462 24463 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=180970 $D=8
+M1218 VDD 24486 24487 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=323690 $D=8
+M1219 VDD 24510 24511 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=324970 $D=8
+M1220 VDD 24534 24535 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=467690 $D=8
+M1221 VDD 23730 23732 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=358720 $Y=468970 $D=8
+M1222 24660 23893 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=357605 $Y=112830 $D=8
+M1223 23999 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=357960 $Y=160970 $D=8
+M1224 24000 1081 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=357960 $Y=164845 $D=8
+M1225 23698 23700 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=179690 $D=8
+M1226 24462 24463 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=180970 $D=8
+M1227 24486 24487 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=323690 $D=8
+M1228 24510 24511 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=324970 $D=8
+M1229 24534 24535 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=467690 $D=8
+M1230 23730 23732 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=359860 $Y=468970 $D=8
+M1231 VDD 23494 23496 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=179690 $D=8
+M1232 VDD 24231 24230 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=180970 $D=8
+M1233 VDD 24239 24238 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=323690 $D=8
+M1234 VDD 24247 24246 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=324970 $D=8
+M1235 VDD 24255 24254 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=467690 $D=8
+M1236 VDD 23790 23792 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=364720 $Y=468970 $D=8
+M1237 23494 23496 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=179690 $D=8
+M1238 24231 24230 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=180970 $D=8
+M1239 24239 24238 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=323690 $D=8
+M1240 24247 24246 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=324970 $D=8
+M1241 24255 24254 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=467690 $D=8
+M1242 23790 23792 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=365860 $Y=468970 $D=8
+M1243 23957 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=365500 $Y=160970 $D=8
+M1244 23958 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=365500 $Y=164845 $D=8
+M1245 24159 23886 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=365715 $Y=112830 $D=8
+M1246 VDD 23490 23492 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=179690 $D=8
+M1247 VDD 24233 24232 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=180970 $D=8
+M1248 VDD 24241 24240 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=323690 $D=8
+M1249 VDD 24249 24248 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=324970 $D=8
+M1250 VDD 24257 24256 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=467690 $D=8
+M1251 VDD 23786 23788 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=367720 $Y=468970 $D=8
+M1252 23490 23492 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=179690 $D=8
+M1253 24233 24232 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=180970 $D=8
+M1254 24241 24240 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=323690 $D=8
+M1255 24249 24248 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=324970 $D=8
+M1256 24257 24256 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=467690 $D=8
+M1257 23786 23788 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=368860 $Y=468970 $D=8
+M1258 24160 23887 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=368245 $Y=112830 $D=8
+M1259 23960 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=368600 $Y=160970 $D=8
+M1260 23959 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=368600 $Y=164845 $D=8
+M1261 VDD 23486 23488 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=179690 $D=8
+M1262 VDD 24235 24234 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=180970 $D=8
+M1263 VDD 24243 24242 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=323690 $D=8
+M1264 VDD 24251 24250 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=324970 $D=8
+M1265 VDD 24259 24258 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=467690 $D=8
+M1266 VDD 23782 23784 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=370720 $Y=468970 $D=8
+M1267 23486 23488 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=179690 $D=8
+M1268 24235 24234 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=180970 $D=8
+M1269 24243 24242 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=323690 $D=8
+M1270 24251 24250 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=324970 $D=8
+M1271 24259 24258 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=467690 $D=8
+M1272 23782 23784 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=371860 $Y=468970 $D=8
+M1273 23961 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=371695 $Y=160970 $D=8
+M1274 23962 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=371695 $Y=164845 $D=8
+M1275 24161 23888 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=371910 $Y=112830 $D=8
+M1276 VDD 23482 23484 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=179690 $D=8
+M1277 VDD 24237 24236 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=180970 $D=8
+M1278 VDD 24245 24244 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=323690 $D=8
+M1279 VDD 24253 24252 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=324970 $D=8
+M1280 VDD 24261 24260 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=467690 $D=8
+M1281 VDD 23778 23780 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=373720 $Y=468970 $D=8
+M1282 23482 23484 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=179690 $D=8
+M1283 24237 24236 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=180970 $D=8
+M1284 24245 24244 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=323690 $D=8
+M1285 24253 24252 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=324970 $D=8
+M1286 24261 24260 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=467690 $D=8
+M1287 23778 23780 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=374860 $Y=468970 $D=8
+M1288 24162 23889 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=374440 $Y=112830 $D=8
+M1289 23964 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=374795 $Y=160970 $D=8
+M1290 23963 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=374795 $Y=164845 $D=8
+M1291 VDD 23390 23392 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=179690 $D=8
+M1292 VDD 24551 24550 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=180970 $D=8
+M1293 VDD 24575 24574 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=323690 $D=8
+M1294 VDD 24599 24598 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=324970 $D=8
+M1295 VDD 24623 24622 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=467690 $D=8
+M1296 VDD 23774 23776 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=376720 $Y=468970 $D=8
+M1297 23390 23392 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=179690 $D=8
+M1298 24551 24550 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=180970 $D=8
+M1299 24575 24574 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=323690 $D=8
+M1300 24599 24598 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=324970 $D=8
+M1301 24623 24622 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=467690 $D=8
+M1302 23774 23776 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=377860 $Y=468970 $D=8
+M1303 23965 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=377885 $Y=160970 $D=8
+M1304 23966 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=377885 $Y=164845 $D=8
+M1305 24163 23890 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=378100 $Y=112830 $D=8
+M1306 VDD 23386 23388 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=179690 $D=8
+M1307 VDD 24553 24552 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=180970 $D=8
+M1308 VDD 24577 24576 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=323690 $D=8
+M1309 VDD 24601 24600 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=324970 $D=8
+M1310 VDD 24625 24624 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=467690 $D=8
+M1311 VDD 23770 23772 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=379720 $Y=468970 $D=8
+M1312 23386 23388 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=179690 $D=8
+M1313 24553 24552 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=180970 $D=8
+M1314 24577 24576 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=323690 $D=8
+M1315 24601 24600 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=324970 $D=8
+M1316 24625 24624 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=467690 $D=8
+M1317 23770 23772 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=380860 $Y=468970 $D=8
+M1318 24164 23891 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=380630 $Y=112830 $D=8
+M1319 23968 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=380985 $Y=160970 $D=8
+M1320 23967 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=380985 $Y=164845 $D=8
+M1321 VDD 23398 23400 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=179690 $D=8
+M1322 VDD 24555 24554 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=180970 $D=8
+M1323 VDD 24579 24578 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=323690 $D=8
+M1324 VDD 24603 24602 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=324970 $D=8
+M1325 VDD 24627 24626 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=467690 $D=8
+M1326 VDD 23766 23768 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=382720 $Y=468970 $D=8
+M1327 23398 23400 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=179690 $D=8
+M1328 24555 24554 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=180970 $D=8
+M1329 24579 24578 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=323690 $D=8
+M1330 24603 24602 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=324970 $D=8
+M1331 24627 24626 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=467690 $D=8
+M1332 23766 23768 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=383860 $Y=468970 $D=8
+M1333 23969 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=384080 $Y=160970 $D=8
+M1334 23970 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=384080 $Y=164845 $D=8
+M1335 24165 23892 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=384295 $Y=112830 $D=8
+M1336 VDD 23394 23396 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=179690 $D=8
+M1337 VDD 24557 24556 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=180970 $D=8
+M1338 VDD 24581 24580 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=323690 $D=8
+M1339 VDD 24605 24604 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=324970 $D=8
+M1340 VDD 24629 24628 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=467690 $D=8
+M1341 VDD 23762 23764 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=385720 $Y=468970 $D=8
+M1342 23394 23396 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=179690 $D=8
+M1343 24557 24556 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=180970 $D=8
+M1344 24581 24580 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=323690 $D=8
+M1345 24605 24604 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=324970 $D=8
+M1346 24629 24628 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=467690 $D=8
+M1347 23762 23764 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=386860 $Y=468970 $D=8
+M1348 24037 23893 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=386825 $Y=112830 $D=8
+M1349 1072 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=387000 $Y=160970 $D=8
+M1350 1073 23201 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=387000 $Y=164845 $D=8
+M1351 1086 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=390455 $Y=160970 $D=8
+M1352 1087 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=390455 $Y=164845 $D=8
+M1353 VDD 23822 23824 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=179690 $D=8
+M1354 VDD 24572 24573 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=180970 $D=8
+M1355 VDD 24596 24597 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=323690 $D=8
+M1356 VDD 24620 24621 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=324970 $D=8
+M1357 VDD 24644 24645 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=467690 $D=8
+M1358 VDD 23854 23856 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=391720 $Y=468970 $D=8
+M1359 24041 23886 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=390500 $Y=112830 $D=8
+M1360 23822 23824 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=179690 $D=8
+M1361 24572 24573 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=180970 $D=8
+M1362 24596 24597 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=323690 $D=8
+M1363 24620 24621 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=324970 $D=8
+M1364 24644 24645 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=467690 $D=8
+M1365 23854 23856 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=392860 $Y=468970 $D=8
+M1366 24673 23887 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=393025 $Y=112830 $D=8
+M1367 24025 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=393380 $Y=160970 $D=8
+M1368 24026 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=393380 $Y=164845 $D=8
+M1369 VDD 23818 23820 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=179690 $D=8
+M1370 VDD 24570 24571 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=180970 $D=8
+M1371 VDD 24594 24595 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=323690 $D=8
+M1372 VDD 24618 24619 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=324970 $D=8
+M1373 VDD 24642 24643 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=467690 $D=8
+M1374 VDD 23850 23852 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=394720 $Y=468970 $D=8
+M1375 23818 23820 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=179690 $D=8
+M1376 24570 24571 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=180970 $D=8
+M1377 24594 24595 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=323690 $D=8
+M1378 24618 24619 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=324970 $D=8
+M1379 24642 24643 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=467690 $D=8
+M1380 23850 23852 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=395860 $Y=468970 $D=8
+M1381 24024 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=396475 $Y=160970 $D=8
+M1382 24023 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=396475 $Y=164845 $D=8
+M1383 VDD 23814 23816 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=179690 $D=8
+M1384 VDD 24568 24569 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=180970 $D=8
+M1385 VDD 24592 24593 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=323690 $D=8
+M1386 VDD 24616 24617 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=324970 $D=8
+M1387 VDD 24640 24641 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=467690 $D=8
+M1388 VDD 23846 23848 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=397720 $Y=468970 $D=8
+M1389 24672 23888 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=396690 $Y=112830 $D=8
+M1390 23814 23816 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=179690 $D=8
+M1391 24568 24569 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=180970 $D=8
+M1392 24592 24593 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=323690 $D=8
+M1393 24616 24617 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=324970 $D=8
+M1394 24640 24641 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=467690 $D=8
+M1395 23846 23848 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=398860 $Y=468970 $D=8
+M1396 24671 23889 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=399220 $Y=112830 $D=8
+M1397 24021 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=399575 $Y=160970 $D=8
+M1398 24022 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=399575 $Y=164845 $D=8
+M1399 VDD 23810 23812 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=179690 $D=8
+M1400 VDD 24566 24567 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=180970 $D=8
+M1401 VDD 24590 24591 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=323690 $D=8
+M1402 VDD 24614 24615 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=324970 $D=8
+M1403 VDD 24638 24639 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=467690 $D=8
+M1404 VDD 23842 23844 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=400720 $Y=468970 $D=8
+M1405 23810 23812 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=179690 $D=8
+M1406 24566 24567 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=180970 $D=8
+M1407 24590 24591 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=323690 $D=8
+M1408 24614 24615 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=324970 $D=8
+M1409 24638 24639 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=467690 $D=8
+M1410 23842 23844 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=401860 $Y=468970 $D=8
+M1411 VDD 23806 23808 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=179690 $D=8
+M1412 VDD 24564 24565 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=180970 $D=8
+M1413 VDD 24588 24589 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=323690 $D=8
+M1414 VDD 24612 24613 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=324970 $D=8
+M1415 VDD 24636 24637 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=467690 $D=8
+M1416 VDD 23838 23840 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=403720 $Y=468970 $D=8
+M1417 24020 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=402665 $Y=160970 $D=8
+M1418 24019 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=402665 $Y=164845 $D=8
+M1419 24670 23890 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=402880 $Y=112830 $D=8
+M1420 23806 23808 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=179690 $D=8
+M1421 24564 24565 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=180970 $D=8
+M1422 24588 24589 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=323690 $D=8
+M1423 24612 24613 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=324970 $D=8
+M1424 24636 24637 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=467690 $D=8
+M1425 23838 23840 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=404860 $Y=468970 $D=8
+M1426 24669 23891 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=405410 $Y=112830 $D=8
+M1427 VDD 23802 23804 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=179690 $D=8
+M1428 VDD 24562 24563 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=180970 $D=8
+M1429 VDD 24586 24587 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=323690 $D=8
+M1430 VDD 24610 24611 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=324970 $D=8
+M1431 VDD 24634 24635 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=467690 $D=8
+M1432 VDD 23834 23836 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=406720 $Y=468970 $D=8
+M1433 24017 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=405765 $Y=160970 $D=8
+M1434 24018 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=405765 $Y=164845 $D=8
+M1435 23802 23804 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=179690 $D=8
+M1436 24562 24563 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=180970 $D=8
+M1437 24586 24587 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=323690 $D=8
+M1438 24610 24611 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=324970 $D=8
+M1439 24634 24635 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=467690 $D=8
+M1440 23834 23836 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=407860 $Y=468970 $D=8
+M1441 VDD 23798 23800 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=179690 $D=8
+M1442 VDD 24560 24561 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=180970 $D=8
+M1443 VDD 24584 24585 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=323690 $D=8
+M1444 VDD 24608 24609 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=324970 $D=8
+M1445 VDD 24632 24633 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=467690 $D=8
+M1446 VDD 23830 23832 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=409720 $Y=468970 $D=8
+M1447 24016 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=408860 $Y=160970 $D=8
+M1448 24015 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=408860 $Y=164845 $D=8
+M1449 24668 23892 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=409075 $Y=112830 $D=8
+M1450 23798 23800 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=179690 $D=8
+M1451 24560 24561 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=180970 $D=8
+M1452 24584 24585 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=323690 $D=8
+M1453 24608 24609 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=324970 $D=8
+M1454 24632 24633 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=467690 $D=8
+M1455 23830 23832 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=410860 $Y=468970 $D=8
+M1456 VDD 23794 23796 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=179690 $D=8
+M1457 VDD 24558 24559 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=180970 $D=8
+M1458 VDD 24582 24583 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=323690 $D=8
+M1459 VDD 24606 24607 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=324970 $D=8
+M1460 VDD 24630 24631 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=467690 $D=8
+M1461 VDD 23826 23828 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=412720 $Y=468970 $D=8
+M1462 24667 23893 VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=411605 $Y=112830 $D=8
+M1463 24013 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=411960 $Y=160970 $D=8
+M1464 24014 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=411960 $Y=164845 $D=8
+M1465 23794 23796 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=179690 $D=8
+M1466 24558 24559 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=180970 $D=8
+M1467 24582 24583 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=323690 $D=8
+M1468 24606 24607 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=324970 $D=8
+M1469 24630 24631 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=467690 $D=8
+M1470 23826 23828 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=413860 $Y=468970 $D=8
+M1471 VDD VSS VDD VDD pmos_5p0 L=2.365e-06 W=8.19e-05 AD=0 AS=6.1309e-11 PD=0 PS=0.000217698 NRD=0 NRS=11.8457 m=1 nf=36 $X=304360 $Y=171065 $D=8
+M1472 VDD VDD 23859 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=418720 $Y=467690 $D=8
+M1473 VDD VDD 23857 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=418720 $Y=468970 $D=8
+M1474 614 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=6.35965e-12 PD=7.86e-06 PS=1.737e-05 NRD=0.152493 NRS=0.546921 m=1 nf=2 $X=418655 $Y=160970 $D=8
+M1475 615 1062 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=6.35965e-12 PD=7.86e-06 PS=1.737e-05 NRD=0.152493 NRS=0.546921 m=1 nf=2 $X=418655 $Y=164845 $D=8
+M1476 23860 VSS VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=419860 $Y=467690 $D=8
+M1477 23858 VSS VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=419860 $Y=468970 $D=8
+M1478 606 614 VDD VDD pmos_5p0 L=6e-07 W=7.02e-06 AD=1.8252e-12 AS=3.0888e-12 PD=8.06e-06 PS=1.58e-05 NRD=0.148148 NRS=0.250712 m=1 nf=2 $X=418770 $Y=97440 $D=8
+M1479 607 606 VDD VDD pmos_5p0 L=6e-07 W=2.128e-05 AD=5.5328e-12 AS=9.3632e-12 PD=2.232e-05 PS=4.432e-05 NRD=0.0488722 NRS=0.0827068 m=1 nf=2 $X=418790 $Y=67070 $D=8
+M1480 613 VDD VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=2.33887e-12 PD=4.29e-06 PS=9.09e-06 NRD=0.444444 NRS=1.06061 m=1 nf=2 $X=418870 $Y=112830 $D=8
+X1488 614 614 613 VDD pmos_5p0_I13 $T=419815 124190 0 180 $X=418175 $Y=116760
+X1489 615 615 613 VDD pmos_5p0_I13 $T=419815 151940 0 180 $X=418175 $Y=144510
+X1490 615 614 1062 VDD pmos_5p0_I13 $T=419825 159875 0 180 $X=418185 $Y=152445
+X1491 614 614 VDD VSS nmos_5p0_I02 $T=419815 133465 0 180 $X=418535 $Y=126035
+X1492 615 615 VDD VSS nmos_5p0_I02 $T=419815 143485 0 180 $X=418535 $Y=136055
+X1498 VDD 618 616 pmos_1p2$$46273580 $T=242390 65835 1 0 $X=240960 $Y=64015
+X1499 VSS 617 1000 VSS nmos_1p2$$46563372 $T=233925 66830 0 0 $X=232780 $Y=66145
+X1500 618 VSS 616 VSS nmos_1p2$$46563372 $T=243510 68190 1 0 $X=242365 $Y=66555
+X1501 619 618 617 VSS nmos_1p2$$46563372 $T=246080 68190 1 0 $X=244935 $Y=66555
+X1503 1063 VSS Q[0] D[0] 23198 1 VDD 1064 1065 WEN[0] 23915 23916 23917 23918 23919 23920 23921 23922 23923 23924
++ 23925 23926 23927 23928 24034 1053 23885 23884 23883 23882 23881 23880 23879 23878 23877 24138 24139 24140 24141 24142
++ 24143 24144
++ saout_m2 $T=9775 25090 0 0 $X=8430 $Y=7315
+X1504 1032 VSS Q[2] D[2] 23199 1 VDD 1066 1067 WEN[2] 23929 23930 23931 23932 23933 23934 23935 23936 23937 23938
++ 23939 23940 23941 23942 24035 1053 23885 23884 23883 23882 23881 23880 23879 23878 23877 24145 24146 24147 24148 24149
++ 24150 24151
++ saout_m2 $T=63775 25090 0 0 $X=62430 $Y=7315
+X1505 1068 VSS Q[4] D[4] 23200 1 VDD 1069 1070 WEN[4] 23943 23944 23945 23946 23947 23948 23949 23950 23951 23952
++ 23953 23954 23955 23956 24036 1053 23886 23887 23888 23889 23890 23891 23892 23893 23877 24152 24153 24154 24155 24156
++ 24157 24158
++ saout_m2 $T=307655 25090 0 0 $X=306310 $Y=7315
+X1506 1071 VSS Q[6] D[6] 23201 1 VDD 1072 1073 WEN[6] 23957 23958 23959 23960 23961 23962 23963 23964 23965 23966
++ 23967 23968 23969 23970 24037 1053 23886 23887 23888 23889 23890 23891 23892 23893 23877 24159 24160 24161 24162 24163
++ 24164 24165
++ saout_m2 $T=361655 25090 0 0 $X=360310 $Y=7315
+X1507 VSS VSS 23337 23338 23339 23340 23341 23342 23343 23344 ICV_7 $T=27210 176130 1 180 $X=23870 $Y=175790
+X1508 VSS VSS 23345 23346 23347 23348 23349 23350 23351 23352 ICV_7 $T=33210 176130 1 180 $X=29870 $Y=175790
+X1509 VSS VSS 23353 23354 23355 23356 23357 23358 23359 23360 ICV_7 $T=81210 176130 1 180 $X=77870 $Y=175790
+X1510 VSS VSS 23361 23362 23363 23364 23365 23366 23367 23368 ICV_7 $T=87210 176130 1 180 $X=83870 $Y=175790
+X1511 VSS VSS 23369 23370 23371 23372 23373 23374 23375 23376 ICV_7 $T=325090 176130 1 180 $X=321750 $Y=175790
+X1512 VSS VSS 23377 23378 23379 23380 23381 23382 23383 23384 ICV_7 $T=331090 176130 1 180 $X=327750 $Y=175790
+X1513 VSS VSS 23385 23386 23387 23388 23389 23390 23391 23392 ICV_7 $T=379090 176130 1 180 $X=375750 $Y=175790
+X1514 VSS VSS 23393 23394 23395 23396 23397 23398 23399 23400 ICV_7 $T=385090 176130 1 180 $X=381750 $Y=175790
+X1515 VSS 1002 23401 23402 23403 23404 23405 23406 23407 23408 23409 23410 23411 23412 23413 23414 23415 23416 ICV_8 $T=313090 473130 0 180 $X=309750 $Y=468290
+X1516 VSS 1002 23417 23418 23419 23420 23421 23422 23423 23424 23425 23426 23427 23428 23429 23430 23431 23432 ICV_8 $T=325090 473130 0 180 $X=321750 $Y=468290
+X1520 VSS VSS 23433 23434 23435 23436 23437 23438 23439 23440 23441 23442 23443 23444 23445 23446 23447 23448 ICV_9 $T=12210 176130 1 180 $X=8870 $Y=175790
+X1521 VSS VSS 23449 23450 23451 23452 23453 23454 23455 23456 23457 23458 23459 23460 23461 23462 23463 23464 ICV_9 $T=66210 176130 1 180 $X=62870 $Y=175790
+X1522 VSS VSS 23465 23466 23467 23468 23469 23470 23471 23472 23473 23474 23475 23476 23477 23478 23479 23480 ICV_9 $T=310090 176130 1 180 $X=306750 $Y=175790
+X1523 VSS VSS 23481 23482 23483 23484 23485 23486 23487 23488 23489 23490 23491 23492 23493 23494 23495 23496 ICV_9 $T=364090 176130 1 180 $X=360750 $Y=175790
+X1528 VDD VSS 23202 23203 23204 23205 23206 23207 23208 23209 23210 23211 23212 23213 23214 23215 23216 23217 23218 23219
++ 23220 23221 23222 23223 23224 23225 23226 23227 23228 23229 23230 23231 23232 23233 23922 23921 23920 23919 23918 23917
++ 23916 23915 24166 24167 24168 24169 24170 24171 24172 24173 24174 24175 24176 24177 24178 24179 24180 24181
++ ICV_27 $T=12210 185130 1 180 $X=8870 $Y=180290
+X1529 VDD VSS 23234 23235 23236 23237 23238 23239 23240 23241 23242 23243 23244 23245 23246 23247 23248 23249 23250 23251
++ 23252 23253 23254 23255 23256 23257 23258 23259 23260 23261 23262 23263 23264 23265 23922 23921 23920 23919 23918 23917
++ 23916 23915 24182 24183 24184 24185 24186 24187 24188 24189 24190 24191 24192 24193 24194 24195 24196 24197
++ ICV_27 $T=12210 329130 1 180 $X=8870 $Y=324290
+X1530 VDD VSS 23202 23203 23204 23205 23206 23207 23208 23209 23210 23211 23212 23213 23214 23215 23216 23217 23218 23219
++ 23220 23221 23222 23223 23224 23225 23226 23227 23228 23229 23230 23231 23232 23233 23936 23935 23934 23933 23932 23931
++ 23930 23929 24198 24199 24200 24201 24202 24203 24204 24205 24206 24207 24208 24209 24210 24211 24212 24213
++ ICV_27 $T=66210 185130 1 180 $X=62870 $Y=180290
+X1531 VDD VSS 23234 23235 23236 23237 23238 23239 23240 23241 23242 23243 23244 23245 23246 23247 23248 23249 23250 23251
++ 23252 23253 23254 23255 23256 23257 23258 23259 23260 23261 23262 23263 23264 23265 23936 23935 23934 23933 23932 23931
++ 23930 23929 24214 24215 24216 24217 24218 24219 24220 24221 24222 24223 24224 24225 24226 24227 24228 24229
++ ICV_27 $T=66210 329130 1 180 $X=62870 $Y=324290
+X1532 VDD VSS 23266 23267 23268 23269 23270 23271 23272 23273 23274 23275 23276 23277 23278 23279 23280 23281 23282 23283
++ 23284 23285 23286 23287 23288 23289 23290 23291 23292 23293 23294 23295 23296 23297 23964 23963 23962 23961 23960 23959
++ 23958 23957 24230 24231 24232 24233 24234 24235 24236 24237 24238 24239 24240 24241 24242 24243 24244 24245
++ ICV_27 $T=364090 185130 1 180 $X=360750 $Y=180290
+X1533 VDD VSS 23298 23299 23300 23301 23302 23303 23304 23305 23306 23307 23308 23309 23310 23311 23312 23313 23314 23315
++ 23316 23317 23318 23319 23320 23321 23322 23323 23324 23325 23326 23327 23328 23329 23964 23963 23962 23961 23960 23959
++ 23958 23957 24246 24247 24248 24249 24250 24251 24252 24253 24254 24255 24256 24257 24258 24259 24260 24261
++ ICV_27 $T=364090 329130 1 180 $X=360750 $Y=324290
+X1534 VSS VSS 23505 23506 23507 23508 23509 23510 23511 23512 23513 23514 23515 23516 23517 23518 23519 23520 23521 23522
++ 23523 23524 23525 23526 23527 23528 23529 23530 23531 23532 23533 23534 23535 23536
++ ICV_10 $T=12210 473130 0 180 $X=8870 $Y=468290
+X1535 VSS VSS 23537 23538 23539 23540 23541 23542 23543 23544 23545 23546 23547 23548 23549 23550 23551 23552 23553 23554
++ 23555 23556 23557 23558 23559 23560 23561 23562 23563 23564 23565 23566 23567 23568
++ ICV_10 $T=39210 176130 1 180 $X=35870 $Y=175790
+X1536 VSS VSS 23569 23570 23571 23572 23573 23574 23575 23576 23577 23578 23579 23580 23581 23582 23583 23584 23585 23586
++ 23587 23588 23589 23590 23591 23592 23593 23594 23595 23596 23597 23598 23599 23600
++ ICV_10 $T=39210 473130 0 180 $X=35870 $Y=468290
+X1537 VSS VSS 23601 23602 23603 23604 23605 23606 23607 23608 23609 23610 23611 23612 23613 23614 23615 23616 23617 23618
++ 23619 23620 23621 23622 23623 23624 23625 23626 23627 23628 23629 23630 23631 23632
++ ICV_10 $T=66210 473130 0 180 $X=62870 $Y=468290
+X1538 VSS VSS 23633 23634 23635 23636 23637 23638 23639 23640 23641 23642 23643 23644 23645 23646 23647 23648 23649 23650
++ 23651 23652 23653 23654 23655 23656 23657 23658 23659 23660 23661 23662 23663 23664
++ ICV_10 $T=93210 176130 1 180 $X=89870 $Y=175790
+X1539 VSS VSS 23665 23666 23667 23668 23669 23670 23671 23672 23673 23674 23675 23676 23677 23678 23679 23680 23681 23682
++ 23683 23684 23685 23686 23687 23688 23689 23690 23691 23692 23693 23694 23695 23696
++ ICV_10 $T=93210 473130 0 180 $X=89870 $Y=468290
+X1540 VSS VSS 23697 23698 23699 23700 23701 23702 23703 23704 23705 23706 23707 23708 23709 23710 23711 23712 23713 23714
++ 23715 23716 23717 23718 23719 23720 23721 23722 23723 23724 23725 23726 23727 23728
++ ICV_10 $T=337090 176130 1 180 $X=333750 $Y=175790
+X1541 VSS 1002 23729 23730 23731 23732 23733 23734 23735 23736 23737 23738 23739 23740 23741 23742 23743 23744 23745 23746
++ 23747 23748 23749 23750 23751 23752 23753 23754 23755 23756 23757 23758 23759 23760
++ ICV_10 $T=337090 473130 0 180 $X=333750 $Y=468290
+X1542 VSS 1002 23761 23762 23763 23764 23765 23766 23767 23768 23769 23770 23771 23772 23773 23774 23775 23776 23777 23778
++ 23779 23780 23781 23782 23783 23784 23785 23786 23787 23788 23789 23790 23791 23792
++ ICV_10 $T=364090 473130 0 180 $X=360750 $Y=468290
+X1543 VSS VSS 23793 23794 23795 23796 23797 23798 23799 23800 23801 23802 23803 23804 23805 23806 23807 23808 23809 23810
++ 23811 23812 23813 23814 23815 23816 23817 23818 23819 23820 23821 23822 23823 23824
++ ICV_10 $T=391090 176130 1 180 $X=387750 $Y=175790
+X1544 VSS 1002 23825 23826 23827 23828 23829 23830 23831 23832 23833 23834 23835 23836 23837 23838 23839 23840 23841 23842
++ 23843 23844 23845 23846 23847 23848 23849 23850 23851 23852 23853 23854 23855 23856
++ ICV_10 $T=391090 473130 0 180 $X=387750 $Y=468290
+X1568 VDD VSS 23202 23203 23204 23205 23206 23207 23208 23209 23210 23211 23212 23213 23214 23215 23216 23217 23218 23219
++ 23220 23221 23222 23223 23224 23225 23226 23227 23228 23229 23230 23231 23232 23233 23923 23924 23925 23926 23927 23928
++ 1065 1064 1076 1077 23984 23983 23982 23981 23980 23979 23978 23977 23976 23975 23974 23973 23972 23971 24262 24263
++ 24264 24265 24266 24267 24268 24269 24270 24271 24272 24273 24274 24275 24276 24277 24278 24279 24280 24281 24282 24283
++ 24284 24285 24286 24287 24288 24289 24290 24291 24292 24293 24294 24295 24296 24297 24298 24299 24300 24301 24302 24303
++ 24304 24305 24306 24307 24308 24309
++ ICV_24 $T=24210 180630 0 0 $X=23870 $Y=180290
+X1569 VDD VSS 23234 23235 23236 23237 23238 23239 23240 23241 23242 23243 23244 23245 23246 23247 23248 23249 23250 23251
++ 23252 23253 23254 23255 23256 23257 23258 23259 23260 23261 23262 23263 23264 23265 23923 23924 23925 23926 23927 23928
++ 1065 1064 1076 1077 23984 23983 23982 23981 23980 23979 23978 23977 23976 23975 23974 23973 23972 23971 24310 24311
++ 24312 24313 24314 24315 24316 24317 24318 24319 24320 24321 24322 24323 24324 24325 24326 24327 24328 24329 24330 24331
++ 24332 24333 24334 24335 24336 24337 24338 24339 24340 24341 24342 24343 24344 24345 24346 24347 24348 24349 24350 24351
++ 24352 24353 24354 24355 24356 24357
++ ICV_24 $T=24210 324630 0 0 $X=23870 $Y=324290
+X1570 VDD VSS 23202 23203 23204 23205 23206 23207 23208 23209 23210 23211 23212 23213 23214 23215 23216 23217 23218 23219
++ 23220 23221 23222 23223 23224 23225 23226 23227 23228 23229 23230 23231 23232 23233 23937 23938 23939 23940 23941 23942
++ 1067 1066 1079 1080 23998 23997 23996 23995 23994 23993 23992 23991 23990 23989 23988 23987 23986 23985 24358 24359
++ 24360 24361 24362 24363 24364 24365 24366 24367 24368 24369 24370 24371 24372 24373 24374 24375 24376 24377 24378 24379
++ 24380 24381 24382 24383 24384 24385 24386 24387 24388 24389 24390 24391 24392 24393 24394 24395 24396 24397 24398 24399
++ 24400 24401 24402 24403 24404 24405
++ ICV_24 $T=78210 180630 0 0 $X=77870 $Y=180290
+X1571 VDD VSS 23234 23235 23236 23237 23238 23239 23240 23241 23242 23243 23244 23245 23246 23247 23248 23249 23250 23251
++ 23252 23253 23254 23255 23256 23257 23258 23259 23260 23261 23262 23263 23264 23265 23937 23938 23939 23940 23941 23942
++ 1067 1066 1079 1080 23998 23997 23996 23995 23994 23993 23992 23991 23990 23989 23988 23987 23986 23985 24406 24407
++ 24408 24409 24410 24411 24412 24413 24414 24415 24416 24417 24418 24419 24420 24421 24422 24423 24424 24425 24426 24427
++ 24428 24429 24430 24431 24432 24433 24434 24435 24436 24437 24438 24439 24440 24441 24442 24443 24444 24445 24446 24447
++ 24448 24449 24450 24451 24452 24453
++ ICV_24 $T=78210 324630 0 0 $X=77870 $Y=324290
+X1572 VDD VSS 23266 23267 23268 23269 23270 23271 23272 23273 23274 23275 23276 23277 23278 23279 23280 23281 23282 23283
++ 23284 23285 23286 23287 23288 23289 23290 23291 23292 23293 23294 23295 23296 23297 23951 23952 23953 23954 23955 23956
++ 1070 1069 1083 1084 24012 24011 24010 24009 24008 24007 24006 24005 24004 24003 24002 24001 24000 23999 24454 24455
++ 24456 24457 24458 24459 24460 24461 24462 24463 24464 24465 24466 24467 24468 24469 24470 24471 24472 24473 24474 24475
++ 24476 24477 24478 24479 24480 24481 24482 24483 24484 24485 24486 24487 24488 24489 24490 24491 24492 24493 24494 24495
++ 24496 24497 24498 24499 24500 24501
++ ICV_24 $T=322090 180630 0 0 $X=321750 $Y=180290
+X1573 VDD VSS 23298 23299 23300 23301 23302 23303 23304 23305 23306 23307 23308 23309 23310 23311 23312 23313 23314 23315
++ 23316 23317 23318 23319 23320 23321 23322 23323 23324 23325 23326 23327 23328 23329 23951 23952 23953 23954 23955 23956
++ 1070 1069 1083 1084 24012 24011 24010 24009 24008 24007 24006 24005 24004 24003 24002 24001 24000 23999 24502 24503
++ 24504 24505 24506 24507 24508 24509 24510 24511 24512 24513 24514 24515 24516 24517 24518 24519 24520 24521 24522 24523
++ 24524 24525 24526 24527 24528 24529 24530 24531 24532 24533 24534 24535 24536 24537 24538 24539 24540 24541 24542 24543
++ 24544 24545 24546 24547 24548 24549
++ ICV_24 $T=322090 324630 0 0 $X=321750 $Y=324290
+X1574 VDD VSS 23266 23267 23268 23269 23270 23271 23272 23273 23274 23275 23276 23277 23278 23279 23280 23281 23282 23283
++ 23284 23285 23286 23287 23288 23289 23290 23291 23292 23293 23294 23295 23296 23297 23965 23966 23967 23968 23969 23970
++ 1073 1072 1086 1087 24026 24025 24024 24023 24022 24021 24020 24019 24018 24017 24016 24015 24014 24013 24550 24551
++ 24552 24553 24554 24555 24556 24557 24558 24559 24560 24561 24562 24563 24564 24565 24566 24567 24568 24569 24570 24571
++ 24572 24573 24574 24575 24576 24577 24578 24579 24580 24581 24582 24583 24584 24585 24586 24587 24588 24589 24590 24591
++ 24592 24593 24594 24595 24596 24597
++ ICV_24 $T=376090 180630 0 0 $X=375750 $Y=180290
+X1575 VDD VSS 23298 23299 23300 23301 23302 23303 23304 23305 23306 23307 23308 23309 23310 23311 23312 23313 23314 23315
++ 23316 23317 23318 23319 23320 23321 23322 23323 23324 23325 23326 23327 23328 23329 23965 23966 23967 23968 23969 23970
++ 1073 1072 1086 1087 24026 24025 24024 24023 24022 24021 24020 24019 24018 24017 24016 24015 24014 24013 24598 24599
++ 24600 24601 24602 24603 24604 24605 24606 24607 24608 24609 24610 24611 24612 24613 24614 24615 24616 24617 24618 24619
++ 24620 24621 24622 24623 24624 24625 24626 24627 24628 24629 24630 24631 24632 24633 24634 24635 24636 24637 24638 24639
++ 24640 24641 24642 24643 24644 24645
++ ICV_24 $T=376090 324630 0 0 $X=375750 $Y=324290
+X1576 1075 VSS Q[1] 1074 D[1] 1 VDD 1076 1077 WEN[1] 23971 23972 23973 23974 23975 23976 23977 23978 23979 23980
++ 23981 23982 23983 23984 24038 1053 23878 23879 23880 23881 23882 23883 23884 23885 23877 24646 24647 24648 24649 24650
++ 24651 24652
++ saout_R_m2 $T=65645 25125 1 180 $X=27480 $Y=6815
+X1577 1033 VSS Q[3] 1078 D[3] 1 VDD 1079 1080 WEN[3] 23985 23986 23987 23988 23989 23990 23991 23992 23993 23994
++ 23995 23996 23997 23998 24039 1053 23878 23879 23880 23881 23882 23883 23884 23885 23877 24653 24654 24655 24656 24657
++ 24658 24659
++ saout_R_m2 $T=119645 25125 1 180 $X=81480 $Y=6815
+X1578 1082 VSS Q[5] 1081 D[5] 1 VDD 1083 1084 WEN[5] 23999 24000 24001 24002 24003 24004 24005 24006 24007 24008
++ 24009 24010 24011 24012 24040 1053 23893 23892 23891 23890 23889 23888 23887 23886 23877 24660 24661 24662 24663 24664
++ 24665 24666
++ saout_R_m2 $T=363525 25125 1 180 $X=325360 $Y=6815
+X1579 1085 VSS Q[7] 1062 D[7] 1 VDD 1086 1087 WEN[7] 24013 24014 24015 24016 24017 24018 24019 24020 24021 24022
++ 24023 24024 24025 24026 24041 1053 23893 23892 23891 23890 23889 23888 23887 23886 23877 24667 24668 24669 24670 24671
++ 24672 24673
++ saout_R_m2 $T=417525 25125 1 180 $X=379360 $Y=6815
+X1581 VDD VSS 702 703 24674 24675 24676 24677 ICV_41 $T=117210 185130 0 0 $X=116870 $Y=180290
+X1582 VDD VSS 702 703 24678 24679 24680 24681 ICV_41 $T=117210 221130 0 0 $X=116870 $Y=216290
+X1583 VDD VSS 702 703 24682 24683 24684 24685 ICV_41 $T=117210 257130 0 0 $X=116870 $Y=252290
+X1584 VDD VSS 702 703 24686 24687 24688 24689 ICV_41 $T=117210 293130 0 0 $X=116870 $Y=288290
+X1585 VDD VSS 702 703 24690 24691 24692 24693 ICV_41 $T=117210 329130 0 0 $X=116870 $Y=324290
+X1586 VDD VSS 702 703 24694 24695 24696 24697 ICV_41 $T=117210 365130 0 0 $X=116870 $Y=360290
+X1587 VDD VSS 702 703 24698 24699 24700 24701 ICV_41 $T=117210 401130 0 0 $X=116870 $Y=396290
+X1588 VDD VSS 702 703 24702 24703 24704 24705 ICV_41 $T=117210 437130 0 0 $X=116870 $Y=432290
+X1589 VSS VDD 1040 1044 1046 1047 1048 1049 1050 1051 23203 23204 23205 23206 23207 23208 23267 23268 23269 23270
++ 23271 23272 1 24042 24043 24044 24045 24106 24107 24108 24109
++ ICV_37 $T=154400 190385 1 270 $X=126565 $Y=179495
+X1590 VSS VDD 1040 1043 1046 1047 1048 1049 1050 1051 23211 23212 23213 23214 23215 23216 23275 23276 23277 23278
++ 23279 23280 1 24046 24047 24048 24049 24110 24111 24112 24113
++ ICV_37 $T=154400 226385 1 270 $X=126565 $Y=215495
+X1591 VSS VDD 1040 1042 1046 1047 1048 1049 1050 1051 23219 23220 23221 23222 23223 23224 23283 23284 23285 23286
++ 23287 23288 1 24050 24051 24052 24053 24114 24115 24116 24117
++ ICV_37 $T=154400 262385 1 270 $X=126565 $Y=251495
+X1592 VSS VDD 1040 1041 1046 1047 1048 1049 1050 1051 23227 23228 23229 23230 23231 23232 23291 23292 23293 23294
++ 23295 23296 1 24054 24055 24056 24057 24118 24119 24120 24121
++ ICV_37 $T=154400 298385 1 270 $X=126565 $Y=287495
+X1593 VSS VDD 1038 1044 1046 1047 1048 1049 1050 1051 23235 23236 23237 23238 23239 23240 23299 23300 23301 23302
++ 23303 23304 1 24058 24059 24060 24061 24122 24123 24124 24125
++ ICV_37 $T=154400 334385 1 270 $X=126565 $Y=323495
+X1594 VSS VDD 1038 1043 1046 1047 1048 1049 1050 1051 23243 23244 23245 23246 23247 23248 23307 23308 23309 23310
++ 23311 23312 1 24062 24063 24064 24065 24126 24127 24128 24129
++ ICV_37 $T=154400 370385 1 270 $X=126565 $Y=359495
+X1595 VSS VDD 1038 1042 1046 1047 1048 1049 1050 1051 23251 23252 23253 23254 23255 23256 23315 23316 23317 23318
++ 23319 23320 1 24066 24067 24068 24069 24130 24131 24132 24133
++ ICV_37 $T=154400 406385 1 270 $X=126565 $Y=395495
+X1596 VSS VDD 1038 1041 1046 1047 1048 1049 1050 1051 23259 23260 23261 23262 23263 23264 23323 23324 23325 23326
++ 23327 23328 1 24070 24071 24072 24073 24134 24135 24136 24137
++ ICV_37 $T=154400 442385 1 270 $X=126565 $Y=431495
+X1600 VSS VDD 1 CLK VSS A[8] 23902 23903 1038 1040 xpredec0 $T=146075 111460 0 0 $X=144630 $Y=111455
+X1601 VSS VDD 1 CLK A[7] A[6] 1041 1042 1043 1044 xpredec0 $T=182970 111460 0 0 $X=181525 $Y=111455
+X1606 VSS VDD 1 CLK 23884 23885 23878 23879 23880 23881 23882 23883 23893 23892 23891 23890 23889 23888 23887 23886
++ A[2] A[1] A[0]
++ ypredec1 $T=145470 26355 0 0 $X=146365 $Y=26735
+X1609 1001 VSS 1003 nmos_5p0_I20 $T=175115 470995 0 90 $X=164385 $Y=470315
+X1610 1002 VSS 1004 nmos_5p0_I20 $T=260115 470995 0 90 $X=249385 $Y=470315
+X1614 VDD 1001 1003 pmos_1p2_02_R90 $T=189610 471150 0 90 $X=176320 $Y=469670
+X1615 VDD 1002 1004 pmos_1p2_02_R90 $T=248135 471150 0 90 $X=234845 $Y=469670
+X1616 1003 VDD 2 VDD pmos_5p0_I14 $T=198405 470995 0 90 $X=191195 $Y=469955
+X1617 1 2 VSS VDD pmos_5p0_I14 $T=219905 470995 0 90 $X=212695 $Y=469955
+X1618 1004 VDD 2 VDD pmos_5p0_I14 $T=233255 470995 0 90 $X=226045 $Y=469955
+X1619 1003 VSS 2 nmos_5p0_I11 $T=202950 470995 0 90 $X=199690 $Y=470315
+X1620 1004 VSS 2 nmos_5p0_I11 $T=224800 470995 0 90 $X=221540 $Y=470315
+X1621 VSS VDD GWEN CLK 23877 1053 wen_v2 $T=208415 16605 0 0 $X=208280 $Y=15275
+X1622 VSS 1 VDD CLK A[5] A[4] A[3] 1045 1046 1047 1048 1049 1050 1051 1052 xpredec1 $T=219860 111460 0 0 $X=219855 $Y=111455
+X1623 VDD 1005 CLK pmos_5p0_I08 $T=234280 43425 1 0 $X=233240 $Y=41905
+X1624 VDD 1006 1005 pmos_5p0_I08 $T=239670 43425 1 0 $X=238630 $Y=41905
+X1625 VSS 1005 CLK nmos_5p0_I15 $T=234280 46585 1 0 $X=233600 $Y=45365
+X1626 VSS 1006 1005 nmos_5p0_I15 $T=239670 46585 1 0 $X=238990 $Y=45365
+X1638 VDD VSS 23266 23267 23268 23269 23270 23271 23272 23273 23943 23944 23945 23946 23947 23948 23949 23950 704 705
++ 24738 24739 24740 24741 24742 24743 24744 24745 24746 24747 24748 24749 24750 24751 24752 24753 24754 24755 24756 24757
++ ICV_31 $T=307090 180630 1 180 $X=303750 $Y=180290
+X1639 VDD VSS 23274 23275 23276 23277 23278 23279 23280 23281 23943 23944 23945 23946 23947 23948 23949 23950 704 705
++ 24758 24759 24760 24761 24762 24763 24764 24765 24766 24767 24768 24769 24770 24771 24772 24773 24774 24775 24776 24777
++ ICV_31 $T=307090 216630 1 180 $X=303750 $Y=216290
+X1640 VDD VSS 23282 23283 23284 23285 23286 23287 23288 23289 23943 23944 23945 23946 23947 23948 23949 23950 704 705
++ 24778 24779 24780 24781 24782 24783 24784 24785 24786 24787 24788 24789 24790 24791 24792 24793 24794 24795 24796 24797
++ ICV_31 $T=307090 252630 1 180 $X=303750 $Y=252290
+X1641 VDD VSS 23290 23291 23292 23293 23294 23295 23296 23297 23943 23944 23945 23946 23947 23948 23949 23950 704 705
++ 24798 24799 24800 24801 24802 24803 24804 24805 24806 24807 24808 24809 24810 24811 24812 24813 24814 24815 24816 24817
++ ICV_31 $T=307090 288630 1 180 $X=303750 $Y=288290
+X1642 VDD VSS 23298 23299 23300 23301 23302 23303 23304 23305 23943 23944 23945 23946 23947 23948 23949 23950 704 705
++ 24818 24819 24820 24821 24822 24823 24824 24825 24826 24827 24828 24829 24830 24831 24832 24833 24834 24835 24836 24837
++ ICV_31 $T=307090 324630 1 180 $X=303750 $Y=324290
+X1643 VDD VSS 23306 23307 23308 23309 23310 23311 23312 23313 23943 23944 23945 23946 23947 23948 23949 23950 704 705
++ 24838 24839 24840 24841 24842 24843 24844 24845 24846 24847 24848 24849 24850 24851 24852 24853 24854 24855 24856 24857
++ ICV_31 $T=307090 360630 1 180 $X=303750 $Y=360290
+X1644 VDD VSS 23314 23315 23316 23317 23318 23319 23320 23321 23943 23944 23945 23946 23947 23948 23949 23950 704 705
++ 24858 24859 24860 24861 24862 24863 24864 24865 24866 24867 24868 24869 24870 24871 24872 24873 24874 24875 24876 24877
++ ICV_31 $T=307090 396630 1 180 $X=303750 $Y=396290
+X1645 VDD VSS 23322 23323 23324 23325 23326 23327 23328 23329 23943 23944 23945 23946 23947 23948 23949 23950 704 705
++ 24878 24879 24880 24881 24882 24883 24884 24885 24886 24887 24888 24889 24890 24891 24892 24893 24894 24895 24896 24897
++ ICV_31 $T=307090 432630 1 180 $X=303750 $Y=432290
+X1648 614 615 VSS VDD 24074 24075 24076 24077 ICV_4 $T=415090 176130 0 0 $X=414750 $Y=175790
+X1649 614 615 VSS VDD 24078 24079 24080 24081 ICV_4 $T=415090 212130 0 0 $X=414750 $Y=211790
+X1650 614 615 VSS VDD 24082 24083 24084 24085 ICV_4 $T=415090 248130 0 0 $X=414750 $Y=247790
+X1651 614 615 VSS VDD 24086 24087 24088 24089 ICV_4 $T=415090 284130 0 0 $X=414750 $Y=283790
+X1652 614 615 VSS VDD 24090 24091 24092 24093 ICV_4 $T=415090 320130 0 0 $X=414750 $Y=319790
+X1653 614 615 VSS VDD 24094 24095 24096 24097 ICV_4 $T=415090 356130 0 0 $X=414750 $Y=355790
+X1654 614 615 VSS VDD 24098 24099 24100 24101 ICV_4 $T=415090 392130 0 0 $X=414750 $Y=391790
+X1655 614 615 VSS VDD 24102 24103 24104 24105 ICV_4 $T=415090 428130 0 0 $X=414750 $Y=427790
+.ENDS
+***************************************
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.gds b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.gds
new file mode 100644
index 0000000..cd71da3
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.gds
Binary files differ
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.lef b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.lef
new file mode 100644
index 0000000..be7ca96
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.lef
@@ -0,0 +1,2709 @@
+# Copyright 2022 GlobalFoundries PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+#=====================================
+# Revision: 1.1
+#=====================================
+
+VERSION 5.8 ;
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+
+UNITS
+  DATABASE MICRONS   1000 ;
+END UNITS
+
+MANUFACTURINGGRID   0.005 ;
+
+
+
+MACRO gf180mcu_fd_ip_sram__sram512x8m8wm1
+  CLASS BLOCK ;
+  ORIGIN 0 0 ;
+  FOREIGN gf180mcu_fd_ip_sram__sram512x8m8wm1 0 0 ;
+  SIZE 431.86 BY 484.88 ;
+  SYMMETRY X Y R90 ;
+  PIN A[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 171.215 0 172.335 1 ;
+    END
+  END A[0]
+  PIN A[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 162.76 0 163.88 1 ;
+    END
+  END A[1]
+  PIN A[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 154.295 0 155.415 1 ;
+    END
+  END A[2]
+  PIN A[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 281.325 0 282.445 1 ;
+    END
+  END A[3]
+  PIN A[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 275.82 0 276.94 1 ;
+    END
+  END A[4]
+  PIN A[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 272.085 0 273.205 1 ;
+    END
+  END A[5]
+  PIN A[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 268.86 0 269.98 1 ;
+    END
+  END A[6]
+  PIN A[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 148.525 0 149.645 1 ;
+    END
+  END A[7]
+  PIN A[8]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 145.03 0 146.15 1 ;
+    END
+  END A[8]
+  PIN CEN
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 251.71 0 252.83 1 ;
+    END
+  END CEN
+  PIN CLK
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 44.7066 LAYER Metal3 ;
+      ANTENNAGATEAREA 2.868 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 139.68 0 140.8 1 ;
+    END
+  END CLK
+  PIN D[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 9.32 0 10.44 1 ;
+    END
+  END D[0]
+  PIN D[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 61.03 0 62.15 1 ;
+    END
+  END D[1]
+  PIN D[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 67.27 0 68.39 1 ;
+    END
+  END D[2]
+  PIN D[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 118.975 0 120.095 1 ;
+    END
+  END D[3]
+  PIN D[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 307.235 0 308.355 1 ;
+    END
+  END D[4]
+  PIN D[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 358.91 0 360.03 1 ;
+    END
+  END D[5]
+  PIN D[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 365.15 0 366.27 1 ;
+    END
+  END D[6]
+  PIN D[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 416.86 0 417.98 1 ;
+    END
+  END D[7]
+  PIN GWEN
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 14.466 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 202.94 0 204.06 1 ;
+    END
+  END GWEN
+  PIN Q[0]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 16.9 0 18.02 1 ;
+    END
+  END Q[0]
+  PIN Q[1]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 57.665 0 58.785 1 ;
+    END
+  END Q[1]
+  PIN Q[2]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 70.635 0 71.755 1 ;
+    END
+  END Q[2]
+  PIN Q[3]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 111.395 0 112.515 1 ;
+    END
+  END Q[3]
+  PIN Q[4]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 314.79 0 315.91 1 ;
+    END
+  END Q[4]
+  PIN Q[5]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 355.545 0 356.665 1 ;
+    END
+  END Q[5]
+  PIN Q[6]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 368.515 0 369.635 1 ;
+    END
+  END Q[6]
+  PIN Q[7]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 409.275 0 410.395 1 ;
+    END
+  END Q[7]
+  PIN WEN[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 12.695 0 13.815 1 ;
+    END
+  END WEN[0]
+  PIN WEN[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 63.02 0 64.14 1 ;
+    END
+  END WEN[1]
+  PIN WEN[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 65.27 0 66.39 1 ;
+    END
+  END WEN[2]
+  PIN WEN[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 117.02 0 118.14 1 ;
+    END
+  END WEN[3]
+  PIN WEN[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 310.575 0 311.695 1 ;
+    END
+  END WEN[4]
+  PIN WEN[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 360.9 0 362.02 1 ;
+    END
+  END WEN[5]
+  PIN WEN[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 363.15 0 364.27 1 ;
+    END
+  END WEN[6]
+  PIN WEN[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 413.475 0 414.595 1 ;
+    END
+  END WEN[7]
+  PIN VDD
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER Metal3 ;
+        RECT 0 466.88 8.53 470.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 457.88 8.53 461.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 448.88 8.53 452.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 439.88 8.53 443.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 430.88 8.53 434.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 421.88 8.53 425.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 412.88 8.53 416.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 403.88 8.53 407.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 394.88 8.53 398.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 385.88 8.53 389.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 376.88 8.53 380.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 367.88 8.53 371.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 358.88 8.53 362.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 349.88 8.53 353.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 340.88 8.53 344.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 331.88 8.53 335.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 322.88 8.53 326.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 313.88 8.53 317.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 304.88 8.53 308.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 295.88 8.53 299.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 286.88 8.53 290.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 277.88 8.53 281.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 268.88 8.53 272.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 259.88 8.53 263.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 250.88 8.53 254.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 241.88 8.53 245.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 232.88 8.53 236.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 223.88 8.53 227.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 214.88 8.53 218.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 205.88 8.53 209.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 196.88 8.53 200.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 187.88 8.53 191.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 178.88 8.53 182.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 40.76 5.07 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 40.76 15.055 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.13 40.77 143.645 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 40.765 121.25 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 140.89 35.42 143.645 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 40.77 143.645 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.685 33.72 173.11 38.26 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 140.89 35.42 173.11 38.26 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 7.005 475.88 12.005 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 20.685 475.88 25.685 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 34.005 475.88 39.005 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 47.685 475.88 52.685 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 61.005 475.88 66.005 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74.685 475.88 79.685 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 88.005 475.88 93.005 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 103.265 475.88 108.265 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 117.415 475.88 122.415 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 132.86 475.88 137.86 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 153.55 475.88 158.55 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 177.075 475.88 182.075 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 192.925 475.88 197.925 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 206.15 475.88 211.15 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 225.345 475.88 230.345 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 231.565 475.88 236.565 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 244.505 475.88 249.505 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 262.845 475.88 267.845 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 271.31 475.88 276.31 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 287.735 475.88 292.735 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 304.885 475.88 309.885 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 318.565 475.88 323.565 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 331.885 475.88 336.885 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 345.565 475.88 350.565 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 358.885 475.88 363.885 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 372.565 475.88 377.565 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 385.885 475.88 390.885 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 401.145 475.88 406.145 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.295 475.88 420.295 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 475.88 428.33 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 475.88 431.86 480.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 466.88 431.86 470.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 457.88 431.86 461.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 448.88 431.86 452.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 439.88 431.86 443.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 430.88 431.86 434.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 421.88 431.86 425.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 412.88 431.86 416.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 403.88 431.86 407.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 394.88 431.86 398.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 385.88 431.86 389.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 376.88 431.86 380.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 367.88 431.86 371.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 358.88 431.86 362.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 349.88 431.86 353.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 340.88 431.86 344.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 331.88 431.86 335.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 322.88 431.86 326.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 313.88 431.86 317.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 304.88 431.86 308.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 295.88 431.86 299.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 286.88 431.86 290.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 277.88 431.86 281.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 268.88 431.86 272.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 259.88 431.86 263.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 250.88 431.86 254.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 241.88 431.86 245.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 232.88 431.86 236.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 223.88 431.86 227.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 214.88 431.86 218.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 205.88 431.86 209.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 196.88 431.86 200.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 187.88 431.86 191.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 178.88 431.86 182.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 147.15 8.53 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 10.475 161.575 10.94 170.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.575 15.055 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.58 125.425 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.59 136.07 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 157.43 291.755 160.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 136.91 291.755 150.525 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 157.43 291.755 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.265 161.575 361.915 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 161.575 431.86 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 147.15 431.86 148.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 147.15 431.86 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 363.265 161.575 431.86 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 114.69 8.53 119.69 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 114.69 136.07 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.85 116.85 291.74 121.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 114.685 418.815 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 114.69 431.86 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 114.69 431.86 119.69 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 90.08 121.25 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.565 99.845 278.225 108.125 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.605 99.845 278.225 108.535 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 222.16 99.845 278.225 108.54 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 90.075 418.815 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.035 90.08 431.86 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 99.845 431.86 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 60.18 8.53 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.105 60.23 173.805 64.235 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 67.305 136.07 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 60.18 121.25 64.23 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 60.23 136.07 70.895 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 60.23 173.805 64.67 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 173.705 49.86 207.58 62.85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 53.78 207.58 62.85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 58.485 291.755 62.85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 59.22 291.755 62.85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 60.175 292.105 69.33 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 299.13 60.175 300.13 70.085 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 67.305 431.86 69.33 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.035 67.305 362.145 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 308.865 67.305 431.86 70.885 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.845 60.175 421.105 64.235 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.845 67.305 421.105 70.895 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 60.18 431.86 64.23 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 60.175 424.995 62.85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 60.18 431.86 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 363.035 67.305 431.86 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.01 40.765 431.86 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 40.77 311.39 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 40.77 362.17 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 363.01 40.76 416.17 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 40.76 431.86 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 40.76 431.86 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.3 8.56 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 25.865 15.055 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 25.87 121.25 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.3 121.705 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.435 25.875 136.07 28.15 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.545 20.83 312.145 23.095 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.82 296.615 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 20.83 312.145 23.105 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 25.875 312.145 28.15 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 308.94 20.3 431.86 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 25.865 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.01 25.87 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.3 20.3 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 3.53 0 8.53 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 10.195 0 15.195 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 17.21 0 22.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 29.21 0 34.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 35.21 0 40.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 41.21 0 46.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 53.21 0 58.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 62.215 0 67.215 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 71.21 0 76.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 83.21 0 88.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 89.21 0 94.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 95.21 0 100.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 109.55 0 114.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 115.55 0 120.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 122.05 0 127.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 128.55 0 133.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 135.05 0 140.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 141.55 0 146.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 148.05 0 153.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 180.155 0 185.155 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 196.14 0 201.14 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 212.165 0 217.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 224.165 0 229.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 236.165 0 241.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 242.83 0 247.83 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 249.38 0 254.38 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 272.29 0 277.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 278.79 0 283.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 285.29 0 290.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 291.79 0 296.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 298.29 0 303.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 304.79 0 309.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 311.475 0 316.475 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 327.09 0 332.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 333.09 0 338.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 339.09 0 344.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 351.09 0 356.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 360.085 0 365.085 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 369.09 0 374.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 381.09 0 386.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 387.09 0 392.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 393.09 0 398.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 405.09 0 410.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 412.095 0 417.095 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 0 428.33 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 6.16 431.86 11.16 ;
+    END
+  END VDD
+  PIN VSS
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER Metal3 ;
+        RECT 13.13 481.84 18.13 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 23.21 0 28.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 26.81 481.84 31.81 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 40.13 481.84 45.13 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 47.21 0 52.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 53.81 481.84 58.81 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 67.13 481.84 72.13 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 77.21 0 82.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 80.81 481.84 85.81 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 94.13 481.84 99.13 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 101.21 0 106.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 111.29 481.84 116.29 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 125.79 481.84 130.79 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 132.175 15.055 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 34.605 132.17 40.815 142.06 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 88.605 132.17 94.815 142.06 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 132.175 130.35 142.06 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 117.125 132.17 139.14 134.45 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 50.88 15.055 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.245 50.87 121.25 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.145 50.875 121.25 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 50.88 139.14 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 172.68 5.07 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 175.63 124.585 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 172.68 139.15 175.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 139.385 481.84 144.385 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 146.365 481.84 151.365 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 156.62 0 161.62 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 161.905 481.84 166.905 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 165.11 0 170.11 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 170.12 481.84 175.12 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.155 0 179.155 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 184.74 481.84 189.74 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 190.14 0 195.14 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 199.41 481.84 204.41 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 206.165 0 211.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 212.15 481.84 217.15 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 218.165 0 223.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 218.565 481.84 223.565 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 230.165 0 235.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 237.69 481.84 242.69 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 252.325 481.84 257.325 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 256.165 0 261.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 262.39 0 267.39 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 279.95 481.84 284.95 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.955 481.84 298.955 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 311.01 481.84 316.01 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 321.09 0 326.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 324.69 481.84 329.69 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 338.01 481.84 343.01 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 345.09 0 350.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 351.69 481.84 356.69 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 365.01 481.84 370.01 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 375.09 0 380.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 378.69 481.84 383.69 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 392.01 481.84 397.01 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 399.09 0 404.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 409.17 481.84 414.17 484.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 471.38 5.07 474.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 126.88 472.305 129.74 473.925 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 472.38 136.36 473.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.01 472.63 273.11 473.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 472.635 431.86 473.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 294.275 472.305 297.135 473.925 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 294.275 472.38 431.86 473.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 471.38 431.86 474.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 462.38 5.07 465.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 463.38 136.36 464.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 463.63 273.11 464.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 463.635 431.86 464.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 463.38 431.86 464.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 462.38 431.86 465.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 453.38 5.07 456.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 454.38 136.36 455.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 454.63 273.11 455.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 454.635 431.86 455.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 454.38 431.86 455.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 453.38 431.86 456.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 444.38 5.07 447.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 445.38 136.36 446.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 445.63 273.11 446.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 445.635 431.86 446.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 445.38 431.86 446.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 444.38 431.86 447.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 435.38 5.07 438.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 436.38 136.36 437.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 436.63 273.11 437.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 436.635 431.86 437.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 436.38 431.86 437.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 435.38 431.86 438.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 426.38 5.07 429.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 427.38 136.36 428.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 427.63 273.11 428.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 427.635 431.86 428.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 427.38 431.86 428.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 426.38 431.86 429.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 417.38 5.07 420.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 418.38 136.36 419.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 418.63 273.11 419.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 418.635 431.86 419.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 418.38 431.86 419.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 417.38 431.86 420.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 408.38 5.07 411.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 409.38 136.36 410.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 409.63 273.11 410.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 409.635 431.86 410.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 409.38 431.86 410.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 408.38 431.86 411.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 399.38 5.07 402.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 400.38 136.36 401.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 400.63 273.11 401.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 400.635 431.86 401.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 400.38 431.86 401.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 399.38 431.86 402.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 390.38 5.07 393.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 391.38 136.36 392.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 391.63 273.11 392.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 391.635 431.86 392.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 391.38 431.86 392.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 390.38 431.86 393.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 381.38 5.07 384.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 382.38 136.36 383.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 382.63 273.11 383.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 382.635 431.86 383.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 382.38 431.86 383.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 381.38 431.86 384.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 372.38 5.07 375.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 373.38 136.36 374.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 373.63 273.11 374.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 373.635 431.86 374.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 373.38 431.86 374.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 372.38 431.86 375.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 363.38 5.07 366.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 364.38 136.36 365.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 364.63 273.11 365.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 364.635 431.86 365.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 364.38 431.86 365.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 363.38 431.86 366.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 354.38 5.07 357.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 355.38 136.36 356.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 355.63 273.11 356.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 355.635 431.86 356.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 355.38 431.86 356.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 354.38 431.86 357.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 345.38 5.07 348.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 346.38 136.36 347.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 346.63 273.11 347.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 346.635 431.86 347.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 346.38 431.86 347.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 345.38 431.86 348.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 336.38 5.07 339.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 337.38 136.36 338.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 337.63 273.11 338.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 337.635 431.86 338.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 337.38 431.86 338.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 336.38 431.86 339.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 327.38 5.07 330.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 328.38 136.36 329.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 328.63 273.11 329.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 328.635 431.86 329.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 328.38 431.86 329.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 327.38 431.86 330.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 318.38 5.07 321.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 319.38 136.36 320.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 319.63 273.11 320.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 319.635 431.86 320.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 319.38 431.86 320.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 318.38 431.86 321.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 309.38 5.07 312.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 310.38 136.36 311.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 310.63 273.11 311.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 310.635 431.86 311.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 310.38 431.86 311.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 309.38 431.86 312.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 300.38 5.07 303.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 301.38 136.36 302.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 301.63 273.11 302.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 301.635 431.86 302.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 301.38 431.86 302.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 300.38 431.86 303.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 291.38 5.07 294.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 292.38 136.36 293.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 292.63 273.11 293.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 292.635 431.86 293.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 292.38 431.86 293.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 291.38 431.86 294.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 282.38 5.07 285.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 283.38 136.36 284.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 283.63 273.11 284.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 283.635 431.86 284.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 283.38 431.86 284.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 282.38 431.86 285.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 273.38 5.07 276.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 274.38 136.36 275.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 274.63 273.11 275.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 274.635 431.86 275.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 274.38 431.86 275.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 273.38 431.86 276.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 264.38 5.07 267.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 265.38 136.36 266.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 265.63 273.11 266.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 265.635 431.86 266.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 265.38 431.86 266.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 264.38 431.86 267.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 255.38 5.07 258.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 256.38 136.36 257.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 256.63 273.11 257.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 256.635 431.86 257.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 256.38 431.86 257.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 255.38 431.86 258.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 246.38 5.07 249.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 247.38 136.36 248.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 247.63 273.11 248.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 247.635 431.86 248.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 247.38 431.86 248.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 246.38 431.86 249.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 237.38 5.07 240.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 238.38 136.36 239.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 238.63 273.11 239.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 238.635 431.86 239.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 238.38 431.86 239.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 237.38 431.86 240.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 228.38 5.07 231.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 229.38 136.36 230.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 229.63 273.11 230.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 229.635 431.86 230.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 229.38 431.86 230.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 228.38 431.86 231.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 219.38 5.07 222.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 220.38 136.36 221.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 220.63 273.11 221.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 220.635 431.86 221.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 220.38 431.86 221.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 219.38 431.86 222.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 210.38 5.07 213.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 211.38 136.36 212.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 211.63 273.11 212.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 211.635 431.86 212.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 211.38 431.86 212.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 210.38 431.86 213.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 201.38 5.07 204.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 202.38 136.36 203.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 202.63 273.11 203.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 202.635 431.86 203.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 202.38 431.86 203.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 201.38 431.86 204.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 192.38 5.07 195.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 193.38 136.36 194.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 193.63 273.11 194.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 193.635 431.86 194.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 193.38 431.86 194.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 192.38 431.86 195.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 183.38 5.07 186.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 184.38 136.36 185.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 184.63 273.11 185.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 184.635 431.86 185.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 184.38 431.86 185.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 183.38 431.86 186.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.3 175.79 431.86 176.49 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.955 172.68 431.86 175.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 172.68 431.86 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.8 175.63 431.86 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 132.175 431.86 134.45 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 332.485 132.17 338.695 142.06 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 386.485 132.17 392.695 142.06 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.925 132.175 431.86 142.06 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 132.175 431.86 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 106.41 5.07 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.18 109.13 139.13 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 109.135 139.13 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 109.13 139.13 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.13 288.405 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 111.455 288.405 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.13 418.815 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 106.41 431.86 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.135 431.86 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 71.64 118.39 88.65 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 71.64 121.25 82.985 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.555 71.645 139.14 82.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 66.215 229.885 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 66.225 229.885 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 72.455 238.415 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 207.465 65.39 248.875 68.8 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 74.68 258.8 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 74.83 278.225 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 234.91 74.84 431.86 83.92 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 71.635 418.815 83.92 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.035 71.64 431.86 88.65 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 50.88 431.86 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 211.305 53.7 431.86 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 50.865 422.41 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.025 50.875 422.41 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 50.88 431.86 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 5.07 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 15.055 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 34.91 15.055 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.245 34.9 121.25 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.13 34.905 121.25 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.125 34.91 139.14 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.435 30.885 206.985 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.29 28.325 173.11 32.865 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 173.11 30.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.29 30.885 206.985 32.865 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.3 30.885 206.985 42.91 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.565 39.5 206.985 42.91 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.3 32.96 277.41 36.96 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 209.285 45.825 257.15 52.1 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.025 277.41 47.51 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 34.92 288.68 44.65 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.83 312.145 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 34.92 313.735 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.83 431.86 30.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 34.9 423.935 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.01 34.905 423.935 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 28.83 431.86 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 28.83 431.86 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 34.91 431.86 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 12.51 5.07 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 17.1 15.055 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 17.105 121.705 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 137.19 17.62 138.89 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 143.82 17.62 144.47 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 208.87 17.62 209.52 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 211.495 17.62 212.145 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 234.365 17.62 235.015 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 236.605 17.62 237.255 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 238.845 17.62 239.495 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 241.085 17.62 241.735 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.545 17.62 306.075 19.375 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.725 17.62 306.075 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 12.51 431.86 14.27 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 304.43 17.1 431.86 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 12.51 431.86 18.86 ;
+    END
+  END VSS
+  OBS
+    LAYER Metal1 ;
+      RECT 0 0 431.86 484.88 ;
+    LAYER Metal2 ;
+      POLYGON 431.86 484.88 0 484.88 0 0 9.04 0 9.04 1.28 10.72 1.28 10.72 0 12.415 0 12.415 1.28 14.095 1.28 14.095 0 16.62 0 16.62 1.28 18.3 1.28 18.3 0 57.385 0 57.385 1.28 59.065 1.28 59.065 0 60.75 0 60.75 1.28 62.43 1.28 62.43 0 62.74 0 62.74 1.28 64.42 1.28 64.42 0 64.99 0 64.99 1.28 66.67 1.28 66.67 0 66.99 0 66.99 1.28 68.67 1.28 68.67 0 70.355 0 70.355 1.28 72.035 1.28 72.035 0 111.115 0 111.115 1.28 112.795 1.28 112.795 0 116.74 0 116.74 1.28 118.42 1.28 118.42 0 118.695 0 118.695 1.28 120.375 1.28 120.375 0 139.4 0 139.4 1.28 141.08 1.28 141.08 0 144.75 0 144.75 1.28 146.43 1.28 146.43 0 148.245 0 148.245 1.28 149.925 1.28 149.925 0 154.015 0 154.015 1.28 155.695 1.28 155.695 0 162.48 0 162.48 1.28 164.16 1.28 164.16 0 170.935 0 170.935 1.28 172.615 1.28 172.615 0 202.66 0 202.66 1.28 204.34 1.28 204.34 0 251.43 0 251.43 1.28 253.11 1.28 253.11 0 268.58 0 268.58 1.28 270.26 1.28 270.26 0 271.805 0 271.805 1.28 273.485 1.28 273.485 0 275.54 0 275.54 1.28 277.22 1.28 277.22 0 281.045 0 281.045 1.28 282.725 1.28 282.725 0 306.955 0 306.955 1.28 308.635 1.28 308.635 0 310.295 0 310.295 1.28 311.975 1.28 311.975 0 314.51 0 314.51 1.28 316.19 1.28 316.19 0 355.265 0 355.265 1.28 356.945 1.28 356.945 0 358.63 0 358.63 1.28 360.31 1.28 360.31 0 360.62 0 360.62 1.28 362.3 1.28 362.3 0 362.87 0 362.87 1.28 364.55 1.28 364.55 0 364.87 0 364.87 1.28 366.55 1.28 366.55 0 368.235 0 368.235 1.28 369.915 1.28 369.915 0 408.995 0 408.995 1.28 410.675 1.28 410.675 0 413.195 0 413.195 1.28 414.875 1.28 414.875 0 416.58 0 416.58 1.28 418.26 1.28 418.26 0 431.86 0 ;
+    LAYER Via1 ;
+      RECT 0 0 431.86 484.88 ;
+    LAYER Via2 ;
+      RECT 0 0 431.86 484.88 ;
+    LAYER Metal3 ;
+      POLYGON 400.865 484.88 397.29 484.88 397.29 481.56 391.73 481.56 391.73 484.88 391.165 484.88 391.165 481.16 400.865 481.16 ;
+      RECT 356.37 0 359.805 5.88 ;
+      RECT 127.33 0 128.27 5.88 ;
+      POLYGON 431.86 89.8 419.095 89.8 419.095 89.795 308.845 89.795 308.845 89.8 308.755 89.8 308.755 99.565 121.53 99.565 121.53 89.8 0 89.8 0 88.93 118.67 88.93 118.67 83.265 120.275 83.265 120.275 83.27 139.42 83.27 139.42 75.355 234.63 75.355 234.63 84.2 308.755 84.2 308.755 88.93 431.86 88.93 ;
+      RECT 417.375 0 423.05 5.88 ;
+      POLYGON 431.86 214.6 423.05 214.6 423.05 218.66 431.86 218.66 431.86 219.1 426.51 219.1 426.51 220.1 296.825 220.1 296.825 220.355 273.39 220.355 273.39 220.35 151.735 220.35 151.735 220.355 136.64 220.355 136.64 220.1 5.35 220.1 5.35 219.1 0 219.1 0 218.66 8.81 218.66 8.81 214.6 0 214.6 0 214.16 5.35 214.16 5.35 213.16 136.64 213.16 136.64 212.92 296.825 212.92 296.825 213.16 426.51 213.16 426.51 214.16 431.86 214.16 ;
+      RECT 230.625 481.16 231.285 484.88 ;
+      RECT 338.37 0 338.81 5.88 ;
+      RECT 114.83 0 115.27 5.88 ;
+      POLYGON 431.86 286.6 423.05 286.6 423.05 290.66 431.86 290.66 431.86 291.1 426.51 291.1 426.51 292.1 296.825 292.1 296.825 292.355 273.39 292.355 273.39 292.35 151.735 292.35 151.735 292.355 136.64 292.355 136.64 292.1 5.35 292.1 5.35 291.1 0 291.1 0 290.66 8.81 290.66 8.81 286.6 0 286.6 0 286.16 5.35 286.16 5.35 285.16 136.64 285.16 136.64 284.92 296.825 284.92 296.825 285.16 426.51 285.16 426.51 286.16 431.86 286.16 ;
+      POLYGON 423.05 67.025 300.41 67.025 300.41 64.51 415.565 64.51 415.565 64.515 421.385 64.515 421.385 64.51 423.05 64.51 ;
+      RECT 290.57 0 291.51 5.88 ;
+      POLYGON 223.885 5.88 217.445 5.88 217.445 0 217.885 0 217.885 4.94 223.445 4.94 223.445 0 223.885 0 ;
+      POLYGON 385.605 484.88 383.97 484.88 383.97 481.56 378.41 481.56 378.41 484.88 377.845 484.88 377.845 481.16 385.605 481.16 ;
+      POLYGON 431.86 304.6 423.05 304.6 423.05 308.66 431.86 308.66 431.86 309.1 426.51 309.1 426.51 310.1 296.825 310.1 296.825 310.355 273.39 310.355 273.39 310.35 151.735 310.35 151.735 310.355 136.64 310.355 136.64 310.1 5.35 310.1 5.35 309.1 0 309.1 0 308.66 8.81 308.66 8.81 304.6 0 304.6 0 304.16 5.35 304.16 5.35 303.16 136.64 303.16 136.64 302.92 296.825 302.92 296.825 303.16 426.51 303.16 426.51 304.16 431.86 304.16 ;
+      POLYGON 431.86 131.895 392.975 131.895 392.975 131.89 386.205 131.89 386.205 131.895 338.975 131.895 338.975 131.89 332.205 131.89 332.205 131.895 286.195 131.895 286.195 134.73 293.645 134.73 293.645 142.34 416.525 142.34 416.525 142.36 431.86 142.36 431.86 146.87 292.035 146.87 292.035 136.63 133.58 136.63 133.58 146.87 0 146.87 0 142.36 15.335 142.36 15.335 142.34 130.63 142.34 130.63 134.73 139.42 134.73 139.42 131.89 116.845 131.89 116.845 131.895 95.095 131.895 95.095 131.89 88.325 131.89 88.325 131.895 41.095 131.895 41.095 131.89 34.325 131.89 34.325 131.895 0 131.895 0 119.97 8.81 119.97 8.81 117.18 133.57 117.18 133.57 121.67 292.02 121.67 292.02 117.18 423.05 117.18 423.05 119.97 431.86 119.97 ;
+      POLYGON 431.86 439.6 423.05 439.6 423.05 443.66 431.86 443.66 431.86 444.1 426.51 444.1 426.51 445.1 296.825 445.1 296.825 445.355 273.39 445.355 273.39 445.35 151.735 445.35 151.735 445.355 136.64 445.355 136.64 445.1 5.35 445.1 5.35 444.1 0 444.1 0 443.66 8.81 443.66 8.81 439.6 0 439.6 0 439.16 5.35 439.16 5.35 438.16 136.64 438.16 136.64 437.92 296.825 437.92 296.825 438.16 426.51 438.16 426.51 439.16 431.86 439.16 ;
+      POLYGON 431.86 295.6 423.05 295.6 423.05 299.66 431.86 299.66 431.86 300.1 426.51 300.1 426.51 301.1 296.825 301.1 296.825 301.355 273.39 301.355 273.39 301.35 151.735 301.35 151.735 301.355 136.64 301.355 136.64 301.1 5.35 301.1 5.35 300.1 0 300.1 0 299.66 8.81 299.66 8.81 295.6 0 295.6 0 295.16 5.35 295.16 5.35 294.16 136.64 294.16 136.64 293.92 296.825 293.92 296.825 294.16 426.51 294.16 426.51 295.16 431.86 295.16 ;
+      RECT 0 0 3.25 5.88 ;
+      RECT 34.49 0 34.93 5.88 ;
+      POLYGON 225.065 484.88 223.845 484.88 223.845 481.56 218.285 481.56 218.285 484.88 217.43 484.88 217.43 481.56 211.87 481.56 211.87 484.88 211.43 484.88 211.43 481.16 225.065 481.16 ;
+      RECT 0 481.16 6.725 484.88 ;
+      POLYGON 153.27 484.88 151.645 484.88 151.645 481.56 146.085 481.56 146.085 484.88 144.665 484.88 144.665 481.56 139.105 481.56 139.105 484.88 138.14 484.88 138.14 481.16 153.27 481.16 ;
+      POLYGON 431.86 331.6 423.05 331.6 423.05 335.66 431.86 335.66 431.86 336.1 426.51 336.1 426.51 337.1 296.825 337.1 296.825 337.355 273.39 337.355 273.39 337.35 151.735 337.35 151.735 337.355 136.64 337.355 136.64 337.1 5.35 337.1 5.35 336.1 0 336.1 0 335.66 8.81 335.66 8.81 331.6 0 331.6 0 331.16 5.35 331.16 5.35 330.16 136.64 330.16 136.64 329.92 296.825 329.92 296.825 330.16 426.51 330.16 426.51 331.16 431.86 331.16 ;
+      POLYGON 404.81 5.88 398.37 5.88 398.37 0 398.81 0 398.81 4.94 404.37 4.94 404.37 0 404.81 0 ;
+      RECT 284.07 0 285.01 5.88 ;
+      RECT 88.49 0 88.93 5.88 ;
+      POLYGON 272.01 5.88 254.66 5.88 254.66 0 255.885 0 255.885 4.94 261.445 4.94 261.445 0 262.11 0 262.11 4.94 267.67 4.94 267.67 0 272.01 0 ;
+      POLYGON 211.885 5.88 201.42 5.88 201.42 0 205.885 0 205.885 4.94 211.445 4.94 211.445 0 211.885 0 ;
+      POLYGON 372.285 484.88 370.29 484.88 370.29 481.56 364.73 481.56 364.73 484.88 364.165 484.88 364.165 481.16 372.285 481.16 ;
+      POLYGON 431.86 223.6 423.05 223.6 423.05 227.66 431.86 227.66 431.86 228.1 426.51 228.1 426.51 229.1 296.825 229.1 296.825 229.355 273.39 229.355 273.39 229.35 151.735 229.35 151.735 229.355 136.64 229.355 136.64 229.1 5.35 229.1 5.35 228.1 0 228.1 0 227.66 8.81 227.66 8.81 223.6 0 223.6 0 223.16 5.35 223.16 5.35 222.16 136.64 222.16 136.64 221.92 296.825 221.92 296.825 222.16 426.51 222.16 426.51 223.16 431.86 223.16 ;
+      RECT 140.33 0 141.27 5.88 ;
+      POLYGON 179.875 5.88 153.33 5.88 153.33 0 156.34 0 156.34 4.94 161.9 4.94 161.9 0 164.83 0 164.83 4.94 170.39 4.94 170.39 0 173.875 0 173.875 4.94 179.435 4.94 179.435 0 179.875 0 ;
+      POLYGON 431.86 232.6 423.05 232.6 423.05 236.66 431.86 236.66 431.86 237.1 426.51 237.1 426.51 238.1 296.825 238.1 296.825 238.355 273.39 238.355 273.39 238.35 151.735 238.35 151.735 238.355 136.64 238.355 136.64 238.1 5.35 238.1 5.35 237.1 0 237.1 0 236.66 8.81 236.66 8.81 232.6 0 232.6 0 232.16 5.35 232.16 5.35 231.16 136.64 231.16 136.64 230.92 296.825 230.92 296.825 231.16 426.51 231.16 426.51 232.16 431.86 232.16 ;
+      POLYGON 431.86 421.6 423.05 421.6 423.05 425.66 431.86 425.66 431.86 426.1 426.51 426.1 426.51 427.1 296.825 427.1 296.825 427.355 273.39 427.355 273.39 427.35 151.735 427.35 151.735 427.355 136.64 427.355 136.64 427.1 5.35 427.1 5.35 426.1 0 426.1 0 425.66 8.81 425.66 8.81 421.6 0 421.6 0 421.16 5.35 421.16 5.35 420.16 136.64 420.16 136.64 419.92 296.825 419.92 296.825 420.16 426.51 420.16 426.51 421.16 431.86 421.16 ;
+      POLYGON 87.725 484.88 86.09 484.88 86.09 481.56 80.53 481.56 80.53 484.88 79.965 484.88 79.965 481.16 87.725 481.16 ;
+      POLYGON 431.86 250.6 423.05 250.6 423.05 254.66 431.86 254.66 431.86 255.1 426.51 255.1 426.51 256.1 296.825 256.1 296.825 256.355 273.39 256.355 273.39 256.35 151.735 256.35 151.735 256.355 136.64 256.355 136.64 256.1 5.35 256.1 5.35 255.1 0 255.1 0 254.66 8.81 254.66 8.81 250.6 0 250.6 0 250.16 5.35 250.16 5.35 249.16 136.64 249.16 136.64 248.92 296.825 248.92 296.825 249.16 426.51 249.16 426.51 250.16 431.86 250.16 ;
+      RECT 0 11.44 431.86 12.23 ;
+      POLYGON 119.955 67.025 8.81 67.025 8.81 64.51 118.825 64.51 118.825 64.515 119.955 64.515 ;
+      RECT 303.57 0 304.51 5.88 ;
+      POLYGON 74.405 484.88 72.41 484.88 72.41 481.56 66.85 481.56 66.85 484.88 66.285 484.88 66.285 481.16 74.405 481.16 ;
+      RECT 428.61 481.16 431.86 484.88 ;
+      RECT 297.07 0 298.01 5.88 ;
+      RECT 420.575 481.16 423.05 484.88 ;
+      POLYGON 20.405 484.88 18.41 484.88 18.41 481.56 12.85 481.56 12.85 484.88 12.285 484.88 12.285 481.16 20.405 481.16 ;
+      POLYGON 304.605 484.88 299.235 484.88 299.235 481.56 293.675 481.56 293.675 484.88 293.015 484.88 293.015 481.16 304.605 481.16 ;
+      POLYGON 431.86 187.6 423.05 187.6 423.05 191.66 431.86 191.66 431.86 192.1 426.51 192.1 426.51 193.1 296.825 193.1 296.825 193.355 273.39 193.355 273.39 193.35 151.735 193.35 151.735 193.355 136.64 193.355 136.64 193.1 5.35 193.1 5.35 192.1 0 192.1 0 191.66 8.81 191.66 8.81 187.6 0 187.6 0 187.16 5.35 187.16 5.35 186.16 136.64 186.16 136.64 185.92 296.825 185.92 296.825 186.16 426.51 186.16 426.51 187.16 431.86 187.16 ;
+      POLYGON 431.86 196.6 423.05 196.6 423.05 200.66 431.86 200.66 431.86 201.1 426.51 201.1 426.51 202.1 296.825 202.1 296.825 202.355 273.39 202.355 273.39 202.35 151.735 202.35 151.735 202.355 136.64 202.355 136.64 202.1 5.35 202.1 5.35 201.1 0 201.1 0 200.66 8.81 200.66 8.81 196.6 0 196.6 0 196.16 5.35 196.16 5.35 195.16 136.64 195.16 136.64 194.92 296.825 194.92 296.825 195.16 426.51 195.16 426.51 196.16 431.86 196.16 ;
+      RECT 58.49 0 61.935 5.88 ;
+      POLYGON 318.285 484.88 316.29 484.88 316.29 481.56 310.73 481.56 310.73 484.88 310.165 484.88 310.165 481.16 318.285 481.16 ;
+      RECT 248.11 0 249.1 5.88 ;
+      POLYGON 358.605 484.88 356.97 484.88 356.97 481.56 351.41 481.56 351.41 484.88 350.845 484.88 350.845 481.16 358.605 481.16 ;
+      POLYGON 431.86 241.6 423.05 241.6 423.05 245.66 431.86 245.66 431.86 246.1 426.51 246.1 426.51 247.1 296.825 247.1 296.825 247.355 273.39 247.355 273.39 247.35 151.735 247.35 151.735 247.355 136.64 247.355 136.64 247.1 5.35 247.1 5.35 246.1 0 246.1 0 245.66 8.81 245.66 8.81 241.6 0 241.6 0 241.16 5.35 241.16 5.35 240.16 136.64 240.16 136.64 239.92 296.825 239.92 296.825 240.16 426.51 240.16 426.51 241.16 431.86 241.16 ;
+      POLYGON 60.725 484.88 59.09 484.88 59.09 481.56 53.53 481.56 53.53 484.88 52.965 484.88 52.965 481.16 60.725 481.16 ;
+      POLYGON 82.93 5.88 76.49 5.88 76.49 0 76.93 0 76.93 4.94 82.49 4.94 82.49 0 82.93 0 ;
+      POLYGON 350.81 5.88 344.37 5.88 344.37 0 344.81 0 344.81 4.94 350.37 4.94 350.37 0 350.81 0 ;
+      POLYGON 47.405 484.88 45.41 484.88 45.41 481.56 39.85 481.56 39.85 484.88 39.285 484.88 39.285 481.16 47.405 481.16 ;
+      POLYGON 431.86 466.6 423.05 466.6 423.05 470.66 431.86 470.66 431.86 471.1 426.51 471.1 426.51 472.1 297.415 472.1 297.415 472.025 293.995 472.025 293.995 472.355 273.39 472.355 273.39 472.35 151.73 472.35 151.73 472.355 136.64 472.355 136.64 472.1 130.02 472.1 130.02 472.025 126.6 472.025 126.6 472.1 5.35 472.1 5.35 471.1 0 471.1 0 470.66 8.81 470.66 8.81 466.6 0 466.6 0 466.16 5.35 466.16 5.35 465.16 136.64 465.16 136.64 464.92 296.825 464.92 296.825 465.16 426.51 465.16 426.51 466.16 431.86 466.16 ;
+      POLYGON 132.58 484.88 131.07 484.88 131.07 481.56 125.51 481.56 125.51 484.88 122.695 484.88 122.695 481.16 132.58 481.16 ;
+      POLYGON 431.86 172.4 293.675 172.4 293.675 175.36 416.52 175.36 416.52 175.51 302.02 175.51 302.02 176.77 416.52 176.77 416.52 176.91 431.86 176.91 431.86 178.6 423.05 178.6 423.05 182.66 431.86 182.66 431.86 183.1 426.51 183.1 426.51 184.1 296.825 184.1 296.825 184.355 273.39 184.355 273.39 184.35 151.735 184.35 151.735 184.355 136.64 184.355 136.64 184.1 5.35 184.1 5.35 183.1 0 183.1 0 182.66 8.81 182.66 8.81 178.6 0 178.6 0 176.91 124.865 176.91 124.865 175.36 139.43 175.36 139.43 172.4 0 172.4 0 170.905 10.195 170.905 10.195 170.91 11.22 170.91 11.22 170.905 125.705 170.905 125.705 170.9 136.35 170.9 136.35 161.31 125.705 161.31 125.705 161.3 15.335 161.3 15.335 161.295 8.81 161.295 8.81 148.85 133.58 148.85 133.58 150.805 292.035 150.805 292.035 148.85 423.05 148.85 423.05 161.295 292.035 161.295 292.035 157.15 133.58 157.15 133.58 161.275 289.26 161.275 289.26 170.9 308.985 170.9 308.985 170.905 362.195 170.905 362.195 170.9 362.985 170.9 362.985 170.905 431.86 170.905 ;
+      POLYGON 109.27 5.88 100.49 5.88 100.49 0 100.93 0 100.93 4.94 106.49 4.94 106.49 0 109.27 0 ;
+      RECT 120.83 0 121.77 5.88 ;
+      RECT 133.83 0 134.77 5.88 ;
+      POLYGON 431.86 205.6 423.05 205.6 423.05 209.66 431.86 209.66 431.86 210.1 426.51 210.1 426.51 211.1 296.825 211.1 296.825 211.355 273.39 211.355 273.39 211.35 151.735 211.35 151.735 211.355 136.64 211.355 136.64 211.1 5.35 211.1 5.35 210.1 0 210.1 0 209.66 8.81 209.66 8.81 205.6 0 205.6 0 205.16 5.35 205.16 5.35 204.16 136.64 204.16 136.64 203.92 296.825 203.92 296.825 204.16 426.51 204.16 426.51 205.16 431.86 205.16 ;
+      RECT 94.49 0 94.93 5.88 ;
+      RECT 392.37 0 392.81 5.88 ;
+      POLYGON 380.81 5.88 374.37 5.88 374.37 0 374.81 0 374.81 4.94 380.37 4.94 380.37 0 380.81 0 ;
+      POLYGON 102.985 484.88 99.41 484.88 99.41 481.56 93.85 481.56 93.85 484.88 93.285 484.88 93.285 481.16 102.985 481.16 ;
+      RECT 365.365 0 368.81 5.88 ;
+      POLYGON 431.86 313.6 423.05 313.6 423.05 317.66 431.86 317.66 431.86 318.1 426.51 318.1 426.51 319.1 296.825 319.1 296.825 319.355 273.39 319.355 273.39 319.35 151.735 319.35 151.735 319.355 136.64 319.355 136.64 319.1 5.35 319.1 5.35 318.1 0 318.1 0 317.66 8.81 317.66 8.81 313.6 0 313.6 0 313.16 5.35 313.16 5.35 312.16 136.64 312.16 136.64 311.92 296.825 311.92 296.825 312.16 426.51 312.16 426.51 313.16 431.86 313.16 ;
+      POLYGON 326.81 5.88 316.755 5.88 316.755 0 320.81 0 320.81 4.94 326.37 4.94 326.37 0 326.81 0 ;
+      POLYGON 431.86 322.6 423.05 322.6 423.05 326.66 431.86 326.66 431.86 327.1 426.51 327.1 426.51 328.1 296.825 328.1 296.825 328.355 273.39 328.355 273.39 328.35 151.735 328.35 151.735 328.355 136.64 328.355 136.64 328.1 5.35 328.1 5.35 327.1 0 327.1 0 326.66 8.81 326.66 8.81 322.6 0 322.6 0 322.16 5.35 322.16 5.35 321.16 136.64 321.16 136.64 320.92 296.825 320.92 296.825 321.16 426.51 321.16 426.51 322.16 431.86 322.16 ;
+      POLYGON 431.86 114.41 419.095 114.41 419.095 114.405 289.26 114.405 289.26 116.57 136.35 116.57 136.35 114.41 0 114.41 0 111.69 136.655 111.69 136.655 116.275 288.685 116.275 288.685 111.69 431.86 111.69 ;
+      RECT 40.49 0 40.93 5.88 ;
+      POLYGON 235.885 5.88 229.445 5.88 229.445 0 229.885 0 229.885 4.94 235.445 4.94 235.445 0 235.885 0 ;
+      POLYGON 431.86 367.6 423.05 367.6 423.05 371.66 431.86 371.66 431.86 372.1 426.51 372.1 426.51 373.1 296.825 373.1 296.825 373.355 273.39 373.355 273.39 373.35 151.735 373.35 151.735 373.355 136.64 373.355 136.64 373.1 5.35 373.1 5.35 372.1 0 372.1 0 371.66 8.81 371.66 8.81 367.6 0 367.6 0 367.16 5.35 367.16 5.35 366.16 136.64 366.16 136.64 365.92 296.825 365.92 296.825 366.16 426.51 366.16 426.51 367.16 431.86 367.16 ;
+      POLYGON 331.605 484.88 329.97 484.88 329.97 481.56 324.41 481.56 324.41 484.88 323.845 484.88 323.845 481.16 331.605 481.16 ;
+      RECT 268.125 481.16 271.03 484.88 ;
+      POLYGON 192.645 484.88 190.02 484.88 190.02 481.56 184.46 481.56 184.46 484.88 182.355 484.88 182.355 481.16 192.645 481.16 ;
+      RECT 292.385 64.51 298.85 67.025 ;
+      POLYGON 28.93 5.88 22.49 5.88 22.49 0 22.93 0 22.93 4.94 28.49 4.94 28.49 0 28.93 0 ;
+      POLYGON 205.87 484.88 204.69 484.88 204.69 481.56 199.13 481.56 199.13 484.88 198.205 484.88 198.205 481.16 205.87 481.16 ;
+      POLYGON 431.86 430.6 423.05 430.6 423.05 434.66 431.86 434.66 431.86 435.1 426.51 435.1 426.51 436.1 296.825 436.1 296.825 436.355 273.39 436.355 273.39 436.35 151.735 436.35 151.735 436.355 136.64 436.355 136.64 436.1 5.35 436.1 5.35 435.1 0 435.1 0 434.66 8.81 434.66 8.81 430.6 0 430.6 0 430.16 5.35 430.16 5.35 429.16 136.64 429.16 136.64 428.92 296.825 428.92 296.825 429.16 426.51 429.16 426.51 430.16 431.86 430.16 ;
+      POLYGON 52.93 5.88 46.49 5.88 46.49 0 46.93 0 46.93 4.94 52.49 4.94 52.49 0 52.93 0 ;
+      POLYGON 415.015 484.88 414.45 484.88 414.45 481.56 408.89 481.56 408.89 484.88 406.425 484.88 406.425 481.16 415.015 481.16 ;
+      POLYGON 431.86 358.6 423.05 358.6 423.05 362.66 431.86 362.66 431.86 363.1 426.51 363.1 426.51 364.1 296.825 364.1 296.825 364.355 273.39 364.355 273.39 364.35 151.735 364.35 151.735 364.355 136.64 364.355 136.64 364.1 5.35 364.1 5.35 363.1 0 363.1 0 362.66 8.81 362.66 8.81 358.6 0 358.6 0 358.16 5.35 358.16 5.35 357.16 136.64 357.16 136.64 356.92 296.825 356.92 296.825 357.16 426.51 357.16 426.51 358.16 431.86 358.16 ;
+      POLYGON 431.86 457.6 423.05 457.6 423.05 461.66 431.86 461.66 431.86 462.1 426.51 462.1 426.51 463.1 296.825 463.1 296.825 463.355 273.39 463.355 273.39 463.35 151.735 463.35 151.735 463.355 136.64 463.355 136.64 463.1 5.35 463.1 5.35 462.1 0 462.1 0 461.66 8.81 461.66 8.81 457.6 0 457.6 0 457.16 5.35 457.16 5.35 456.16 136.64 456.16 136.64 455.92 296.825 455.92 296.825 456.16 426.51 456.16 426.51 457.16 431.86 457.16 ;
+      POLYGON 431.86 340.6 423.05 340.6 423.05 344.66 431.86 344.66 431.86 345.1 426.51 345.1 426.51 346.1 296.825 346.1 296.825 346.355 273.39 346.355 273.39 346.35 151.735 346.35 151.735 346.355 136.64 346.355 136.64 346.1 5.35 346.1 5.35 345.1 0 345.1 0 344.66 8.81 344.66 8.81 340.6 0 340.6 0 340.16 5.35 340.16 5.35 339.16 136.64 339.16 136.64 338.92 296.825 338.92 296.825 339.16 426.51 339.16 426.51 340.16 431.86 340.16 ;
+      POLYGON 431.86 349.6 423.05 349.6 423.05 353.66 431.86 353.66 431.86 354.1 426.51 354.1 426.51 355.1 296.825 355.1 296.825 355.355 273.39 355.355 273.39 355.35 151.735 355.35 151.735 355.355 136.64 355.355 136.64 355.1 5.35 355.1 5.35 354.1 0 354.1 0 353.66 8.81 353.66 8.81 349.6 0 349.6 0 349.16 5.35 349.16 5.35 348.16 136.64 348.16 136.64 347.92 296.825 347.92 296.825 348.16 426.51 348.16 426.51 349.16 431.86 349.16 ;
+      RECT 332.37 0 332.81 5.88 ;
+      POLYGON 262.565 484.88 257.605 484.88 257.605 481.56 252.045 481.56 252.045 484.88 249.785 484.88 249.785 481.16 262.565 481.16 ;
+      RECT 15.475 0 16.93 5.88 ;
+      RECT 67.495 0 70.93 5.88 ;
+      POLYGON 431.86 20.02 308.66 20.02 308.66 20.55 296.895 20.55 296.895 20.54 121.985 20.54 121.985 20.02 0 20.02 0 19.14 119.265 19.14 119.265 19.655 136.91 19.655 136.91 19.66 139.17 19.66 139.17 19.655 143.54 19.655 143.54 19.66 144.75 19.66 144.75 19.655 208.59 19.655 208.59 19.66 209.8 19.66 209.8 19.655 211.215 19.655 211.215 19.66 212.425 19.66 212.425 19.655 234.085 19.655 234.085 19.66 235.295 19.66 235.295 19.655 236.325 19.655 236.325 19.66 237.535 19.66 237.535 19.655 238.565 19.655 238.565 19.66 239.775 19.66 239.775 19.655 240.805 19.655 240.805 19.66 242.015 19.66 242.015 19.655 286.445 19.655 286.445 19.66 306.355 19.66 306.355 19.14 431.86 19.14 ;
+      RECT 146.83 0 147.77 5.88 ;
+      POLYGON 431.86 71.36 419.095 71.36 419.095 71.355 286.195 71.355 286.195 74.56 278.505 74.56 278.505 74.55 259.08 74.55 259.08 74.4 238.695 74.4 238.695 72.175 230.165 72.175 230.165 69.08 249.155 69.08 249.155 65.11 207.185 65.11 207.185 65.935 147.11 65.935 147.11 65.945 136.655 65.945 136.655 71.365 121.53 71.365 121.53 71.36 0 71.36 0 71.17 119.955 71.17 119.955 71.175 136.35 71.175 136.35 64.95 174.085 64.95 174.085 63.13 250.86 63.13 250.86 69.61 298.85 69.61 298.85 70.365 300.41 70.365 300.41 69.61 308.585 69.61 308.585 71.165 308.755 71.165 308.755 71.17 362.425 71.17 362.425 71.165 362.755 71.165 362.755 71.17 415.565 71.17 415.565 71.175 421.385 71.175 421.385 71.17 431.86 71.17 ;
+      POLYGON 426.51 16.82 304.15 16.82 304.15 17.34 121.985 17.34 121.985 16.825 15.335 16.825 15.335 16.82 5.35 16.82 5.35 14.55 426.51 14.55 ;
+      RECT 428.61 0 431.86 5.88 ;
+      POLYGON 431.86 448.6 423.05 448.6 423.05 452.66 431.86 452.66 431.86 453.1 426.51 453.1 426.51 454.1 296.825 454.1 296.825 454.355 273.39 454.355 273.39 454.35 151.735 454.35 151.735 454.355 136.64 454.355 136.64 454.1 5.35 454.1 5.35 453.1 0 453.1 0 452.66 8.81 452.66 8.81 448.6 0 448.6 0 448.16 5.35 448.16 5.35 447.16 136.64 447.16 136.64 446.92 296.825 446.92 296.825 447.16 426.51 447.16 426.51 448.16 431.86 448.16 ;
+      RECT 8.81 0 9.915 5.88 ;
+      POLYGON 431.86 394.6 423.05 394.6 423.05 398.66 431.86 398.66 431.86 399.1 426.51 399.1 426.51 400.1 296.825 400.1 296.825 400.355 273.39 400.355 273.39 400.35 151.735 400.35 151.735 400.355 136.64 400.355 136.64 400.1 5.35 400.1 5.35 399.1 0 399.1 0 398.66 8.81 398.66 8.81 394.6 0 394.6 0 394.16 5.35 394.16 5.35 393.16 136.64 393.16 136.64 392.92 296.825 392.92 296.825 393.16 426.51 393.16 426.51 394.16 431.86 394.16 ;
+      POLYGON 431.86 412.6 423.05 412.6 423.05 416.66 431.86 416.66 431.86 417.1 426.51 417.1 426.51 418.1 296.825 418.1 296.825 418.355 273.39 418.355 273.39 418.35 151.735 418.35 151.735 418.355 136.64 418.355 136.64 418.1 5.35 418.1 5.35 417.1 0 417.1 0 416.66 8.81 416.66 8.81 412.6 0 412.6 0 412.16 5.35 412.16 5.35 411.16 136.64 411.16 136.64 410.92 296.825 410.92 296.825 411.16 426.51 411.16 426.51 412.16 431.86 412.16 ;
+      RECT 410.37 0 411.815 5.88 ;
+      RECT 386.37 0 386.81 5.88 ;
+      POLYGON 431.86 475.6 0 475.6 0 475.16 5.35 475.16 5.35 474.16 126.6 474.16 126.6 474.205 130.02 474.205 130.02 474.16 136.64 474.16 136.64 473.92 293.995 473.92 293.995 474.205 297.415 474.205 297.415 474.16 426.51 474.16 426.51 475.16 431.86 475.16 ;
+      POLYGON 244.225 484.88 242.97 484.88 242.97 481.56 237.41 481.56 237.41 484.88 236.845 484.88 236.845 481.16 244.225 481.16 ;
+      RECT 310.07 0 311.195 5.88 ;
+      POLYGON 431.86 106.13 426.51 106.13 426.51 108.855 419.095 108.855 419.095 108.85 280.11 108.85 280.11 111.175 139.41 111.175 139.41 108.85 119.9 108.85 119.9 108.855 5.35 108.855 5.35 106.13 0 106.13 0 103.975 147.285 103.975 147.285 108.405 147.325 108.405 147.325 108.815 221.88 108.815 221.88 108.82 278.505 108.82 278.505 103.975 431.86 103.975 ;
+      POLYGON 287.455 484.88 285.23 484.88 285.23 481.56 279.67 481.56 279.67 484.88 276.59 484.88 276.59 481.16 287.455 481.16 ;
+      RECT 277.57 0 278.51 5.88 ;
+      POLYGON 431.86 277.6 423.05 277.6 423.05 281.66 431.86 281.66 431.86 282.1 426.51 282.1 426.51 283.1 296.825 283.1 296.825 283.355 273.39 283.355 273.39 283.35 151.735 283.35 151.735 283.355 136.64 283.355 136.64 283.1 5.35 283.1 5.35 282.1 0 282.1 0 281.66 8.81 281.66 8.81 277.6 0 277.6 0 277.16 5.35 277.16 5.35 276.16 136.64 276.16 136.64 275.92 296.825 275.92 296.825 276.16 426.51 276.16 426.51 277.16 431.86 277.16 ;
+      POLYGON 176.795 484.88 175.4 484.88 175.4 481.56 169.84 481.56 169.84 484.88 167.185 484.88 167.185 481.56 161.625 481.56 161.625 484.88 158.83 484.88 158.83 481.16 176.795 481.16 ;
+      POLYGON 195.86 5.88 185.435 5.88 185.435 0 189.86 0 189.86 4.94 195.42 4.94 195.42 0 195.86 0 ;
+      POLYGON 431.86 28.55 277.69 28.55 277.69 27.745 254.33 27.745 254.33 32.68 207.265 32.68 207.265 30.605 173.39 30.605 173.39 28.045 147.01 28.045 147.01 28.55 0 28.55 0 28.425 118.155 28.425 118.155 28.43 136.35 28.43 136.35 25.595 121.53 25.595 121.53 25.59 15.335 25.59 15.335 25.585 8.84 25.585 8.84 22.855 119.265 22.855 119.265 23.375 289.265 23.375 289.265 23.385 312.425 23.385 312.425 22.855 423.02 22.855 423.02 25.585 416.525 25.585 416.525 25.59 308.73 25.59 308.73 25.595 289.265 25.595 289.265 28.43 312.425 28.43 312.425 28.425 431.86 28.425 ;
+      RECT 241.445 0 242.55 5.88 ;
+      POLYGON 33.725 484.88 32.09 484.88 32.09 481.56 26.53 481.56 26.53 484.88 25.965 484.88 25.965 481.16 33.725 481.16 ;
+      POLYGON 431.86 403.6 423.05 403.6 423.05 407.66 431.86 407.66 431.86 408.1 426.51 408.1 426.51 409.1 296.825 409.1 296.825 409.355 273.39 409.355 273.39 409.35 151.735 409.35 151.735 409.355 136.64 409.355 136.64 409.1 5.35 409.1 5.35 408.1 0 408.1 0 407.66 8.81 407.66 8.81 403.6 0 403.6 0 403.16 5.35 403.16 5.35 402.16 136.64 402.16 136.64 401.92 296.825 401.92 296.825 402.16 426.51 402.16 426.51 403.16 431.86 403.16 ;
+      POLYGON 431.86 268.6 423.05 268.6 423.05 272.66 431.86 272.66 431.86 273.1 426.51 273.1 426.51 274.1 296.825 274.1 296.825 274.355 273.39 274.355 273.39 274.35 151.735 274.35 151.735 274.355 136.64 274.355 136.64 274.1 5.35 274.1 5.35 273.1 0 273.1 0 272.66 8.81 272.66 8.81 268.6 0 268.6 0 268.16 5.35 268.16 5.35 267.16 136.64 267.16 136.64 266.92 296.825 266.92 296.825 267.16 426.51 267.16 426.51 268.16 431.86 268.16 ;
+      POLYGON 426.51 34.63 424.215 34.63 424.215 34.62 308.845 34.62 308.845 34.625 308.73 34.625 308.73 34.64 277.69 34.64 277.69 31.275 312.425 31.275 312.425 31.27 416.525 31.27 416.525 31.275 426.51 31.275 ;
+      POLYGON 431.86 259.6 423.05 259.6 423.05 263.66 431.86 263.66 431.86 264.1 426.51 264.1 426.51 265.1 296.825 265.1 296.825 265.355 273.39 265.355 273.39 265.35 151.735 265.35 151.735 265.355 136.64 265.355 136.64 265.1 5.35 265.1 5.35 264.1 0 264.1 0 263.66 8.81 263.66 8.81 259.6 0 259.6 0 259.16 5.35 259.16 5.35 258.16 136.64 258.16 136.64 257.92 296.825 257.92 296.825 258.16 426.51 258.16 426.51 259.16 431.86 259.16 ;
+      POLYGON 431.86 385.6 423.05 385.6 423.05 389.66 431.86 389.66 431.86 390.1 426.51 390.1 426.51 391.1 296.825 391.1 296.825 391.355 273.39 391.355 273.39 391.35 151.735 391.35 151.735 391.355 136.64 391.355 136.64 391.1 5.35 391.1 5.35 390.1 0 390.1 0 389.66 8.81 389.66 8.81 385.6 0 385.6 0 385.16 5.35 385.16 5.35 384.16 136.64 384.16 136.64 383.92 296.825 383.92 296.825 384.16 426.51 384.16 426.51 385.16 431.86 385.16 ;
+      POLYGON 117.135 484.88 116.57 484.88 116.57 481.56 111.01 481.56 111.01 484.88 108.545 484.88 108.545 481.16 117.135 481.16 ;
+      POLYGON 431.86 40.48 308.845 40.48 308.845 40.485 308.73 40.485 308.73 40.49 289.265 40.49 289.265 47.86 311.67 47.86 311.67 47.855 362.45 47.855 362.45 47.85 362.73 47.85 362.73 47.855 416.45 47.855 416.45 47.85 426.51 47.85 426.51 47.855 431.86 47.855 431.86 50.6 422.69 50.6 422.69 50.585 308.845 50.585 308.845 50.595 308.745 50.595 308.745 50.6 286.195 50.6 286.195 53.42 211.025 53.42 211.025 57.735 308.845 57.735 308.845 57.745 431.86 57.745 431.86 59.9 425.275 59.9 425.275 59.895 292.035 59.895 292.035 58.205 207.86 58.205 207.86 49.58 173.425 49.58 173.425 53.5 147.11 53.5 147.11 58.94 133.58 58.94 133.58 59.95 121.53 59.95 121.53 59.9 0 59.9 0 57.745 15.335 57.745 15.335 57.735 119.955 57.735 119.955 57.745 139.42 57.745 139.42 50.6 121.53 50.6 121.53 50.59 10.965 50.59 10.965 50.595 10.865 50.595 10.865 50.6 0 50.6 0 47.855 5.35 47.855 5.35 47.85 10.85 47.85 10.85 47.855 119.955 47.855 119.955 47.86 143.925 47.86 143.925 38.54 173.39 38.54 173.39 33.44 147.405 33.44 147.405 35.14 140.61 35.14 140.61 40.49 121.53 40.49 121.53 40.485 15.335 40.485 15.335 40.48 0 40.48 0 38.26 15.335 38.26 15.335 38.255 117.845 38.255 117.845 38.26 139.42 38.26 139.42 34.63 121.53 34.63 121.53 34.62 10.965 34.62 10.965 34.625 10.85 34.625 10.85 34.63 5.35 34.63 5.35 31.275 15.335 31.275 15.335 31.27 118.155 31.27 118.155 31.275 147.01 31.275 147.01 33.145 174.02 33.145 174.02 39.22 147.285 39.22 147.285 43.19 207.265 43.19 207.265 37.24 254.33 37.24 254.33 45.545 209.005 45.545 209.005 52.38 257.43 52.38 257.43 47.79 277.69 47.79 277.69 44.93 288.96 44.93 288.96 38.26 314.015 38.26 314.015 38.255 416.525 38.255 416.525 38.26 431.86 38.26 ;
+      POLYGON 431.86 376.6 423.05 376.6 423.05 380.66 431.86 380.66 431.86 381.1 426.51 381.1 426.51 382.1 296.825 382.1 296.825 382.355 273.39 382.355 273.39 382.35 151.735 382.35 151.735 382.355 136.64 382.355 136.64 382.1 5.35 382.1 5.35 381.1 0 381.1 0 380.66 8.81 380.66 8.81 376.6 0 376.6 0 376.16 5.35 376.16 5.35 375.16 136.64 375.16 136.64 374.92 296.825 374.92 296.825 375.16 426.51 375.16 426.51 376.16 431.86 376.16 ;
+      POLYGON 345.285 484.88 343.29 484.88 343.29 481.56 337.73 481.56 337.73 484.88 337.165 484.88 337.165 481.16 345.285 481.16 ;
+  END
+
+END gf180mcu_fd_ip_sram__sram512x8m8wm1
+
+END LIBRARY
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.v b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.v
new file mode 100644
index 0000000..484732b
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1.v
@@ -0,0 +1,465 @@
+/*
+ * $Id: $
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http:www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * Project:             018 5VGREEN SRAM
+ * Author:              GlobalFoundries PDK Authors
+ * Data Created:        05-06-2014
+ * Revision:		0.0
+ *
+ * Description:         gf180mcu_fd_ip_sram__sram512x8m8wm1 Simulation Model
+ */
+
+`timescale 1 ps / 1 ps
+
+module gf180mcu_fd_ip_sram__sram512x8m8wm1 (
+	CLK,
+	CEN,
+	GWEN,
+	WEN,
+	A,
+	D,
+	Q,
+	VDD,
+	VSS
+);
+
+input           CLK;
+input           CEN;    //Chip Enable
+input           GWEN;   //Global Write Enable
+input   [7:0]  	WEN;    //Write Enable
+input   [8:0]   A;
+input   [7:0]  	D;
+output	[7:0]	Q;
+inout		VDD;
+inout		VSS;
+
+reg	[7:0]	mem[511:0];
+reg	[7:0]	qo_reg;
+
+wire		cen_flag;
+wire		write_flag;
+wire		read_flag;
+
+reg             ntf_Tcyc;	//notifier for clock period/low/high pulse
+reg             ntf_Tckh;
+reg             ntf_Tckl;
+
+reg		ntf_tcs;	//notifier for setup time
+reg		ntf_tas;
+reg		ntf_tds;
+reg		ntf_tws;
+reg		ntf_twis;
+
+reg             ntf_tch;	//notifier for hold time
+reg             ntf_tah;
+reg             ntf_tdh;
+reg             ntf_twh;
+reg             ntf_twih;
+
+wire		no_st_viol;	//no setup violation
+wire		no_hd_viol;	//no hold violation
+wire		no_ck_viol;	//no clock related violation
+
+reg             clk_dly;        //for read/write
+reg             write_flag_dly; //for write invalidation
+reg             read_flag_dly;  //for read invalidation
+reg             cen_dly;
+reg             cen_fell;       //detect CEN 1 -> 0 transition
+reg             cen_not_rst;    //detect CEN is not reset initially
+
+wire    [7:0]  we;       	//inversion of WEN
+wire    [7:0]  cd2;
+wire    [7:0]  cd4;
+wire    [7:0]  cd5;
+reg    	[7:0]  cdx;
+
+reg	[8:0]	marked_a;
+
+integer         i;
+
+assign Q = qo_reg;
+
+//---- for debugging
+wire    [7:0]  mem_0;
+wire	[7:0]  mem_1;
+wire	[7:0]  mem_2;
+wire	[7:0]  mem_3;
+assign mem_0 = mem[0];
+assign mem_1 = mem[1];
+assign mem_2 = mem[2];
+assign mem_3 = mem[3];
+
+always @(CEN) cen_dly = #100 CEN;
+always @(CEN or cen_dly) begin
+  if (!CEN & cen_dly) cen_fell = 1'b1;
+end
+
+always @(posedge CLK) begin
+  if (!CEN & !cen_fell & !cen_not_rst) cen_not_rst = 1;
+end
+
+always @(posedge cen_not_rst) begin
+  $display("-------- WARNING: CEN is not reset, memory is not operational ---------");
+  $display("-------- @Time %0t: scope = %m", $realtime, " ---------");
+end
+
+always @(posedge cen_fell) begin
+  $display("-------- MESSAGE: CEN is just reset, memory is operational ---------");
+  $display("-------- @Time %0t: scope = %m", $realtime, " ---------");
+end
+
+assign cen_flag   =  cen_fell & !CEN;
+assign write_flag =  cen_fell & !CEN & !GWEN & !(&WEN);
+assign read_flag  =  cen_fell & !CEN &  GWEN;
+
+reg cen_flag_dly;
+always @(cen_flag) cen_flag_dly = #100 cen_flag;
+
+specify
+  specparam Tcyc = 55600 : 55600 : 55600;
+  specparam Tckh = 25000 : 25000 : 25000;
+  specparam Tckl = 25000 : 25000 : 25000;
+
+  specparam tcs  = 5000 : 5000 : 5000;
+  specparam tas  = 5000 : 5000 : 5000;
+  specparam tds  = 5000 : 5000 : 5000;
+  specparam tws  = 5000 : 5000 : 5000;
+  specparam twis = 5000 : 5000 : 5000;
+
+  specparam tch  = 10000 : 10000 : 10000;
+  specparam tah  = 10000 : 10000 : 10000;
+  specparam tdh  = 10000 : 10000 : 10000;
+  specparam twh  = 10000 : 10000 : 10000;
+  specparam twih = 10000 : 10000 : 10000;
+
+  specparam ta   = 45000 : 45000 : 45000;
+
+  specparam Tdly  = 100 : 100: 100;
+
+//---- CLK period/pulse timing
+  $period (negedge CLK, Tcyc, ntf_Tcyc);
+  $width  (posedge CLK, Tckh, 0, ntf_Tckh);
+  $width  (negedge CLK, Tckl, 0, ntf_Tckl);
+
+//---- CEN setup/hold timing
+  $setup (negedge CEN, posedge CLK &&& cen_flag, tcs, ntf_tcs);
+  $setup (posedge CEN, posedge CLK &&& cen_flag, tcs, ntf_tcs);
+
+  $hold  (posedge CLK &&& cen_flag_dly, posedge CEN, tch, ntf_tch);
+  $hold  (posedge CLK &&& cen_flag,     negedge CEN, tch, ntf_tch);
+
+//---- GWEN setup/hold timing
+  $setup (negedge GWEN,  posedge CLK &&& cen_flag, tws, ntf_tws);
+  $setup (posedge GWEN,  posedge CLK &&& cen_flag, tws, ntf_tws);
+
+  $hold  (posedge CLK &&& cen_flag, posedge GWEN, twh, ntf_twh);
+  $hold  (posedge CLK &&& cen_flag, negedge GWEN, twh, ntf_twh);
+
+//---- WEN[7:0] setup/hold timing
+  $setup (negedge WEN[0],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[1],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[2],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[3],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[4],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[5],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[6],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[7],  posedge CLK &&& write_flag, twis, ntf_twis);
+
+  $setup (posedge WEN[0],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[1],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[2],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[3],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[4],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[5],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[6],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[7],  posedge CLK &&& write_flag, twis, ntf_twis);
+
+  $hold  (posedge CLK &&& write_flag, posedge WEN[0],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[1],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[2],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[3],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[4],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[5],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[6],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[7],  twih, ntf_twih);
+
+  $hold  (posedge CLK &&& write_flag, negedge WEN[0],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[1],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[2],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[3],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[4],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[5],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[6],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[7],  twih, ntf_twih);
+
+//---- A[8:0] setup/hold timing
+  $setup (posedge A[0],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[1],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[2],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[3],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[4],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[5],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[6],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[7],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[8],  posedge CLK &&& cen_flag, tas, ntf_tas);
+
+  $setup (negedge A[0],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[1],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[2],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[3],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[4],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[5],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[6],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[7],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[8],  posedge CLK &&& cen_flag, tas, ntf_tas);
+
+  $hold  (posedge CLK &&& cen_flag, negedge A[0],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[1],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[2],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[3],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[4],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[5],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[6],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[7],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[8],  tah, ntf_tah);
+
+  $hold  (posedge CLK &&& cen_flag, posedge A[0],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[1],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[2],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[3],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[4],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[5],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[6],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[7],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[8],  tah, ntf_tah);
+
+//---- D[7:0] setup/hold timing
+  $setup (posedge D[0],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[1],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[2],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[3],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[4],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[5],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[6],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[7],  posedge CLK &&& write_flag, tds, ntf_tds);
+
+  $setup (negedge D[0],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[1],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[2],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[3],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[4],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[5],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[6],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[7],  posedge CLK &&& write_flag, tds, ntf_tds);
+
+  $hold  (posedge CLK &&& write_flag, negedge D[0],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[1],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[2],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[3],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[4],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[5],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[6],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[7],  tdh, ntf_tdh);
+
+  $hold  (posedge CLK &&& write_flag, posedge D[0],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[1],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[2],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[3],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[4],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[5],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[6],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[7],  tdh, ntf_tdh);
+
+//---- Output delay
+// rise transition:     0->1, z->1, Ta
+// fall transition:     1->0, 1->z, Ta
+// turn-off transition: 0->z, 1->z, Tcqx
+//if (!CEN & GWEN) (posedge CLK => (Q : 8'bx)) = (Ta, Ta, Tcqx);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[0]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[1]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[2]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[3]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[4]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[5]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[6]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[7]  : 1'bx)) = (ta, ta);
+endspecify
+
+assign no_st_viol = ~(|{ntf_tcs, ntf_tas, ntf_tds, ntf_tws, ntf_twis});
+assign no_hd_viol = ~(|{ntf_tch, ntf_tah, ntf_tdh, ntf_twh, ntf_twih});
+assign no_ck_viol = ~(|{ntf_Tcyc, ntf_Tckh, ntf_Tckl});
+
+always @(CLK) clk_dly        = #Tdly CLK;
+always @(CLK) write_flag_dly = #200 write_flag;
+always @(CLK) read_flag_dly  = #200 read_flag;
+
+always @(posedge CLK) marked_a = A;
+
+assign we  = ~WEN;
+assign cd2 = mem[A] & WEN;	//set write bits to 0, others unchanged
+assign cd4 = D & we;		//set write bits to 0/1, others = 0
+assign cd5 = cd2 | cd4;		//memory content after write
+
+always @(posedge CLK) cdx = {8{1'bx}} & we;    //latch cdx
+
+always @(posedge clk_dly) begin
+  if (write_flag) begin 	//write
+    if (no_st_viol) begin 	//write, no viol
+      mem[A] = cd5;
+    end
+    else begin                 	//write, with viol
+      mem[A] = mem[A] ^ cdx;    //1^x = x
+      qo_reg = qo_reg ^ cdx;
+    end
+  end //write
+  else if (read_flag) begin     //read
+    if (no_st_viol) begin 	//read, no viol
+      qo_reg = mem[marked_a];
+    end
+    else begin                  //read, with viol
+      qo_reg = 8'bx;
+    end
+  end //read
+end
+
+always @(negedge clk_dly) begin         	//invalidate write/read when hold/clk viol
+  if (no_hd_viol == 0 | no_ck_viol == 0) begin
+    if (write_flag_dly) begin
+      if (ntf_twh) begin
+        mem[marked_a] = mem[marked_a] ^ 8'bx; //GWEN can't be used to generate cdx
+        qo_reg        = qo_reg ^ 8'bx;
+      end
+      else begin
+        mem[marked_a] = mem[marked_a] ^ cdx;
+        qo_reg        = qo_reg ^ cdx;
+      end
+    end
+    else if (read_flag_dly) begin
+      qo_reg = 8'bx;
+    end
+
+    #100;
+    ntf_tch  = 0;
+    ntf_tah  = 0;
+    ntf_tdh  = 0;
+    ntf_twh  = 0;
+    ntf_twih = 0;
+
+    ntf_Tcyc  = 0;
+    ntf_Tckh  = 0;
+    ntf_Tckl  = 0;
+  end
+  else begin
+    #100;
+    ntf_tch  = 0;
+    ntf_tah  = 0;
+    ntf_tdh  = 0;
+    ntf_twh  = 0;
+    ntf_twih = 0;
+
+    ntf_Tcyc  = 0;
+    ntf_Tckh  = 0;
+    ntf_Tckl  = 0;
+  end
+end
+
+always @(posedge ntf_tcs or posedge ntf_tas or posedge ntf_tds or
+         posedge ntf_tws or posedge ntf_twis or
+         posedge ntf_tch or posedge ntf_tah or posedge ntf_tdh or
+         posedge ntf_twh or posedge ntf_twih or
+         posedge ntf_Tcyc or posedge ntf_Tckh or posedge ntf_Tckl) begin
+  if (cen_fell) begin
+    #Tdly;
+    if (ntf_tcs)  $display("---- ERROR: CEN setup violation! ----");
+    if (ntf_tas)  $display("---- ERROR: A setup violation! ----");
+    if (ntf_tds)  $display("---- ERROR: D setup violation! ----");
+    if (ntf_tws)  $display("---- ERROR: GWEN setup violation! ----");
+    if (ntf_twis) $display("---- ERROR: WEN setup violation! ----");
+
+    if (ntf_tch)  $display("---- ERROR: CEN hold violation! ----");
+    if (ntf_tah)  $display("---- ERROR: A hold violation! ----");
+    if (ntf_tdh)  $display("---- ERROR: D hold violation! ----");
+    if (ntf_twh)  $display("---- ERROR: GWEN hold violation! ----");
+    if (ntf_twih) $display("---- ERROR: WEN hold violation! ----");
+
+    if (ntf_Tcyc) $display("---- ERROR: CLK period violation! ----");
+    if (ntf_Tckh) $display("---- ERROR: CLK pulse width high violation! ----");
+    if (ntf_Tckl) $display("---- ERROR: CLK pulse width low violation! ----");
+  end
+end
+
+always @(posedge cen_fell) begin	//reset fasle notifiers
+  ntf_tcs  = 0;				//after CEN reset (CEN from 1 to 0)
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+end
+
+always @(negedge clk_dly) begin	//reset setup/hold notifiers
+  #100;
+  ntf_tcs  = 0;
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+end
+
+initial begin			//initialization
+  ntf_Tcyc  = 0;
+  ntf_Tckh  = 0;
+  ntf_Tckl  = 0;
+
+  ntf_tcs  = 0;
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+
+  marked_a = 9'd0;
+
+  qo_reg         = 8'd0;
+  clk_dly        = 0;
+  write_flag_dly = 0;
+  read_flag_dly  = 0;
+  cen_dly        = 0;
+  cen_fell       = 0;
+  cen_not_rst    = 0;
+
+  for(i=0; i<512; i=i+1) begin
+    mem[i] = 8'd0;
+  end
+end
+
+endmodule
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_1v98.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_1v98.lib
new file mode 100644
index 0000000..7e875d6
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_1v98.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_1v98) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 1.98;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 3.235;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.98);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_1p98v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 1.98;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_1p98v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.018;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+			  index_2 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "11.3022, 11.35104, 11.50032, 11.73948, 12.0852, 12.5472, 13.1616", \
+                            "11.30388, 11.35644, 11.493, 11.74176, 12.0924, 12.546, 13.1772", \
+                            "11.33988, 11.39316, 11.53488, 11.76228, 12.108, 12.5844, 13.1976", \
+                            "11.36028, 11.4192, 11.559, 11.80776, 12.1536, 12.6108, 13.2192", \
+                            "11.41848, 11.47152, 11.60676, 11.82324, 12.1656, 12.6336, 13.2876", \
+                            "11.45796, 11.53116, 11.64036, 11.89944, 12.2328, 12.7092, 13.3332", \
+                            "11.47656, 11.52756, 11.68092, 11.9148, 12.2568, 12.7284, 13.356" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+  	                     "0.403572, 0.469116, 0.700608, 1.10533, 1.76736, 2.74596, 4.00032" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "11.77992, 11.83392, 11.93928, 12.132, 12.3636, 12.6372, 12.9696", \
+                            "11.7894, 11.83236, 11.94768, 12.1344, 12.3744, 12.648, 12.9888", \
+                            "11.81292, 11.86056, 11.9862, 12.1632, 12.3876, 12.6804, 13.0116", \
+                            "11.82612, 11.88168, 12.0024, 12.1956, 12.426, 12.6972, 13.032", \
+                            "11.89788, 11.9484, 12.0624, 12.2088, 12.4512, 12.7248, 13.1028", \
+                            "11.93052, 11.99316, 12.0924, 12.2868, 12.5124, 12.8004, 13.1436", \
+                            "11.95248, 11.99964, 12.126, 12.312, 12.5436, 12.8172, 13.1604" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "0.391908, 0.442428, 0.589992, 0.806688, 1.11565, 1.53876, 2.07324" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.275115;
+                  clock                : true;
+                  max_transition       : 3.235;
+                  min_pulse_width_high : 7.41081;
+                  min_pulse_width_low  : 6.1401;
+                  min_period           : 14.929065;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("144.174, 144.174, 144.174, 144.174, 144.174, 144.174, 144.174");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("144.174, 144.174, 144.174, 144.174, 144.174, 144.174, 144.174");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("0.0039402, 0.0039402, 0.0039402, 0.0039402, 0.0039402, 0.0039402, 0.0039402");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("0.0039402, 0.0039402, 0.0039402, 0.0039402, 0.0039402, 0.0039402, 0.0039402");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("130.433, 130.433, 130.433, 130.433, 130.433, 130.433, 130.433");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("130.433, 130.433, 130.433, 130.433, 130.433, 130.433, 130.433");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0176298;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.97372, 0.966966, 0.960938, 0.999548, 1.11119, 1.23391, 1.33507", \
+                            "0.961862, 0.954899, 0.948882, 0.987492, 1.09868, 1.22186, 1.32308", \
+                            "0.919534, 0.912571, 0.906543, 0.945153, 1.0564, 1.17952, 1.2807", \
+                            "0.850256, 0.843403, 0.838882, 0.872971, 0.981475, 1.10449, 1.20567", \
+                            "0.850003, 0.84315, 0.838629, 0.861905, 0.968022, 1.04936, 1.14661", \
+                            "0.850806, 0.843095, 0.838519, 0.872652, 0.972136, 1.05164, 1.11657", \
+                            "0.850014, 0.842655, 0.838134, 0.872212, 0.970607, 1.04585, 1.12376" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.801042, 0.806366, 0.846747, 0.943008, 1.09551, 1.30265, 1.52603", \
+                            "0.78925, 0.798919, 0.839278, 0.931854, 1.08469, 1.29715, 1.51393", \
+                            "0.789008, 0.798644, 0.839003, 0.93159, 1.07716, 1.29689, 1.51228", \
+                            "0.788821, 0.798391, 0.838816, 0.931392, 1.08423, 1.29669, 1.51217", \
+                            "0.788579, 0.798116, 0.838563, 0.931128, 1.08396, 1.29644, 1.51184", \
+                            "0.788491, 0.798138, 0.838497, 0.931073, 1.08391, 1.29637, 1.51184", \
+                            "0.788029, 0.797698, 0.838057, 0.930622, 1.08348, 1.29593, 1.5114" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "2.7423, 2.75, 2.75451, 2.72052, 2.62097, 2.54551, 2.49656", \
+                            "2.7467, 2.75495, 2.75957, 2.72547, 2.62614, 2.55046, 2.50162", \
+                            "2.78641, 2.79477, 2.79928, 2.76518, 2.66574, 2.59017, 2.54133", \
+                            "2.87133, 2.87815, 2.88266, 2.84856, 2.74901, 2.67355, 2.62471", \
+                            "2.98595, 2.99277, 2.99739, 2.97407, 2.86792, 2.78663, 2.73944", \
+                            "3.13665, 3.14446, 3.14897, 3.11487, 3.01532, 2.9359, 2.8908", \
+                            "3.2527, 3.26007, 3.26458, 3.23048, 3.13214, 3.0569, 3.01081" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "2.80456, 2.79499, 2.75462, 2.66211, 2.50921, 2.2968, 2.08131", \
+                            "2.80962, 2.79994, 2.75957, 2.66706, 2.51416, 2.30175, 2.08626", \
+                            "2.84933, 2.83965, 2.79939, 2.70677, 2.56113, 2.34146, 2.12597", \
+                            "2.93271, 2.92314, 2.88277, 2.79015, 2.63736, 2.42484, 2.20946", \
+                            "3.04744, 3.03787, 2.99739, 2.90488, 2.75198, 2.53957, 2.32408", \
+                            "3.19902, 3.18934, 3.14897, 3.05646, 2.90356, 2.69115, 2.47566", \
+                            "3.31474, 3.30506, 3.26469, 3.17207, 3.01928, 2.80676, 2.59138" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0457332;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.29814, 1.30791, 1.34013, 1.41306, 1.529, 1.66166, 1.78695", \
+                            "1.28905, 1.29884, 1.331, 1.40404, 1.51767, 1.65176, 1.77782", \
+                            "1.26036, 1.27056, 1.30274, 1.38061, 1.49347, 1.62426, 1.74955", \
+                            "1.1834, 1.19533, 1.22762, 1.29927, 1.41603, 1.54781, 1.6731", \
+                            "1.07929, 1.09002, 1.12221, 1.19516, 1.3088, 1.44375, 1.5697", \
+                            "0.993894, 1.0037, 1.03587, 1.10956, 1.22806, 1.35366, 1.48269", \
+                            "0.943767, 0.95381, 0.984786, 1.06266, 1.17457, 1.30634, 1.43231" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.52911, 1.53835, 1.58543, 1.672, 1.84272, 2.07075, 2.34927", \
+                            "1.52009, 1.52922, 1.57641, 1.66298, 1.83359, 2.06173, 2.34014", \
+                            "1.4949, 1.49919, 1.54803, 1.64527, 1.80532, 2.03346, 2.31187", \
+                            "1.41856, 1.4245, 1.47158, 1.55826, 1.72887, 1.95701, 2.23542", \
+                            "1.31447, 1.32044, 1.36697, 1.45365, 1.62481, 1.85295, 2.13136", \
+                            "1.22383, 1.2341, 1.2812, 1.38006, 1.53846, 1.7666, 2.04501", \
+                            "1.17379, 1.18302, 1.23012, 1.32737, 1.48742, 1.71545, 1.99397" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.84657, 1.837, 1.79751, 1.72513, 1.63988, 1.57993, 1.5345", \
+                            "1.84415, 1.83458, 1.79509, 1.72282, 1.64032, 1.58191, 1.53274", \
+                            "1.86307, 1.8535, 1.81401, 1.74273, 1.66298, 1.59632, 1.551", \
+                            "1.88518, 1.87572, 1.83623, 1.76385, 1.68146, 1.61854, 1.57322", \
+                            "1.91653, 1.90696, 1.86747, 1.7952, 1.7127, 1.64989, 1.60721", \
+                            "1.97571, 1.96614, 1.92665, 1.85548, 1.7677, 1.70907, 1.6632", \
+                            "1.9855, 1.97593, 1.93644, 1.86516, 1.78167, 1.71886, 1.67618" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.950158, 0.934615, 0.888239, 0.789701, 0.639276, 0.422851, 0.16185", \
+                            "0.947991, 0.928367, 0.885819, 0.787358, 0.628694, 0.420453, 0.159617", \
+                            "0.971278, 0.95095, 0.904728, 0.809105, 0.653169, 0.43934, 0.178727", \
+                            "0.993674, 0.971542, 0.926948, 0.828267, 0.678051, 0.461516, 0.20135", \
+                            "1.02428, 1.00454, 0.958078, 0.859056, 0.709346, 0.492877, 0.232008", \
+                            "1.07955, 1.06206, 1.01739, 0.9174, 0.768537, 0.552013, 0.291196", \
+                            "1.08927, 1.07309, 1.02718, 0.931524, 0.778327, 0.561803, 0.300985" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00723483;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.483912, 0.495957, 0.549857, 0.64042, 0.794321, 1.01684, 1.27013", \
+                            "0.480392, 0.494439, 0.546634, 0.636922, 0.80003, 1.01332, 1.26658", \
+                            "0.465454, 0.485133, 0.535337, 0.621687, 0.786159, 1.00196, 1.25523", \
+                            "0.437437, 0.455499, 0.508563, 0.599643, 0.751619, 0.976052, 1.22971", \
+                            "0.405636, 0.420904, 0.473088, 0.564333, 0.715847, 0.940423, 1.19407", \
+                            "0.355146, 0.367477, 0.421091, 0.511984, 0.66418, 0.887282, 1.14172", \
+                            "0.344278, 0.359799, 0.410542, 0.496925, 0.652773, 0.877217, 1.13087" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.84657, 1.837, 1.79751, 1.72513, 1.63988, 1.57993, 1.53648", \
+                            "1.84415, 1.83458, 1.79509, 1.72282, 1.64032, 1.58191, 1.53703", \
+                            "1.86307, 1.8535, 1.81401, 1.74273, 1.66298, 1.59632, 1.55584", \
+                            "1.88518, 1.87572, 1.83623, 1.76385, 1.68146, 1.61854, 1.57806", \
+                            "1.91653, 1.90696, 1.86747, 1.7952, 1.7127, 1.64989, 1.6093", \
+                            "1.97571, 1.96614, 1.92665, 1.85548, 1.76814, 1.70907, 1.66859", \
+                            "1.9855, 1.97593, 1.93644, 1.86516, 1.78167, 1.71886, 1.67827" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.950158, 0.934615, 0.888239, 0.789701, 0.639276, 0.422851, 0.16185", \
+                            "0.947991, 0.928367, 0.885819, 0.787358, 0.628694, 0.420453, 0.159617", \
+                            "0.971278, 0.951412, 0.904728, 0.809105, 0.653169, 0.43934, 0.178727", \
+                            "0.993674, 0.971542, 0.926948, 0.828267, 0.678051, 0.461516, 0.20135", \
+                            "1.02428, 1.00454, 0.958078, 0.859056, 0.709346, 0.492877, 0.232008", \
+                            "1.07955, 1.06206, 1.01739, 0.9174, 0.768537, 0.552013, 0.291196", \
+                            "1.08927, 1.07309, 1.02718, 0.931524, 0.778327, 0.561803, 0.300985" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0379165;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.45486, 1.45794, 1.45992, 1.49919, 1.60765, 1.75351, 1.84404", \
+                            "1.46245, 1.45343, 1.45354, 1.4938, 1.60127, 1.74042, 1.84195", \
+                            "1.44595, 1.44474, 1.44188, 1.48181, 1.59214, 1.73811, 1.82886", \
+                            "1.42296, 1.41526, 1.41416, 1.45145, 1.57047, 1.71105, 1.80147", \
+                            "1.38094, 1.37929, 1.37412, 1.42362, 1.52328, 1.67673, 1.77551", \
+                            "1.33771, 1.3299, 1.33859, 1.37665, 1.48016, 1.6159, 1.7083", \
+                            "1.3188, 1.32363, 1.31916, 1.35311, 1.4718, 1.61084, 1.70775" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.87495, 1.88584, 1.92489, 1.99551, 2.16656, 2.38953, 2.61932", \
+                            "1.86934, 1.88056, 1.90201, 1.98715, 2.1549, 2.38315, 2.61162", \
+                            "1.85768, 1.86395, 1.9052, 1.98132, 2.13972, 2.37094, 2.60392", \
+                            "1.83018, 1.84349, 1.86912, 1.95514, 2.12575, 2.34707, 2.58203", \
+                            "1.79487, 1.81027, 1.84074, 1.91554, 2.08329, 2.31539, 2.54232", \
+                            "1.74185, 1.75813, 1.78563, 1.87451, 2.03863, 2.25192, 2.49469", \
+                            "1.73492, 1.75021, 1.77848, 1.86461, 2.0306, 2.24466, 2.48006" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.954008, 0.962324, 0.959992, 0.903991, 0.838145, 0.797654, 0.765039", \
+                            "0.964942, 0.973236, 0.970926, 0.914925, 0.853743, 0.810458, 0.775973", \
+                            "1.00416, 1.01245, 1.01013, 0.953436, 0.889603, 0.847737, 0.815199", \
+                            "1.07921, 1.08753, 1.08519, 1.02919, 0.968011, 0.922856, 0.890252", \
+                            "1.18846, 1.19678, 1.19444, 1.13851, 1.08009, 1.03211, 0.999504", \
+                            "1.31655, 1.32528, 1.32088, 1.27125, 1.21754, 1.17471, 1.14077", \
+                            "1.40855, 1.41691, 1.4146, 1.35861, 1.2974, 1.25225, 1.21962" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.03665, 1.02691, 0.987393, 0.909942, 0.838618, 0.769252, 0.72259", \
+                            "1.04974, 1.03877, 0.996446, 0.920876, 0.849552, 0.780186, 0.733535", \
+                            "1.08822, 1.07706, 1.03441, 0.960102, 0.888778, 0.819412, 0.772761", \
+                            "1.16327, 1.15216, 1.10871, 1.03514, 0.96382, 0.894454, 0.847792", \
+                            "1.27248, 1.26137, 1.21799, 1.14439, 1.07308, 1.00371, 0.957055", \
+                            "1.40129, 1.3904, 1.35212, 1.27247, 1.20117, 1.1318, 1.08514", \
+                            "1.49336, 1.48148, 1.44012, 1.36455, 1.2932, 1.22384, 1.17725" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0154863;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.98318, 0.998525, 1.0288, 1.08227, 1.09407, 1.08953, 1.04586", \
+                            "0.982498, 0.985424, 1.02307, 1.07379, 1.08709, 1.08571, 1.04196", \
+                            "0.970574, 0.982553, 1.01265, 1.0556, 1.07875, 1.07324, 1.02738", \
+                            "0.944746, 0.952864, 0.9856, 1.03044, 1.05751, 1.04757, 1.00274", \
+                            "0.909744, 0.921789, 0.961433, 0.988977, 1.02555, 1.01011, 0.967153", \
+                            "0.858187, 0.879747, 0.902858, 0.957869, 0.973918, 0.956813, 0.916322", \
+                            "0.851378, 0.859958, 0.902407, 0.936133, 0.964051, 0.946649, 0.91388" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.066836, 0.06468, 0.098857, 0.203407, 0.373439, 0.597157, 0.854062", \
+                            "0.062403, 0.060401, 0.094248, 0.198933, 0.368973, 0.592691, 0.850058", \
+                            "0.050611, 0.047608, 0.082566, 0.187116, 0.351219, 0.580877, 0.838167", \
+                            "0.026983, 0.022902, 0.056903, 0.161458, 0.325563, 0.555214, 0.812581", \
+                            "0, 0, 0.021945, 0.12648, 0.2905, 0.520245, 0.777612", \
+                            "0, 0, 0, 0.077121, 0.241228, 0.470965, 0.728244", \
+                            "0, 0, 0, 0.067474, 0.231574, 0.46123, 0.718597" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.832117, 0.80872, 0.782606, 0.738089, 0.71599, 0.721743, 0.770506", \
+                            "0.83094, 0.819236, 0.78331, 0.736923, 0.71951, 0.720566, 0.76736", \
+                            "0.842501, 0.819104, 0.79299, 0.747021, 0.725604, 0.732116, 0.781044", \
+                            "0.870342, 0.859496, 0.819918, 0.776325, 0.753797, 0.759968, 0.808885", \
+                            "0.904717, 0.88132, 0.855206, 0.811085, 0.782276, 0.794332, 0.84326", \
+                            "0.95414, 0.938663, 0.906631, 0.854678, 0.840763, 0.865667, 0.888239", \
+                            "0.966372, 0.95557, 0.91432, 0.872916, 0.849827, 0.85602, 0.90288" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.42692, 1.42945, 1.39579, 1.2945, 1.12071, 0.897666, 0.643632", \
+                            "1.4256, 1.42824, 1.39469, 1.29333, 1.11955, 0.896489, 0.642466", \
+                            "1.43715, 1.44078, 1.40624, 1.30488, 1.1311, 0.908039, 0.654016", \
+                            "1.46509, 1.46762, 1.43407, 1.33276, 1.15894, 0.935891, 0.681857", \
+                            "1.4993, 1.50216, 1.46839, 1.36708, 1.19331, 0.970255, 0.716232", \
+                            "1.54891, 1.55144, 1.51789, 1.41658, 1.24275, 1.01969, 0.765666", \
+                            "1.56101, 1.56387, 1.5301, 1.42879, 1.25498, 1.03192, 0.777909" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0039402;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_3v60.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_3v60.lib
new file mode 100644
index 0000000..b32a949
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_3v60.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_3v60) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 3.6;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.3877;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.6);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_3p6v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 3.6;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_3p6v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0626;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+			  index_2 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "6.03336, 6.05436, 6.12804, 6.25392, 6.43464, 6.68568, 7.01232", \
+                            "6.03084, 6.05988, 6.12948, 6.255, 6.43692, 6.69072, 7.01724", \
+                            "6.03624, 6.06216, 6.13308, 6.2556, 6.44232, 6.687, 7.01916", \
+                            "6.04308, 6.06732, 6.138, 6.26268, 6.44544, 6.6948, 7.02852", \
+                            "6.0564, 6.0762, 6.1494, 6.27564, 6.45864, 6.70668, 7.03692", \
+                            "6.05436, 6.07824, 6.15, 6.27684, 6.45612, 6.70488, 7.03656", \
+                            "6.01764, 6.04104, 6.10968, 6.24096, 6.42456, 6.67248, 7.00176" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+  	                     "0.2343, 0.269424, 0.385656, 0.613536, 0.983832, 1.51944, 2.2176" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "6.21456, 6.2388, 6.312, 6.42252, 6.56184, 6.73584, 6.9516", \
+                            "6.21552, 6.24252, 6.31464, 6.42132, 6.56268, 6.7428, 6.95628", \
+                            "6.22164, 6.24816, 6.31692, 6.42564, 6.56784, 6.74016, 6.95904", \
+                            "6.23232, 6.25464, 6.32172, 6.43152, 6.57348, 6.75144, 6.96804", \
+                            "6.24192, 6.26748, 6.33504, 6.44544, 6.58632, 6.75852, 6.975", \
+                            "6.23988, 6.26412, 6.33528, 6.44412, 6.58368, 6.75612, 6.97296", \
+                            "6.20484, 6.2292, 6.297, 6.40812, 6.54852, 6.7236, 6.93996" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "0.23952, 0.267108, 0.344472, 0.47766, 0.677052, 0.955752, 1.32456" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.282001;
+                  clock                : true;
+                  max_transition       : 2.3877;
+                  min_pulse_width_high : 3.243825;
+                  min_pulse_width_low  : 3.778215;
+                  min_period           : 7.959375;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("520.218, 520.218, 520.218, 520.218, 520.218, 520.218, 520.218");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("520.218, 520.218, 520.218, 520.218, 520.218, 520.218, 520.218");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("0.0121248, 0.0121248, 0.0121248, 0.0121248, 0.0121248, 0.0121248, 0.0121248");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("0.0121248, 0.0121248, 0.0121248, 0.0121248, 0.0121248, 0.0121248, 0.0121248");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("468.576, 468.576, 468.576, 468.576, 468.576, 468.576, 468.576");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("468.576, 468.576, 468.576, 468.576, 468.576, 468.576, 468.576");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0174749;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.538824, 0.532521, 0.527912, 0.549846, 0.59521, 0.629508, 0.654291", \
+                            "0.532642, 0.524095, 0.520311, 0.543411, 0.587081, 0.622567, 0.647647", \
+                            "0.523512, 0.516703, 0.511863, 0.530915, 0.568051, 0.602338, 0.627132", \
+                            "0.522841, 0.51645, 0.511555, 0.530607, 0.559449, 0.572572, 0.597256", \
+                            "0.523039, 0.516186, 0.511335, 0.530387, 0.559229, 0.572341, 0.590711", \
+                            "0.523006, 0.516142, 0.511291, 0.530343, 0.560131, 0.594429, 0.619212", \
+                            "0.571846, 0.563673, 0.560692, 0.582967, 0.628001, 0.662288, 0.687071" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.496969, 0.502062, 0.523622, 0.575498, 0.660825, 0.766073, 0.878438", \
+                            "0.496584, 0.501842, 0.522643, 0.575322, 0.66418, 0.763169, 0.878273", \
+                            "0.496265, 0.501578, 0.522324, 0.574871, 0.663861, 0.765589, 0.877954", \
+                            "0.495968, 0.501006, 0.522027, 0.574695, 0.663553, 0.765281, 0.877646", \
+                            "0.495748, 0.50105, 0.521807, 0.574475, 0.663322, 0.765061, 0.877426", \
+                            "0.495704, 0.500973, 0.521763, 0.57442, 0.663289, 0.765006, 0.877371", \
+                            "0.503932, 0.50919, 0.530123, 0.580085, 0.66957, 0.771628, 0.885533" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.47653, 1.48291, 1.48775, 1.46905, 1.4399, 1.42681, 1.42087", \
+                            "1.4839, 1.4927, 1.49589, 1.47653, 1.44914, 1.43484, 1.42857", \
+                            "1.5026, 1.50942, 1.51426, 1.49523, 1.4663, 1.45321, 1.44738", \
+                            "1.54957, 1.55595, 1.5609, 1.54187, 1.51305, 1.49985, 1.49402", \
+                            "1.60886, 1.61568, 1.62052, 1.60149, 1.57267, 1.55958, 1.55364", \
+                            "1.65198, 1.6588, 1.66364, 1.64461, 1.61579, 1.6027, 1.59676", \
+                            "1.68674, 1.69323, 1.69807, 1.67904, 1.65022, 1.63702, 1.63119" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.50315, 1.49809, 1.47653, 1.42461, 1.33925, 1.23405, 1.12168", \
+                            "1.51107, 1.5059, 1.485, 1.43242, 1.34354, 1.24453, 1.12943", \
+                            "1.52988, 1.52449, 1.50381, 1.45123, 1.36224, 1.26052, 1.14816", \
+                            "1.57652, 1.57146, 1.55045, 1.49776, 1.40888, 1.30713, 1.1948", \
+                            "1.63614, 1.63086, 1.61007, 1.55738, 1.4685, 1.36686, 1.25444", \
+                            "1.67926, 1.67398, 1.65319, 1.6005, 1.51173, 1.40998, 1.29756", \
+                            "1.71369, 1.70863, 1.6874, 1.63493, 1.54605, 1.44441, 1.33199" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0480845;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.741972, 0.744843, 0.765171, 0.807532, 0.873422, 0.941369, 0.991045", \
+                            "0.736439, 0.739013, 0.76021, 0.802571, 0.868461, 0.936408, 0.9867", \
+                            "0.720698, 0.723646, 0.743974, 0.786335, 0.852214, 0.920172, 0.970464", \
+                            "0.67463, 0.67804, 0.698357, 0.740718, 0.806608, 0.874555, 0.924858", \
+                            "0.627858, 0.630652, 0.650265, 0.694199, 0.759385, 0.827321, 0.877624", \
+                            "0.600556, 0.603339, 0.624283, 0.666831, 0.732534, 0.800481, 0.850773", \
+                            "0.569811, 0.571813, 0.593582, 0.635943, 0.701833, 0.76978, 0.820072" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.812075, 0.815617, 0.848661, 0.913792, 1.01968, 1.15995, 1.31593", \
+                            "0.806751, 0.811173, 0.8437, 0.90893, 1.01472, 1.15059, 1.31098", \
+                            "0.790504, 0.794409, 0.827453, 0.892584, 0.99847, 1.13874, 1.2947", \
+                            "0.745074, 0.749309, 0.781847, 0.846978, 0.952864, 1.09314, 1.24909", \
+                            "0.697664, 0.701569, 0.734613, 0.799843, 0.905619, 1.0459, 1.20187", \
+                            "0.671187, 0.675125, 0.707762, 0.772992, 0.878779, 1.01905, 1.17502", \
+                            "0.640486, 0.644534, 0.677072, 0.740201, 0.848089, 0.988394, 1.14431" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.06095, 1.05669, 1.0379, 0.99935, 0.966713, 0.951918, 0.959068", \
+                            "1.06227, 1.0584, 1.04038, 1.0018, 0.969166, 0.954393, 0.961521", \
+                            "1.06659, 1.06341, 1.04463, 1.00607, 0.973434, 0.958628, 0.965789", \
+                            "1.07306, 1.0689, 1.05004, 1.01146, 0.978824, 0.964029, 0.971179", \
+                            "1.08288, 1.07986, 1.06099, 1.02243, 0.989791, 0.974985, 0.982146", \
+                            "1.07975, 1.07663, 1.05786, 1.01951, 0.986656, 0.97185, 0.979011", \
+                            "1.0529, 1.05074, 1.03096, 0.992387, 0.959453, 0.944955, 0.952116" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.599599, 0.592119, 0.562254, 0.500753, 0.393206, 0.257587, 0.0996446", \
+                            "0.601755, 0.594836, 0.565059, 0.503041, 0.395164, 0.26871, 0.102099", \
+                            "0.605407, 0.598961, 0.568909, 0.507254, 0.399938, 0.264304, 0.106302", \
+                            "0.611655, 0.604483, 0.574002, 0.512424, 0.405328, 0.269695, 0.111699", \
+                            "0.621962, 0.615318, 0.585321, 0.523655, 0.416284, 0.28065, 0.123403", \
+                            "0.619333, 0.612095, 0.582131, 0.520542, 0.41316, 0.277526, 0.119597", \
+                            "0.659549, 0.653961, 0.6237, 0.558041, 0.445423, 0.309958, 0.160219" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.0075492;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.2966, 0.303875, 0.333432, 0.39655, 0.503536, 0.639089, 0.798314", \
+                            "0.294484, 0.299961, 0.331276, 0.39457, 0.50138, 0.62887, 0.796158", \
+                            "0.291474, 0.297813, 0.327448, 0.388784, 0.496936, 0.633149, 0.791428", \
+                            "0.284802, 0.291969, 0.322366, 0.384164, 0.492382, 0.627935, 0.786214", \
+                            "0.274594, 0.281793, 0.311113, 0.374264, 0.481228, 0.61677, 0.775687", \
+                            "0.275909, 0.282315, 0.312081, 0.374825, 0.482592, 0.618145, 0.777337", \
+                            "0.304106, 0.310431, 0.340736, 0.405889, 0.51084, 0.646217, 0.805288" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.06095, 1.05669, 1.03845, 0.99935, 0.96723, 0.951918, 0.959068", \
+                            "1.06227, 1.0584, 1.04094, 1.0018, 0.969716, 0.954393, 0.961521", \
+                            "1.06659, 1.06341, 1.0452, 1.00607, 0.973962, 0.958628, 0.965789", \
+                            "1.07306, 1.0689, 1.0506, 1.01146, 0.979352, 0.964029, 0.971179", \
+                            "1.08288, 1.07986, 1.06157, 1.02243, 0.99033, 0.974985, 0.982146", \
+                            "1.07975, 1.07663, 1.05842, 1.01951, 0.987173, 0.97185, 0.979011", \
+                            "1.0529, 1.05074, 1.03155, 0.992387, 0.9603, 0.944955, 0.952116" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.599599, 0.592119, 0.562254, 0.500753, 0.393206, 0.257587, 0.0996446", \
+                            "0.601755, 0.594836, 0.565059, 0.503041, 0.395164, 0.26871, 0.102099", \
+                            "0.605407, 0.598961, 0.568909, 0.507254, 0.399938, 0.264304, 0.106302", \
+                            "0.611655, 0.604483, 0.574002, 0.512424, 0.405328, 0.269695, 0.111699", \
+                            "0.621962, 0.615318, 0.585321, 0.523655, 0.416284, 0.28065, 0.123403", \
+                            "0.619333, 0.612095, 0.582131, 0.520542, 0.41316, 0.277526, 0.119597", \
+                            "0.592405, 0.585266, 0.555192, 0.49137, 0.386298, 0.250966, 0.0933691" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0377615;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.786896, 0.784553, 0.779867, 0.806575, 0.862807, 0.882893, 0.912362", \
+                            "0.782474, 0.782012, 0.780978, 0.802868, 0.857186, 0.881232, 0.910151", \
+                            "0.77814, 0.777645, 0.773641, 0.79794, 0.854073, 0.875787, 0.907093", \
+                            "0.772145, 0.772618, 0.767008, 0.790592, 0.846142, 0.871189, 0.900658", \
+                            "0.762498, 0.759451, 0.760056, 0.780109, 0.841258, 0.86218, 0.893849", \
+                            "0.764885, 0.763664, 0.7601, 0.784872, 0.840972, 0.86416, 0.893398", \
+                            "0.793331, 0.791153, 0.787721, 0.811866, 0.866778, 0.890164, 0.920513" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.11452, 1.11882, 1.14443, 1.18623, 1.28456, 1.3992, 1.53736", \
+                            "1.11191, 1.11588, 1.13983, 1.18548, 1.28228, 1.39997, 1.53461", \
+                            "1.10785, 1.11334, 1.13885, 1.18089, 1.27802, 1.39095, 1.52966", \
+                            "1.10401, 1.10615, 1.1316, 1.17692, 1.27178, 1.38523, 1.52394", \
+                            "1.09431, 1.09837, 1.12082, 1.16479, 1.26268, 1.37665, 1.51393", \
+                            "1.09359, 1.09793, 1.12191, 1.16996, 1.26437, 1.38039, 1.51657", \
+                            "1.12303, 1.12893, 1.15008, 1.19512, 1.2928, 1.40965, 1.54396" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.617331, 0.62194, 0.618354, 0.60764, 0.594132, 0.60071, 0.597619", \
+                            "0.625229, 0.629277, 0.623359, 0.614966, 0.601469, 0.608025, 0.604956", \
+                            "0.643005, 0.647053, 0.643467, 0.632753, 0.619245, 0.625823, 0.622743", \
+                            "0.679437, 0.683474, 0.679888, 0.669174, 0.655666, 0.662244, 0.659164", \
+                            "0.738936, 0.742973, 0.737066, 0.728673, 0.715165, 0.721743, 0.718663", \
+                            "0.777282, 0.78133, 0.778327, 0.76703, 0.753522, 0.7601, 0.757009", \
+                            "0.801372, 0.80608, 0.802494, 0.79178, 0.778272, 0.78485, 0.78177" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.639672, 0.634667, 0.610588, 0.587895, 0.577698, 0.580415, 0.587686", \
+                            "0.646822, 0.642048, 0.617914, 0.595232, 0.585035, 0.587609, 0.595023", \
+                            "0.664818, 0.659659, 0.63569, 0.613008, 0.602844, 0.605528, 0.612799", \
+                            "0.700953, 0.696212, 0.672111, 0.649429, 0.639243, 0.641949, 0.64922", \
+                            "0.760694, 0.755612, 0.731621, 0.708939, 0.698742, 0.701316, 0.708719", \
+                            "0.799084, 0.794101, 0.77, 0.747263, 0.73711, 0.739794, 0.747076", \
+                            "0.82346, 0.818532, 0.794717, 0.772046, 0.761871, 0.764412, 0.771826" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0156578;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.539121, 0.54054, 0.560637, 0.567479, 0.549967, 0.50633, 0.42691", \
+                            "0.534897, 0.542685, 0.555621, 0.565114, 0.549714, 0.501149, 0.423896", \
+                            "0.533335, 0.535007, 0.554455, 0.560945, 0.543224, 0.496991, 0.41822", \
+                            "0.527791, 0.530937, 0.547327, 0.553762, 0.537295, 0.491986, 0.411147", \
+                            "0.518859, 0.522621, 0.539627, 0.545083, 0.527703, 0.481998, 0.402358", \
+                            "0.521939, 0.522126, 0.539242, 0.54296, 0.52921, 0.485045, 0.405438", \
+                            "0.545479, 0.550539, 0.566797, 0.576048, 0.556567, 0.514624, 0.433763" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.104448, 0.101325, 0.134469, 0.212905, 0.335269, 0.494043, 0.69256", \
+                            "0.101356, 0.100297, 0.131348, 0.209773, 0.332387, 0.490699, 0.689425", \
+                            "0.0958727, 0.0946077, 0.127783, 0.206626, 0.328581, 0.487355, 0.685872", \
+                            "0.0903529, 0.0896456, 0.12074, 0.198995, 0.32153, 0.480315, 0.678832", \
+                            "0.082115, 0.079046, 0.112201, 0.190635, 0.312994, 0.471779, 0.670296", \
+                            "0.0860805, 0.082918, 0.113946, 0.192361, 0.314743, 0.473517, 0.672045", \
+                            "0.112071, 0.110342, 0.141384, 0.218508, 0.341924, 0.500962, 0.699468" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.576004, 0.573826, 0.553608, 0.550341, 0.567204, 0.610093, 0.688138", \
+                            "0.583154, 0.572616, 0.557953, 0.554664, 0.570746, 0.607981, 0.692813", \
+                            "0.58586, 0.580426, 0.561099, 0.55803, 0.573584, 0.617826, 0.695838", \
+                            "0.591437, 0.588984, 0.56749, 0.564421, 0.579975, 0.624173, 0.702229", \
+                            "0.601601, 0.596178, 0.57893, 0.573848, 0.589402, 0.633644, 0.711656", \
+                            "0.595353, 0.593945, 0.574552, 0.571219, 0.587037, 0.631235, 0.709214", \
+                            "0.567347, 0.565422, 0.546678, 0.543609, 0.560472, 0.603361, 0.681406" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.832293, 0.832403, 0.801922, 0.723228, 0.600919, 0.442915, 0.246573", \
+                            "0.836836, 0.83699, 0.806487, 0.727793, 0.605484, 0.447491, 0.251142", \
+                            "0.840004, 0.840114, 0.809611, 0.730917, 0.608608, 0.450615, 0.254277", \
+                            "0.847572, 0.846549, 0.816002, 0.737319, 0.61501, 0.457006, 0.26067", \
+                            "0.855756, 0.85591, 0.825429, 0.746735, 0.624437, 0.466433, 0.270082", \
+                            "0.853435, 0.853556, 0.823064, 0.74437, 0.622061, 0.464068, 0.267717", \
+                            "0.824824, 0.825671, 0.795179, 0.716496, 0.594187, 0.436183, 0.239851" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0121248;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_5v50.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_5v50.lib
new file mode 100644
index 0000000..e91bf6a
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_5v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_125C_5v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 5.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.394;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_5p5v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 5.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_5p5v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.115;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+			  index_2 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "4.51512, 4.53216, 4.58664, 4.67784, 4.81044, 4.99872, 5.24256", \
+                            "4.51524, 4.53324, 4.59072, 4.677, 4.812, 4.9974, 5.24508", \
+                            "4.51608, 4.52916, 4.58604, 4.67676, 4.81164, 4.99656, 5.24412", \
+                            "4.51296, 4.53288, 4.5876, 4.67784, 4.81392, 4.998, 5.2416", \
+                            "4.52076, 4.53708, 4.59192, 4.68456, 4.81896, 5.00304, 5.24448", \
+                            "4.5216, 4.54176, 4.5954, 4.68312, 4.8192, 5.00448, 5.24988", \
+                            "4.51308, 4.5348, 4.58868, 4.67532, 4.809, 4.99524, 5.23716" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+  	                     "0.189252, 0.213504, 0.296232, 0.467052, 0.739128, 1.14301, 1.66944" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "4.6284, 4.64568, 4.70388, 4.79112, 4.90524, 5.05056, 5.23776", \
+                            "4.62948, 4.64604, 4.707, 4.78752, 4.9032, 5.05044, 5.24076", \
+                            "4.62876, 4.64352, 4.69812, 4.78776, 4.90092, 5.0448, 5.2368", \
+                            "4.62696, 4.64352, 4.70184, 4.78632, 4.90392, 5.04828, 5.23632", \
+                            "4.6344, 4.64988, 4.70628, 4.7958, 4.91004, 5.05716, 5.2398", \
+                            "4.63584, 4.65444, 4.70988, 4.79652, 4.91124, 5.05812, 5.24664", \
+                            "4.62312, 4.64604, 4.70136, 4.78356, 4.89768, 5.04564, 5.2296" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "0.187752, 0.208884, 0.274332, 0.386436, 0.55596, 0.798216, 1.12002" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.284845;
+                  clock                : true;
+                  max_transition       : 1.394;
+                  min_pulse_width_high : 2.103825;
+                  min_pulse_width_low  : 1.981425;
+                  min_period           : 6.07704;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1293.85, 1293.85, 1293.85, 1293.85, 1293.85, 1293.85, 1293.85");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1293.85, 1293.85, 1293.85, 1293.85, 1293.85, 1293.85, 1293.85");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("0.030899, 0.030899, 0.030899, 0.030899, 0.030899, 0.030899, 0.030899");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("0.030899, 0.030899, 0.030899, 0.030899, 0.030899, 0.030899, 0.030899");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1165.42, 1165.42, 1165.42, 1165.42, 1165.42, 1165.42, 1165.42");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1165.42, 1165.42, 1165.42, 1165.42, 1165.42, 1165.42, 1165.42");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0173055;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.411015, 0.407924, 0.402347, 0.404899, 0.423368, 0.447172, 0.472615", \
+                            "0.411048, 0.407935, 0.402215, 0.404734, 0.423203, 0.445665, 0.470448", \
+                            "0.409937, 0.407495, 0.401357, 0.404492, 0.423654, 0.440605, 0.46222", \
+                            "0.410784, 0.407407, 0.401291, 0.404316, 0.422774, 0.440418, 0.453024", \
+                            "0.409915, 0.407286, 0.400972, 0.404041, 0.422521, 0.440165, 0.452771", \
+                            "0.409959, 0.406516, 0.400785, 0.403953, 0.423115, 0.440066, 0.454069", \
+                            "0.419188, 0.415811, 0.41008, 0.414502, 0.438669, 0.465487, 0.492426" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.388872, 0.390258, 0.399113, 0.42064, 0.465267, 0.519519, 0.580701", \
+                            "0.388938, 0.390093, 0.398937, 0.420475, 0.465102, 0.519409, 0.580536", \
+                            "0.389169, 0.389862, 0.399311, 0.420244, 0.46486, 0.519167, 0.580294", \
+                            "0.388509, 0.389664, 0.398508, 0.420035, 0.464673, 0.518947, 0.580107", \
+                            "0.388245, 0.3894, 0.398255, 0.419793, 0.46442, 0.518694, 0.579854", \
+                            "0.387871, 0.389312, 0.398167, 0.419694, 0.464321, 0.518628, 0.579755", \
+                            "0.388619, 0.38929, 0.398167, 0.419683, 0.464277, 0.518628, 0.579755" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "1.07749, 1.08059, 1.08616, 1.08361, 1.06514, 1.0475, 1.03488", \
+                            "1.09031, 1.09343, 1.09914, 1.09662, 1.07815, 1.06051, 1.0479", \
+                            "1.10274, 1.10518, 1.11132, 1.10818, 1.08902, 1.07206, 1.05945", \
+                            "1.12333, 1.12671, 1.13282, 1.1298, 1.11134, 1.0937, 1.08109", \
+                            "1.15544, 1.15807, 1.16438, 1.16131, 1.14283, 1.1252, 1.11258", \
+                            "1.1817, 1.18515, 1.19087, 1.18771, 1.16855, 1.1516, 1.13898", \
+                            "1.20181, 1.20518, 1.21124, 1.20783, 1.18979, 1.17294, 1.15948" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "1.09964, 1.09825, 1.0894, 1.06787, 1.02324, 0.96899, 0.907808", \
+                            "1.11242, 1.11126, 1.10242, 1.08088, 1.03625, 0.981948, 0.920821", \
+                            "1.12351, 1.1228, 1.11337, 1.09243, 1.04781, 0.993498, 0.932371", \
+                            "1.14562, 1.14445, 1.13562, 1.11408, 1.06944, 1.01518, 0.954008", \
+                            "1.17711, 1.17596, 1.1671, 1.14557, 1.10094, 1.04667, 0.985501", \
+                            "1.2038, 1.20234, 1.1935, 1.17197, 1.12735, 1.07304, 1.01191", \
+                            "1.22354, 1.22287, 1.21399, 1.19248, 1.14788, 1.09353, 1.03241" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0490477;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.562232, 0.564278, 0.570702, 0.591107, 0.627374, 0.675554, 0.717057", \
+                            "0.561407, 0.563816, 0.570471, 0.590832, 0.627704, 0.675521, 0.719356", \
+                            "0.554301, 0.556545, 0.563233, 0.583627, 0.619993, 0.668338, 0.713317", \
+                            "0.533929, 0.536316, 0.542828, 0.563145, 0.599423, 0.64757, 0.691669", \
+                            "0.499994, 0.502678, 0.509025, 0.529342, 0.565851, 0.614053, 0.659285", \
+                            "0.469095, 0.471845, 0.478335, 0.498674, 0.535601, 0.583099, 0.628298", \
+                            "0.441573, 0.444246, 0.450758, 0.471086, 0.507353, 0.555786, 0.60071" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.587928, 0.590832, 0.604758, 0.639155, 0.697631, 0.778217, 0.874478", \
+                            "0.587246, 0.590557, 0.605825, 0.639683, 0.697356, 0.779801, 0.876018", \
+                            "0.580272, 0.583396, 0.59818, 0.631741, 0.693308, 0.770924, 0.866525", \
+                            "0.55957, 0.56287, 0.577709, 0.61138, 0.671484, 0.752741, 0.846329", \
+                            "0.525767, 0.528869, 0.543895, 0.577577, 0.639023, 0.718938, 0.814539", \
+                            "0.495308, 0.498399, 0.512325, 0.546909, 0.605198, 0.687566, 0.781583", \
+                            "0.467731, 0.4708, 0.485628, 0.5192, 0.577599, 0.659637, 0.753984" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.818994, 0.817993, 0.810986, 0.793848, 0.771023, 0.757361, 0.752433", \
+                            "0.819511, 0.818785, 0.811316, 0.794167, 0.771331, 0.757658, 0.746812", \
+                            "0.816728, 0.814605, 0.808269, 0.791131, 0.768306, 0.754611, 0.742005", \
+                            "0.818774, 0.81774, 0.810634, 0.793397, 0.77055, 0.75691, 0.746042", \
+                            "0.822173, 0.820281, 0.814033, 0.79684, 0.774004, 0.760342, 0.747725", \
+                            "0.825187, 0.823009, 0.816706, 0.799568, 0.776743, 0.76307, 0.750442", \
+                            "0.813912, 0.812691, 0.805706, 0.788557, 0.765743, 0.752037, 0.739431" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.485144, 0.482218, 0.467709, 0.43252, 0.373813, 0.298122, 0.203966", \
+                            "0.485661, 0.482988, 0.468061, 0.433488, 0.374132, 0.295625, 0.203605", \
+                            "0.483252, 0.479875, 0.465036, 0.430111, 0.369644, 0.293161, 0.201254", \
+                            "0.485408, 0.4818, 0.467324, 0.432476, 0.374649, 0.296384, 0.203231", \
+                            "0.488719, 0.485221, 0.470734, 0.43582, 0.375364, 0.299827, 0.206272", \
+                            "0.491766, 0.488092, 0.473011, 0.438548, 0.379533, 0.302819, 0.209004", \
+                            "0.518474, 0.514734, 0.499851, 0.46508, 0.404206, 0.32208, 0.233776" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00767809;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.218044, 0.222242, 0.236276, 0.271538, 0.330693, 0.405834, 0.50083", \
+                            "0.2166, 0.220381, 0.234883, 0.2696, 0.32912, 0.407748, 0.500335", \
+                            "0.219079, 0.222958, 0.237272, 0.272348, 0.332959, 0.4092, 0.502821", \
+                            "0.216993, 0.221209, 0.234903, 0.270689, 0.328581, 0.406824, 0.500599", \
+                            "0.214657, 0.21895, 0.232943, 0.26851, 0.32802, 0.40458, 0.498927", \
+                            "0.211681, 0.21556, 0.230441, 0.265261, 0.324588, 0.400367, 0.494879", \
+                            "0.221971, 0.226207, 0.24069, 0.275594, 0.33462, 0.412049, 0.506088" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.818994, 0.817993, 0.810986, 0.793848, 0.771023, 0.757361, 0.752433", \
+                            "0.819511, 0.818785, 0.811316, 0.794167, 0.771331, 0.757658, 0.746812", \
+                            "0.816728, 0.815364, 0.808269, 0.791131, 0.768306, 0.754611, 0.743677", \
+                            "0.818774, 0.81774, 0.810634, 0.793397, 0.77055, 0.75691, 0.746042", \
+                            "0.822173, 0.820754, 0.814033, 0.79684, 0.774004, 0.760342, 0.749078", \
+                            "0.825187, 0.823471, 0.816706, 0.799568, 0.776743, 0.76307, 0.751784", \
+                            "0.813912, 0.812691, 0.805706, 0.788557, 0.765743, 0.752037, 0.740784" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.485144, 0.482218, 0.467709, 0.43252, 0.373813, 0.298122, 0.203966", \
+                            "0.485661, 0.482988, 0.468061, 0.433488, 0.374132, 0.295625, 0.203605", \
+                            "0.48367, 0.479875, 0.465036, 0.430111, 0.369644, 0.293161, 0.201254", \
+                            "0.485408, 0.4818, 0.467324, 0.432476, 0.374649, 0.296384, 0.203231", \
+                            "0.488719, 0.485221, 0.470734, 0.43582, 0.375375, 0.299827, 0.206272", \
+                            "0.491799, 0.488092, 0.473011, 0.438548, 0.379533, 0.302819, 0.209004", \
+                            "0.480799, 0.476883, 0.462297, 0.427548, 0.368522, 0.290928, 0.19799" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0375921;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.578677, 0.573518, 0.570845, 0.577269, 0.602866, 0.634095, 0.662805", \
+                            "0.577643, 0.574959, 0.5687, 0.577016, 0.600413, 0.632918, 0.661078", \
+                            "0.577016, 0.573155, 0.570482, 0.579249, 0.603075, 0.636515, 0.660748", \
+                            "0.578556, 0.572253, 0.569679, 0.576015, 0.601909, 0.635107, 0.658999", \
+                            "0.576576, 0.572572, 0.56859, 0.574134, 0.599632, 0.632126, 0.656711", \
+                            "0.573947, 0.566093, 0.563486, 0.571813, 0.59642, 0.629321, 0.653873", \
+                            "0.584265, 0.580558, 0.573628, 0.582923, 0.606221, 0.640618, 0.664697" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.864347, 0.866822, 0.875798, 0.897402, 0.945648, 1.00675, 1.08746", \
+                            "0.863929, 0.866536, 0.873939, 0.897072, 0.942832, 1.00566, 1.08688", \
+                            "0.86603, 0.869462, 0.878152, 0.896335, 0.944009, 1.00883, 1.08728", \
+                            "0.866921, 0.866965, 0.875061, 0.897149, 0.941523, 1.00652, 1.08623", \
+                            "0.862686, 0.86592, 0.872839, 0.895312, 0.937849, 1.00412, 1.08485", \
+                            "0.860772, 0.863643, 0.868879, 0.890725, 0.939532, 1.00082, 1.08031", \
+                            "0.870221, 0.872751, 0.881144, 0.901802, 0.946638, 1.00978, 1.09216" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.495913, 0.499741, 0.501204, 0.498476, 0.498366, 0.495297, 0.495506", \
+                            "0.498058, 0.501545, 0.502986, 0.500291, 0.500181, 0.497112, 0.49731", \
+                            "0.506066, 0.509916, 0.511401, 0.508651, 0.508541, 0.505472, 0.505681", \
+                            "0.525613, 0.528308, 0.529749, 0.527065, 0.526944, 0.523886, 0.524084", \
+                            "0.553366, 0.556193, 0.557667, 0.554928, 0.554818, 0.551749, 0.551947", \
+                            "0.585024, 0.588995, 0.59048, 0.587741, 0.587631, 0.584562, 0.58476", \
+                            "0.604406, 0.608146, 0.60962, 0.606892, 0.606782, 0.603713, 0.603911" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.505505, 0.503459, 0.494197, 0.481536, 0.479369, 0.488675, 0.495495", \
+                            "0.507474, 0.505252, 0.496001, 0.483351, 0.481239, 0.490501, 0.49731", \
+                            "0.515812, 0.513634, 0.504361, 0.492294, 0.493416, 0.498861, 0.50567", \
+                            "0.534226, 0.532026, 0.522775, 0.510334, 0.51183, 0.517275, 0.524084", \
+                            "0.562089, 0.5599, 0.550649, 0.537988, 0.539693, 0.545127, 0.551947", \
+                            "0.594814, 0.592724, 0.583462, 0.571384, 0.568667, 0.577951, 0.58476", \
+                            "0.613888, 0.611864, 0.602646, 0.59015, 0.591657, 0.597091, 0.603911" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0157294;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.391215, 0.39204, 0.399421, 0.40315, 0.389928, 0.371118, 0.326744", \
+                            "0.388487, 0.391226, 0.397441, 0.402182, 0.391732, 0.371294, 0.325061", \
+                            "0.390852, 0.393184, 0.399542, 0.402809, 0.39193, 0.372207, 0.326535", \
+                            "0.38852, 0.391567, 0.400059, 0.403062, 0.392942, 0.370667, 0.326524", \
+                            "0.387156, 0.388905, 0.397232, 0.39776, 0.392227, 0.369512, 0.321552", \
+                            "0.383581, 0.385407, 0.392469, 0.395879, 0.386342, 0.364991, 0.322234", \
+                            "0.394317, 0.395802, 0.404327, 0.405856, 0.399619, 0.375639, 0.332398" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.0803055, 0.0811426, 0.0958408, 0.143787, 0.217157, 0.314666, 0.438845", \
+                            "0.0798226, 0.0787336, 0.0954899, 0.142509, 0.216312, 0.314435, 0.438251", \
+                            "0.0814836, 0.0806839, 0.0972356, 0.144946, 0.218242, 0.316096, 0.439989", \
+                            "0.0809699, 0.0802208, 0.0965272, 0.143107, 0.216429, 0.314391, 0.438141", \
+                            "0.0778052, 0.0787391, 0.0948332, 0.14126, 0.214953, 0.312697, 0.436678", \
+                            "0.0738716, 0.0749969, 0.0914683, 0.138119, 0.211224, 0.308748, 0.433158", \
+                            "0.0855943, 0.0848683, 0.10161, 0.149079, 0.222158, 0.319682, 0.443938" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.49137, 0.49225, 0.483989, 0.479446, 0.489423, 0.513139, 0.556127", \
+                            "0.493537, 0.493174, 0.485419, 0.480898, 0.490842, 0.512347, 0.559086", \
+                            "0.492514, 0.491557, 0.483186, 0.479446, 0.488631, 0.511819, 0.554862", \
+                            "0.493647, 0.493108, 0.485254, 0.480634, 0.490666, 0.513513, 0.557095", \
+                            "0.495847, 0.494054, 0.486706, 0.483032, 0.492272, 0.514888, 0.558877", \
+                            "0.499158, 0.49764, 0.489687, 0.485694, 0.495253, 0.518837, 0.561297", \
+                            "0.489291, 0.487641, 0.480282, 0.476201, 0.484924, 0.509399, 0.551958" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.658053, 0.65714, 0.640266, 0.594374, 0.521323, 0.4235, 0.30107", \
+                            "0.658537, 0.658768, 0.641696, 0.595837, 0.522753, 0.42493, 0.3025", \
+                            "0.656403, 0.656337, 0.639463, 0.593604, 0.52052, 0.422697, 0.300267", \
+                            "0.65868, 0.658603, 0.641531, 0.595672, 0.522588, 0.424765, 0.302335", \
+                            "0.660187, 0.66, 0.643126, 0.597267, 0.524183, 0.426371, 0.30393", \
+                            "0.663784, 0.663036, 0.645953, 0.600105, 0.527021, 0.429198, 0.306768", \
+                            "0.653147, 0.653059, 0.63624, 0.590392, 0.517308, 0.419485, 0.297055" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.030899;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_1v98.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_1v98.lib
new file mode 100644
index 0000000..39e6329
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_1v98.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_1v98) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 1.98;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.315;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.98);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_1p98v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 1.98;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_1p98v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 0.973;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+			  index_2 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "8.32344, 8.36412, 8.4588, 8.63556, 8.89152, 9.22644, 9.69096", \
+                            "8.32752, 8.37204, 8.45436, 8.63988, 8.89728, 9.23832, 9.68868", \
+                            "8.34672, 8.39004, 8.48556, 8.667, 8.9118, 9.24972, 9.714", \
+                            "8.39352, 8.43576, 8.52852, 8.7078, 8.95632, 9.31824, 9.75708", \
+                            "8.46156, 8.49384, 8.59368, 8.7732, 9.01728, 9.37224, 9.8262", \
+                            "8.52972, 8.56968, 8.66472, 8.82708, 9.08508, 9.441, 9.8856", \
+                            "8.56452, 8.59848, 8.70576, 8.8668, 9.12276, 9.47472, 9.92988" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+  	                     "0.257448, 0.303864, 0.462312, 0.736788, 1.18348, 1.84908, 2.71752" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "8.70156, 8.7312, 8.81808, 8.94612, 9.10632, 9.29472, 9.53736", \
+                            "8.69748, 8.7396, 8.8164, 8.94816, 9.1194, 9.29724, 9.53964", \
+                            "8.72364, 8.7636, 8.841, 8.98044, 9.12624, 9.32004, 9.55836", \
+                            "8.77056, 8.8038, 8.8866, 9.02316, 9.17616, 9.37572, 9.60816", \
+                            "8.83272, 8.86884, 8.94756, 9.084, 9.23388, 9.43788, 9.67344", \
+                            "8.89908, 8.934, 9.02136, 9.14064, 9.30348, 9.50292, 9.73212", \
+                            "8.9352, 8.96928, 9.0588, 9.18, 9.342, 9.53484, 9.77328" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "0.253248, 0.293364, 0.384828, 0.52242, 0.726192, 1.01678, 1.38084" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.270218;
+                  clock                : true;
+                  max_transition       : 2.315;
+                  min_pulse_width_high : 4.25379;
+                  min_pulse_width_low  : 4.340025;
+                  min_period           : 10.94493;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("133.709, 133.709, 133.709, 133.709, 133.709, 133.709, 133.709");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("133.709, 133.709, 133.709, 133.709, 133.709, 133.709, 133.709");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("9.73823e-05, 9.73823e-05, 9.73823e-05, 9.73823e-05, 9.73823e-05, 9.73823e-05, 9.73823e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("9.73823e-05, 9.73823e-05, 9.73823e-05, 9.73823e-05, 9.73823e-05, 9.73823e-05, 9.73823e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("121.344, 121.344, 121.344, 121.344, 121.344, 121.344, 121.344");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("121.344, 121.344, 121.344, 121.344, 121.344, 121.344, 121.344");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0171529;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.796862, 0.790702, 0.789459, 0.834702, 0.938432, 1.09252, 1.24307", \
+                            "0.788799, 0.780835, 0.779592, 0.824835, 0.928576, 1.08266, 1.24044", \
+                            "0.754523, 0.748363, 0.746911, 0.792363, 0.896104, 1.05018, 1.20074", \
+                            "0.70873, 0.700777, 0.697191, 0.742511, 0.837507, 0.98571, 1.13658", \
+                            "0.708059, 0.699666, 0.698819, 0.742313, 0.83732, 0.96888, 1.07416", \
+                            "0.707905, 0.700447, 0.698643, 0.742159, 0.837177, 0.968715, 1.05642", \
+                            "0.708026, 0.700568, 0.698764, 0.742269, 0.837287, 0.968836, 1.06705" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.654852, 0.662068, 0.691625, 0.762399, 0.88308, 1.05601, 1.2593", \
+                            "0.651981, 0.657701, 0.688875, 0.758406, 0.879626, 1.05295, 1.24945", \
+                            "0.651585, 0.657283, 0.688534, 0.758065, 0.879296, 1.0526, 1.24892", \
+                            "0.651244, 0.656953, 0.688149, 0.757724, 0.878944, 1.05227, 1.24858", \
+                            "0.651068, 0.656777, 0.687973, 0.757548, 0.878779, 1.0521, 1.24841", \
+                            "0.650914, 0.656623, 0.687863, 0.757394, 0.878603, 1.05193, 1.24825", \
+                            "0.65109, 0.656744, 0.687973, 0.757515, 0.878724, 1.05205, 1.24838" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "2.00079, 2.00651, 2.00827, 1.96482, 1.86978, 1.73822, 1.65055", \
+                            "2.00585, 2.01333, 2.0152, 1.97164, 1.8766, 1.74504, 1.64681", \
+                            "2.03709, 2.04292, 2.04688, 2.00123, 1.90619, 1.77463, 1.68696", \
+                            "2.10386, 2.11178, 2.11541, 2.07009, 1.97505, 1.8436, 1.75582", \
+                            "2.20946, 2.21782, 2.2187, 2.17514, 2.08021, 1.94865, 1.86087", \
+                            "2.34718, 2.35466, 2.35642, 2.31297, 2.21793, 2.08637, 1.9987", \
+                            "2.47852, 2.486, 2.48787, 2.44431, 2.34927, 2.21771, 2.11948" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "2.05601, 2.05029, 2.01916, 1.94953, 1.82831, 1.65506, 1.45871", \
+                            "2.06283, 2.05711, 2.02598, 1.95646, 1.83524, 1.66188, 1.46553", \
+                            "2.09242, 2.0867, 2.05546, 1.98594, 1.86472, 1.69136, 1.49512", \
+                            "2.16139, 2.15567, 2.12443, 2.05491, 1.93369, 1.76033, 1.56398", \
+                            "2.26644, 2.26072, 2.22948, 2.15996, 2.03874, 1.86538, 1.66903", \
+                            "2.40416, 2.39844, 2.3672, 2.29768, 2.17646, 2.00321, 1.80686", \
+                            "2.5355, 2.52989, 2.49865, 2.42913, 2.30791, 2.13455, 1.9382" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0440073;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.00473, 1.01448, 1.04632, 1.1077, 1.20421, 1.3186, 1.42758", \
+                            "0.990517, 0.999614, 1.03235, 1.09344, 1.18996, 1.30353, 1.41339", \
+                            "0.963303, 0.971619, 1.00511, 1.06623, 1.16274, 1.27713, 1.38611", \
+                            "0.90904, 0.917367, 0.950884, 1.01198, 1.10849, 1.22288, 1.33188", \
+                            "0.818334, 0.828146, 0.860277, 0.921371, 1.01788, 1.13227, 1.24128", \
+                            "0.73469, 0.744425, 0.776523, 0.837507, 0.934142, 1.04852, 1.15753", \
+                            "0.674201, 0.682363, 0.716023, 0.777117, 0.873631, 0.987206, 1.09864" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.19323, 1.19202, 1.23745, 1.31388, 1.4487, 1.62426, 1.83887", \
+                            "1.17362, 1.17772, 1.21962, 1.29963, 1.43528, 1.60996, 1.82468", \
+                            "1.15176, 1.15058, 1.19244, 1.27241, 1.408, 1.58279, 1.7974", \
+                            "1.09738, 1.09634, 1.1392, 1.21814, 1.353, 1.52856, 1.74317", \
+                            "1.00156, 1.00569, 1.04756, 1.12756, 1.26326, 1.43792, 1.65253", \
+                            "0.923164, 0.92191, 0.96382, 1.04381, 1.17868, 1.35421, 1.56882", \
+                            "0.862521, 0.861432, 0.903298, 0.98329, 1.11814, 1.29366, 1.50832" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.37621, 1.3673, 1.3354, 1.2775, 1.19979, 1.13379, 1.08314", \
+                            "1.38149, 1.37379, 1.34068, 1.28277, 1.20506, 1.13905, 1.08684", \
+                            "1.40294, 1.39634, 1.36213, 1.3042, 1.2265, 1.16049, 1.10985", \
+                            "1.44309, 1.43649, 1.40184, 1.34431, 1.26664, 1.19945, 1.14939", \
+                            "1.4916, 1.48269, 1.45079, 1.39282, 1.31516, 1.24915, 1.19851", \
+                            "1.54495, 1.53604, 1.50403, 1.44617, 1.36851, 1.30249, 1.25183", \
+                            "1.58851, 1.58191, 1.5477, 1.48984, 1.41218, 1.34618, 1.29549" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.744667, 0.729619, 0.693209, 0.609488, 0.484583, 0.310115, 0.112004", \
+                            "0.7491, 0.734932, 0.698346, 0.614724, 0.489225, 0.315402, 0.117275", \
+                            "0.771386, 0.756283, 0.72116, 0.636163, 0.510653, 0.33682, 0.138703", \
+                            "0.811514, 0.795278, 0.758824, 0.675466, 0.550154, 0.377003, 0.177661", \
+                            "0.860035, 0.844943, 0.808434, 0.724812, 0.599291, 0.425491, 0.227365", \
+                            "0.91322, 0.898282, 0.861784, 0.778151, 0.653191, 0.47872, 0.280698", \
+                            "0.956791, 0.941919, 0.905421, 0.82181, 0.695431, 0.522478, 0.324347" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00700372;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.04389, 0.100034", \
+                            "0, 0, 0, 0, 0, 0.039347, 0.095491", \
+                            "0, 0, 0, 0, 0, 0.016918, 0.073062", \
+                            "0, 0, 0, 0, 0, 0, 0.036399", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.428637, 0.43945, 0.480568, 0.558976, 0.687379, 0.862092, 1.06198", \
+                            "0.424017, 0.435831, 0.476146, 0.554642, 0.683045, 0.857439, 1.0574", \
+                            "0.40447, 0.413468, 0.452749, 0.532191, 0.660616, 0.83512, 1.03501", \
+                            "0.367807, 0.376739, 0.416966, 0.495407, 0.624866, 0.798347, 0.998294", \
+                            "0.318663, 0.327441, 0.368093, 0.446611, 0.575619, 0.749419, 0.949366", \
+                            "0.263427, 0.275139, 0.315369, 0.393855, 0.520894, 0.69696, 0.896665", \
+                            "0.216347, 0.228456, 0.268677, 0.347182, 0.476597, 0.650276, 0.850014" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.37863, 1.3695, 1.33969, 1.27896, 1.20377, 1.13379, 1.08314", \
+                            "1.38391, 1.37478, 1.34497, 1.28424, 1.20905, 1.13905, 1.08684", \
+                            "1.40602, 1.39689, 1.36708, 1.30632, 1.23114, 1.16049, 1.10985", \
+                            "1.44551, 1.43649, 1.40657, 1.34574, 1.27061, 1.19945, 1.14939", \
+                            "1.49402, 1.48489, 1.45508, 1.39425, 1.31912, 1.24915, 1.19851", \
+                            "1.54737, 1.53824, 1.50832, 1.4476, 1.37247, 1.30249, 1.25183", \
+                            "1.59104, 1.58191, 1.5521, 1.49127, 1.41614, 1.34618, 1.29549" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.744667, 0.729619, 0.693209, 0.609488, 0.484583, 0.310115, 0.112608", \
+                            "0.7491, 0.734932, 0.698346, 0.614724, 0.489225, 0.315402, 0.117886", \
+                            "0.771386, 0.756283, 0.7216, 0.636614, 0.511115, 0.33682, 0.140045", \
+                            "0.811514, 0.795278, 0.759649, 0.675873, 0.551045, 0.377003, 0.179424", \
+                            "0.860035, 0.844943, 0.808434, 0.724812, 0.599291, 0.425491, 0.227939", \
+                            "0.91322, 0.898282, 0.861784, 0.778151, 0.653191, 0.47872, 0.28128", \
+                            "0.956791, 0.941919, 0.905421, 0.82181, 0.695431, 0.522478, 0.32496" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0374395;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.19772, 1.18879, 1.19165, 1.23798, 1.34695, 1.48566, 1.65165", \
+                            "1.1918, 1.18043, 1.18593, 1.23432, 1.33441, 1.4773, 1.64483", \
+                            "1.16417, 1.16099, 1.1593, 1.20515, 1.3136, 1.45299, 1.62261", \
+                            "1.12538, 1.1236, 1.12644, 1.17422, 1.28241, 1.42318, 1.5906", \
+                            "1.08009, 1.0767, 1.07668, 1.12747, 1.23013, 1.36972, 1.53582", \
+                            "1.03065, 1.01886, 1.0211, 1.06901, 1.18004, 1.31804, 1.48302", \
+                            "0.984786, 0.977295, 0.981794, 1.03771, 1.13302, 1.26979, 1.43935" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.48258, 1.49094, 1.52856, 1.59093, 1.71072, 1.8843, 2.10958", \
+                            "1.48522, 1.49237, 1.52471, 1.58466, 1.70313, 1.88078, 2.10815", \
+                            "1.45739, 1.45882, 1.49589, 1.55793, 1.67992, 1.85625, 2.0768", \
+                            "1.42098, 1.42593, 1.46443, 1.5301, 1.64439, 1.82512, 2.04952", \
+                            "1.37555, 1.38072, 1.41284, 1.47741, 1.60083, 1.77474, 2.00332", \
+                            "1.32033, 1.3277, 1.35806, 1.42043, 1.53879, 1.71996, 1.94601", \
+                            "1.28086, 1.28094, 1.31567, 1.37742, 1.49798, 1.67992, 1.89849" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.773685, 0.777425, 0.772464, 0.720005, 0.65472, 0.556798, 0.44484", \
+                            "0.786016, 0.790262, 0.785829, 0.733381, 0.668074, 0.570042, 0.455268", \
+                            "0.813912, 0.818213, 0.813824, 0.76142, 0.696036, 0.598004, 0.483945", \
+                            "0.874291, 0.878537, 0.874115, 0.821656, 0.75636, 0.658328, 0.544269", \
+                            "0.964821, 0.969661, 0.964733, 0.91234, 0.846956, 0.749034, 0.635228", \
+                            "1.08633, 1.09056, 1.08615, 1.03368, 0.968385, 0.870353, 0.756283", \
+                            "1.19677, 1.20099, 1.19546, 1.12452, 1.07881, 0.980782, 0.866679" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.84392, 0.838167, 0.803044, 0.748242, 0.684475, 0.613261, 0.543609", \
+                            "0.857285, 0.850564, 0.81642, 0.761629, 0.697851, 0.626637, 0.556996", \
+                            "0.886017, 0.879494, 0.844327, 0.789569, 0.725802, 0.654588, 0.584936", \
+                            "0.947012, 0.940797, 0.904695, 0.849893, 0.786126, 0.714912, 0.645348", \
+                            "1.03694, 1.03039, 0.99528, 0.940478, 0.876733, 0.805497, 0.735856", \
+                            "1.15839, 1.15088, 1.11669, 1.06192, 0.998151, 0.926948, 0.857384", \
+                            "1.26873, 1.26324, 1.22716, 1.17236, 1.10859, 1.03738, 0.967725" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0153635;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.883498, 0.892199, 0.923956, 0.969518, 1.00601, 1.0167, 1.01477", \
+                            "0.872993, 0.888459, 0.917609, 0.96998, 1.00477, 1.0198, 1.00984", \
+                            "0.855448, 0.863676, 0.893552, 0.938443, 0.980254, 0.996171, 0.978582", \
+                            "0.816618, 0.830005, 0.858121, 0.905234, 0.945956, 0.963248, 0.948024", \
+                            "0.771353, 0.779416, 0.809138, 0.859881, 0.894113, 0.912692, 0.903375", \
+                            "0.712096, 0.719455, 0.755238, 0.802626, 0.836528, 0.85778, 0.842347", \
+                            "0.67199, 0.682253, 0.713361, 0.758043, 0.793199, 0.815518, 0.799634" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.138776, 0.137582, 0.171993, 0.249663, 0.373714, 0.548009, 0.74558", \
+                            "0.133855, 0.132572, 0.166972, 0.244774, 0.368665, 0.542971, 0.740564", \
+                            "0.108603, 0.107591, 0.142, 0.21786, 0.343893, 0.518023, 0.715594", \
+                            "0.075559, 0.074525, 0.108933, 0.186733, 0.310531, 0.484935, 0.68222", \
+                            "0.025982, 0.024981, 0.059202, 0.136998, 0.263887, 0.435193, 0.632786", \
+                            "0, 0, 0.002871, 0.081048, 0.204686, 0.383372, 0.57684", \
+                            "0, 0, 0, 0.037411, 0.161504, 0.33561, 0.533203" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.612282, 0.601414, 0.571241, 0.523149, 0.488664, 0.47652, 0.473088", \
+                            "0.617331, 0.60654, 0.577511, 0.528253, 0.493746, 0.474727, 0.476751", \
+                            "0.63558, 0.624822, 0.598059, 0.546381, 0.512028, 0.494406, 0.49698", \
+                            "0.672507, 0.663553, 0.634227, 0.585673, 0.550792, 0.533159, 0.535194", \
+                            "0.718014, 0.708268, 0.678106, 0.630135, 0.595507, 0.576741, 0.57992", \
+                            "0.77836, 0.770594, 0.737363, 0.689535, 0.654786, 0.636009, 0.639199", \
+                            "0.822349, 0.813065, 0.783376, 0.735471, 0.69982, 0.680537, 0.683177" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.10362, 1.10608, 1.07018, 0.994015, 0.870144, 0.693539, 0.500676", \
+                            "1.1088, 1.11112, 1.07521, 0.999031, 0.875171, 0.698566, 0.505692", \
+                            "1.12691, 1.12937, 1.09348, 1.0173, 0.893431, 0.716826, 0.523963", \
+                            "1.16568, 1.16812, 1.13223, 1.05606, 0.932195, 0.75559, 0.562716", \
+                            "1.21039, 1.21285, 1.17695, 1.10078, 0.97691, 0.800305, 0.607431", \
+                            "1.26722, 1.27212, 1.23661, 1.16005, 1.03618, 0.859584, 0.66671", \
+                            "1.3147, 1.31716, 1.28127, 1.20509, 1.08122, 0.904618, 0.711755" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 9.738234e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_3v60.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_3v60.lib
new file mode 100644
index 0000000..ef20563
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_3v60.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_3v60) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 3.6;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.7498;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.6);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_3p6v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 3.6;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_3p6v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0614;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+			  index_2 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "4.08996, 4.10892, 4.1592, 4.24632, 4.37664, 4.55568, 4.791", \
+                            "4.09296, 4.10808, 4.16412, 4.24716, 4.3776, 4.55448, 4.79496", \
+                            "4.10352, 4.1208, 4.17264, 4.2618, 4.38552, 4.57044, 4.80276", \
+                            "4.10988, 4.1262, 4.1772, 4.26492, 4.38948, 4.56876, 4.80396", \
+                            "4.12716, 4.143, 4.19592, 4.28184, 4.41108, 4.59384, 4.82916", \
+                            "4.125, 4.1406, 4.19352, 4.2804, 4.4112, 4.58964, 4.82592", \
+                            "4.10832, 4.12728, 4.17996, 4.2666, 4.395, 4.57572, 4.8108" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+  	                     "0.135456, 0.160332, 0.243336, 0.398652, 0.640488, 1.00091, 1.467" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "4.23036, 4.24716, 4.29276, 4.3662, 4.46688, 4.59324, 4.74348", \
+                            "4.22712, 4.24248, 4.29648, 4.37004, 4.4646, 4.5828, 4.74432", \
+                            "4.23912, 4.25688, 4.30272, 4.38168, 4.47072, 4.602, 4.75008", \
+                            "4.24704, 4.26288, 4.31004, 4.3836, 4.48116, 4.60308, 4.75608", \
+                            "4.2618, 4.27824, 4.3284, 4.39788, 4.49592, 4.62288, 4.779", \
+                            "4.2582, 4.2762, 4.32516, 4.40004, 4.49868, 4.62084, 4.77456", \
+                            "4.24248, 4.2618, 4.311, 4.38552, 4.48608, 4.60476, 4.76064" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "0.138036, 0.160152, 0.211104, 0.305448, 0.437964, 0.62958, 0.877152" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.279679;
+                  clock                : true;
+                  max_transition       : 1.7498;
+                  min_pulse_width_high : 2.123505;
+                  min_pulse_width_low  : 2.52633;
+                  min_period           : 5.48004;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("487.188, 487.188, 487.188, 487.188, 487.188, 487.188, 487.188");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("487.188, 487.188, 487.188, 487.188, 487.188, 487.188, 487.188");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("0.000322531, 0.000322531, 0.000322531, 0.000322531, 0.000322531, 0.000322531, 0.000322531");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("0.000322531, 0.000322531, 0.000322531, 0.000322531, 0.000322531, 0.000322531, 0.000322531");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("437.886, 437.886, 437.886, 437.886, 437.886, 437.886, 437.886");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("437.886, 437.886, 437.886, 437.886, 437.886, 437.886, 437.886");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0171425;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.426382, 0.421388, 0.417923, 0.438306, 0.474309, 0.500698, 0.519981", \
+                            "0.42427, 0.418539, 0.414733, 0.433565, 0.468798, 0.496221, 0.515504", \
+                            "0.422202, 0.418396, 0.414997, 0.432454, 0.456676, 0.476047, 0.496991", \
+                            "0.423269, 0.418286, 0.414546, 0.432333, 0.456566, 0.468391, 0.475167", \
+                            "0.422466, 0.417923, 0.414194, 0.431981, 0.456214, 0.468039, 0.474804", \
+                            "0.422917, 0.417824, 0.414293, 0.431937, 0.456159, 0.467995, 0.474958", \
+                            "0.421729, 0.417747, 0.413699, 0.431486, 0.461593, 0.491293, 0.502293" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.405284, 0.407957, 0.423742, 0.460042, 0.521862, 0.592669, 0.67408", \
+                            "0.405229, 0.407737, 0.423522, 0.458392, 0.520531, 0.592449, 0.67386", \
+                            "0.404019, 0.408067, 0.423192, 0.459492, 0.521301, 0.592108, 0.673519", \
+                            "0.404613, 0.407297, 0.423071, 0.459382, 0.521191, 0.591998, 0.673409", \
+                            "0.404206, 0.404932, 0.422719, 0.459019, 0.520839, 0.591646, 0.673057", \
+                            "0.404393, 0.40689, 0.422664, 0.458975, 0.520784, 0.591591, 0.673002", \
+                            "0.403678, 0.406747, 0.422543, 0.456082, 0.520652, 0.591459, 0.67287" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "1.01837, 1.02335, 1.02709, 1.00929, 0.985072, 0.973247, 0.966471", \
+                            "1.02174, 1.02747, 1.03127, 1.01354, 0.990088, 0.977163, 0.970398", \
+                            "1.03886, 1.04267, 1.04607, 1.02861, 1.00439, 0.995159, 0.988537", \
+                            "1.07503, 1.08001, 1.08375, 1.06595, 1.04173, 1.0299, 1.02313", \
+                            "1.1142, 1.11874, 1.12247, 1.10469, 1.08045, 1.06863, 1.06185", \
+                            "1.15842, 1.16351, 1.16705, 1.14941, 1.12518, 1.11335, 1.10659", \
+                            "1.17574, 1.17972, 1.18376, 1.16598, 1.14348, 1.1296, 1.13245" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "1.03703, 1.03434, 1.01856, 0.982256, 0.920447, 0.84964, 0.768229", \
+                            "1.04078, 1.03827, 1.02248, 0.987624, 0.925474, 0.853567, 0.772156", \
+                            "1.05705, 1.053, 1.03787, 1.00157, 0.939763, 0.868956, 0.787545", \
+                            "1.09368, 1.091, 1.07523, 1.03892, 0.977108, 0.906301, 0.82489", \
+                            "1.13246, 1.13174, 1.11395, 1.07764, 1.01583, 0.945021, 0.86361", \
+                            "1.17696, 1.17446, 1.15867, 1.12237, 1.06055, 0.989758, 0.908336", \
+                            "1.19379, 1.19072, 1.17492, 1.14138, 1.07681, 1.00601, 0.924594" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.047252;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.556402, 0.562397, 0.575993, 0.610764, 0.663245, 0.711227, 0.755733", \
+                            "0.554609, 0.559867, 0.573826, 0.60907, 0.660715, 0.708697, 0.752686", \
+                            "0.538483, 0.543741, 0.557788, 0.592944, 0.644567, 0.69256, 0.735933", \
+                            "0.505274, 0.510818, 0.524348, 0.560021, 0.610566, 0.659582, 0.703571", \
+                            "0.470888, 0.476135, 0.490336, 0.525349, 0.576972, 0.624965, 0.668954", \
+                            "0.434489, 0.439912, 0.453596, 0.48895, 0.540584, 0.588225, 0.632555", \
+                            "0.412764, 0.418319, 0.432311, 0.467456, 0.51909, 0.567072, 0.611061" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.599709, 0.606386, 0.624426, 0.676005, 0.751454, 0.855503, 0.96789", \
+                            "0.597289, 0.603845, 0.620334, 0.673464, 0.744381, 0.852533, 0.96536", \
+                            "0.581229, 0.587499, 0.60577, 0.657338, 0.732787, 0.836836, 0.949223", \
+                            "0.548064, 0.554741, 0.572781, 0.624382, 0.699787, 0.803847, 0.916245", \
+                            "0.513557, 0.520124, 0.53999, 0.589743, 0.665192, 0.769241, 0.881628", \
+                            "0.477125, 0.483714, 0.501765, 0.553355, 0.628793, 0.732853, 0.84524", \
+                            "0.455774, 0.462231, 0.480282, 0.53185, 0.607299, 0.711425, 0.823746" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.778569, 0.7744, 0.759572, 0.728805, 0.70752, 0.686004, 0.677523", \
+                            "0.785697, 0.781935, 0.766733, 0.735812, 0.714659, 0.693132, 0.684497", \
+                            "0.790119, 0.786214, 0.771122, 0.740234, 0.71907, 0.697554, 0.688919", \
+                            "0.795234, 0.791032, 0.776215, 0.74547, 0.722051, 0.702658, 0.694023", \
+                            "0.810128, 0.805926, 0.791109, 0.760232, 0.739079, 0.717563, 0.708928", \
+                            "0.807532, 0.803638, 0.788194, 0.75768, 0.736527, 0.715, 0.706365", \
+                            "0.797082, 0.793177, 0.778085, 0.747197, 0.726198, 0.704517, 0.695882" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.464915, 0.460339, 0.43791, 0.388476, 0.31449, 0.21777, 0.109512", \
+                            "0.472769, 0.467555, 0.445896, 0.395813, 0.326678, 0.225178, 0.116661", \
+                            "0.476751, 0.471922, 0.449438, 0.400026, 0.326073, 0.229189, 0.121065", \
+                            "0.481745, 0.476927, 0.454487, 0.40513, 0.331155, 0.233908, 0.126128", \
+                            "0.497156, 0.491865, 0.469843, 0.420024, 0.346071, 0.248806, 0.141022", \
+                            "0.510983, 0.505571, 0.484594, 0.433895, 0.355476, 0.259014, 0.153303", \
+                            "0.554169, 0.549329, 0.528385, 0.477675, 0.399267, 0.302573, 0.197087" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.0074375;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0, 0, 0, 0.002189, 0.027698, 0.045419, 0.055583", \
+                            "0, 0, 0, 0, 0.021065, 0.03927, 0.048961", \
+                            "0, 0, 0, 0, 0.01485, 0.033385, 0.042735", \
+                            "0, 0, 0, 0, 0.010593, 0.029161, 0.038489", \
+                            "0, 0, 0, 0, 0, 0.013915, 0.024079", \
+                            "0, 0, 0, 0, 0, 0.017182, 0.026466", \
+                            "0, 0, 0, 0, 0.009097, 0.027643, 0.036993" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.266223, 0.270623, 0.292754, 0.342034, 0.416042, 0.512919, 0.620565", \
+                            "0.258798, 0.263617, 0.285303, 0.335357, 0.404371, 0.506264, 0.613998", \
+                            "0.253063, 0.257424, 0.280002, 0.329175, 0.403194, 0.500038, 0.608377", \
+                            "0.248981, 0.25347, 0.275394, 0.324951, 0.398937, 0.495154, 0.603845", \
+                            "0.233915, 0.238941, 0.260367, 0.310519, 0.384538, 0.481536, 0.589875", \
+                            "0.236308, 0.241647, 0.263359, 0.312906, 0.386925, 0.483989, 0.591679", \
+                            "0.247629, 0.25216, 0.274261, 0.323422, 0.397496, 0.491073, 0.602635" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.778569, 0.7744, 0.759572, 0.728805, 0.70752, 0.686004, 0.677523", \
+                            "0.785829, 0.781935, 0.766733, 0.73634, 0.714659, 0.693132, 0.684497", \
+                            "0.790119, 0.786214, 0.771122, 0.740333, 0.71907, 0.697554, 0.688919", \
+                            "0.795234, 0.791032, 0.776215, 0.745481, 0.722051, 0.702658, 0.694023", \
+                            "0.810128, 0.805926, 0.791109, 0.760364, 0.739079, 0.717563, 0.708928", \
+                            "0.807532, 0.803638, 0.788194, 0.757801, 0.736527, 0.715, 0.706365", \
+                            "0.797082, 0.793177, 0.778085, 0.747307, 0.726198, 0.704517, 0.695882" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.464915, 0.460339, 0.43791, 0.388476, 0.314523, 0.21777, 0.109512", \
+                            "0.472769, 0.467555, 0.446116, 0.395813, 0.326678, 0.225197, 0.116909", \
+                            "0.476751, 0.471922, 0.449438, 0.400026, 0.326073, 0.229217, 0.121065", \
+                            "0.481745, 0.476927, 0.454487, 0.40513, 0.331188, 0.234357, 0.126128", \
+                            "0.497156, 0.491865, 0.469843, 0.420024, 0.346093, 0.249259, 0.141245", \
+                            "0.494637, 0.489203, 0.466807, 0.417472, 0.34353, 0.246788, 0.138686", \
+                            "0.483318, 0.47872, 0.45639, 0.407022, 0.333036, 0.239231, 0.128189" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0374291;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.609268, 0.607739, 0.604362, 0.624668, 0.660264, 0.691592, 0.715385", \
+                            "0.603471, 0.60093, 0.596563, 0.625416, 0.65604, 0.686356, 0.710534", \
+                            "0.597498, 0.595287, 0.591679, 0.618497, 0.651387, 0.680284, 0.706541", \
+                            "0.592526, 0.588071, 0.586465, 0.613767, 0.647559, 0.675235, 0.699787", \
+                            "0.580448, 0.577368, 0.57299, 0.601051, 0.634458, 0.661804, 0.687126", \
+                            "0.583319, 0.578446, 0.575058, 0.603845, 0.636955, 0.662915, 0.689337", \
+                            "0.5929, 0.589666, 0.586135, 0.613261, 0.643687, 0.674146, 0.699072" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.854447, 0.855393, 0.875204, 0.910437, 0.973225, 1.05526, 1.15556", \
+                            "0.847935, 0.852918, 0.869616, 0.904486, 0.96745, 1.05163, 1.15016", \
+                            "0.845163, 0.843194, 0.862939, 0.8954, 0.962973, 1.04511, 1.14379", \
+                            "0.836396, 0.836869, 0.857615, 0.889691, 0.955306, 1.03825, 1.13915", \
+                            "0.823427, 0.825198, 0.84469, 0.878108, 0.942051, 1.02717, 1.12553", \
+                            "0.824549, 0.826408, 0.846142, 0.881749, 0.946363, 1.02777, 1.12657", \
+                            "0.83743, 0.836517, 0.855668, 0.890373, 0.955746, 1.03678, 1.13806" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.495484, 0.498773, 0.497508, 0.494164, 0.491975, 0.489313, 0.485771", \
+                            "0.502491, 0.506385, 0.505131, 0.494098, 0.496661, 0.496925, 0.493383", \
+                            "0.517132, 0.520663, 0.519398, 0.508365, 0.510939, 0.511203, 0.507661", \
+                            "0.54934, 0.553179, 0.551925, 0.540881, 0.543455, 0.54373, 0.540177", \
+                            "0.594418, 0.598004, 0.59675, 0.585706, 0.588533, 0.588984, 0.585002", \
+                            "0.629739, 0.633589, 0.632324, 0.621291, 0.623865, 0.624129, 0.620587", \
+                            "0.656205, 0.66, 0.658735, 0.647702, 0.650518, 0.65054, 0.646998" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.508651, 0.505142, 0.488389, 0.476421, 0.472813, 0.476157, 0.483582", \
+                            "0.516637, 0.512677, 0.496012, 0.484165, 0.480436, 0.483769, 0.491194", \
+                            "0.530915, 0.527032, 0.51029, 0.498311, 0.494714, 0.498058, 0.505472", \
+                            "0.563211, 0.559592, 0.542784, 0.530959, 0.52723, 0.530574, 0.537988", \
+                            "0.608267, 0.604351, 0.58762, 0.575652, 0.572055, 0.575388, 0.582813", \
+                            "0.643632, 0.639958, 0.623205, 0.611358, 0.60764, 0.610984, 0.618398", \
+                            "0.669966, 0.666369, 0.649627, 0.637648, 0.634051, 0.637395, 0.644809" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0155975;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.450923, 0.457446, 0.470855, 0.478126, 0.470459, 0.445995, 0.402776", \
+                            "0.442728, 0.451451, 0.465806, 0.472076, 0.464585, 0.441617, 0.397837", \
+                            "0.442266, 0.445951, 0.457699, 0.466323, 0.458414, 0.433961, 0.391325", \
+                            "0.434049, 0.440803, 0.453145, 0.462044, 0.451495, 0.429715, 0.385396", \
+                            "0.423126, 0.427625, 0.438196, 0.448404, 0.439956, 0.418627, 0.372075", \
+                            "0.422752, 0.428208, 0.442178, 0.448602, 0.441705, 0.415063, 0.373593", \
+                            "0.433994, 0.437701, 0.451792, 0.460185, 0.449537, 0.427955, 0.38467" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.141484, 0.143128, 0.166325, 0.222554, 0.306713, 0.416636, 0.549439", \
+                            "0.135773, 0.136255, 0.160273, 0.216565, 0.301582, 0.410861, 0.543609", \
+                            "0.129879, 0.130571, 0.154364, 0.210883, 0.295043, 0.405031, 0.537702", \
+                            "0.123053, 0.124351, 0.148441, 0.204882, 0.288772, 0.39897, 0.531729", \
+                            "0.11098, 0.111815, 0.135822, 0.192052, 0.276505, 0.386485, 0.5192", \
+                            "0.113251, 0.113686, 0.137841, 0.194227, 0.278387, 0.388267, 0.521048", \
+                            "0.123216, 0.123611, 0.147649, 0.204171, 0.28833, 0.398255, 0.532323" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.442893, 0.439285, 0.427394, 0.419221, 0.425018, 0.451121, 0.492712", \
+                            "0.451297, 0.447128, 0.434225, 0.425491, 0.433246, 0.458964, 0.501468", \
+                            "0.456511, 0.45243, 0.440781, 0.432432, 0.440033, 0.464508, 0.506297", \
+                            "0.463595, 0.458447, 0.445247, 0.435886, 0.444983, 0.468633, 0.511874", \
+                            "0.475519, 0.471889, 0.459085, 0.451022, 0.457611, 0.480227, 0.527318", \
+                            "0.473374, 0.467082, 0.455873, 0.447711, 0.455532, 0.481041, 0.522599", \
+                            "0.463023, 0.45474, 0.445775, 0.436491, 0.444719, 0.46948, 0.511797" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.620202, 0.61831, 0.596233, 0.539814, 0.454685, 0.345774, 0.213291", \
+                            "0.628133, 0.626153, 0.604065, 0.547899, 0.462528, 0.353606, 0.221191", \
+                            "0.633655, 0.631499, 0.60962, 0.553201, 0.468072, 0.359161, 0.226739", \
+                            "0.639419, 0.637461, 0.615384, 0.558965, 0.473836, 0.364925, 0.232492", \
+                            "0.652883, 0.650914, 0.628826, 0.57266, 0.487289, 0.378378, 0.245951", \
+                            "0.650199, 0.64823, 0.626153, 0.569745, 0.484616, 0.375694, 0.243265", \
+                            "0.638594, 0.636669, 0.614592, 0.558184, 0.473055, 0.364133, 0.231714" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0003225312;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_5v50.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_5v50.lib
new file mode 100644
index 0000000..f6603dc
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_5v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ff_n40C_5v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 5.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.087;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_5p5v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 5.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_5p5v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.165;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+			  index_2 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "3.10656, 3.12264, 3.16284, 3.2304, 3.33552, 3.47964, 3.6732", \
+                            "3.10812, 3.12264, 3.16224, 3.23292, 3.3336, 3.48312, 3.67608", \
+                            "3.11076, 3.11832, 3.15888, 3.22944, 3.33528, 3.47832, 3.6744", \
+                            "3.11328, 3.126, 3.16596, 3.23448, 3.33936, 3.48576, 3.67896", \
+                            "3.11844, 3.13308, 3.17112, 3.243, 3.34692, 3.49236, 3.68628", \
+                            "3.12264, 3.13548, 3.17712, 3.24432, 3.35076, 3.49332, 3.68772", \
+                            "3.11136, 3.12528, 3.1656, 3.23424, 3.33948, 3.48456, 3.67728" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+  	                     "0.109666, 0.127548, 0.19266, 0.318888, 0.520968, 0.816732, 1.2066" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "3.20052, 3.21468, 3.25368, 3.31608, 3.40116, 3.50508, 3.64668", \
+                            "3.19992, 3.21384, 3.25512, 3.3186, 3.39924, 3.50856, 3.6474", \
+                            "3.19944, 3.20916, 3.24972, 3.31428, 3.4026, 3.50376, 3.64656", \
+                            "3.20268, 3.21624, 3.25608, 3.31776, 3.40332, 3.51012, 3.64872", \
+                            "3.20904, 3.22164, 3.26352, 3.32784, 3.41088, 3.51804, 3.65712", \
+                            "3.21012, 3.22428, 3.26808, 3.33012, 3.41436, 3.51804, 3.65676", \
+                            "3.20304, 3.21768, 3.25704, 3.32028, 3.40272, 3.51108, 3.64824" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "0.114053, 0.129408, 0.175272, 0.253608, 0.374124, 0.546984, 0.77694" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.283486;
+                  clock                : true;
+                  max_transition       : 1.087;
+                  min_pulse_width_high : 1.89828;
+                  min_pulse_width_low  : 1.45317;
+                  min_period           : 4.242075;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1231.09, 1231.09, 1231.09, 1231.09, 1231.09, 1231.09, 1231.09");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1231.09, 1231.09, 1231.09, 1231.09, 1231.09, 1231.09, 1231.09");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("0.00075339, 0.00075339, 0.00075339, 0.00075339, 0.00075339, 0.00075339, 0.00075339");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("0.00075339, 0.00075339, 0.00075339, 0.00075339, 0.00075339, 0.00075339, 0.00075339");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1109.16, 1109.16, 1109.16, 1109.16, 1109.16, 1109.16, 1109.16");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1109.16, 1109.16, 1109.16, 1109.16, 1109.16, 1109.16, 1109.16");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0170434;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.337469, 0.333564, 0.328746, 0.331287, 0.347611, 0.364375, 0.388509", \
+                            "0.336853, 0.333509, 0.328636, 0.329571, 0.347479, 0.363374, 0.38665", \
+                            "0.337172, 0.333091, 0.328405, 0.331067, 0.347193, 0.36344, 0.379192", \
+                            "0.336083, 0.333069, 0.328163, 0.330858, 0.347039, 0.362945, 0.372493", \
+                            "0.33649, 0.333025, 0.327987, 0.330341, 0.346764, 0.36267, 0.376772", \
+                            "0.336644, 0.333465, 0.327921, 0.330341, 0.346665, 0.362615, 0.376706", \
+                            "0.336204, 0.332794, 0.327899, 0.330869, 0.346709, 0.364573, 0.388696" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.323092, 0.323807, 0.330572, 0.34441, 0.374352, 0.416108, 0.461208", \
+                            "0.322927, 0.323378, 0.329967, 0.341715, 0.374165, 0.415921, 0.461021", \
+                            "0.322883, 0.3234, 0.330154, 0.343992, 0.373934, 0.41569, 0.46079", \
+                            "0.322465, 0.323191, 0.329879, 0.343794, 0.375496, 0.415481, 0.460581", \
+                            "0.322212, 0.322938, 0.329043, 0.34353, 0.373461, 0.415217, 0.460317", \
+                            "0.322102, 0.322905, 0.329615, 0.342859, 0.373406, 0.415162, 0.460262", \
+                            "0.322146, 0.322905, 0.329065, 0.343519, 0.37345, 0.415206, 0.45694" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.764588, 0.768493, 0.7733, 0.770759, 0.754446, 0.738496, 0.724394", \
+                            "0.767547, 0.770891, 0.775764, 0.77484, 0.756921, 0.741026, 0.726924", \
+                            "0.773333, 0.777414, 0.782089, 0.779438, 0.763312, 0.747065, 0.73326", \
+                            "0.788931, 0.791934, 0.79684, 0.794145, 0.777964, 0.762069, 0.752521", \
+                            "0.813395, 0.81686, 0.821898, 0.819533, 0.803121, 0.787204, 0.773113", \
+                            "0.830841, 0.83402, 0.839564, 0.837144, 0.82082, 0.80487, 0.790779", \
+                            "0.834163, 0.837584, 0.842479, 0.839498, 0.823669, 0.807719, 0.793507" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.778965, 0.77825, 0.771474, 0.757636, 0.727694, 0.685949, 0.640849", \
+                            "0.781473, 0.781022, 0.774433, 0.762685, 0.730235, 0.688479, 0.643379", \
+                            "0.787622, 0.787105, 0.780351, 0.766502, 0.736571, 0.694815, 0.649715", \
+                            "0.802538, 0.801823, 0.795124, 0.781209, 0.749518, 0.709522, 0.664422", \
+                            "0.827673, 0.826947, 0.820842, 0.806355, 0.776413, 0.734668, 0.689568", \
+                            "0.845383, 0.84458, 0.83787, 0.824626, 0.79409, 0.752334, 0.707234", \
+                            "0.848232, 0.847473, 0.841302, 0.826848, 0.796928, 0.755172, 0.713438" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0485803;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.432971, 0.435644, 0.442805, 0.46013, 0.493647, 0.53064, 0.568425", \
+                            "0.431497, 0.434269, 0.441804, 0.45936, 0.492888, 0.52987, 0.567655", \
+                            "0.423984, 0.426943, 0.434863, 0.452309, 0.485419, 0.52239, 0.560087", \
+                            "0.408661, 0.410718, 0.41888, 0.436326, 0.469326, 0.50633, 0.544104", \
+                            "0.379401, 0.381414, 0.388883, 0.406439, 0.439967, 0.477048, 0.514745", \
+                            "0.352693, 0.355707, 0.362956, 0.380831, 0.41404, 0.451033, 0.488818", \
+                            "0.332123, 0.334026, 0.341759, 0.359557, 0.392843, 0.429924, 0.467621" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.453167, 0.456038, 0.4675, 0.492789, 0.539418, 0.602943, 0.670857", \
+                            "0.452441, 0.454817, 0.466895, 0.493592, 0.538593, 0.602173, 0.670098", \
+                            "0.444444, 0.447436, 0.459371, 0.48642, 0.531025, 0.594605, 0.662574", \
+                            "0.42889, 0.431354, 0.443377, 0.471086, 0.514965, 0.578622, 0.64658", \
+                            "0.399399, 0.402193, 0.413974, 0.441694, 0.485672, 0.549263, 0.620554", \
+                            "0.373175, 0.376013, 0.387882, 0.415756, 0.459811, 0.523336, 0.59125", \
+                            "0.352363, 0.354871, 0.366861, 0.394548, 0.438548, 0.502139, 0.570097" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.61479, 0.613899, 0.607893, 0.590942, 0.572495, 0.555698, 0.542267", \
+                            "0.615285, 0.613591, 0.608564, 0.591448, 0.573001, 0.556204, 0.542773", \
+                            "0.614889, 0.613173, 0.608146, 0.591646, 0.572814, 0.555797, 0.542355", \
+                            "0.616671, 0.615087, 0.60995, 0.59345, 0.574387, 0.55759, 0.544148", \
+                            "0.623689, 0.622105, 0.616957, 0.599852, 0.581405, 0.564597, 0.551166", \
+                            "0.624657, 0.623766, 0.617925, 0.600809, 0.582362, 0.565565, 0.552134", \
+                            "0.615736, 0.614141, 0.608861, 0.592361, 0.573441, 0.556633, 0.543202" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.38357, 0.380413, 0.368555, 0.340824, 0.297517, 0.23685, 0.171296", \
+                            "0.383581, 0.380666, 0.368907, 0.340802, 0.298056, 0.237357, 0.171738", \
+                            "0.383306, 0.381326, 0.368445, 0.343002, 0.297638, 0.236948, 0.171312", \
+                            "0.384967, 0.382976, 0.370249, 0.342881, 0.298012, 0.238742, 0.173087", \
+                            "0.391974, 0.39006, 0.377311, 0.351769, 0.306438, 0.245755, 0.181303", \
+                            "0.399234, 0.396836, 0.384813, 0.35651, 0.31042, 0.249774, 0.185632", \
+                            "0.434423, 0.432025, 0.420321, 0.391798, 0.345609, 0.284966, 0.220771" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00761544;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0, 0, 0, 0, 0.016918, 0.032406, 0.044077", \
+                            "0, 0, 0, 0, 0.016687, 0.032186, 0.043857", \
+                            "0, 0, 0, 0, 0.016456, 0.031966, 0.043626", \
+                            "0, 0, 0, 0, 0.014201, 0.029689, 0.04136", \
+                            "0, 0, 0, 0, 0.008382, 0.023892, 0.035552", \
+                            "0, 0, 0, 0, 0.00715, 0.022605, 0.034309", \
+                            "0, 0, 0, 0, 0.015642, 0.031152, 0.042812" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.203822, 0.207556, 0.219183, 0.247559, 0.29117, 0.351197, 0.418066", \
+                            "0.204762, 0.207941, 0.21945, 0.247894, 0.290521, 0.350977, 0.416999", \
+                            "0.204304, 0.206452, 0.21892, 0.244002, 0.29051, 0.350735, 0.417516", \
+                            "0.202259, 0.204402, 0.216662, 0.244743, 0.288981, 0.348744, 0.415008", \
+                            "0.196318, 0.198536, 0.211101, 0.236973, 0.282161, 0.342672, 0.407374", \
+                            "0.195084, 0.197305, 0.209805, 0.235791, 0.281127, 0.341429, 0.408276", \
+                            "0.203378, 0.205813, 0.217676, 0.246148, 0.289685, 0.350086, 0.416108" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.61479, 0.613899, 0.607893, 0.590942, 0.572495, 0.555698, 0.542267", \
+                            "0.615285, 0.613624, 0.608564, 0.591448, 0.573001, 0.556204, 0.542773", \
+                            "0.614889, 0.613217, 0.608146, 0.591646, 0.572814, 0.555797, 0.542355", \
+                            "0.616671, 0.615087, 0.60995, 0.59345, 0.574387, 0.55759, 0.544148", \
+                            "0.623689, 0.622105, 0.616957, 0.599852, 0.581405, 0.564597, 0.551166", \
+                            "0.624657, 0.623766, 0.617925, 0.600809, 0.582362, 0.565565, 0.552134", \
+                            "0.615736, 0.614141, 0.608861, 0.592361, 0.573441, 0.556633, 0.543202" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.38368, 0.380413, 0.369655, 0.340824, 0.297517, 0.23685, 0.171296", \
+                            "0.383581, 0.380666, 0.369897, 0.340802, 0.298056, 0.237357, 0.171738", \
+                            "0.383306, 0.381326, 0.369545, 0.343123, 0.297638, 0.236948, 0.171312", \
+                            "0.384967, 0.382976, 0.371338, 0.342881, 0.298056, 0.238742, 0.173087", \
+                            "0.391974, 0.39006, 0.378279, 0.351769, 0.306438, 0.245755, 0.181303", \
+                            "0.393206, 0.391369, 0.379401, 0.352539, 0.307362, 0.246718, 0.181173", \
+                            "0.384505, 0.38214, 0.370315, 0.3421, 0.298496, 0.237789, 0.172164" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.03733;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.45386, 0.450373, 0.445764, 0.452837, 0.478126, 0.500104, 0.524942", \
+                            "0.454531, 0.450131, 0.445137, 0.454113, 0.476388, 0.498058, 0.52536", \
+                            "0.453541, 0.450923, 0.445621, 0.453651, 0.475882, 0.497343, 0.524634", \
+                            "0.450637, 0.448525, 0.443223, 0.451737, 0.475761, 0.496474, 0.522049", \
+                            "0.445775, 0.441782, 0.437855, 0.446116, 0.468545, 0.490677, 0.514833", \
+                            "0.444147, 0.440473, 0.434555, 0.443146, 0.466334, 0.488873, 0.515658", \
+                            "0.451044, 0.447832, 0.444026, 0.451242, 0.471702, 0.497926, 0.522412" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.678986, 0.67859, 0.686565, 0.703626, 0.734943, 0.78628, 0.842908", \
+                            "0.68002, 0.679866, 0.686807, 0.700568, 0.735988, 0.785136, 0.844668", \
+                            "0.677644, 0.679679, 0.685762, 0.702757, 0.734789, 0.786115, 0.843865", \
+                            "0.676313, 0.677083, 0.684354, 0.698467, 0.733128, 0.782936, 0.841313", \
+                            "0.669845, 0.671748, 0.679173, 0.693891, 0.727694, 0.776897, 0.83567", \
+                            "0.670252, 0.670494, 0.676885, 0.691834, 0.725472, 0.777205, 0.834559", \
+                            "0.678183, 0.678293, 0.684486, 0.698929, 0.733766, 0.784773, 0.842094" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.403216, 0.406956, 0.409365, 0.411488, 0.410564, 0.41173, 0.412016", \
+                            "0.406945, 0.410773, 0.413182, 0.415404, 0.414381, 0.415547, 0.415844", \
+                            "0.412016, 0.415767, 0.418176, 0.420442, 0.419375, 0.420541, 0.420838", \
+                            "0.42977, 0.432476, 0.434951, 0.437151, 0.436117, 0.437283, 0.43758", \
+                            "0.45485, 0.458678, 0.461087, 0.463419, 0.462286, 0.463452, 0.463749", \
+                            "0.480788, 0.483527, 0.485936, 0.488158, 0.487135, 0.488301, 0.488587", \
+                            "0.50105, 0.50479, 0.507199, 0.509509, 0.508398, 0.509564, 0.509861" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.408914, 0.407517, 0.400873, 0.396506, 0.402985, 0.409321, 0.413336", \
+                            "0.412863, 0.411334, 0.404712, 0.402963, 0.406813, 0.413138, 0.417164", \
+                            "0.417714, 0.41657, 0.409695, 0.405328, 0.411796, 0.418132, 0.422147", \
+                            "0.434478, 0.433312, 0.426437, 0.424688, 0.428538, 0.434874, 0.4389", \
+                            "0.460647, 0.459228, 0.452595, 0.448316, 0.454707, 0.461043, 0.465069", \
+                            "0.485485, 0.484088, 0.477411, 0.473066, 0.479545, 0.485892, 0.489907", \
+                            "0.506891, 0.505593, 0.498718, 0.494351, 0.50083, 0.507155, 0.511181" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0156967;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.344564, 0.343607, 0.352429, 0.355641, 0.350405, 0.333454, 0.302005", \
+                            "0.344234, 0.346687, 0.352242, 0.356334, 0.350394, 0.333454, 0.302126", \
+                            "0.343211, 0.346324, 0.350856, 0.35497, 0.349921, 0.332937, 0.302027", \
+                            "0.342815, 0.342914, 0.34969, 0.35365, 0.347754, 0.331067, 0.299321", \
+                            "0.336919, 0.337887, 0.343794, 0.347897, 0.342012, 0.324302, 0.293161", \
+                            "0.334609, 0.33407, 0.341572, 0.346588, 0.341297, 0.324104, 0.292732", \
+                            "0.342507, 0.34551, 0.350251, 0.353892, 0.348359, 0.3311, 0.299508" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.112883, 0.114806, 0.128604, 0.165444, 0.22014, 0.29524, 0.387552", \
+                            "0.112962, 0.115028, 0.128726, 0.165133, 0.220255, 0.29535, 0.388377", \
+                            "0.112653, 0.114649, 0.128684, 0.164778, 0.219552, 0.295009, 0.387992", \
+                            "0.110529, 0.11222, 0.126607, 0.162655, 0.218191, 0.29293, 0.385308", \
+                            "0.103751, 0.106637, 0.120425, 0.155682, 0.211716, 0.287023, 0.380116", \
+                            "0.103414, 0.10527, 0.119452, 0.155578, 0.210676, 0.285769, 0.378862", \
+                            "0.110986, 0.113221, 0.126765, 0.1631, 0.218279, 0.29337, 0.386529" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.379885, 0.377333, 0.371734, 0.367675, 0.373043, 0.390929, 0.420893", \
+                            "0.379137, 0.375342, 0.370062, 0.366333, 0.372955, 0.390379, 0.420882", \
+                            "0.378928, 0.376035, 0.37048, 0.366762, 0.373395, 0.391083, 0.421696", \
+                            "0.381766, 0.380182, 0.374341, 0.370524, 0.375584, 0.39347, 0.424358", \
+                            "0.386265, 0.38423, 0.379489, 0.375342, 0.38082, 0.398893, 0.42889", \
+                            "0.38819, 0.387442, 0.380567, 0.377883, 0.383163, 0.400609, 0.431926", \
+                            "0.380985, 0.378587, 0.371756, 0.369523, 0.374594, 0.392667, 0.423214" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.50061, 0.498718, 0.484781, 0.448492, 0.393294, 0.31845, 0.226267", \
+                            "0.500203, 0.498267, 0.484374, 0.448096, 0.392898, 0.318043, 0.225865", \
+                            "0.500643, 0.498751, 0.484814, 0.448525, 0.393327, 0.318483, 0.226302", \
+                            "0.503151, 0.501248, 0.487311, 0.451033, 0.395835, 0.32098, 0.228804", \
+                            "0.508376, 0.506484, 0.492547, 0.456269, 0.401071, 0.326216, 0.23404", \
+                            "0.510719, 0.508838, 0.494901, 0.458535, 0.403414, 0.32857, 0.236389", \
+                            "0.502172, 0.50028, 0.486343, 0.450065, 0.394867, 0.320012, 0.227838" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.00075339;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_1v62.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_1v62.lib
new file mode 100644
index 0000000..44c1018
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_1v62.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_1v62) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 1.62;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 11.06;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.62);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_1p62v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 1.62;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_1p62v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.058;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+			  index_2 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "40.5756, 40.632, 41.2032, 41.9892, 43.1904, 44.7768, 46.9236", \
+                            "40.5888, 40.7424, 41.2488, 42.0756, 43.2768, 44.8728, 47.028", \
+                            "40.8924, 40.9788, 41.4936, 42.3096, 43.4484, 45.1488, 47.1996", \
+                            "41.2236, 41.3868, 41.8596, 42.6756, 43.8156, 45.5016, 47.6412", \
+                            "41.694, 41.8392, 42.312, 43.0956, 44.3184, 45.9396, 48.0648", \
+                            "42.3432, 42.4356, 42.912, 43.7184, 44.9004, 46.4916, 48.6804", \
+                            "42.9324, 43.1064, 43.5768, 44.3832, 45.5556, 47.2068, 49.3404" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+  	                     "1.12718, 1.33476, 2.04684, 3.38796, 5.4132, 8.44092, 12.4392" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "42.84, 42.9468, 43.3776, 43.878, 44.5344, 45.294, 46.2684", \
+                            "42.888, 43.014, 43.3908, 43.9464, 44.6172, 45.3924, 46.3824", \
+                            "43.1688, 43.2492, 43.68, 44.2224, 44.8116, 45.6972, 46.5336", \
+                            "43.5348, 43.6488, 44.0184, 44.5404, 45.1764, 45.9888, 46.9488", \
+                            "43.9896, 44.106, 44.46, 44.9904, 45.6768, 46.464, 47.4108", \
+                            "44.6196, 44.6856, 45.0828, 45.6156, 46.2792, 47.01, 48", \
+                            "45.2568, 45.3876, 45.7512, 46.2492, 46.926, 47.6976, 48.7032" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "1.10143, 1.21128, 1.57476, 2.17716, 3.01728, 4.227, 5.6514" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.301146;
+                  clock                : true;
+                  max_transition       : 11.06;
+                  min_pulse_width_high : 13.355415;
+                  min_pulse_width_low  : 27.1047;
+                  min_period           : 56.5713;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("95.2317, 95.2317, 95.2317, 95.2317, 95.2317, 95.2317, 95.2317");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("95.2317, 95.2317, 95.2317, 95.2317, 95.2317, 95.2317, 95.2317");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("8.75011e-05, 8.75011e-05, 8.75011e-05, 8.75011e-05, 8.75011e-05, 8.75011e-05, 8.75011e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("8.75011e-05, 8.75011e-05, 8.75011e-05, 8.75011e-05, 8.75011e-05, 8.75011e-05, 8.75011e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("87.8526, 87.8526, 87.8526, 87.8526, 87.8526, 87.8526, 87.8526");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("87.8526, 87.8526, 87.8526, 87.8526, 87.8526, 87.8526, 87.8526");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0198584;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.58424, 3.54035, 3.60118, 3.96132, 4.65685, 5.82472, 7.31896", \
+                            "3.54321, 3.49415, 3.53584, 3.90544, 4.60889, 5.77995, 7.24196", \
+                            "3.33872, 3.27855, 3.32607, 3.69886, 4.39241, 5.53289, 7.0664", \
+                            "2.92358, 2.90202, 2.93447, 3.3264, 4.00136, 5.17286, 6.62651", \
+                            "2.88629, 2.85351, 2.8919, 3.2725, 3.93536, 5.12127, 6.53059", \
+                            "2.88772, 2.83932, 2.88673, 3.24654, 3.97001, 5.10532, 6.5692", \
+                            "2.8908, 2.83195, 2.89003, 3.25193, 3.97144, 5.08332, 6.57921" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "2.46015, 2.48611, 2.68873, 3.1229, 3.83647, 4.87509, 6.22039", \
+                            "2.39228, 2.42704, 2.62988, 3.06394, 3.77553, 4.8257, 6.16132", \
+                            "2.17811, 2.20968, 2.41604, 2.8479, 3.56158, 4.59998, 5.94748", \
+                            "2.17162, 2.20176, 2.40229, 2.83514, 3.55322, 4.58821, 5.90271", \
+                            "2.1626, 2.20066, 2.40185, 2.83327, 3.54552, 4.58425, 5.90194", \
+                            "2.16755, 2.19846, 2.39646, 2.83118, 3.55124, 4.58216, 5.89974", \
+                            "2.15952, 2.19945, 2.39701, 2.83206, 3.54167, 4.5859, 5.90062" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "8.15914, 8.20094, 8.14011, 7.78349, 7.10083, 5.93043, 4.44576", \
+                            "8.19412, 8.23559, 8.18686, 7.83915, 7.15517, 5.97069, 4.52067", \
+                            "8.36704, 8.42149, 8.38497, 8.0113, 7.31291, 6.19311, 4.66433", \
+                            "8.78427, 8.80473, 8.76304, 8.37342, 7.69461, 6.53873, 5.10125", \
+                            "9.38278, 9.41556, 9.37717, 8.99657, 8.33371, 7.1478, 5.73848", \
+                            "10.2079, 10.2563, 10.2089, 9.84907, 9.1256, 7.99029, 6.52652", \
+                            "11.1578, 11.2167, 11.1586, 10.7966, 10.0772, 8.96533, 7.46944" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "8.85423, 8.82299, 8.62521, 8.19049, 7.47615, 6.43929, 5.12171", \
+                            "8.91715, 8.87744, 8.67955, 8.24472, 7.53247, 6.4845, 5.17616", \
+                            "9.10151, 9.06455, 8.86347, 8.43095, 7.71661, 6.68008, 5.35216", \
+                            "9.47529, 9.44504, 9.24462, 8.81177, 8.09358, 7.0587, 5.74409", \
+                            "10.1065, 10.0684, 9.86722, 9.4358, 8.72355, 7.68482, 6.36713", \
+                            "10.9281, 10.8971, 10.6991, 10.2644, 9.54437, 8.51345, 7.19587", \
+                            "11.8888, 11.8492, 11.6512, 11.2166, 10.507, 9.46275, 8.14803" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0455144;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "4.15349, 4.2086, 4.39494, 4.7938, 5.35656, 6.20059, 7.15726", \
+                            "4.10069, 4.15481, 4.32696, 4.75376, 5.30024, 6.14438, 7.09555", \
+                            "3.89862, 3.95153, 4.1349, 4.55026, 5.15471, 5.96079, 6.89645", \
+                            "3.51461, 3.56598, 3.75122, 4.16064, 4.76795, 5.55687, 6.51354", \
+                            "2.9007, 2.96087, 3.13896, 3.55773, 4.158, 4.95, 5.90645", \
+                            "2.23564, 2.28074, 2.45674, 2.87881, 3.48535, 4.27251, 5.22423", \
+                            "1.50832, 1.56684, 1.74592, 2.16403, 2.76694, 3.56598, 4.51275" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "5.57975, 5.64982, 5.84881, 6.29629, 7.02603, 8.04397, 9.40775", \
+                            "5.53476, 5.59229, 5.79271, 6.24338, 6.93198, 7.97676, 9.33757", \
+                            "5.32818, 5.38329, 5.59119, 6.04241, 6.76896, 7.78701, 9.17939", \
+                            "4.93999, 5.00599, 5.20399, 5.67611, 6.37769, 7.37935, 8.76414", \
+                            "4.34104, 4.39769, 4.61923, 5.06902, 5.73738, 6.79316, 8.14297", \
+                            "3.6707, 3.72174, 3.9193, 4.34973, 5.09498, 6.116, 7.48", \
+                            "2.95119, 3.00355, 3.20386, 3.67466, 4.34269, 5.37823, 6.74905" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "7.36835, 7.3227, 7.13031, 6.74003, 6.23557, 5.57436, 4.884", \
+                            "7.01239, 6.9674, 6.78612, 6.39782, 5.88082, 5.18958, 4.59316", \
+                            "6.46558, 6.42477, 6.24019, 5.85035, 5.27956, 4.63375, 4.02391", \
+                            "6.06848, 6.02855, 5.81812, 5.44082, 4.87399, 4.26844, 3.586", \
+                            "6.34579, 6.30058, 6.12073, 5.72297, 5.15911, 4.5232, 3.87761", \
+                            "6.86488, 6.81901, 6.63608, 6.24888, 5.68403, 5.04163, 4.43619", \
+                            "7.48605, 7.44227, 7.26022, 6.86917, 6.30762, 5.66533, 4.98025" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "4.35633, 4.29715, 4.08727, 3.6751, 2.92259, 1.91675, 0.558921", \
+                            "4.01786, 3.94097, 3.7356, 3.30649, 2.61646, 1.56563, 0.235873", \
+                            "3.45642, 3.38921, 3.18593, 2.75858, 2.02015, 1.01313, 0", \
+                            "3.0734, 2.99915, 2.78872, 2.34366, 1.62855, 0.615791, 0", \
+                            "3.33872, 3.27404, 3.05877, 2.61976, 1.94931, 0.893574, 0", \
+                            "3.8687, 3.79346, 3.58336, 3.16756, 2.42484, 1.41306, 0.055781", \
+                            "4.47524, 4.41254, 4.20365, 3.75848, 3.08825, 2.0306, 0.707696" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00749419;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0.144112", \
+                            "0, 0, 0, 0, 0, 0, 0.574728", \
+                            "0, 0, 0, 0, 0, 0, 0.277275", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0, 0, 0, 0.391776, 1.1426, 2.15314, 3.5145", \
+                            "0.019701, 0.126987, 0.336248, 0.763202, 1.45178, 2.50547, 3.84659", \
+                            "0.586861, 0.676071, 0.887447, 1.31326, 2.0515, 3.06097, 4.43047", \
+                            "0.985501, 1.07062, 1.27863, 1.72645, 2.43991, 3.45543, 4.81514", \
+                            "0.699908, 0.791208, 1.00462, 1.45079, 2.10771, 3.17581, 4.51165", \
+                            "0.178079, 0.27951, 0.483098, 0.899514, 1.64241, 2.65859, 4.01973", \
+                            "0, 0, 0, 0.310561, 0.970233, 2.04006, 3.37249" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "7.39112, 7.33975, 7.13097, 6.7826, 6.23557, 5.57502, 4.92239", \
+                            "7.03461, 6.97884, 6.78612, 6.42169, 5.88082, 5.23391, 4.59316", \
+                            "6.48758, 6.43181, 6.24019, 5.86267, 5.2888, 4.66543, 4.02391", \
+                            "6.09026, 6.0324, 5.82637, 5.46051, 4.89676, 4.26844, 3.62439", \
+                            "6.36768, 6.30817, 6.12073, 5.7365, 5.17066, 4.60174, 3.88003", \
+                            "6.886, 6.8266, 6.63608, 6.26142, 5.68403, 5.11027, 4.44125", \
+                            "7.50662, 7.44711, 7.26022, 6.88138, 6.30762, 5.70702, 5.09938" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "4.36469, 4.36337, 4.12313, 3.69853, 2.92644, 1.91675, 0.599126", \
+                            "4.03205, 4.00818, 3.77014, 3.34389, 2.62405, 1.56563, 0.266989", \
+                            "3.46973, 3.44861, 3.22146, 2.7962, 2.02389, 1.01313, 0", \
+                            "3.08748, 3.06548, 2.82568, 2.38359, 1.63548, 0.65065, 0", \
+                            "3.34983, 3.34136, 3.09496, 2.65958, 1.95701, 0.893574, 0", \
+                            "3.87343, 3.86001, 3.61944, 3.20705, 2.43188, 1.41306, 0.0957", \
+                            "4.48866, 4.47986, 4.23984, 3.79852, 3.09584, 2.06536, 0.738936" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0440769;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.64661, 3.6652, 3.66663, 4.04723, 4.77356, 5.88159, 7.34558", \
+                            "4.00015, 3.98794, 4.02589, 4.39527, 5.11599, 6.24657, 7.69439", \
+                            "4.54212, 4.52562, 4.56401, 4.95011, 5.6441, 6.76731, 8.23515", \
+                            "4.97123, 4.91821, 4.96122, 5.36591, 6.06265, 7.19191, 8.66118", \
+                            "4.67247, 4.66213, 4.68886, 5.08717, 5.78611, 6.89282, 8.35241", \
+                            "4.1646, 4.1426, 4.16383, 4.5694, 5.26658, 6.38341, 7.84905", \
+                            "3.52473, 3.51043, 3.53551, 3.93591, 4.6904, 5.75421, 7.21435" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "4.18605, 4.2317, 4.46457, 4.90644, 5.60384, 6.66886, 8.01064", \
+                            "4.55114, 4.6024, 4.81833, 5.2536, 5.91987, 7.03186, 8.3886", \
+                            "5.09575, 5.12248, 5.34699, 5.79557, 6.50155, 7.56217, 8.90604", \
+                            "5.4923, 5.55654, 5.77181, 6.19575, 6.92747, 7.97984, 9.31348", \
+                            "5.22929, 5.25008, 5.49252, 5.92658, 6.64697, 7.72167, 9.06103", \
+                            "4.70019, 4.72395, 4.95726, 5.40661, 6.06507, 7.19554, 8.50564", \
+                            "4.08188, 4.09849, 4.32949, 4.77752, 5.48218, 6.55479, 7.89646" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "2.70248, 2.72899, 2.68961, 2.27964, 1.57839, 0.648307, 0", \
+                            "2.76694, 2.79323, 2.75407, 2.3441, 1.64285, 0.712723, 0", \
+                            "2.98386, 3.01048, 2.96109, 2.56102, 1.85988, 0.929775, 0", \
+                            "3.35962, 3.38591, 3.34675, 2.93678, 2.23553, 1.30544, 0.283158", \
+                            "3.98002, 4.00631, 3.96715, 3.55718, 2.85593, 1.96196, 0.90354", \
+                            "4.78269, 4.80909, 4.76971, 4.35985, 3.6586, 2.72855, 1.70632", \
+                            "5.7288, 5.7552, 5.71593, 5.30618, 4.60504, 3.67499, 2.57521" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.26029, 3.21486, 3.00597, 2.56949, 2.02103, 1.35751, 0.604417", \
+                            "3.32453, 3.27932, 3.07032, 2.6345, 2.08549, 1.42197, 0.668811", \
+                            "3.54002, 3.49635, 3.28735, 2.85153, 2.30252, 1.639, 0.885896", \
+                            "3.91578, 3.872, 3.663, 3.22663, 2.67817, 2.01465, 1.26152", \
+                            "4.53772, 4.4924, 4.28329, 3.84758, 3.29857, 2.63494, 1.88188", \
+                            "5.3405, 5.29518, 5.08618, 4.64981, 4.10135, 3.43772, 2.68466", \
+                            "6.28694, 6.24173, 6.03273, 5.59691, 5.04801, 4.38438, 3.63121" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0171833;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.03666, 3.09947, 3.28163, 3.66597, 4.03524, 4.49086, 4.84198", \
+                            "3.37315, 3.45246, 3.64034, 4.01621, 4.38207, 4.83725, 5.23864", \
+                            "3.91325, 3.97342, 4.18539, 4.55103, 4.93174, 5.34028, 5.7365", \
+                            "4.34038, 4.37668, 4.60801, 4.96353, 5.32752, 5.79359, 6.18838", \
+                            "4.04547, 4.11796, 4.3252, 4.69491, 5.06165, 5.50473, 5.86476", \
+                            "3.531, 3.59546, 3.78719, 4.16581, 4.54146, 5.00093, 5.41299", \
+                            "2.88882, 2.9502, 3.15931, 3.53683, 3.9424, 4.38152, 4.73011" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.519893, 1.80246", \
+                            "0, 0, 0, 0, 0, 0.872168, 2.15446", \
+                            "0, 0, 0, 0, 0.432454, 1.39535, 2.67454", \
+                            "0, 0, 0, 0.113532, 0.849695, 1.8205, 3.10288", \
+                            "0, 0, 0, 0, 0.573617, 1.54451, 2.82612", \
+                            "0, 0, 0, 0, 0.050358, 1.02075, 2.30351", \
+                            "0, 0, 0, 0, 0, 0.396187, 1.67849" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.49008, 3.43739, 3.23136, 2.86165, 2.475, 2.04842, 1.66969", \
+                            "3.14424, 3.08473, 2.88453, 2.52043, 2.1252, 1.69477, 1.32649", \
+                            "2.61206, 2.5542, 2.35345, 1.98825, 1.60875, 1.16417, 0.795168", \
+                            "2.16909, 2.12025, 1.90982, 1.5521, 1.16235, 0.728607, 0.362296", \
+                            "2.45696, 2.40537, 2.20077, 1.82468, 1.45629, 1.00802, 0.647086", \
+                            "2.99299, 2.94327, 2.73977, 2.37501, 1.97978, 1.56486, 1.17979", \
+                            "3.61031, 3.56224, 3.37414, 2.98144, 2.57576, 2.20506, 1.81412" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "6.30894, 6.30564, 6.14339, 5.71483, 4.97662, 3.99091, 2.73317", \
+                            "5.96596, 5.96189, 5.8003, 5.37174, 4.63364, 3.64782, 2.39008", \
+                            "5.43334, 5.43147, 5.26966, 4.8411, 4.103, 3.11718, 1.85944", \
+                            "4.99939, 4.99543, 4.83384, 4.40528, 3.66718, 2.68136, 1.42362", \
+                            "5.27835, 5.27494, 5.11302, 4.68435, 3.94614, 2.96043, 1.70269", \
+                            "5.81537, 5.81372, 5.65191, 5.22335, 4.48525, 3.49943, 2.2418", \
+                            "6.43038, 6.42873, 6.26648, 5.83792, 5.09982, 4.11411, 2.85637" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 8.750106e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_3v00.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_3v00.lib
new file mode 100644
index 0000000..a15a235
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_3v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_3v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 3.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 7.0901;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_3p0v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 3.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_3p0v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.1270;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+			  index_2 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "13.464, 13.5288, 13.6992, 13.9752, 14.3844, 14.9148, 15.6396", \
+                            "13.4832, 13.5696, 13.7268, 13.9788, 14.4132, 14.9316, 15.6696", \
+                            "13.5456, 13.5972, 13.7712, 14.0544, 14.4384, 15.0036, 15.7128", \
+                            "13.6416, 13.686, 13.8348, 14.1144, 14.5272, 15.0792, 15.7944", \
+                            "13.6692, 13.7424, 13.9092, 14.2044, 14.6196, 15.1248, 15.8316", \
+                            "13.7052, 13.7388, 13.9248, 14.2068, 14.604, 15.1404, 15.8712", \
+                            "13.686, 13.7424, 13.9008, 14.1852, 14.586, 15.1248, 15.846" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+  	                     "0.441792, 0.514584, 0.768612, 1.25664, 2.00808, 3.10644, 4.54536" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "13.974, 14.034, 14.1852, 14.412, 14.706, 15.0564, 15.498", \
+                            "13.9932, 14.0736, 14.2188, 14.4288, 14.7408, 15.0708, 15.5256", \
+                            "14.0568, 14.1072, 14.2632, 14.4852, 14.7636, 15.1404, 15.5592", \
+                            "14.154, 14.1936, 14.3244, 14.5584, 14.8656, 15.2172, 15.6516", \
+                            "14.1864, 14.25, 14.3976, 14.6484, 14.9388, 15.258, 15.6888", \
+                            "14.2236, 14.2536, 14.4228, 14.6496, 14.9364, 15.276, 15.7188", \
+                            "14.1972, 14.2524, 14.4048, 14.6352, 14.916, 15.2652, 15.7032" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "0.435276, 0.4917, 0.651396, 0.93348, 1.33392, 1.85844, 2.55972" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.31346;
+                  clock                : true;
+                  max_transition       : 7.0901;
+                  min_pulse_width_high : 5.664795;
+                  min_pulse_width_low  : 5.405535;
+                  min_period           : 18.2595;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("347.865, 347.865, 347.865, 347.865, 347.865, 347.865, 347.865");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("347.865, 347.865, 347.865, 347.865, 347.865, 347.865, 347.865");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("0.000280545, 0.000280545, 0.000280545, 0.000280545, 0.000280545, 0.000280545, 0.000280545");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("0.000280545, 0.000280545, 0.000280545, 0.000280545, 0.000280545, 0.000280545, 0.000280545");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("314.25, 314.25, 314.25, 314.25, 314.25, 314.25, 314.25");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("314.25, 314.25, 314.25, 314.25, 314.25, 314.25, 314.25");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.019879;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.07603, 1.06318, 1.08028, 1.2338, 1.46355, 1.63757, 1.73481", \
+                            "1.04971, 1.0369, 1.04749, 1.20892, 1.44276, 1.61106, 1.71556", \
+                            "0.964645, 0.951896, 0.964799, 1.11781, 1.34618, 1.52251, 1.62404", \
+                            "0.962313, 0.951038, 0.965041, 1.11084, 1.28675, 1.40327, 1.50513", \
+                            "0.963314, 0.94831, 0.970981, 1.11023, 1.28068, 1.37863, 1.40877", \
+                            "0.964777, 0.947276, 0.963754, 1.09919, 1.27989, 1.37907, 1.42703", \
+                            "0.961972, 0.946814, 0.969496, 1.1088, 1.27868, 1.39865, 1.49974" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.851642, 0.863335, 0.964953, 1.17059, 1.48753, 1.81379, 2.16205", \
+                            "0.844107, 0.861333, 0.957825, 1.16485, 1.4685, 1.78915, 2.13224", \
+                            "0.840873, 0.855602, 0.951313, 1.16078, 1.46542, 1.78618, 2.10958", \
+                            "0.840136, 0.857637, 0.954063, 1.16145, 1.46476, 1.78486, 2.12234", \
+                            "0.839553, 0.856944, 0.953502, 1.15909, 1.46399, 1.78431, 2.11882", \
+                            "0.838948, 0.856372, 0.952776, 1.15982, 1.46344, 1.78365, 2.11486", \
+                            "0.839168, 0.855547, 0.951962, 1.15763, 1.46267, 1.78277, 2.12025" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.85241, 2.86627, 2.8457, 2.70644, 2.53627, 2.43925, 2.39723", \
+                            "2.87496, 2.88904, 2.87496, 2.7291, 2.55321, 2.46015, 2.4211", \
+                            "2.95394, 2.9667, 2.95383, 2.80709, 2.63681, 2.53484, 2.50503", \
+                            "3.12884, 3.14017, 3.12609, 2.98034, 2.80445, 2.70875, 2.67894", \
+                            "3.34983, 3.36479, 3.34213, 3.20287, 3.03248, 2.93447, 2.90543", \
+                            "3.51791, 3.5354, 3.5189, 3.38349, 3.20276, 3.10354, 3.07076", \
+                            "3.64386, 3.65904, 3.63627, 3.49701, 3.32717, 3.22432, 3.1856" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.97715, 2.96241, 2.86319, 2.65584, 2.3452, 2.03247, 1.69499", \
+                            "2.9997, 2.98243, 2.88596, 2.67894, 2.37534, 2.05458, 1.72073", \
+                            "3.0778, 3.06306, 2.96736, 2.75781, 2.45322, 2.13246, 1.80906", \
+                            "3.25105, 3.23356, 3.13709, 2.92974, 2.62636, 2.30626, 1.96889", \
+                            "3.47358, 3.4562, 3.35962, 3.15403, 2.84911, 2.52879, 2.19428", \
+                            "3.64375, 3.62626, 3.5299, 3.32288, 3.01917, 2.69907, 2.36775", \
+                            "3.76662, 3.75023, 3.65387, 3.44817, 3.14314, 2.82304, 2.48556" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0496643;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.42945, 1.45266, 1.53945, 1.70907, 1.93314, 2.13444, 2.31572", \
+                            "1.41009, 1.43286, 1.51657, 1.68619, 1.91477, 2.12388, 2.29933", \
+                            "1.32077, 1.34332, 1.43176, 1.59808, 1.82061, 2.03665, 2.20935", \
+                            "1.15613, 1.1793, 1.26322, 1.43495, 1.6621, 1.86406, 2.03544", \
+                            "0.99121, 1.01604, 1.10154, 1.27004, 1.49743, 1.70093, 1.86967", \
+                            "0.862598, 0.885247, 0.973027, 1.13942, 1.37049, 1.57553, 1.74075", \
+                            "0.7744, 0.799216, 0.885335, 1.0499, 1.28173, 1.48973, 1.65" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.64505, 1.67178, 1.77408, 1.99254, 2.33948, 2.75649, 3.20925", \
+                            "1.62382, 1.65187, 1.75417, 1.98022, 2.32826, 2.73845, 3.18945", \
+                            "1.53637, 1.56321, 1.67101, 1.88837, 2.23993, 2.64858, 3.10057", \
+                            "1.36851, 1.39854, 1.50095, 1.7193, 2.07526, 2.4827, 2.93832", \
+                            "1.20546, 1.23362, 1.33529, 1.5543, 1.91037, 2.32056, 2.77123", \
+                            "1.07842, 1.10517, 1.20748, 1.43352, 1.78189, 2.19318, 2.6444", \
+                            "0.988196, 1.0169, 1.11916, 1.34288, 1.69356, 2.10364, 2.55662" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.15237, 2.13554, 2.04875, 1.90267, 1.77694, 1.69455, 1.64912", \
+                            "2.15006, 2.13191, 2.0493, 1.89937, 1.76869, 1.68806, 1.63625", \
+                            "2.156, 2.1373, 2.05238, 1.90663, 1.77969, 1.69268, 1.6423", \
+                            "2.21705, 2.20209, 2.11761, 1.96328, 1.83942, 1.75109, 1.71083", \
+                            "2.27315, 2.25489, 2.16513, 2.01685, 1.88859, 1.81016, 1.7644", \
+                            "2.29603, 2.27689, 2.19241, 2.04578, 1.91213, 1.83293, 1.79069", \
+                            "2.28503, 2.26644, 2.17833, 2.03401, 1.90003, 1.82017, 1.77529" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.07975, 1.05481, 0.948475, 0.727177, 0.40249, 0.00352, 0", \
+                            "1.07337, 1.0509, 0.945087, 0.72237, 0.393107, 0, 0", \
+                            "1.08405, 1.05835, 0.947551, 0.72347, 0.400587, 0.003124, 0", \
+                            "1.14693, 1.11854, 1.01324, 0.791934, 0.461824, 0.064405, 0", \
+                            "1.19507, 1.1731, 1.06554, 0.845471, 0.512798, 0.119557, 0", \
+                            "1.38171, 1.35399, 1.25135, 1.02212, 0.673981, 0.292859, 0", \
+                            "1.55111, 1.52471, 1.42208, 1.19364, 0.844602, 0.463672, 0.051568" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00804242;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.443993, 0.463518, 0.578831, 0.796125, 1.11888, 1.51525, 1.96988", \
+                            "0.450538, 0.467522, 0.580459, 0.800338, 1.12541, 1.52361, 1.97098", \
+                            "0.433378, 0.453244, 0.57233, 0.789184, 1.11324, 1.5081, 1.95514", \
+                            "0.379742, 0.399498, 0.514074, 0.731324, 1.05853, 1.45431, 1.90289", \
+                            "0.321816, 0.336468, 0.445038, 0.668778, 0.995577, 1.39315, 1.83436", \
+                            "0.291295, 0.312279, 0.427801, 0.644237, 0.972532, 1.3684, 1.81247", \
+                            "0.307461, 0.326568, 0.441254, 0.66055, 0.983543, 1.38215, 1.83205" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.15468, 2.13554, 2.04875, 1.90575, 1.78585, 1.69532, 1.64912", \
+                            "2.15105, 2.13191, 2.0493, 1.90212, 1.77892, 1.69169, 1.64032", \
+                            "2.15831, 2.1373, 2.05238, 1.90927, 1.78948, 1.69895, 1.64571", \
+                            "2.21947, 2.20209, 2.11761, 1.97043, 1.84987, 1.76011, 1.71996", \
+                            "2.27315, 2.25489, 2.1659, 2.02389, 1.90333, 1.81357, 1.77342", \
+                            "2.29779, 2.27689, 2.19241, 2.04886, 1.9283, 1.83843, 1.79828", \
+                            "2.28503, 2.26644, 2.17833, 2.03522, 1.91466, 1.8249, 1.78475" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.07975, 1.05521, 0.948475, 0.727177, 0.405581, 0.00352, 0", \
+                            "1.07337, 1.0512, 0.945087, 0.72237, 0.395593, 0, 0", \
+                            "1.08405, 1.05871, 0.947551, 0.725923, 0.402402, 0.003124, 0", \
+                            "1.14693, 1.11938, 1.01324, 0.791934, 0.463727, 0.064405, 0", \
+                            "1.19507, 1.1731, 1.06554, 0.845471, 0.516714, 0.119557, 0", \
+                            "1.2229, 1.19893, 1.09161, 0.869319, 0.541937, 0.14448, 0", \
+                            "1.2083, 1.18424, 1.07802, 0.853193, 0.528418, 0.129506, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0440974;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.64175, 1.63108, 1.6544, 1.80785, 2.03742, 2.21826, 2.35972", \
+                            "1.65495, 1.63647, 1.65759, 1.81423, 2.0438, 2.22431, 2.36181", \
+                            "1.63537, 1.61678, 1.64263, 1.79498, 2.02499, 2.22046, 2.34311", \
+                            "1.58202, 1.56981, 1.59302, 1.74064, 1.96966, 2.16128, 2.26369", \
+                            "1.51855, 1.50458, 1.52669, 1.68025, 1.91103, 2.09539, 2.2", \
+                            "1.49666, 1.4839, 1.50777, 1.65803, 1.8887, 2.07625, 2.20275", \
+                            "1.50964, 1.50106, 1.52218, 1.67002, 1.90223, 2.08626, 2.19307" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.20748, 2.23355, 2.32243, 2.53176, 2.85692, 3.20683, 3.59271", \
+                            "2.21155, 2.2341, 2.31946, 2.52395, 2.86396, 3.2076, 3.5948", \
+                            "2.18944, 2.22079, 2.30098, 2.51922, 2.83943, 3.19572, 3.58149", \
+                            "2.13928, 2.15798, 2.25808, 2.45564, 2.78278, 3.14149, 3.52363", \
+                            "2.08318, 2.10287, 2.19593, 2.40614, 2.72877, 3.07824, 3.4672", \
+                            "2.05161, 2.08362, 2.17316, 2.38821, 2.70666, 3.05481, 3.4474", \
+                            "2.06514, 2.09814, 2.1824, 2.39404, 2.71865, 3.07373, 3.46236" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.15059, 1.16437, 1.12373, 1.04861, 0.997601, 0.964909, 0.926904", \
+                            "1.17172, 1.18607, 1.1459, 1.07109, 1.01928, 0.985974, 0.947903", \
+                            "1.25083, 1.26445, 1.22432, 1.14759, 1.09782, 1.06374, 1.02725", \
+                            "1.43198, 1.44507, 1.4047, 1.32803, 1.27806, 1.24389, 1.20221", \
+                            "1.64967, 1.66353, 1.62305, 1.5477, 1.49688, 1.46377, 1.42604", \
+                            "1.82578, 1.8403, 1.79949, 1.72183, 1.67332, 1.64043, 1.60292", \
+                            "1.95393, 1.96757, 1.92599, 1.85163, 1.80081, 1.7677, 1.72458" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.23871, 1.21746, 1.12152, 1.00324, 0.912164, 0.860189, 0.820974", \
+                            "1.25858, 1.23892, 1.14324, 1.02498, 0.933889, 0.881914, 0.842655", \
+                            "1.33804, 1.3178, 1.22185, 1.10359, 1.01256, 0.96052, 0.921305", \
+                            "1.51822, 1.49798, 1.40206, 1.28381, 1.19271, 1.14073, 1.10152", \
+                            "1.73811, 1.71611, 1.62063, 1.50238, 1.41141, 1.35927, 1.32011", \
+                            "1.91521, 1.89299, 1.79729, 1.67904, 1.58796, 1.53593, 1.49666", \
+                            "2.04215, 2.02026, 1.92456, 1.80642, 1.71523, 1.6632, 1.62404" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0174727;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.18743, 1.20775, 1.28784, 1.33793, 1.34288, 1.26812, 1.15781", \
+                            "1.19238, 1.22096, 1.28926, 1.34156, 1.34827, 1.27541, 1.14472", \
+                            "1.17967, 1.19698, 1.27497, 1.33177, 1.32759, 1.25985, 1.1551", \
+                            "1.12431, 1.14508, 1.22489, 1.27447, 1.28108, 1.20223, 1.09944", \
+                            "1.06235, 1.08492, 1.16354, 1.21561, 1.21958, 1.14082, 1.03692", \
+                            "1.04102, 1.06389, 1.12999, 1.17871, 1.19823, 1.12952, 1.01039", \
+                            "1.05299, 1.07615, 1.15491, 1.20821, 1.21086, 1.14132, 1.03086" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.031823, 0.035123, 0.142331, 0.379104, 0.719389, 1.1245, 1.57993", \
+                            "0.040612, 0.038852, 0.146347, 0.37675, 0.716705, 1.12482, 1.57949", \
+                            "0.017787, 0.023023, 0.130363, 0.36212, 0.706728, 1.10837, 1.56783", \
+                            "0, 0, 0.077506, 0.314292, 0.652949, 1.05667, 1.51492", \
+                            "0, 0, 0.014531, 0.251612, 0.591195, 0.997007, 1.45233", \
+                            "0, 0, 0, 0.22296, 0.569371, 0.974897, 1.43055", \
+                            "0, 0, 0.006578, 0.238473, 0.583077, 0.988768, 1.44397" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.938762, 0.922471, 0.839531, 0.788051, 0.782441, 0.86262, 0.970838", \
+                            "0.937673, 0.912373, 0.837628, 0.784938, 0.779053, 0.861586, 0.979044", \
+                            "0.95359, 0.939422, 0.854271, 0.798886, 0.793595, 0.858869, 0.982597", \
+                            "1.00692, 0.987558, 0.899679, 0.852962, 0.846604, 0.918423, 1.01632", \
+                            "1.06898, 1.04546, 0.969122, 0.914848, 0.908765, 0.981992, 1.10091", \
+                            "1.08671, 1.06396, 0.996193, 0.937354, 0.932437, 0.983147, 1.10353", \
+                            "1.07041, 1.05326, 0.982883, 0.920513, 0.919908, 0.997315, 1.08439" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.6115, 1.60589, 1.50535, 1.26929, 0.930347, 0.528198, 0.070433", \
+                            "1.60875, 1.60314, 1.50216, 1.26719, 0.927553, 0.526713, 0.067309", \
+                            "1.62437, 1.61986, 1.51932, 1.28334, 0.944636, 0.543796, 0.0843777", \
+                            "1.67431, 1.67035, 1.5697, 1.33364, 0.995071, 0.594242, 0.134821", \
+                            "1.73987, 1.73382, 1.63328, 1.39722, 1.05863, 0.656128, 0.198369", \
+                            "1.75747, 1.75307, 1.65242, 1.41713, 1.07778, 0.675301, 0.217528", \
+                            "1.74636, 1.74086, 1.64021, 1.40415, 1.06559, 0.663102, 0.205421" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.000280545;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_4v50.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_4v50.lib
new file mode 100644
index 0000000..d0a4715
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_4v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_125C_4v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 4.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.775;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 4.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_4p5v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 4.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_4p5v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.202;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+			  index_2 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "8.79552, 8.82528, 8.93916, 9.12864, 9.39108, 9.74928, 10.22232", \
+                            "8.79576, 8.82804, 8.9484, 9.11616, 9.40284, 9.74808, 10.21992", \
+                            "8.81352, 8.84964, 8.95548, 9.13404, 9.40776, 9.76152, 10.24476", \
+                            "8.82288, 8.85804, 8.96964, 9.14808, 9.41256, 9.79548, 10.27008", \
+                            "8.8644, 8.89416, 9.00264, 9.18552, 9.46356, 9.81, 10.28688", \
+                            "8.86524, 8.89308, 9.01512, 9.1956, 9.4626, 9.82092, 10.30032", \
+                            "8.87448, 8.90328, 9, 9.20556, 9.47172, 9.8274, 10.29984" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+  	                     "0.29706, 0.347748, 0.52872, 0.852528, 1.37688, 2.1324, 3.11712" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "9.06084, 9.09576, 9.207, 9.36852, 9.585, 9.84624, 10.17576", \
+                            "9.0594, 9.09252, 9.2148, 9.3624, 9.59736, 9.8412, 10.1718", \
+                            "9.0774, 9.11568, 9.22152, 9.37632, 9.59952, 9.85176, 10.19916", \
+                            "9.08772, 9.12408, 9.23736, 9.39264, 9.60144, 9.88764, 10.22244", \
+                            "9.12792, 9.16344, 9.26784, 9.42672, 9.65688, 9.90396, 10.24236", \
+                            "9.12888, 9.15588, 9.27708, 9.43968, 9.6546, 9.91476, 10.25124", \
+                            "9.1422, 9.17088, 9.2646, 9.44904, 9.66216, 9.92124, 10.25556" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "0.303624, 0.345108, 0.461832, 0.669348, 0.974172, 1.39596, 1.95192" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.31848;
+                  clock                : true;
+                  max_transition       : 2.775;
+                  min_pulse_width_high : 4.387335;
+                  min_pulse_width_low  : 5.72349;
+                  min_period           : 11.89014;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("830.363, 830.363, 830.363, 830.363, 830.363, 830.363, 830.363");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("830.363, 830.363, 830.363, 830.363, 830.363, 830.363, 830.363");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("0.00061677, 0.00061677, 0.00061677, 0.00061677, 0.00061677, 0.00061677, 0.00061677");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("0.00061677, 0.00061677, 0.00061677, 0.00061677, 0.00061677, 0.00061677, 0.00061677");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("746.438, 746.438, 746.438, 746.438, 746.438, 746.438, 746.438");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("746.438, 746.438, 746.438, 746.438, 746.438, 746.438, 746.438");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0197865;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.660583, 0.65615, 0.648153, 0.6721, 0.740828, 0.814022, 0.860277", \
+                            "0.654709, 0.647361, 0.640772, 0.665445, 0.731742, 0.80817, 0.85855", \
+                            "0.647383, 0.641894, 0.632379, 0.653708, 0.708587, 0.785807, 0.825957", \
+                            "0.647394, 0.640772, 0.632302, 0.65406, 0.708345, 0.757526, 0.782628", \
+                            "0.645766, 0.634425, 0.63118, 0.653147, 0.707751, 0.750761, 0.771155", \
+                            "0.64526, 0.640431, 0.630256, 0.652773, 0.707091, 0.745778, 0.779889", \
+                            "0.645579, 0.638583, 0.629959, 0.651904, 0.706475, 0.749485, 0.779306" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.586377, 0.591855, 0.616462, 0.675147, 0.779867, 0.907522, 1.04269", \
+                            "0.582659, 0.586949, 0.612447, 0.670846, 0.775896, 0.896984, 1.0327", \
+                            "0.580085, 0.585266, 0.609103, 0.668558, 0.775269, 0.895433, 1.03631", \
+                            "0.579348, 0.583979, 0.608729, 0.667546, 0.772178, 0.90068, 1.02904", \
+                            "0.578215, 0.583363, 0.608487, 0.66693, 0.771595, 0.899767, 1.02845", \
+                            "0.577885, 0.582021, 0.607486, 0.666259, 0.770979, 0.899184, 1.02775", \
+                            "0.577093, 0.582098, 0.60621, 0.665665, 0.769153, 0.894773, 1.02714" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.85185, 1.85746, 1.86681, 1.84525, 1.78805, 1.74691, 1.71435", \
+                            "1.86351, 1.86857, 1.87858, 1.85658, 1.80191, 1.75593, 1.72007", \
+                            "1.89387, 1.89937, 1.90883, 1.88749, 1.83282, 1.78585, 1.75912", \
+                            "1.94689, 1.95349, 1.96196, 1.94029, 1.88595, 1.83678, 1.81621", \
+                            "2.02598, 2.03731, 2.04061, 2.01861, 1.96405, 1.92104, 1.90058", \
+                            "2.11618, 2.12102, 2.13125, 2.1087, 2.05436, 2.01575, 1.98154", \
+                            "2.16843, 2.17536, 2.18405, 2.16205, 2.10749, 2.06448, 2.03467" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.9195, 1.914, 1.88936, 1.83073, 1.72601, 1.5983, 1.46311", \
+                            "1.93127, 1.92698, 1.90146, 1.84305, 1.738, 1.617, 1.48126", \
+                            "1.96119, 1.95591, 1.93215, 1.87264, 1.76594, 1.64582, 1.50491", \
+                            "2.01498, 2.01036, 1.98561, 1.92676, 1.82215, 1.69367, 1.5653", \
+                            "2.09352, 2.08846, 2.06327, 2.00486, 1.90014, 1.77199, 1.64329", \
+                            "2.18361, 2.17943, 2.15402, 2.09517, 1.99045, 1.8623, 1.73371", \
+                            "2.23685, 2.2319, 2.20781, 2.1483, 2.04479, 1.91917, 1.78684" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0513452;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.934087, 0.940038, 0.962379, 1.01904, 1.10432, 1.21022, 1.30911", \
+                            "0.928609, 0.934736, 0.958287, 1.01445, 1.09981, 1.20771, 1.30581", \
+                            "0.908919, 0.915002, 0.939015, 0.993773, 1.07837, 1.18708, 1.28546", \
+                            "0.852467, 0.858572, 0.88264, 0.937563, 1.02287, 1.13036, 1.22867", \
+                            "0.770825, 0.776996, 0.799271, 0.855866, 0.94138, 1.04715, 1.14789", \
+                            "0.692758, 0.697829, 0.721468, 0.777876, 0.863203, 0.970453, 1.0691", \
+                            "0.633424, 0.639716, 0.662563, 0.718421, 0.803836, 0.909711, 1.01" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.01252, 1.02146, 1.05573, 1.13241, 1.25855, 1.4223, 1.61326", \
+                            "1.00717, 1.01715, 1.05167, 1.12812, 1.25407, 1.42076, 1.60908", \
+                            "0.986535, 0.997029, 1.03043, 1.10708, 1.23296, 1.40019, 1.58818", \
+                            "0.931106, 0.940621, 0.973995, 1.0508, 1.17518, 1.34431, 1.53175", \
+                            "0.849431, 0.859034, 0.891825, 0.969639, 1.09559, 1.25707, 1.45024", \
+                            "0.770473, 0.780505, 0.814825, 0.891011, 1.01747, 1.17851, 1.37203", \
+                            "0.711172, 0.721556, 0.754754, 0.832183, 0.95788, 1.12242, 1.31274" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.42351, 1.41669, 1.3937, 1.34431, 1.28161, 1.23224, 1.19436", \
+                            "1.42406, 1.41768, 1.39447, 1.34486, 1.28238, 1.2286, 1.19435", \
+                            "1.43011, 1.42329, 1.39975, 1.35003, 1.28821, 1.23449, 1.20012", \
+                            "1.44716, 1.4399, 1.41702, 1.36752, 1.30493, 1.25055, 1.21637", \
+                            "1.46245, 1.45563, 1.43264, 1.38303, 1.32055, 1.27116, 1.23276", \
+                            "1.48049, 1.47389, 1.45068, 1.40118, 1.33859, 1.28425, 1.25045", \
+                            "1.47708, 1.47026, 1.44793, 1.397, 1.33507, 1.28579, 1.24703" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.741796, 0.730719, 0.695299, 0.622479, 0.496375, 0.341715, 0.159284", \
+                            "0.742324, 0.734822, 0.698071, 0.619036, 0.497233, 0.339614, 0.156519", \
+                            "0.747351, 0.738199, 0.704385, 0.625273, 0.504053, 0.347193, 0.165893", \
+                            "0.764214, 0.756173, 0.718685, 0.641399, 0.52206, 0.362175, 0.17759", \
+                            "0.780637, 0.771298, 0.737473, 0.659956, 0.535161, 0.38346, 0.193576", \
+                            "0.815705, 0.806168, 0.771045, 0.694705, 0.564245, 0.408034, 0.224549", \
+                            "0.911449, 0.900537, 0.867075, 0.790504, 0.660264, 0.500621, 0.320353" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00826446;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.300872, 0.312235, 0.347061, 0.420871, 0.547349, 0.701316, 0.886336", \
+                            "0.300883, 0.307054, 0.344476, 0.422851, 0.546634, 0.703703, 0.887722", \
+                            "0.295526, 0.302368, 0.336831, 0.416405, 0.539231, 0.696344, 0.878757", \
+                            "0.280203, 0.2871, 0.325028, 0.401643, 0.522379, 0.682319, 0.867163", \
+                            "0.260264, 0.267596, 0.301631, 0.379852, 0.505637, 0.657151, 0.84766", \
+                            "0.244158, 0.249509, 0.2893, 0.364254, 0.488004, 0.638352, 0.830412", \
+                            "0.248855, 0.256248, 0.290521, 0.368951, 0.493691, 0.650804, 0.836561" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.42351, 1.41889, 1.39491, 1.34431, 1.28172, 1.23224, 1.19436", \
+                            "1.42406, 1.41955, 1.39557, 1.34486, 1.28238, 1.23069, 1.19488", \
+                            "1.43011, 1.42538, 1.4014, 1.35003, 1.28821, 1.23652, 1.2007", \
+                            "1.44716, 1.44155, 1.41757, 1.36752, 1.30493, 1.25265, 1.21683", \
+                            "1.46245, 1.45772, 1.43374, 1.38303, 1.32055, 1.27116, 1.23306", \
+                            "1.48049, 1.47587, 1.45189, 1.40118, 1.3387, 1.287, 1.25114", \
+                            "1.47708, 1.47235, 1.44837, 1.397, 1.33518, 1.28579, 1.24766" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.741796, 0.731797, 0.695299, 0.622479, 0.496375, 0.341715, 0.159284", \
+                            "0.743886, 0.734822, 0.698071, 0.620862, 0.497233, 0.339614, 0.156519", \
+                            "0.748374, 0.739266, 0.704935, 0.627066, 0.504053, 0.347193, 0.165893", \
+                            "0.765127, 0.75724, 0.719455, 0.643082, 0.52206, 0.362175, 0.17759", \
+                            "0.780637, 0.771298, 0.739068, 0.659956, 0.535161, 0.38346, 0.193576", \
+                            "0.799139, 0.791076, 0.75196, 0.678128, 0.554235, 0.403249, 0.211674", \
+                            "0.795476, 0.788073, 0.753874, 0.67485, 0.550506, 0.393734, 0.208197" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0440049;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.04046, 1.03751, 1.03378, 1.06152, 1.13113, 1.20736, 1.2705", \
+                            "1.04441, 1.03987, 1.03582, 1.05977, 1.12969, 1.20781, 1.27204", \
+                            "1.03879, 1.03294, 1.03222, 1.05268, 1.1249, 1.20182, 1.26179", \
+                            "1.01838, 1.01618, 1.0124, 1.03745, 1.10758, 1.18927, 1.24929", \
+                            "0.999207, 0.996259, 0.991023, 1.0172, 1.08665, 1.16609, 1.22944", \
+                            "0.982388, 0.979814, 0.978406, 1.00089, 1.07151, 1.15312, 1.21178", \
+                            "0.995368, 0.985391, 0.981607, 1.00482, 1.07599, 1.15639, 1.2209" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.52834, 1.5323, 1.56409, 1.6247, 1.73217, 1.87077, 2.02411", \
+                            "1.52988, 1.53329, 1.56145, 1.62283, 1.73074, 1.87022, 2.03126", \
+                            "1.51899, 1.52383, 1.55914, 1.61557, 1.72623, 1.86065, 2.02125", \
+                            "1.50656, 1.51129, 1.53714, 1.59995, 1.70368, 1.84932, 2.01014", \
+                            "1.48709, 1.49138, 1.51745, 1.57883, 1.68751, 1.83172, 1.98748", \
+                            "1.47213, 1.47499, 1.50304, 1.56684, 1.67684, 1.8139, 1.97362", \
+                            "1.47807, 1.47763, 1.50986, 1.57344, 1.683, 1.82127, 1.97758" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.818444, 0.825088, 0.827739, 0.810689, 0.802087, 0.795564, 0.790614", \
+                            "0.827585, 0.834603, 0.836858, 0.819753, 0.811151, 0.804254, 0.799678", \
+                            "0.852049, 0.859056, 0.861289, 0.844217, 0.835615, 0.828707, 0.824142", \
+                            "0.898425, 0.905443, 0.907643, 0.890593, 0.881991, 0.875083, 0.870518", \
+                            "0.983037, 0.990638, 0.992882, 0.975788, 0.967186, 0.960674, 0.955702", \
+                            "1.071, 1.07734, 1.08006, 1.063, 1.05438, 1.04749, 1.04291", \
+                            "1.1491, 1.15643, 1.15864, 1.14159, 1.13298, 1.12648, 1.12152" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.85261, 0.846736, 0.818664, 0.774444, 0.746889, 0.741972, 0.748638", \
+                            "0.862048, 0.855107, 0.827728, 0.783508, 0.755942, 0.751025, 0.757713", \
+                            "0.885951, 0.87956, 0.852192, 0.807983, 0.780406, 0.775489, 0.782166", \
+                            "0.932338, 0.926673, 0.898568, 0.854348, 0.826782, 0.821876, 0.828553", \
+                            "1.01752, 1.01253, 0.983774, 0.939543, 0.911988, 0.907071, 0.913737", \
+                            "1.10472, 1.09835, 1.07097, 1.02675, 0.999185, 0.994257, 1.00096", \
+                            "1.18364, 1.17833, 1.14956, 1.10535, 1.07778, 1.07285, 1.07954" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0175901;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.724207, 0.730631, 0.75801, 0.78529, 0.783992, 0.759462, 0.704737", \
+                            "0.725483, 0.731819, 0.754809, 0.780989, 0.782705, 0.759616, 0.703604", \
+                            "0.720599, 0.726528, 0.746988, 0.777007, 0.779262, 0.75504, 0.695255", \
+                            "0.703131, 0.713526, 0.733744, 0.761024, 0.762069, 0.738617, 0.681428", \
+                            "0.681505, 0.694353, 0.715704, 0.742797, 0.738749, 0.719301, 0.66231", \
+                            "0.668921, 0.677237, 0.698203, 0.726264, 0.72578, 0.702031, 0.642774", \
+                            "0.67529, 0.68156, 0.70345, 0.730455, 0.731522, 0.704869, 0.650727" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.048686, 0.05082, 0.0859892, 0.172908, 0.315535, 0.496672, 0.718707", \
+                            "0.048939, 0.048763, 0.0830511, 0.169624, 0.314974, 0.496199, 0.71764", \
+                            "0.043956, 0.046178, 0.0768328, 0.164595, 0.308682, 0.489346, 0.711304", \
+                            "0.025157, 0.028996, 0.0610929, 0.146908, 0.29315, 0.47443, 0.696322", \
+                            "0.008085, 0.00814, 0.041679, 0.13053, 0.271464, 0.453651, 0.675829", \
+                            "0, 0, 0.027401, 0.112028, 0.255521, 0.438493, 0.660198", \
+                            "0, 0, 0.031108, 0.118251, 0.263406, 0.444422, 0.665434" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.716826, 0.716012, 0.685817, 0.657866, 0.660286, 0.68442, 0.738562", \
+                            "0.718091, 0.71236, 0.691064, 0.664169, 0.659956, 0.6864, 0.74206", \
+                            "0.725307, 0.712976, 0.693825, 0.665258, 0.66506, 0.691284, 0.74668", \
+                            "0.740663, 0.734272, 0.711557, 0.680295, 0.682099, 0.705254, 0.760452", \
+                            "0.759957, 0.745646, 0.72798, 0.703483, 0.702339, 0.726451, 0.779207", \
+                            "0.777513, 0.77121, 0.74448, 0.71676, 0.717508, 0.742093, 0.798193", \
+                            "0.768757, 0.763411, 0.739794, 0.715033, 0.711491, 0.736659, 0.791846" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.07353, 1.07307, 1.03994, 0.953634, 0.810095, 0.628089, 0.408661", \
+                            "1.07615, 1.07449, 1.04568, 0.955658, 0.81246, 0.630443, 0.410971", \
+                            "1.08076, 1.07853, 1.05056, 0.960531, 0.817333, 0.635316, 0.415899", \
+                            "1.0954, 1.09413, 1.06136, 0.975194, 0.831996, 0.649979, 0.430562", \
+                            "1.11561, 1.11509, 1.08537, 0.995335, 0.852148, 0.67012, 0.450703", \
+                            "1.13267, 1.13083, 1.09819, 1.01204, 0.868846, 0.686818, 0.467401", \
+                            "1.124, 1.12591, 1.09406, 1.00661, 0.863401, 0.681384, 0.461967" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.00061677;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_1v62.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_1v62.lib
new file mode 100644
index 0000000..00b0cab
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_1v62.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_1v62) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 1.62;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 10.6;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.62);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_1p62v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 1.62;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_1p62v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.03;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+			  index_2 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "36.7512, 36.8316, 37.3704, 38.0592, 39.2304, 40.8036, 42.8844", \
+                            "36.852, 37.0104, 37.4484, 38.214, 39.3816, 40.9452, 43.0536", \
+                            "37.0104, 37.1328, 37.5684, 38.352, 39.462, 41.064, 43.2084", \
+                            "37.4688, 37.5684, 38.0472, 38.7288, 39.924, 41.5248, 43.5768", \
+                            "38.1252, 38.2752, 38.6172, 39.4128, 40.5852, 42.1392, 44.2872", \
+                            "39.0036, 39.1428, 39.5868, 40.3644, 41.5176, 43.0428, 45.2112", \
+                            "39.972, 40.1232, 40.5348, 41.3148, 42.4584, 44.0124, 46.0548" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+  	                     "0.860328, 1.05275, 1.69428, 2.95416, 4.86732, 7.69104, 11.4053" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "39.2964, 39.3504, 39.7344, 40.0596, 40.6464, 41.2404, 41.994", \
+                            "39.3936, 39.5292, 39.8172, 40.2444, 40.7424, 41.3844, 42.1884", \
+                            "39.5292, 39.6288, 39.9216, 40.3584, 40.8528, 41.5056, 42.3144", \
+                            "39.972, 40.056, 40.3656, 40.7376, 41.3124, 41.952, 42.7152", \
+                            "40.686, 40.7964, 40.9608, 41.4372, 41.9652, 42.57, 43.422", \
+                            "41.5236, 41.6244, 41.9292, 42.3552, 42.888, 43.4868, 44.3172", \
+                            "42.498, 42.6168, 42.9084, 43.3224, 43.89, 44.46, 45.216" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "0.932028, 0.969852, 1.28112, 1.74192, 2.36172, 3.3378, 4.4448" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.295282;
+                  clock                : true;
+                  max_transition       : 10.6;
+                  min_pulse_width_high : 17.82075;
+                  min_pulse_width_low  : 24.58215;
+                  min_period           : 52.65225;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("91.53, 91.53, 91.53, 91.53, 91.53, 91.53, 91.53");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("91.53, 91.53, 91.53, 91.53, 91.53, 91.53, 91.53");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("6.47757e-05, 6.47757e-05, 6.47757e-05, 6.47757e-05, 6.47757e-05, 6.47757e-05, 6.47757e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("6.47757e-05, 6.47757e-05, 6.47757e-05, 6.47757e-05, 6.47757e-05, 6.47757e-05, 6.47757e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("84.2238, 84.2238, 84.2238, 84.2238, 84.2238, 84.2238, 84.2238");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("84.2238, 84.2238, 84.2238, 84.2238, 84.2238, 84.2238, 84.2238");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0193317;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.57676, 3.55542, 3.6509, 4.05064, 4.93262, 6.13525, 7.78525", \
+                            "3.51197, 3.49184, 3.57148, 3.9919, 4.87531, 6.04384, 7.73289", \
+                            "3.29868, 3.25677, 3.32772, 3.75595, 4.62781, 5.83704, 7.48187", \
+                            "2.87034, 2.83778, 2.92853, 3.33278, 4.22037, 5.38901, 7.04099", \
+                            "2.87474, 2.82931, 2.92534, 3.3286, 4.22158, 5.41695, 7.06178", \
+                            "2.87309, 2.84702, 2.92358, 3.33003, 4.213, 5.41321, 7.04407", \
+                            "2.86429, 2.84559, 2.92358, 3.32739, 4.2295, 5.38912, 7.06277" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.36984, 2.41725, 2.63131, 3.07241, 3.84835, 4.9731, 6.46844", \
+                            "2.299, 2.35378, 2.56795, 3.00795, 3.78741, 4.90985, 6.40409", \
+                            "2.05843, 2.11805, 2.332, 2.77244, 3.55113, 4.68358, 6.16847", \
+                            "2.013, 2.07031, 2.29119, 2.73592, 3.49899, 4.63331, 6.12106", \
+                            "2.02213, 2.0702, 2.2913, 2.73691, 3.4991, 4.63342, 6.12238", \
+                            "2.01212, 2.06888, 2.29031, 2.73504, 3.49745, 4.63111, 6.12018", \
+                            "2.01014, 2.06943, 2.29031, 2.73592, 3.49822, 4.63177, 6.12128" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "7.26638, 7.30224, 7.21589, 6.81219, 5.91767, 4.7256, 3.07879", \
+                            "7.32919, 7.36626, 7.28948, 6.87621, 5.9741, 4.81514, 3.13302", \
+                            "7.57339, 7.59858, 7.51256, 7.11777, 6.23623, 5.02854, 3.37986", \
+                            "7.9717, 8.00426, 7.91747, 7.5141, 6.62167, 5.45303, 3.80105", \
+                            "8.68714, 8.73268, 8.63654, 8.23339, 7.3403, 6.14493, 4.50021", \
+                            "9.65217, 9.67835, 9.60168, 9.19534, 8.31237, 7.11205, 5.48119", \
+                            "10.8206, 10.8392, 10.7613, 10.3574, 9.45538, 8.29565, 6.622" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "8.11635, 8.06993, 7.84916, 7.40355, 6.64378, 5.50715, 4.01819", \
+                            "8.19148, 8.13395, 7.91296, 7.46834, 6.70516, 5.57084, 4.08298", \
+                            "8.42666, 8.36704, 8.14627, 7.70121, 6.9388, 5.80459, 4.31596", \
+                            "8.82904, 8.77184, 8.55085, 8.10612, 7.34316, 6.20884, 4.72109", \
+                            "9.53986, 9.49179, 9.27058, 8.82497, 8.06278, 6.92846, 5.43961", \
+                            "10.5132, 10.4564, 10.2351, 9.79033, 9.02781, 7.89415, 6.40519", \
+                            "11.6743, 11.6149, 11.3949, 10.949, 10.1866, 9.05311, 7.56349" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.043504;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.78763, 3.84648, 4.06879, 4.51341, 5.18375, 6.06595, 7.23294", \
+                            "3.72504, 3.78411, 4.01665, 4.45104, 5.13106, 6.04439, 7.17035", \
+                            "3.49404, 3.56466, 3.79808, 4.21355, 4.90754, 5.78358, 6.93968", \
+                            "3.07769, 3.13676, 3.35973, 3.80369, 4.47469, 5.3966, 6.52311", \
+                            "2.36808, 2.44112, 2.66981, 3.1031, 3.77927, 4.6552, 5.82263", \
+                            "1.55584, 1.61084, 1.85746, 2.29075, 2.95977, 3.86716, 5.01039", \
+                            "0.611556, 0.679921, 0.913077, 1.3464, 2.02741, 2.94448, 4.06593" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "5.39539, 5.48185, 5.73023, 6.2293, 6.97719, 8.17366, 9.68495", \
+                            "5.33148, 5.41904, 5.66764, 6.17111, 6.95299, 8.09281, 9.62225", \
+                            "5.13744, 5.20003, 5.44841, 5.95221, 6.7265, 7.86236, 9.40302", \
+                            "4.70734, 4.77191, 5.01567, 5.52398, 6.30619, 7.44557, 8.97512", \
+                            "4.00752, 4.07143, 4.3153, 4.8191, 5.60527, 6.74509, 8.27464", \
+                            "3.19451, 3.24346, 3.50768, 4.00708, 4.78577, 5.91811, 7.46251", \
+                            "2.25071, 2.31473, 2.56311, 3.06229, 3.84857, 4.97728, 6.51783" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "6.85707, 6.80658, 6.60924, 6.13668, 5.49549, 4.75585, 3.82349", \
+                            "6.48692, 6.43522, 6.21104, 5.75685, 5.14173, 4.32421, 3.45037", \
+                            "5.87268, 5.82208, 5.59493, 5.14283, 4.51924, 3.76816, 2.84933", \
+                            "5.54906, 5.49912, 5.30024, 4.83109, 4.17978, 3.388, 2.51493", \
+                            "6.00556, 5.95353, 5.72891, 5.28176, 4.65311, 3.88894, 2.96846", \
+                            "6.7661, 6.71979, 6.4878, 6.04065, 5.41134, 4.63353, 3.72702", \
+                            "7.69703, 7.63213, 7.41873, 6.97598, 6.32423, 5.53432, 4.65795" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "4.13732, 4.10201, 3.8489, 3.29769, 2.58874, 1.39502, 0", \
+                            "3.76519, 3.72768, 3.47622, 2.92732, 2.1901, 1.03563, 0", \
+                            "3.12466, 3.11388, 2.86, 2.31066, 1.57971, 0.4213, 0", \
+                            "2.82546, 2.79092, 2.51361, 1.99133, 1.2536, 0.099671, 0", \
+                            "3.27855, 3.24599, 2.96395, 2.44277, 1.70753, 0.553432, 0", \
+                            "4.03832, 4.00114, 3.75298, 3.19869, 2.47313, 1.31828, 0", \
+                            "4.94989, 4.93471, 4.68523, 4.13281, 3.39812, 2.24521, 0.702383" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00722788;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.121568", \
+                            "0, 0, 0, 0, 0, 0, 0.49467", \
+                            "0, 0, 0, 0, 0, 0.169499, 1.09585", \
+                            "0, 0, 0, 0, 0, 0.565268, 1.42989", \
+                            "0, 0, 0, 0, 0, 0.060753, 0.972719", \
+                            "0, 0, 0, 0, 0, 0, 0.217564", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0, 0, 0, 0.504108, 1.22736, 2.41406, 3.94592", \
+                            "0.035772, 0.077979, 0.330396, 0.857263, 1.62558, 2.75396, 4.32322", \
+                            "0.673695, 0.690866, 0.942139, 1.47697, 2.23179, 3.38272, 4.93614", \
+                            "0.968352, 1.01084, 1.29275, 1.80532, 2.55563, 3.68401, 5.25349", \
+                            "0.524381, 0.563035, 0.837342, 1.33793, 2.10452, 3.23224, 4.79974", \
+                            "0, 0, 0.045727, 0.578325, 1.3398, 2.48292, 4.04382", \
+                            "0, 0, 0, 0, 0.412577, 1.55177, 3.1053" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "6.89502, 6.85091, 6.60924, 6.17485, 5.5242, 4.75585, 3.86518", \
+                            "6.52234, 6.47812, 6.23348, 5.80228, 5.15152, 4.39395, 3.4925", \
+                            "5.90623, 5.86201, 5.61946, 5.18606, 4.53541, 3.76816, 2.89784", \
+                            "5.58646, 5.54235, 5.30024, 4.8664, 4.21564, 3.45807, 2.55673", \
+                            "6.0401, 5.99445, 5.75179, 5.31993, 4.68182, 3.89158, 3.00971", \
+                            "6.79921, 6.75455, 6.51596, 6.08179, 5.45633, 4.65707, 3.76871", \
+                            "7.73014, 7.68592, 7.4426, 7.01008, 6.35932, 5.60175, 4.70041" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "4.14491, 4.11554, 3.85814, 3.31595, 2.60645, 1.40833, 0", \
+                            "3.77487, 3.74088, 3.48546, 2.94536, 2.21177, 1.05236, 0", \
+                            "3.15623, 3.12675, 2.86924, 2.32881, 1.59951, 0.437272, 0", \
+                            "2.8402, 2.80489, 2.52439, 2.00948, 1.27503, 0.116577, 0", \
+                            "3.29131, 3.25864, 2.97792, 2.46103, 1.72876, 0.570086, 0", \
+                            "4.05141, 4.01863, 3.76222, 3.2175, 2.49238, 1.33683, 0", \
+                            "4.9808, 4.94857, 4.69326, 4.15107, 3.41957, 2.26149, 0.714263" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0435502;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.52484, 3.49844, 3.58534, 4.04195, 4.8818, 6.0951, 7.6747", \
+                            "3.85099, 3.84901, 3.89543, 4.38416, 5.22016, 6.44479, 8.02714", \
+                            "4.45588, 4.45577, 4.51132, 4.99125, 5.82054, 7.02834, 8.64149", \
+                            "4.80887, 4.77433, 4.83098, 5.31553, 6.12656, 7.36681, 8.96423", \
+                            "4.34456, 4.32399, 4.38603, 4.87069, 5.67325, 6.94573, 8.48375", \
+                            "3.56345, 3.55421, 3.61174, 4.092, 4.90666, 6.14845, 7.70946", \
+                            "2.6268, 2.62834, 2.69753, 3.15447, 4.00917, 5.18595, 6.79591" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.7675, 3.82668, 4.02622, 4.51924, 5.29397, 6.43104, 7.94365", \
+                            "4.12973, 4.18253, 4.40132, 4.88543, 5.68073, 6.80834, 8.32579", \
+                            "4.74727, 4.79292, 5.01391, 5.50209, 6.28617, 7.41191, 8.93013", \
+                            "5.08486, 5.12468, 5.31916, 5.82593, 6.60869, 7.74521, 9.23868", \
+                            "4.59965, 4.65586, 4.87795, 5.36019, 6.16297, 7.27023, 8.81584", \
+                            "3.84021, 3.87827, 4.11752, 4.58832, 5.38362, 6.5032, 8.02692", \
+                            "2.93832, 2.98375, 3.20353, 3.68269, 4.46886, 5.58514, 7.1071" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.48875, 2.5146, 2.42011, 1.9459, 1.11604, 0, 0", \
+                            "2.55893, 2.58544, 2.49062, 2.01652, 1.17301, 0.031867, 0", \
+                            "2.77948, 2.80544, 2.71084, 2.23674, 1.39315, 0.251979, 0", \
+                            "3.16063, 3.1867, 3.09199, 2.61789, 1.7743, 0.633193, 0", \
+                            "3.89367, 3.91842, 3.82393, 3.34972, 2.50624, 1.36499, 0", \
+                            "4.83802, 4.86343, 4.76861, 4.29451, 3.45092, 2.30978, 0.888184", \
+                            "5.984, 6.01018, 5.91536, 5.44126, 4.59767, 3.50724, 2.08901" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.08275, 3.03325, 2.79851, 2.33167, 1.68575, 0.848947, 0", \
+                            "3.15326, 3.10387, 2.86913, 2.40207, 1.75637, 0.919512, 0", \
+                            "3.37326, 3.32398, 3.08924, 2.62229, 1.97626, 1.14193, 0.116848", \
+                            "3.75287, 3.70524, 3.4705, 3.00344, 2.35774, 1.52317, 0.49808", \
+                            "4.48635, 4.43707, 4.20222, 3.73527, 3.08935, 2.25269, 1.22991", \
+                            "5.43103, 5.38186, 5.14712, 4.68006, 4.03414, 3.19748, 2.1747", \
+                            "6.57778, 6.52861, 6.29387, 5.82692, 5.18111, 4.34423, 3.32156" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0170451;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.19792, 3.27052, 3.49217, 3.92007, 4.43597, 5.08673, 5.79029", \
+                            "3.56763, 3.65376, 3.8786, 4.29187, 4.81415, 5.47855, 6.19069", \
+                            "4.21476, 4.26107, 4.47469, 4.90226, 5.42201, 6.09158, 6.7815", \
+                            "4.52012, 4.57908, 4.80975, 5.22005, 5.74134, 6.41784, 7.05661", \
+                            "4.04855, 4.12665, 4.34401, 4.77642, 5.26933, 5.95089, 6.65687", \
+                            "3.30033, 3.34268, 3.5772, 3.99003, 4.51044, 5.19827, 5.87059", \
+                            "2.37127, 2.43232, 2.67553, 3.08462, 3.60668, 4.25073, 4.95407" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.858253, 2.30846", \
+                            "0, 0, 0, 0, 0.059312, 1.23619, 2.68565", \
+                            "0, 0, 0, 0, 0.668668, 1.84591, 3.29527", \
+                            "0, 0, 0, 0.266616, 0.992816, 2.16997, 3.6201", \
+                            "0, 0, 0, 0, 0.539726, 1.7171, 3.16635", \
+                            "0, 0, 0, 0, 0, 0.934428, 2.39118", \
+                            "0, 0, 0, 0, 0, 0.024464, 1.47389" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.38679, 3.32398, 3.10585, 2.68081, 2.14918, 1.50161, 0.799667", \
+                            "2.99849, 2.93557, 2.71744, 2.29636, 1.76044, 1.11172, 0.405493", \
+                            "2.39415, 2.32265, 2.10298, 1.67948, 1.14836, 0.497354, 0", \
+                            "2.0713, 2.00607, 1.78783, 1.37093, 0.830016, 0.183104, 0", \
+                            "2.53077, 2.46554, 2.24774, 1.82424, 1.29441, 0.643478, 0", \
+                            "3.28724, 3.2296, 3.0107, 2.58984, 2.05337, 1.40492, 0.709137", \
+                            "4.21531, 4.15811, 3.93393, 3.51758, 2.97836, 2.34971, 1.6368" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "6.08751, 6.06177, 5.87158, 5.35931, 4.57721, 3.43189, 1.94403", \
+                            "5.69811, 5.67237, 5.48218, 4.96991, 4.18781, 3.04249, 1.55463", \
+                            "5.08255, 5.05747, 4.86772, 4.35545, 3.57335, 2.42803, 0.940192", \
+                            "4.76795, 4.74672, 4.55279, 4.04041, 3.25831, 2.11299, 0.624646", \
+                            "5.22676, 5.20465, 5.01083, 4.49845, 3.71635, 2.57103, 1.08321", \
+                            "5.98906, 5.96563, 5.77544, 5.26317, 4.48096, 3.33564, 1.84789", \
+                            "6.91834, 6.89392, 6.70362, 6.19124, 5.40925, 4.26393, 2.77618" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 6.47757e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_3v00.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_3v00.lib
new file mode 100644
index 0000000..ecc9482
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_3v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_3v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 3.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 6.4830;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_3p0v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 3.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_3p0v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.1369;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+			  index_2 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "9.27288, 9.29724, 9.42672, 9.61176, 9.89364, 10.27188, 10.77048", \
+                            "9.27108, 9.32304, 9.44508, 9.62388, 9.9108, 10.299, 10.79796", \
+                            "9.33636, 9.36828, 9.4908, 9.68724, 9.96552, 10.35144, 10.878", \
+                            "9.39624, 9.4632, 9.56484, 9.75912, 10.04424, 10.43544, 10.92528", \
+                            "9.4872, 9.52428, 9.66516, 9.82632, 10.12104, 10.497, 11.00148", \
+                            "9.54948, 9.58248, 9.7116, 9.89568, 10.1814, 10.56444, 11.0406", \
+                            "9.52068, 9.56652, 9.67716, 9.88728, 10.15044, 10.5282, 11.06484" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+  	                     "0.2682, 0.318804, 0.48954, 0.804936, 1.32276, 2.05296, 3.02064" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "9.62772, 9.651, 9.77208, 9.92604, 10.14636, 10.39308, 10.71204", \
+                            "9.62484, 9.67884, 9.78624, 9.94248, 10.15308, 10.40112, 10.72608", \
+                            "9.69, 9.71988, 9.84372, 10.00872, 10.20732, 10.46556, 10.80792", \
+                            "9.7548, 9.81864, 9.91068, 10.0836, 10.28676, 10.54296, 10.85712", \
+                            "9.84684, 9.88212, 10.01496, 10.1514, 10.37412, 10.61028, 10.93944", \
+                            "9.90648, 9.94116, 10.05924, 10.2144, 10.42524, 10.67412, 10.97256", \
+                            "9.88236, 9.91488, 10.02204, 10.20672, 10.39356, 10.6428, 10.98864" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "0.264528, 0.314244, 0.427188, 0.610632, 0.877668, 1.2624, 1.76868" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.310692;
+                  clock                : true;
+                  max_transition       : 6.4830;
+                  min_pulse_width_high : 4.59879;
+                  min_pulse_width_low  : 5.82354;
+                  min_period           : 12.55293;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("330.795, 330.795, 330.795, 330.795, 330.795, 330.795, 330.795");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("330.795, 330.795, 330.795, 330.795, 330.795, 330.795, 330.795");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("0.00022272, 0.00022272, 0.00022272, 0.00022272, 0.00022272, 0.00022272, 0.00022272");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("0.00022272, 0.00022272, 0.00022272, 0.00022272, 0.00022272, 0.00022272, 0.00022272");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("301.665, 301.665, 301.665, 301.665, 301.665, 301.665, 301.665");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("301.665, 301.665, 301.665, 301.665, 301.665, 301.665, 301.665");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0195066;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.803187, 0.791956, 0.829818, 1.01032, 1.24361, 1.42582, 1.55804", \
+                            "0.786137, 0.768064, 0.803429, 0.975788, 1.21296, 1.41097, 1.52911", \
+                            "0.755953, 0.734129, 0.774268, 0.942689, 1.13041, 1.30174, 1.44683", \
+                            "0.755414, 0.736967, 0.772596, 0.941061, 1.11466, 1.22499, 1.34024", \
+                            "0.746438, 0.73557, 0.772178, 0.940555, 1.11415, 1.22448, 1.28292", \
+                            "0.750013, 0.731203, 0.771804, 0.940258, 1.11428, 1.22838, 1.28404", \
+                            "0.747549, 0.730411, 0.771408, 0.936122, 1.10672, 1.22409, 1.32396" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.657998, 0.673101, 0.765072, 0.959475, 1.22398, 1.51228, 1.80268", \
+                            "0.65384, 0.668602, 0.761046, 0.948695, 1.21994, 1.49919, 1.79355", \
+                            "0.650485, 0.664873, 0.757141, 0.951555, 1.21607, 1.49523, 1.78959", \
+                            "0.648186, 0.663036, 0.755491, 0.94314, 1.21441, 1.4971, 1.78794", \
+                            "0.647295, 0.662684, 0.754963, 0.949388, 1.21386, 1.49314, 1.77826", \
+                            "0.646943, 0.666116, 0.754688, 0.949113, 1.21365, 1.49292, 1.78717", \
+                            "0.646448, 0.661496, 0.753907, 0.941556, 1.2128, 1.49204, 1.7864" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.90608, 1.91752, 1.88111, 1.71259, 1.53934, 1.42857, 1.37027", \
+                            "1.92401, 1.94205, 1.90872, 1.74878, 1.56805, 1.44606, 1.3948", \
+                            "2.0141, 2.03599, 1.99584, 1.82743, 1.65374, 1.55419, 1.48445", \
+                            "2.18251, 2.20088, 2.16524, 1.99683, 1.82325, 1.71292, 1.65462", \
+                            "2.37303, 2.38392, 2.34729, 2.17888, 2.0053, 1.89497, 1.83656", \
+                            "2.51196, 2.53077, 2.49018, 2.32166, 2.14764, 2.03357, 1.97791", \
+                            "2.60084, 2.618, 2.57697, 2.4123, 2.24169, 2.12432, 2.06635" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "2.0053, 1.99012, 1.89816, 1.70379, 1.43924, 1.16005, 0.865689", \
+                            "2.03005, 2.0152, 1.9228, 1.73514, 1.46388, 1.18465, 0.890285", \
+                            "2.11959, 2.10518, 2.01289, 1.81852, 1.55397, 1.2748, 0.980441", \
+                            "2.28965, 2.2748, 2.1824, 1.99474, 1.72348, 1.44078, 1.1499", \
+                            "2.47214, 2.45674, 2.36445, 2.17008, 1.90564, 1.62635, 1.34123", \
+                            "2.61503, 2.59578, 2.50723, 2.31286, 2.04831, 1.76902, 1.47477", \
+                            "2.70193, 2.68686, 2.59446, 2.4068, 2.13565, 1.85636, 1.562" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0486853;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.02765, 1.04799, 1.1382, 1.30141, 1.5015, 1.68157, 1.82655", \
+                            "1.00936, 1.02785, 1.11973, 1.28315, 1.48676, 1.65869, 1.81071", \
+                            "0.924451, 0.944152, 1.03406, 1.19716, 1.40217, 1.5796, 1.71842", \
+                            "0.76802, 0.787545, 0.877283, 1.04042, 1.24538, 1.41856, 1.56563", \
+                            "0.630597, 0.651651, 0.742456, 0.904541, 1.10948, 1.28268, 1.42978", \
+                            "0.529771, 0.549087, 0.639078, 0.80212, 1.00658, 1.18465, 1.32638", \
+                            "0.479523, 0.498707, 0.58861, 0.753082, 0.956637, 1.12459, 1.27766" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.16357, 1.18789, 1.29503, 1.5114, 1.82853, 2.18944, 2.58148", \
+                            "1.14022, 1.16631, 1.27599, 1.49358, 1.80895, 2.16997, 2.56157", \
+                            "1.05585, 1.08312, 1.19088, 1.40965, 1.72436, 2.08538, 2.47687", \
+                            "0.902418, 0.92323, 1.03408, 1.25044, 1.56761, 1.93127, 2.32023", \
+                            "0.768383, 0.791747, 0.898689, 1.11456, 1.43176, 1.7963, 2.18603", \
+                            "0.666017, 0.684684, 0.795828, 1.01215, 1.32935, 1.68982, 2.08197", \
+                            "0.615648, 0.633809, 0.745833, 0.963501, 1.27892, 1.63988, 2.03159" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.50568, 1.48423, 1.39733, 1.26968, 1.16043, 1.08067, 1.03698", \
+                            "1.51217, 1.49259, 1.40327, 1.27578, 1.16544, 1.08686, 1.04378", \
+                            "1.56937, 1.55001, 1.4608, 1.3332, 1.22275, 1.13808, 1.10263", \
+                            "1.64604, 1.62657, 1.53747, 1.40976, 1.29943, 1.22113, 1.17774", \
+                            "1.71721, 1.69818, 1.61128, 1.48137, 1.37104, 1.29275, 1.2487", \
+                            "1.7391, 1.72018, 1.63075, 1.50304, 1.39282, 1.31219, 1.27608", \
+                            "1.74273, 1.72348, 1.63438, 1.50667, 1.39634, 1.32572, 1.27405" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.770792, 0.739002, 0.632566, 0.424358, 0.125435, 0, 0", \
+                            "0.778723, 0.756822, 0.637659, 0.426558, 0.13154, 0, 0", \
+                            "0.832964, 0.808764, 0.696102, 0.483857, 0.18923, 0, 0", \
+                            "0.910503, 0.885291, 0.77264, 0.565345, 0.265525, 0, 0", \
+                            "1.02637, 1.00388, 0.891616, 0.675015, 0.367873, 0.041558, 0", \
+                            "1.20342, 1.18444, 1.06872, 0.852126, 0.544984, 0.220665, 0", \
+                            "1.33452, 1.31549, 1.19994, 0.982135, 0.676093, 0.351791, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00791289;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0, 0, 0, 0, 0.036696, 0.118996, 0.163967", \
+                            "0, 0, 0, 0, 0.032703, 0.113323, 0.157799", \
+                            "0, 0, 0, 0, 0, 0.06094, 0.100998", \
+                            "0, 0, 0, 0, 0, 0, 0.02651", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.390687, 0.420354, 0.529287, 0.736879, 1.03519, 1.37896, 1.77342", \
+                            "0.38104, 0.405262, 0.524139, 0.734558, 1.02904, 1.37269, 1.76869", \
+                            "0.32857, 0.350537, 0.466323, 0.678205, 0.973709, 1.31538, 1.71061", \
+                            "0.25365, 0.278565, 0.391908, 0.598543, 0.899041, 1.24372, 1.63603", \
+                            "0.179187, 0.203766, 0.319352, 0.526548, 0.825077, 1.17234, 1.56596", \
+                            "0.156442, 0.182408, 0.296586, 0.504163, 0.804254, 1.14503, 1.54055", \
+                            "0.154585, 0.177706, 0.295066, 0.506429, 0.800129, 1.14402, 1.54011" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.50667, 1.4916, 1.40107, 1.26968, 1.16063, 1.08372, 1.03698", \
+                            "1.51283, 1.49776, 1.40712, 1.27578, 1.16677, 1.08986, 1.04378", \
+                            "1.57025, 1.55507, 1.46454, 1.3332, 1.22411, 1.14721, 1.10263", \
+                            "1.64681, 1.63174, 1.5411, 1.40976, 1.30075, 1.22384, 1.17774", \
+                            "1.71842, 1.70335, 1.61271, 1.48137, 1.37236, 1.29547, 1.2487", \
+                            "1.7402, 1.72513, 1.63449, 1.50304, 1.39414, 1.31725, 1.27608", \
+                            "1.74383, 1.72876, 1.63823, 1.50667, 1.39777, 1.32572, 1.27405" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.771826, 0.739607, 0.635415, 0.427306, 0.131431, 0, 0", \
+                            "0.782111, 0.756822, 0.640596, 0.429539, 0.137538, 0, 0", \
+                            "0.835769, 0.808764, 0.698896, 0.483857, 0.194892, 0, 0", \
+                            "0.911878, 0.885874, 0.775489, 0.567116, 0.271529, 0, 0", \
+                            "0.983884, 0.958518, 0.846736, 0.639034, 0.343134, 0, 0", \
+                            "1.01076, 0.982333, 0.868934, 0.660814, 0.364914, 0.018711, 0", \
+                            "1.01283, 0.986733, 0.872146, 0.660539, 0.368566, 0.021241, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.043725;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.24583, 1.23937, 1.2806, 1.45464, 1.68223, 1.89222, 2.03841", \
+                            "1.23974, 1.22858, 1.27986, 1.45332, 1.67662, 1.89959, 2.02895", \
+                            "1.18229, 1.16974, 1.22063, 1.39293, 1.61612, 1.82677, 1.96988", \
+                            "1.10986, 1.10106, 1.14567, 1.32132, 1.54253, 1.74724, 1.8986", \
+                            "1.03356, 1.02676, 1.0718, 1.2435, 1.47224, 1.68465, 1.82974", \
+                            "1.01669, 1.00154, 1.05417, 1.22587, 1.4487, 1.65825, 1.80257", \
+                            "1.0132, 1.00102, 1.04623, 1.21898, 1.44683, 1.66188, 1.79432" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.69268, 1.70544, 1.79806, 2.00541, 2.28921, 2.59149, 2.92523", \
+                            "1.68124, 1.69983, 1.7919, 2.00002, 2.28393, 2.58412, 2.91665", \
+                            "1.62602, 1.64098, 1.73844, 1.94128, 2.22156, 2.53385, 2.86539", \
+                            "1.55397, 1.56651, 1.6643, 1.8667, 2.1505, 2.45608, 2.78619", \
+                            "1.48137, 1.49457, 1.59357, 1.793, 2.0779, 2.3848, 2.71942", \
+                            "1.46201, 1.47345, 1.57355, 1.7776, 2.04974, 2.35851, 2.69181", \
+                            "1.45002, 1.47059, 1.5631, 1.77155, 2.0537, 2.35763, 2.68917" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.884026, 0.89452, 0.84546, 0.761134, 0.67826, 0.613096, 0.542388", \
+                            "0.898634, 0.913594, 0.862818, 0.775577, 0.695453, 0.632566, 0.559867", \
+                            "0.990594, 1.00551, 0.954547, 0.870232, 0.787358, 0.724922, 0.651783", \
+                            "1.15005, 1.1651, 1.1141, 1.02705, 0.94688, 0.884631, 0.813571", \
+                            "1.35014, 1.36202, 1.3112, 1.224, 1.14387, 1.08098, 1.00828", \
+                            "1.51591, 1.53065, 1.47972, 1.3926, 1.31241, 1.24997, 1.18368", \
+                            "1.64373, 1.65847, 1.60754, 1.52042, 1.44023, 1.37588, 1.30702" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.947727, 0.930765, 0.843645, 0.75251, 0.675081, 0.598719, 0.555654", \
+                            "0.964183, 0.94622, 0.860827, 0.76967, 0.692252, 0.616077, 0.572825", \
+                            "1.05663, 1.03805, 0.952743, 0.861586, 0.784168, 0.707993, 0.664741", \
+                            "1.21606, 1.20014, 1.11228, 1.02113, 0.943712, 0.86735, 0.824285", \
+                            "1.41328, 1.39645, 1.30922, 1.21811, 1.14073, 1.06448, 1.02125", \
+                            "1.58147, 1.56321, 1.47785, 1.38677, 1.30933, 1.2331, 1.18986", \
+                            "1.70962, 1.69103, 1.60567, 1.51459, 1.43715, 1.36081, 1.31769" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0174073;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.961158, 0.980925, 1.05653, 1.1071, 1.12279, 1.08313, 0.984753", \
+                            "0.952633, 0.976206, 1.04994, 1.10736, 1.12056, 1.07661, 0.986623", \
+                            "0.896159, 0.923527, 0.996006, 1.04655, 1.05869, 1.01099, 0.931095", \
+                            "0.820435, 0.846604, 0.919864, 0.969716, 0.984445, 0.934868, 0.849244", \
+                            "0.753434, 0.776567, 0.84337, 0.901142, 0.910239, 0.875116, 0.777447", \
+                            "0.732424, 0.753203, 0.825154, 0.879428, 0.887535, 0.84854, 0.770011", \
+                            "0.728101, 0.75097, 0.819676, 0.872586, 0.891627, 0.841269, 0.749826" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.11975, 0.132561, 0.238579, 0.459162, 0.739959, 1.06807, 1.45288", \
+                            "0.118955, 0.127383, 0.232911, 0.453596, 0.734393, 1.06248, 1.44716", \
+                            "0.063921, 0.070521, 0.176188, 0.396803, 0.677435, 1.00565, 1.39051", \
+                            "0, 0, 0.101091, 0.321706, 0.602481, 0.930644, 1.31538", \
+                            "0, 0, 0.028941, 0.249528, 0.53031, 0.858407, 1.24323", \
+                            "0, 0, 0.006765, 0.227315, 0.507969, 0.837199, 1.22101", \
+                            "0, 0, 0.003399, 0.223947, 0.504724, 0.833613, 1.21764" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.668019, 0.646503, 0.572154, 0.51546, 0.502601, 0.542927, 0.637395", \
+                            "0.673794, 0.650331, 0.581218, 0.515878, 0.506143, 0.549637, 0.642873", \
+                            "0.732424, 0.703307, 0.633545, 0.574651, 0.566071, 0.611578, 0.699809", \
+                            "0.80531, 0.781033, 0.709335, 0.653334, 0.639496, 0.683144, 0.774675", \
+                            "0.875314, 0.849376, 0.778877, 0.721017, 0.716606, 0.751685, 0.843282", \
+                            "0.900592, 0.880044, 0.806674, 0.752158, 0.74239, 0.776083, 0.858143", \
+                            "0.905003, 0.882508, 0.807609, 0.752521, 0.738991, 0.783057, 0.868978" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.14609, 1.13513, 1.02872, 0.810051, 0.53053, 0.203653, 0", \
+                            "1.1496, 1.14135, 1.03495, 0.816266, 0.536745, 0.209865, 0", \
+                            "1.20486, 1.19814, 1.09172, 0.873048, 0.593527, 0.266645, 0", \
+                            "1.2813, 1.27244, 1.166, 0.947342, 0.667821, 0.340945, 0", \
+                            "1.35256, 1.34343, 1.23697, 1.0183, 0.738782, 0.411895, 0.02926", \
+                            "1.37643, 1.36829, 1.26185, 1.04318, 0.763664, 0.436788, 0.054142", \
+                            "1.3805, 1.37225, 1.26584, 1.04718, 0.767657, 0.44077, 0.058124" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.00022272;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_4v50.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_4v50.lib
new file mode 100644
index 0000000..d3cb71a
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_4v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__ss_n40C_4v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 4.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.008;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 4.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_4p5v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 4.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_4p5v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.253;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+			  index_2 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "5.95296, 5.98128, 6.05244, 6.19092, 6.3798, 6.64188, 6.99348", \
+                            "5.96604, 5.9952, 6.06384, 6.1992, 6.39096, 6.65796, 7.0056", \
+                            "5.97312, 5.994, 6.07272, 6.207, 6.39696, 6.66012, 7.00344", \
+                            "5.97516, 5.99856, 6.08112, 6.20964, 6.39408, 6.6654, 7.01976", \
+                            "5.99496, 6.02088, 6.10356, 6.22728, 6.42048, 6.68544, 7.03332", \
+                            "6.015, 6.04008, 6.1218, 6.24768, 6.43704, 6.70332, 7.0482", \
+                            "6.02712, 6.04896, 6.12996, 6.25824, 6.44964, 6.71508, 7.06056" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+  	                     "0.175728, 0.215484, 0.338196, 0.560928, 0.922356, 1.44924, 2.12868" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "6.14376, 6.16824, 6.2418, 6.36948, 6.52848, 6.72192, 6.98328", \
+                            "6.15288, 6.1812, 6.25296, 6.3786, 6.5352, 6.73848, 6.99636", \
+                            "6.1584, 6.1794, 6.26076, 6.38352, 6.54312, 6.74016, 6.99528", \
+                            "6.16116, 6.1866, 6.2682, 6.39096, 6.54312, 6.74976, 7.01076", \
+                            "6.1818, 6.20856, 6.29256, 6.40656, 6.56664, 6.76536, 7.02576", \
+                            "6.19968, 6.22908, 6.30972, 6.42492, 6.58596, 6.78492, 7.04184", \
+                            "6.21432, 6.23436, 6.318, 6.43836, 6.59532, 6.79716, 7.0518" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "0.1761, 0.20946, 0.297228, 0.448596, 0.667392, 0.982188, 1.39464" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.316952;
+                  clock                : true;
+                  max_transition       : 2.008;
+                  min_pulse_width_high : 4.073415;
+                  min_pulse_width_low  : 2.907675;
+                  min_period           : 8.183685;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("800.37, 800.37, 800.37, 800.37, 800.37, 800.37, 800.37");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("800.37, 800.37, 800.37, 800.37, 800.37, 800.37, 800.37");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("0.000501615, 0.000501615, 0.000501615, 0.000501615, 0.000501615, 0.000501615, 0.000501615");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("0.000501615, 0.000501615, 0.000501615, 0.000501615, 0.000501615, 0.000501615, 0.000501615");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("721.237, 721.237, 721.237, 721.237, 721.237, 721.237, 721.237");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("721.237, 721.237, 721.237, 721.237, 721.237, 721.237, 721.237");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0194924;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.513271, 0.507375, 0.498333, 0.514195, 0.558734, 0.610379, 0.659263", \
+                            "0.510279, 0.502975, 0.494934, 0.509542, 0.55462, 0.604351, 0.655523", \
+                            "0.506451, 0.498597, 0.49115, 0.507243, 0.551815, 0.590788, 0.635602", \
+                            "0.505835, 0.499554, 0.490127, 0.505483, 0.550132, 0.588533, 0.623678", \
+                            "0.505296, 0.499103, 0.489841, 0.50512, 0.549593, 0.587994, 0.62315", \
+                            "0.504669, 0.498289, 0.489258, 0.505065, 0.549681, 0.590304, 0.621643", \
+                            "0.503679, 0.498443, 0.488719, 0.503679, 0.548394, 0.586806, 0.62194" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.458194, 0.460625, 0.476542, 0.515141, 0.584925, 0.668932, 0.760111", \
+                            "0.454432, 0.456885, 0.472714, 0.511654, 0.58091, 0.665159, 0.754314", \
+                            "0.45133, 0.453486, 0.469216, 0.508695, 0.578006, 0.662013, 0.752356", \
+                            "0.450065, 0.451352, 0.467247, 0.507188, 0.576994, 0.660968, 0.751355", \
+                            "0.449691, 0.452243, 0.467775, 0.506627, 0.575916, 0.66044, 0.75163", \
+                            "0.449064, 0.451561, 0.467335, 0.503745, 0.577907, 0.659879, 0.751014", \
+                            "0.44847, 0.450692, 0.465465, 0.50545, 0.575234, 0.659241, 0.749628" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.23162, 1.23751, 1.24655, 1.23069, 1.18615, 1.1484, 1.11479", \
+                            "1.23785, 1.24514, 1.25319, 1.23859, 1.1935, 1.15392, 1.12087", \
+                            "1.25617, 1.26402, 1.27149, 1.25536, 1.2108, 1.17182, 1.13885", \
+                            "1.29657, 1.30284, 1.3123, 1.29701, 1.25232, 1.21392, 1.17877", \
+                            "1.35729, 1.36345, 1.37269, 1.3574, 1.31296, 1.27457, 1.2394", \
+                            "1.41328, 1.41966, 1.42868, 1.41284, 1.36829, 1.32759, 1.29624", \
+                            "1.45508, 1.46036, 1.47015, 1.45519, 1.41042, 1.37203, 1.33683" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.28667, 1.28425, 1.2683, 1.22975, 1.15996, 1.07597, 0.984786", \
+                            "1.29371, 1.29129, 1.27545, 1.23647, 1.16721, 1.08296, 0.993806", \
+                            "1.31131, 1.30911, 1.29338, 1.25392, 1.18461, 1.10061, 1.01025", \
+                            "1.35234, 1.35113, 1.33518, 1.29525, 1.22544, 1.14148, 1.05109", \
+                            "1.41284, 1.41031, 1.3948, 1.35597, 1.28667, 1.20211, 1.11092", \
+                            "1.46883, 1.46641, 1.45057, 1.41416, 1.34002, 1.25805, 1.16691", \
+                            "1.5103, 1.5081, 1.49336, 1.45332, 1.38358, 1.29954, 1.20919" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0508257;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.675499, 0.679767, 0.697818, 0.738474, 0.806696, 0.891242, 0.969727", \
+                            "0.669416, 0.673519, 0.692098, 0.731632, 0.800635, 0.884125, 0.961312", \
+                            "0.650441, 0.652883, 0.672716, 0.713372, 0.781297, 0.865304, 0.942469", \
+                            "0.613327, 0.617584, 0.636108, 0.676137, 0.744546, 0.829004, 0.907643", \
+                            "0.547294, 0.551529, 0.570108, 0.610137, 0.67859, 0.763004, 0.841643", \
+                            "0.487113, 0.490963, 0.509003, 0.549648, 0.617914, 0.702416, 0.778723", \
+                            "0.431057, 0.434709, 0.453376, 0.493922, 0.562375, 0.6468, 0.725307" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.717882, 0.723448, 0.748649, 0.80542, 0.895125, 1.01451, 1.14766", \
+                            "0.711854, 0.717211, 0.742918, 0.797731, 0.888206, 1.00618, 1.14165", \
+                            "0.692791, 0.698379, 0.724262, 0.779603, 0.870111, 0.989747, 1.12275", \
+                            "0.655842, 0.660924, 0.68695, 0.740872, 0.832942, 0.952292, 1.08526", \
+                            "0.590161, 0.59521, 0.621093, 0.674806, 0.766227, 0.886039, 1.0186", \
+                            "0.529067, 0.534479, 0.559075, 0.614702, 0.706013, 0.826001, 0.958793", \
+                            "0.473451, 0.479006, 0.504999, 0.558206, 0.6501, 0.770396, 0.903067" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.02555, 1.0226, 1.00249, 0.964403, 0.915145, 0.869671, 0.833547", \
+                            "1.03231, 1.02952, 1.0092, 0.972004, 0.921789, 0.879637, 0.842204", \
+                            "1.03872, 1.03567, 1.01559, 0.976998, 0.92774, 0.885533, 0.848078", \
+                            "1.0547, 1.05166, 1.03105, 0.99297, 0.943646, 0.898249, 0.862092", \
+                            "1.07158, 1.06877, 1.04845, 1.01036, 0.961048, 0.91564, 0.879505", \
+                            "1.08347, 1.0806, 1.06002, 1.02193, 0.972664, 0.927201, 0.893002", \
+                            "1.08875, 1.0858, 1.06568, 1.0276, 0.978285, 0.932888, 0.896775" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.560516, 0.553531, 0.525679, 0.469304, 0.379896, 0.272556, 0.143319", \
+                            "0.565323, 0.560098, 0.53152, 0.477862, 0.388751, 0.283679, 0.148705", \
+                            "0.572682, 0.565521, 0.538241, 0.482075, 0.392183, 0.285725, 0.156686", \
+                            "0.587114, 0.582384, 0.553377, 0.499433, 0.40854, 0.303171, 0.17218", \
+                            "0.607959, 0.599324, 0.571637, 0.516846, 0.428076, 0.318637, 0.18897", \
+                            "0.667128, 0.661441, 0.637274, 0.580657, 0.487223, 0.374429, 0.252406", \
+                            "0.747978, 0.742302, 0.717123, 0.662343, 0.567787, 0.455312, 0.333465" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00819589;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.249437, 0.256443, 0.284471, 0.339856, 0.429495, 0.536591, 0.666479", \
+                            "0.246684, 0.251363, 0.280687, 0.333641, 0.422169, 0.527483, 0.663509", \
+                            "0.237213, 0.244783, 0.272513, 0.327789, 0.417395, 0.523941, 0.652641", \
+                            "0.224754, 0.229046, 0.258721, 0.311443, 0.402303, 0.508739, 0.638484", \
+                            "0.202269, 0.21097, 0.239862, 0.293953, 0.38192, 0.490886, 0.620411", \
+                            "0.192852, 0.19966, 0.22548, 0.282887, 0.372691, 0.477829, 0.607288", \
+                            "0.188108, 0.19434, 0.222162, 0.277101, 0.365486, 0.472769, 0.602591" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.0282, 1.02322, 1.00514, 0.967043, 0.915145, 0.870804, 0.833547", \
+                            "1.03504, 1.02994, 1.01184, 0.973753, 0.921789, 0.879637, 0.842204", \
+                            "1.04079, 1.0358, 1.01772, 0.979627, 0.92774, 0.885533, 0.848078", \
+                            "1.05675, 1.05177, 1.03368, 0.995588, 0.943646, 0.899349, 0.862092", \
+                            "1.07415, 1.06917, 1.05109, 1.013, 0.961048, 0.916751, 0.879505", \
+                            "1.08571, 1.08074, 1.06264, 1.02456, 0.972664, 0.928312, 0.893002", \
+                            "1.09144, 1.08646, 1.06838, 1.03028, 0.978285, 0.934043, 0.896775" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.560516, 0.553531, 0.5291, 0.469304, 0.379896, 0.273315, 0.143899", \
+                            "0.565653, 0.560098, 0.532763, 0.477862, 0.388751, 0.283679, 0.149383", \
+                            "0.572682, 0.565521, 0.539836, 0.482075, 0.393096, 0.285725, 0.158321", \
+                            "0.587455, 0.582384, 0.55473, 0.499433, 0.409068, 0.303171, 0.17218", \
+                            "0.607959, 0.599324, 0.573155, 0.516846, 0.428076, 0.319528, 0.189966", \
+                            "0.61798, 0.610511, 0.585915, 0.526878, 0.437756, 0.333498, 0.2025", \
+                            "0.623161, 0.616649, 0.592185, 0.533951, 0.444917, 0.336985, 0.208079" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0437109;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.768372, 0.761398, 0.757559, 0.771771, 0.824516, 0.888404, 0.945703", \
+                            "0.760089, 0.754457, 0.750112, 0.769208, 0.818114, 0.886457, 0.942216", \
+                            "0.755953, 0.748154, 0.744403, 0.76021, 0.811382, 0.877129, 0.932514", \
+                            "0.741774, 0.733513, 0.728629, 0.745481, 0.801834, 0.859452, 0.91641", \
+                            "0.715231, 0.7172, 0.709302, 0.728211, 0.779251, 0.84337, 0.897578", \
+                            "0.712866, 0.70433, 0.698456, 0.716947, 0.773883, 0.830126, 0.885687", \
+                            "0.700755, 0.700623, 0.693748, 0.709456, 0.76241, 0.825451, 0.8811" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.15575, 1.15902, 1.17379, 1.21926, 1.28909, 1.38061, 1.49116", \
+                            "1.1466, 1.15097, 1.16959, 1.20996, 1.28139, 1.37775, 1.48335", \
+                            "1.14139, 1.14594, 1.16054, 1.20221, 1.2782, 1.36972, 1.47862", \
+                            "1.12725, 1.13113, 1.14572, 1.18913, 1.25961, 1.35443, 1.46355", \
+                            "1.11055, 1.11284, 1.13021, 1.17003, 1.24036, 1.33738, 1.44584", \
+                            "1.09369, 1.09742, 1.11497, 1.15793, 1.23156, 1.3255, 1.43264", \
+                            "1.09084, 1.09761, 1.10946, 1.15345, 1.22654, 1.32022, 1.42868" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.637516, 0.642697, 0.646877, 0.642433, 0.641894, 0.637934, 0.634876", \
+                            "0.639287, 0.645568, 0.648769, 0.644215, 0.643676, 0.639716, 0.636658", \
+                            "0.662926, 0.669207, 0.672188, 0.667733, 0.667194, 0.663234, 0.660176", \
+                            "0.700183, 0.706442, 0.709544, 0.704968, 0.70444, 0.70048, 0.697422", \
+                            "0.756426, 0.762828, 0.765776, 0.761332, 0.760793, 0.756833, 0.753775", \
+                            "0.834515, 0.840906, 0.843887, 0.839432, 0.838904, 0.834933, 0.831875", \
+                            "0.900372, 0.906818, 0.909755, 0.905289, 0.904761, 0.90079, 0.897732" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.659032, 0.655424, 0.637395, 0.608982, 0.598367, 0.59906, 0.607673", \
+                            "0.66077, 0.656788, 0.639177, 0.610764, 0.600149, 0.600842, 0.609455", \
+                            "0.684046, 0.680119, 0.662695, 0.634282, 0.623667, 0.624371, 0.632973", \
+                            "0.721347, 0.717343, 0.699677, 0.671528, 0.660913, 0.661606, 0.670219", \
+                            "0.77792, 0.773707, 0.756294, 0.727881, 0.717266, 0.717959, 0.726572", \
+                            "0.856031, 0.852016, 0.834394, 0.805981, 0.795366, 0.796059, 0.804683", \
+                            "0.921635, 0.917664, 0.901681, 0.871838, 0.861223, 0.861916, 0.87054" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0175543;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.563387, 0.571351, 0.58971, 0.611039, 0.616209, 0.604505, 0.578655", \
+                            "0.558602, 0.56562, 0.583462, 0.606353, 0.611985, 0.599104, 0.570559", \
+                            "0.551606, 0.559317, 0.577511, 0.599038, 0.60478, 0.592845, 0.562056", \
+                            "0.537493, 0.542256, 0.562639, 0.58201, 0.588896, 0.578248, 0.545974", \
+                            "0.519013, 0.525118, 0.544544, 0.566412, 0.572506, 0.560923, 0.53141", \
+                            "0.506121, 0.512303, 0.531905, 0.553531, 0.557491, 0.546106, 0.517704", \
+                            "0.501908, 0.507089, 0.525536, 0.547536, 0.55396, 0.543708, 0.514382" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.0888602, 0.0890758, 0.111222, 0.177148, 0.269603, 0.396594, 0.548647", \
+                            "0.0844151, 0.0840719, 0.105635, 0.169054, 0.264184, 0.391171, 0.541189", \
+                            "0.0778569, 0.0770924, 0.0984368, 0.162602, 0.257184, 0.384098, 0.536646", \
+                            "0.0622875, 0.0619872, 0.0835681, 0.147249, 0.241837, 0.368819, 0.521411", \
+                            "0.045111, 0.04444, 0.0653345, 0.130044, 0.224026, 0.35013, 0.503657", \
+                            "0.032736, 0.031933, 0.053284, 0.117996, 0.211152, 0.339823, 0.491469", \
+                            "0.025674, 0.025982, 0.048268, 0.112055, 0.208797, 0.333894, 0.486123" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.54879, 0.543939, 0.524216, 0.50215, 0.49753, 0.507727, 0.536096", \
+                            "0.552651, 0.548053, 0.528242, 0.507331, 0.499587, 0.511973, 0.541816", \
+                            "0.562397, 0.555588, 0.537504, 0.514426, 0.508618, 0.519904, 0.548801", \
+                            "0.577126, 0.571802, 0.552398, 0.528264, 0.523391, 0.534963, 0.566225", \
+                            "0.593395, 0.589061, 0.570515, 0.546414, 0.541574, 0.553476, 0.582307", \
+                            "0.606463, 0.600468, 0.583286, 0.559108, 0.553674, 0.565323, 0.59488", \
+                            "0.612326, 0.607376, 0.588335, 0.565818, 0.559779, 0.571373, 0.601062" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.780241, 0.781814, 0.759726, 0.694925, 0.598917, 0.474001, 0.325468", \
+                            "0.78507, 0.786423, 0.764071, 0.699611, 0.603603, 0.478687, 0.331353", \
+                            "0.792891, 0.793111, 0.772002, 0.707201, 0.611182, 0.486277, 0.337733", \
+                            "0.809237, 0.809105, 0.788007, 0.723173, 0.627165, 0.50226, 0.353716", \
+                            "0.826694, 0.827035, 0.805959, 0.741136, 0.645117, 0.520201, 0.371602", \
+                            "0.837914, 0.838981, 0.817003, 0.752169, 0.656161, 0.531245, 0.382712", \
+                            "0.845141, 0.845493, 0.823427, 0.758604, 0.662585, 0.53768, 0.389158" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.000501615;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_1v80.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_1v80.lib
new file mode 100644
index 0000000..29aba3e
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_1v80.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_1v80) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 1.8;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 4.617;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.8);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_1p8v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 1.8;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_1p8v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 0.9747;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+			  index_2 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "17.2992, 17.4036, 17.5692, 17.9136, 18.4176, 19.11, 20.0124", \
+                            "17.334, 17.3868, 17.6016, 17.94, 18.4464, 19.122, 20.046", \
+                            "17.4168, 17.4768, 17.6772, 18.024, 18.5232, 19.2276, 20.1156", \
+                            "17.5152, 17.5728, 17.7972, 18.1284, 18.6408, 19.3236, 20.226", \
+                            "17.6808, 17.73, 17.9568, 18.2952, 18.7848, 19.4904, 20.3676", \
+                            "17.8668, 17.9508, 18.1392, 18.4764, 18.9756, 19.6752, 20.562", \
+                            "18.078, 18.1644, 18.3744, 18.6924, 19.2156, 19.902, 20.8104" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+  	                     "0.50406, 0.585744, 0.884304, 1.4676, 2.325, 3.64932, 5.33904" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "18.2016, 18.2892, 18.426, 18.6648, 18.966, 19.3008, 19.7436", \
+                            "18.246, 18.2832, 18.4548, 18.7152, 19.0032, 19.3296, 19.7952", \
+                            "18.3084, 18.378, 18.5484, 18.7776, 19.0704, 19.4352, 19.8552", \
+                            "18.42, 18.4848, 18.6624, 18.8916, 19.1904, 19.5396, 19.962", \
+                            "18.5844, 18.6252, 18.81, 19.0548, 19.35, 19.7076, 20.1324", \
+                            "18.774, 18.8472, 18.9876, 19.2348, 19.5096, 19.8936, 20.3232", \
+                            "18.9672, 19.0584, 19.2336, 19.4472, 19.7532, 20.1108, 20.5548" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "0.512256, 0.557736, 0.74832, 0.992784, 1.353, 1.87416, 2.52996" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.282301;
+                  clock                : true;
+                  max_transition       : 4.617;
+                  min_pulse_width_high : 11.779035;
+                  min_pulse_width_low  : 10.061445;
+                  min_period           : 23.56065;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("112.563, 112.563, 112.563, 112.563, 112.563, 112.563, 112.563");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("112.563, 112.563, 112.563, 112.563, 112.563, 112.563, 112.563");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("8.06994e-05, 8.06994e-05, 8.06994e-05, 8.06994e-05, 8.06994e-05, 8.06994e-05, 8.06994e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("8.06994e-05, 8.06994e-05, 8.06994e-05, 8.06994e-05, 8.06994e-05, 8.06994e-05, 8.06994e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("103.176, 103.176, 103.176, 103.176, 103.176, 103.176, 103.176");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("103.176, 103.176, 103.176, 103.176, 103.176, 103.176, 103.176");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0182531;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.55639, 1.53692, 1.55595, 1.67101, 1.96559, 2.34806, 2.89575", \
+                            "1.5301, 1.51426, 1.52966, 1.64274, 1.92291, 2.33453, 2.87474", \
+                            "1.46729, 1.44639, 1.46509, 1.58422, 1.86626, 2.25863, 2.80049", \
+                            "1.32286, 1.30194, 1.31397, 1.44815, 1.70918, 2.12861, 2.65595", \
+                            "1.28212, 1.27527, 1.28812, 1.42153, 1.68487, 2.05832, 2.5498", \
+                            "1.2954, 1.27656, 1.28147, 1.4124, 1.68003, 2.08362, 2.57125", \
+                            "1.2815, 1.27469, 1.2885, 1.41625, 1.67794, 2.08912, 2.56685" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.15156, 1.15919, 1.2353, 1.37951, 1.66353, 2.0603, 2.57026", \
+                            "1.13121, 1.14819, 1.21467, 1.35894, 1.64285, 2.03973, 2.54969", \
+                            "1.07909, 1.09447, 1.1645, 1.32418, 1.61084, 1.99122, 2.49073", \
+                            "1.07856, 1.09393, 1.16396, 1.31076, 1.59203, 1.99067, 2.49018", \
+                            "1.07811, 1.09283, 1.16292, 1.30962, 1.59093, 1.98946, 2.48908", \
+                            "1.07852, 1.08624, 1.16306, 1.30981, 1.60952, 1.9899, 2.48941", \
+                            "1.07755, 1.09225, 1.16225, 1.30898, 1.59027, 1.98891, 2.48842" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "3.83042, 3.84879, 3.83779, 3.71404, 3.43376, 3.06482, 2.54936", \
+                            "3.86507, 3.88058, 3.86903, 3.75859, 3.48469, 3.08693, 2.57862", \
+                            "3.91314, 3.93294, 3.91842, 3.79951, 3.52649, 3.1482, 2.64077", \
+                            "4.08078, 4.10058, 4.09255, 3.95791, 3.70139, 3.29978, 2.80093", \
+                            "4.34544, 4.35226, 4.3395, 4.20607, 3.94273, 3.56928, 3.0778", \
+                            "4.63529, 4.65421, 4.64926, 4.51836, 4.25073, 3.84703, 3.35951", \
+                            "5.00808, 5.0149, 5.00115, 4.87344, 4.61164, 4.20057, 3.72273" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "4.04734, 4.03854, 3.96264, 3.8159, 3.53463, 3.13588, 2.63637", \
+                            "4.07682, 4.06241, 3.99245, 3.84571, 3.56444, 3.16569, 2.66618", \
+                            "4.13083, 4.11543, 4.04536, 3.88564, 3.59909, 3.21871, 2.7192", \
+                            "4.29847, 4.28307, 4.213, 4.06626, 3.78499, 3.38624, 2.88673", \
+                            "4.54949, 4.53475, 4.46468, 4.31794, 4.03667, 3.63803, 3.13852", \
+                            "4.85221, 4.84451, 4.76762, 4.62088, 4.32124, 3.94086, 3.44135", \
+                            "5.21202, 5.19739, 5.12732, 4.98058, 4.69931, 4.30067, 3.80116" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0443231;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.89068, 1.89959, 1.97164, 2.12795, 2.35257, 2.63549, 2.96472", \
+                            "1.86956, 1.87946, 1.95074, 2.10045, 2.32694, 2.6224, 2.94294", \
+                            "1.80169, 1.81951, 1.89387, 2.03401, 2.26182, 2.54804, 2.87375", \
+                            "1.66012, 1.67783, 1.7512, 1.89728, 2.12179, 2.40141, 2.72272", \
+                            "1.42659, 1.44474, 1.51778, 1.66452, 1.88859, 2.17393, 2.5003", \
+                            "1.21139, 1.22117, 1.30334, 1.4432, 1.67299, 1.9613, 2.28338", \
+                            "0.997249, 1.01554, 1.08994, 1.23476, 1.45981, 1.74449, 2.06976" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.41164, 2.42902, 2.51966, 2.68048, 2.97231, 3.38327, 3.88817", \
+                            "2.39085, 2.409, 2.50008, 2.65947, 2.95141, 3.36237, 3.86518", \
+                            "2.32276, 2.34102, 2.4321, 2.5916, 2.89267, 3.29439, 3.7972", \
+                            "2.1813, 2.19956, 2.28899, 2.44992, 2.74175, 3.15271, 3.65761", \
+                            "1.94821, 1.96636, 2.05733, 2.21683, 2.50866, 2.91962, 3.42441", \
+                            "1.73261, 1.75087, 1.84041, 2.00134, 2.29977, 2.70402, 3.20881", \
+                            "1.51877, 1.53703, 1.62679, 1.78761, 2.07944, 2.4904, 2.99321" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.72338, 2.70908, 2.6334, 2.48578, 2.28426, 2.0922, 1.88386", \
+                            "2.70039, 2.68444, 2.60887, 2.47423, 2.2726, 2.06822, 1.86868", \
+                            "2.65232, 2.63043, 2.55255, 2.4134, 2.21782, 2.02048, 1.81302", \
+                            "2.69808, 2.67608, 2.59963, 2.46279, 2.26259, 2.06349, 1.86956", \
+                            "2.82799, 2.80643, 2.73449, 2.60425, 2.39305, 2.19659, 1.99617", \
+                            "3.0063, 2.99046, 2.91269, 2.76672, 2.57334, 2.37413, 2.17877", \
+                            "3.19286, 3.17141, 3.10046, 2.95383, 2.75792, 2.56201, 2.36687" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.42791, 1.39304, 1.30709, 1.15325, 0.865568, 0.456819, 0", \
+                            "1.40558, 1.38017, 1.28176, 1.1331, 0.842523, 0.433928, 0", \
+                            "1.34805, 1.33133, 1.23393, 1.08277, 0.784245, 0.385671, 0", \
+                            "1.39601, 1.37797, 1.28203, 1.1277, 0.840312, 0.431728, 0", \
+                            "1.53285, 1.50821, 1.41031, 1.26184, 0.970585, 0.56177, 0.07876", \
+                            "1.71116, 1.68652, 1.59038, 1.43594, 1.1421, 0.739915, 0.256738", \
+                            "1.89882, 1.87264, 1.77749, 1.62371, 1.33551, 0.926838, 0.441815" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00715847;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.105072", \
+                            "0, 0, 0, 0, 0, 0, 0.12556", \
+                            "0, 0, 0, 0, 0, 0, 0.179135", \
+                            "0, 0, 0, 0, 0, 0, 0.124567", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0.557876, 0.585915, 0.675939, 0.825011, 1.11975, 1.52163, 2.00838", \
+                            "0.584606, 0.605363, 0.705507, 0.849981, 1.14706, 1.54891, 2.03753", \
+                            "0.641333, 0.652894, 0.752015, 0.898436, 1.20335, 1.59566, 2.0856", \
+                            "0.588951, 0.604406, 0.701855, 0.851587, 1.1459, 1.54781, 2.03522", \
+                            "0.455653, 0.475937, 0.575696, 0.719895, 1.01764, 1.41944, 1.90685", \
+                            "0.279122, 0.299797, 0.397386, 0.546843, 0.84843, 1.24319, 1.73063", \
+                            "0.087747, 0.112189, 0.20832, 0.35442, 0.652817, 1.0545, 1.5433" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.73229, 2.7115, 2.63516, 2.49282, 2.28877, 2.09627, 1.90047", \
+                            "2.70919, 2.6884, 2.61206, 2.47423, 2.2726, 2.07317, 1.87737", \
+                            "2.66145, 2.64055, 2.56421, 2.42176, 2.21782, 2.02521, 1.82952", \
+                            "2.70699, 2.68631, 2.60997, 2.46752, 2.26347, 2.07097, 1.87528", \
+                            "2.83712, 2.81644, 2.7401, 2.60425, 2.3936, 2.2011, 2.00541", \
+                            "3.01532, 2.99442, 2.91808, 2.77563, 2.57334, 2.37908, 2.18339", \
+                            "3.20243, 3.18153, 3.10519, 2.96274, 2.75869, 2.56619, 2.3705" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.43308, 1.39821, 1.31426, 1.15444, 0.866305, 0.462682, 0", \
+                            "1.40998, 1.38556, 1.28638, 1.1331, 0.84315, 0.439571, 0", \
+                            "1.35575, 1.33694, 1.23888, 1.08405, 0.784245, 0.3916, 0", \
+                            "1.40162, 1.38303, 1.28919, 1.12921, 0.841005, 0.437415, 0", \
+                            "1.53813, 1.51327, 1.41493, 1.26184, 0.971289, 0.567534, 0.080476", \
+                            "1.71622, 1.69147, 1.59742, 1.43748, 1.1421, 0.745514, 0.258425", \
+                            "1.90355, 1.87781, 1.78453, 1.62503, 1.33628, 0.932613, 0.443322" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0401807;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.16645, 2.17173, 2.16436, 2.30186, 2.56817, 2.99805, 3.50625", \
+                            "2.18515, 2.19747, 2.18801, 2.33002, 2.59204, 3.02335, 3.54112", \
+                            "2.23861, 2.23674, 2.2638, 2.3815, 2.63582, 3.08484, 3.59062", \
+                            "2.18746, 2.18867, 2.18394, 2.32694, 2.58852, 3.01939, 3.56103", \
+                            "2.06305, 2.05931, 2.06448, 2.1846, 2.47522, 2.88893, 3.43233", \
+                            "1.88793, 1.89332, 1.87968, 2.03082, 2.28173, 2.73097, 3.24346", \
+                            "1.70313, 1.69895, 1.7171, 1.84151, 2.10122, 2.53902, 3.05481" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.59149, 2.6224, 2.68257, 2.87254, 3.14578, 3.54255, 4.06098", \
+                            "2.6202, 2.6532, 2.70479, 2.88288, 3.16382, 3.57203, 4.08529", \
+                            "2.67421, 2.70006, 2.75825, 2.93304, 3.21321, 3.62406, 4.13853", \
+                            "2.61756, 2.64528, 2.70732, 2.88486, 3.17218, 3.57885, 4.08199", \
+                            "2.49359, 2.50371, 2.57862, 2.7577, 3.04106, 3.44773, 3.95725", \
+                            "2.31693, 2.34267, 2.40427, 2.58687, 2.86055, 3.27844, 3.77971", \
+                            "2.12355, 2.14764, 2.21331, 2.40746, 2.66783, 3.08297, 3.58303" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.32275, 1.3332, 1.31805, 1.17807, 0.929478, 0.576763, 0.22575", \
+                            "1.34233, 1.35267, 1.34002, 1.19755, 0.93841, 0.596035, 0.218959", \
+                            "1.41031, 1.42076, 1.40701, 1.26404, 1.01706, 0.66935, 0.302737", \
+                            "1.55386, 1.56431, 1.55342, 1.40613, 1.14962, 0.808654, 0.420354", \
+                            "1.78431, 1.79476, 1.78387, 1.65363, 1.38006, 1.0442, 0.646173", \
+                            "2.08318, 2.09363, 2.08285, 1.93842, 1.68993, 1.34222, 0.975601", \
+                            "2.39239, 2.40284, 2.3892, 2.24774, 1.99914, 1.65154, 1.28489" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.51822, 1.50282, 1.42395, 1.26724, 1.07639, 0.857384, 0.62612", \
+                            "1.53725, 1.5224, 1.44342, 1.28676, 1.09588, 0.876909, 0.645634", \
+                            "1.60534, 1.59038, 1.51151, 1.35476, 1.1639, 0.944966, 0.713658", \
+                            "1.74977, 1.73393, 1.65506, 1.49831, 1.30746, 1.08848, 0.857208", \
+                            "1.98011, 1.96438, 1.88551, 1.72887, 1.53791, 1.31892, 1.08766", \
+                            "2.27909, 2.26325, 2.18438, 2.02774, 1.83678, 1.61788, 1.38655", \
+                            "2.5883, 2.57257, 2.49359, 2.33695, 2.1461, 1.92709, 1.69587" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0160497;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.74504, 1.75989, 1.83458, 1.94898, 2.0955, 2.15963, 2.27447", \
+                            "1.76297, 1.78673, 1.86241, 1.98418, 2.11398, 2.22288, 2.32551", \
+                            "1.82314, 1.83227, 1.9008, 2.03093, 2.16117, 2.24576, 2.37072", \
+                            "1.75098, 1.78321, 1.85405, 1.98176, 2.11398, 2.21672, 2.30538", \
+                            "1.63504, 1.65253, 1.73481, 1.85691, 1.98517, 2.06591, 2.18757", \
+                            "1.46806, 1.47609, 1.55782, 1.68245, 1.81302, 1.89079, 2.01014", \
+                            "1.27882, 1.28866, 1.36543, 1.49644, 1.6225, 1.70445, 1.80873" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0, 0, 0, 0.15431, 0.426481, 0.814209, 1.2903", \
+                            "0, 0, 0.003817, 0.180722, 0.453442, 0.841159, 1.31724", \
+                            "0, 0, 0.052239, 0.229629, 0.501875, 0.889581, 1.36521", \
+                            "0, 0, 0.001507, 0.178977, 0.45111, 0.838816, 1.3145", \
+                            "0, 0, 0, 0.051788, 0.324046, 0.711755, 1.18743", \
+                            "0, 0, 0, 0, 0.147943, 0.535634, 1.01134", \
+                            "0, 0, 0, 0, 0, 0.347787, 0.823449" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.09014, 1.07287, 0.997447, 0.874225, 0.74085, 0.66737, 0.544643", \
+                            "1.06439, 1.04773, 0.966372, 0.848078, 0.71962, 0.61215, 0.50556", \
+                            "1.01709, 0.999394, 0.926585, 0.798556, 0.669493, 0.588423, 0.467071", \
+                            "1.06433, 1.0472, 0.975755, 0.849728, 0.719499, 0.612678, 0.510576", \
+                            "1.19369, 1.1806, 1.10341, 0.978417, 0.846142, 0.764885, 0.645821", \
+                            "1.36939, 1.35894, 1.28109, 1.15515, 1.0222, 0.9691, 0.815188", \
+                            "1.55804, 1.54517, 1.4696, 1.34024, 1.21071, 1.12959, 1.01046" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.23465, 2.23597, 2.16711, 1.99122, 1.71831, 1.3343, 0.86581", \
+                            "2.20792, 2.21001, 2.14137, 1.96548, 1.69257, 1.30859, 0.84007", \
+                            "2.1615, 2.16271, 2.09407, 1.91818, 1.64527, 1.26131, 0.792781", \
+                            "2.21056, 2.21001, 2.14137, 1.96548, 1.69257, 1.30859, 0.840059", \
+                            "2.33805, 2.33926, 2.27062, 2.09462, 1.82182, 1.43781, 0.969287", \
+                            "2.51416, 2.51537, 2.44662, 2.27073, 1.99782, 1.61392, 1.14534", \
+                            "2.7027, 2.70358, 2.63516, 2.45927, 2.18636, 1.80246, 1.33386" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 8.06994e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_3v30.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_3v30.lib
new file mode 100644
index 0000000..289514b
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_3v30.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_3v30) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 3.3;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 3.1779;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.3);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_3p3v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 3.3;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_3p3v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0423;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+			  index_2 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "6.9234, 6.95652, 7.03452, 7.17396, 7.3776, 7.65684, 8.0352", \
+                            "6.91956, 6.95424, 7.035, 7.1754, 7.38084, 7.6608, 8.0238", \
+                            "6.9432, 6.9624, 7.05048, 7.19136, 7.4088, 7.67436, 8.0724", \
+                            "6.9738, 6.9912, 7.08108, 7.22916, 7.42416, 7.704, 8.0772", \
+                            "6.98856, 7.02672, 7.09632, 7.23984, 7.44456, 7.73736, 8.09448", \
+                            "7.00092, 7.04172, 7.11504, 7.25664, 7.46064, 7.75836, 8.13084", \
+                            "7.00104, 7.02072, 7.104, 7.2438, 7.44984, 7.73256, 8.10336" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+  	                     "0.227676, 0.266148, 0.399252, 0.646284, 1.03256, 1.59804, 2.33544" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "7.17732, 7.20636, 7.2762, 7.3968, 7.55184, 7.7292, 7.97664", \
+                            "7.1754, 7.20504, 7.27392, 7.39608, 7.5558, 7.73496, 7.96656", \
+                            "7.19184, 7.21116, 7.29408, 7.41468, 7.57452, 7.7562, 8.01096", \
+                            "7.22556, 7.24188, 7.32672, 7.45092, 7.59864, 7.7832, 8.01732", \
+                            "7.24164, 7.27764, 7.34172, 7.46136, 7.614, 7.81248, 8.03592", \
+                            "7.25136, 7.29696, 7.35468, 7.47636, 7.62948, 7.83264, 8.06604", \
+                            "7.25364, 7.26672, 7.34784, 7.46424, 7.61688, 7.80852, 8.04" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "0.228072, 0.25524, 0.345948, 0.484512, 0.691248, 0.986772, 1.35444" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.293159;
+                  clock                : true;
+                  max_transition       : 3.1779;
+                  min_pulse_width_high : 3.58023;
+                  min_pulse_width_low  : 3.33447;
+                  min_period           : 9.24063;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("408.606, 408.606, 408.606, 408.606, 408.606, 408.606, 408.606");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("408.606, 408.606, 408.606, 408.606, 408.606, 408.606, 408.606");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("0.000271659, 0.000271659, 0.000271659, 0.000271659, 0.000271659, 0.000271659, 0.000271659");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("0.000271659, 0.000271659, 0.000271659, 0.000271659, 0.000271659, 0.000271659, 0.000271659");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("369.6, 369.6, 369.6, 369.6, 369.6, 369.6, 369.6");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("369.6, 369.6, 369.6, 369.6, 369.6, 369.6, 369.6");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.01827;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.612843, 0.599731, 0.603845, 0.657217, 0.736076, 0.787589, 0.826936", \
+                            "0.602283, 0.589182, 0.592801, 0.646679, 0.725516, 0.777007, 0.816343", \
+                            "0.585101, 0.576026, 0.575718, 0.621478, 0.691548, 0.746086, 0.785323", \
+                            "0.586025, 0.574739, 0.575465, 0.621214, 0.676643, 0.696036, 0.735427", \
+                            "0.584375, 0.57541, 0.575036, 0.620851, 0.676225, 0.696542, 0.719455", \
+                            "0.584903, 0.574156, 0.574893, 0.620631, 0.676071, 0.695464, 0.719191", \
+                            "0.585189, 0.575234, 0.574618, 0.620367, 0.675147, 0.724823, 0.763081" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.541519, 0.549307, 0.58278, 0.663564, 0.789701, 0.927014, 1.07832", \
+                            "0.540804, 0.547723, 0.581603, 0.662442, 0.786786, 0.926486, 1.07716", \
+                            "0.539033, 0.54637, 0.580932, 0.659857, 0.778998, 0.925045, 1.07572", \
+                            "0.538989, 0.546458, 0.579898, 0.66066, 0.778525, 0.924132, 1.07545", \
+                            "0.537999, 0.545776, 0.580217, 0.659241, 0.778162, 0.923076, 1.07509", \
+                            "0.538527, 0.545556, 0.579293, 0.659021, 0.784542, 0.923571, 1.07484", \
+                            "0.537856, 0.545171, 0.579788, 0.659813, 0.784245, 0.923945, 1.0746" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.59346, 1.60347, 1.60281, 1.55804, 1.5015, 1.48214, 1.45838", \
+                            "1.6016, 1.61161, 1.61095, 1.56618, 1.50964, 1.49028, 1.46652", \
+                            "1.6335, 1.64263, 1.64285, 1.59709, 1.54462, 1.52229, 1.49864", \
+                            "1.70797, 1.7193, 1.71853, 1.67277, 1.61733, 1.59808, 1.57421", \
+                            "1.79795, 1.80686, 1.8073, 1.76143, 1.7061, 1.68575, 1.66287", \
+                            "1.88386, 1.89464, 1.89387, 1.84811, 1.79267, 1.77331, 1.74955", \
+                            "1.93138, 1.94128, 1.94194, 1.89618, 1.8414, 1.82039, 1.79762" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.63955, 1.63174, 1.5983, 1.51745, 1.39139, 1.25404, 1.10274", \
+                            "1.64725, 1.64032, 1.60644, 1.52559, 1.40118, 1.26154, 1.11086", \
+                            "1.67959, 1.67222, 1.63768, 1.5587, 1.43957, 1.29357, 1.1429", \
+                            "1.75505, 1.74757, 1.71413, 1.63339, 1.51547, 1.36994, 1.21859", \
+                            "1.84426, 1.83656, 1.80213, 1.72304, 1.60413, 1.45926, 1.30724", \
+                            "1.93017, 1.92324, 1.88947, 1.80972, 1.68421, 1.54517, 1.39392", \
+                            "1.97868, 1.97131, 1.93677, 1.85669, 1.73228, 1.59258, 1.44188" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0480111;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.823812, 0.834031, 0.867086, 0.936188, 1.03114, 1.12515, 1.204", \
+                            "0.81433, 0.824263, 0.857769, 0.926871, 1.02167, 1.1157, 1.19408", \
+                            "0.782056, 0.792264, 0.825495, 0.894487, 0.989593, 1.08284, 1.16228", \
+                            "0.714472, 0.724526, 0.757702, 0.826826, 0.921624, 1.01565, 1.0945", \
+                            "0.644413, 0.654456, 0.687687, 0.756778, 0.851565, 0.94556, 1.02454", \
+                            "0.58454, 0.594616, 0.6281, 0.697224, 0.792154, 0.88605, 0.963116", \
+                            "0.548504, 0.558272, 0.591734, 0.660253, 0.755656, 0.849112, 0.928059" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.917543, 0.924572, 0.971333, 1.0668, 1.21642, 1.40173, 1.60677", \
+                            "0.910195, 0.915101, 0.961884, 1.05737, 1.20591, 1.39205, 1.59533", \
+                            "0.878163, 0.882871, 0.926992, 1.02509, 1.16882, 1.35982, 1.5631", \
+                            "0.810381, 0.815067, 0.861355, 0.957297, 1.10048, 1.29216, 1.49534", \
+                            "0.738133, 0.745052, 0.789162, 0.887293, 1.03074, 1.22197, 1.42725", \
+                            "0.678634, 0.685388, 0.733271, 0.827695, 0.976294, 1.16254, 1.36576", \
+                            "0.642202, 0.649033, 0.693066, 0.79134, 0.940302, 1.12598, 1.33056" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.18247, 1.17357, 1.13966, 1.07916, 1.03014, 0.991661, 0.981893", \
+                            "1.18807, 1.17972, 1.14524, 1.08473, 1.03439, 0.997238, 0.98747", \
+                            "1.20634, 1.19751, 1.16361, 1.1031, 1.05373, 1.01581, 1.00585", \
+                            "1.22243, 1.21355, 1.17965, 1.11915, 1.07012, 1.03165, 1.02188", \
+                            "1.25178, 1.24242, 1.20853, 1.14795, 1.099, 1.06052, 1.05075", \
+                            "1.25957, 1.25068, 1.21678, 1.15621, 1.10733, 1.06878, 1.05905", \
+                            "1.24632, 1.23744, 1.20354, 1.14304, 1.09402, 1.05554, 1.04578" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.633259, 0.627088, 0.581339, 0.486178, 0.340505, 0.164949, 0", \
+                            "0.641168, 0.633138, 0.586608, 0.491711, 0.348568, 0.170074, 0", \
+                            "0.660473, 0.651486, 0.606837, 0.510092, 0.373978, 0.18847, 0", \
+                            "0.676522, 0.667876, 0.618915, 0.526108, 0.388905, 0.205104, 0.005742", \
+                            "0.703186, 0.696784, 0.65175, 0.554994, 0.42009, 0.23329, 0.034034", \
+                            "0.753698, 0.745107, 0.699215, 0.602063, 0.452397, 0.278688, 0.0854788", \
+                            "0.830621, 0.821414, 0.778217, 0.678337, 0.528374, 0.355179, 0.161108" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00764901;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.004906", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.336743, 0.342419, 0.39193, 0.483923, 0.628837, 0.805519, 1.00633", \
+                            "0.327085, 0.336171, 0.38577, 0.477873, 0.620466, 0.799986, 0.998679", \
+                            "0.306845, 0.315931, 0.363506, 0.457633, 0.597366, 0.778921, 0.979649", \
+                            "0.293207, 0.302207, 0.35123, 0.443597, 0.581328, 0.764643, 0.964722", \
+                            "0.264718, 0.272611, 0.319935, 0.414117, 0.55264, 0.736098, 0.937772", \
+                            "0.255087, 0.263103, 0.311905, 0.404536, 0.548064, 0.726176, 0.926717", \
+                            "0.270129, 0.278254, 0.324896, 0.419991, 0.564773, 0.742038, 0.938751" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.18295, 1.1751, 1.14313, 1.08192, 1.0306, 0.991683, 0.981893", \
+                            "1.18853, 1.18067, 1.14871, 1.08749, 1.03619, 0.997271, 0.98747", \
+                            "1.2069, 1.19904, 1.16707, 1.10585, 1.05455, 1.01581, 1.00585", \
+                            "1.22296, 1.2151, 1.18314, 1.12192, 1.07061, 1.0317, 1.02188", \
+                            "1.2518, 1.24395, 1.21198, 1.15077, 1.09946, 1.06054, 1.05075", \
+                            "1.26014, 1.25228, 1.22032, 1.1591, 1.1078, 1.06888, 1.05905", \
+                            "1.24686, 1.23902, 1.20704, 1.14583, 1.09452, 1.0556, 1.04578" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.633644, 0.627088, 0.581339, 0.486178, 0.34221, 0.166309, 0", \
+                            "0.641685, 0.633138, 0.586608, 0.491711, 0.350141, 0.171576, 0", \
+                            "0.660902, 0.651486, 0.606837, 0.510092, 0.373978, 0.189939, 0", \
+                            "0.67694, 0.667876, 0.618915, 0.526108, 0.389554, 0.206381, 0.010109", \
+                            "0.703483, 0.696784, 0.65175, 0.554994, 0.42009, 0.234784, 0.034034", \
+                            "0.711293, 0.704495, 0.657811, 0.563354, 0.42174, 0.243569, 0.047289", \
+                            "0.699501, 0.691427, 0.646668, 0.55, 0.406934, 0.229913, 0.032912" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0401976;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.927531, 0.921415, 0.926255, 0.974149, 1.05517, 1.119, 1.1672", \
+                            "0.919204, 0.913077, 0.921525, 0.970101, 1.05143, 1.1155, 1.16056", \
+                            "0.900504, 0.894179, 0.897193, 0.948772, 1.02886, 1.09529, 1.13702", \
+                            "0.885929, 0.882728, 0.884554, 0.9339, 1.01702, 1.08132, 1.1268", \
+                            "0.856009, 0.849123, 0.853138, 0.904772, 0.986106, 1.05075, 1.0942", \
+                            "0.844118, 0.841698, 0.845713, 0.894993, 0.977174, 1.04117, 1.08379", \
+                            "0.867581, 0.855503, 0.859881, 0.911141, 0.99011, 1.05724, 1.10372" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.28151, 1.29789, 1.33397, 1.41955, 1.55375, 1.70258, 1.87616", \
+                            "1.28539, 1.29282, 1.3266, 1.41031, 1.54363, 1.69796, 1.86637", \
+                            "1.26179, 1.26672, 1.31021, 1.38479, 1.51987, 1.67893, 1.84844", \
+                            "1.24512, 1.25588, 1.29174, 1.37335, 1.5048, 1.6632, 1.83601", \
+                            "1.21519, 1.22553, 1.26427, 1.34288, 1.47763, 1.62789, 1.80664", \
+                            "1.21045, 1.21243, 1.25155, 1.33122, 1.46652, 1.62206, 1.79652", \
+                            "1.2242, 1.23344, 1.26839, 1.34959, 1.48236, 1.64164, 1.80906" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.697433, 0.704792, 0.696707, 0.678095, 0.668107, 0.659835, 0.649253", \
+                            "0.708851, 0.716287, 0.708191, 0.689579, 0.679602, 0.671319, 0.660737", \
+                            "0.742335, 0.74954, 0.741433, 0.722832, 0.712855, 0.704583, 0.694001", \
+                            "0.808049, 0.815463, 0.807378, 0.788766, 0.778778, 0.770506, 0.759935", \
+                            "0.902792, 0.910151, 0.902066, 0.883454, 0.873466, 0.865194, 0.854612", \
+                            "0.968242, 0.975667, 0.967571, 0.948959, 0.938971, 0.93071, 0.920117", \
+                            "1.02463, 1.03378, 1.0257, 1.00707, 0.997095, 0.988823, 0.978241" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.732336, 0.723283, 0.68541, 0.641905, 0.61721, 0.608872, 0.614658", \
+                            "0.743798, 0.734921, 0.696894, 0.653389, 0.628683, 0.620345, 0.626142", \
+                            "0.77704, 0.768163, 0.730147, 0.686653, 0.661947, 0.653609, 0.659406", \
+                            "0.842996, 0.834097, 0.796081, 0.752587, 0.727859, 0.719521, 0.725329", \
+                            "0.937673, 0.928785, 0.890769, 0.847264, 0.822547, 0.814209, 0.820017", \
+                            "1.00318, 0.99429, 0.956274, 0.91278, 0.888052, 0.879736, 0.885533", \
+                            "1.06109, 1.05238, 1.01439, 0.970893, 0.946187, 0.937849, 0.943646" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0166433;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.673915, 0.685487, 0.715605, 0.730136, 0.730642, 0.698412, 0.624811", \
+                            "0.670571, 0.680999, 0.706948, 0.72578, 0.724328, 0.694188, 0.620708", \
+                            "0.649682, 0.655336, 0.686488, 0.704561, 0.704462, 0.671231, 0.596992", \
+                            "0.636647, 0.642279, 0.674388, 0.689876, 0.689425, 0.657107, 0.581746", \
+                            "0.608025, 0.615912, 0.643357, 0.657778, 0.657525, 0.626043, 0.553432", \
+                            "0.596376, 0.601777, 0.636449, 0.647735, 0.650221, 0.616077, 0.545952", \
+                            "0.612645, 0.618112, 0.649253, 0.665775, 0.665698, 0.631774, 0.557425" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.118923, 0.124299, 0.164125, 0.267174, 0.420365, 0.608333, 0.83864", \
+                            "0.116481, 0.119727, 0.156112, 0.261004, 0.414678, 0.601216, 0.832986", \
+                            "0.0926827, 0.0983312, 0.1377, 0.240265, 0.393965, 0.581669, 0.812966", \
+                            "0.078155, 0.081972, 0.123365, 0.226406, 0.379599, 0.567677, 0.798633", \
+                            "0.048741, 0.049753, 0.0926266, 0.195653, 0.348865, 0.536008, 0.767074", \
+                            "0.040304, 0.045067, 0.0844338, 0.187476, 0.34067, 0.528627, 0.757636", \
+                            "0.055297, 0.05973, 0.0995115, 0.202474, 0.357214, 0.543785, 0.772959" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.592383, 0.581185, 0.545171, 0.534996, 0.534985, 0.566137, 0.635899", \
+                            "0.595606, 0.585673, 0.557491, 0.539176, 0.53955, 0.571923, 0.644963", \
+                            "0.617639, 0.608146, 0.576169, 0.559856, 0.560967, 0.591844, 0.666347", \
+                            "0.627088, 0.620499, 0.584793, 0.574618, 0.573958, 0.605759, 0.675532", \
+                            "0.651101, 0.650771, 0.61666, 0.604362, 0.604472, 0.636284, 0.710303", \
+                            "0.665973, 0.65923, 0.623392, 0.612623, 0.613415, 0.651288, 0.718388", \
+                            "0.657349, 0.641729, 0.611226, 0.599742, 0.600358, 0.63151, 0.705551" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.906411, 0.904607, 0.864754, 0.759275, 0.607816, 0.419111, 0.193216", \
+                            "0.911361, 0.909073, 0.86955, 0.764082, 0.612612, 0.423918, 0.198024", \
+                            "0.931909, 0.930204, 0.89045, 0.784982, 0.633512, 0.444818, 0.218926", \
+                            "0.946033, 0.94391, 0.904365, 0.798897, 0.647438, 0.458733, 0.232844", \
+                            "0.97669, 0.977669, 0.93489, 0.829444, 0.677952, 0.489258, 0.263362", \
+                            "0.984797, 0.982718, 0.942975, 0.837507, 0.686037, 0.497343, 0.271448", \
+                            "0.971949, 0.96998, 0.930127, 0.82467, 0.673178, 0.484484, 0.257858" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0002716593;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_5v00.lib b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_5v00.lib
new file mode 100644
index 0000000..e211977
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram512x8m8wm1/gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_5v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram512x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[8:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram512x8m8wm1__tt_025C_5v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 5.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.547;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_5p0v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 5.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_5p0v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 9;
+                bit_from  : 8;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram512x8m8wm1) {
+        area             : 209400.2768;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 9;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.119;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+			  index_2 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "4.85988, 4.88364, 4.93692, 5.03712, 5.1858, 5.38896, 5.65848", \
+                            "4.86036, 4.88316, 4.93812, 5.03664, 5.18496, 5.385, 5.65884", \
+                            "4.8678, 4.88364, 4.94472, 5.04516, 5.18916, 5.39772, 5.66628", \
+                            "4.87644, 4.89204, 4.95228, 5.05752, 5.20116, 5.40696, 5.67636", \
+                            "4.8828, 4.89324, 4.95084, 5.05776, 5.20692, 5.40528, 5.6772", \
+                            "4.8876, 4.90404, 4.96836, 5.0646, 5.214, 5.41656, 5.68404", \
+                            "4.8762, 4.8972, 4.94808, 5.04984, 5.20044, 5.4024, 5.67492" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+  	                     "0.167832, 0.193392, 0.29304, 0.473508, 0.762972, 1.18465, 1.7352" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "5.00796, 5.02872, 5.08488, 5.17464, 5.29512, 5.4462, 5.64108", \
+                            "5.0064, 5.02896, 5.088, 5.17536, 5.29692, 5.44356, 5.64144", \
+                            "5.0148, 5.02908, 5.09124, 5.18256, 5.29992, 5.45676, 5.64888", \
+                            "5.0232, 5.03964, 5.09904, 5.19468, 5.31168, 5.46408, 5.65896", \
+                            "5.02908, 5.03976, 5.09844, 5.19672, 5.31732, 5.46348, 5.65932", \
+                            "5.03376, 5.05176, 5.11392, 5.2026, 5.32272, 5.47524, 5.66964", \
+                            "5.02176, 5.04396, 5.0964, 5.18844, 5.31108, 5.45964, 5.65872" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "0.174396, 0.195348, 0.264276, 0.376332, 0.546216, 0.790704, 1.10563" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.297584;
+                  clock                : true;
+                  max_transition       : 1.547;
+                  min_pulse_width_high : 2.37687;
+                  min_pulse_width_low  : 2.25216;
+                  min_period           : 6.593715;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("1017.1, 1017.1, 1017.1, 1017.1, 1017.1, 1017.1, 1017.1");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("1017.1, 1017.1, 1017.1, 1017.1, 1017.1, 1017.1, 1017.1");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("0.0006242, 0.0006242, 0.0006242, 0.0006242, 0.0006242, 0.0006242, 0.0006242");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("0.0006242, 0.0006242, 0.0006242, 0.0006242, 0.0006242, 0.0006242, 0.0006242");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("908.1, 908.1, 908.1, 908.1, 908.1, 908.1, 908.1");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("908.1, 908.1, 908.1, 908.1, 908.1, 908.1, 908.1");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0181905;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.435435, 0.430452, 0.42317, 0.429946, 0.451946, 0.489346, 0.522467", \
+                            "0.433983, 0.428879, 0.421894, 0.42889, 0.455026, 0.487542, 0.520652", \
+                            "0.432025, 0.428373, 0.421091, 0.427933, 0.448745, 0.474342, 0.506847", \
+                            "0.433191, 0.428076, 0.420937, 0.427757, 0.453244, 0.474155, 0.485342", \
+                            "0.432817, 0.427757, 0.420563, 0.427405, 0.448217, 0.473803, 0.492921", \
+                            "0.43241, 0.42702, 0.420057, 0.427108, 0.44792, 0.473517, 0.487366", \
+                            "0.432267, 0.427361, 0.420101, 0.426943, 0.447744, 0.473011, 0.48829" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.405911, 0.40799, 0.417956, 0.444312, 0.494483, 0.558052, 0.62766", \
+                            "0.404866, 0.406868, 0.416911, 0.442805, 0.493438, 0.557007, 0.626516", \
+                            "0.40381, 0.405911, 0.415877, 0.442057, 0.493955, 0.555984, 0.625482", \
+                            "0.403447, 0.405768, 0.415723, 0.44187, 0.492822, 0.554422, 0.625306", \
+                            "0.403238, 0.40546, 0.415338, 0.442299, 0.493416, 0.555445, 0.624954", \
+                            "0.403161, 0.405086, 0.415052, 0.441892, 0.493141, 0.55517, 0.625845", \
+                            "0.402754, 0.405031, 0.414887, 0.441045, 0.49137, 0.553608, 0.624767" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "1.10864, 1.11362, 1.1209, 1.11412, 1.09325, 1.06765, 1.04853", \
+                            "1.11382, 1.11892, 1.12591, 1.1189, 1.09277, 1.07243, 1.05332", \
+                            "1.12659, 1.13024, 1.13752, 1.13068, 1.10987, 1.08427, 1.06469", \
+                            "1.15364, 1.15876, 1.1659, 1.15908, 1.13359, 1.11268, 1.10149", \
+                            "1.19354, 1.19859, 1.2058, 1.19896, 1.17814, 1.15256, 1.13344", \
+                            "1.23049, 1.23588, 1.24285, 1.2358, 1.21498, 1.18939, 1.17554", \
+                            "1.25894, 1.26383, 1.27105, 1.26425, 1.24345, 1.21818, 1.204" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "1.13815, 1.13608, 1.12611, 1.09976, 1.04959, 0.986007, 0.916399", \
+                            "1.14293, 1.14092, 1.13089, 1.10499, 1.05436, 0.990792, 0.921283", \
+                            "1.1548, 1.1527, 1.14274, 1.11656, 1.06466, 1.00263, 0.93313", \
+                            "1.18339, 1.18107, 1.1711, 1.14497, 1.09402, 1.03242, 0.961521", \
+                            "1.22312, 1.2209, 1.21101, 1.18405, 1.13295, 1.07091, 1.0014", \
+                            "1.25974, 1.25782, 1.24785, 1.22101, 1.16976, 1.10773, 1.03706", \
+                            "1.28843, 1.28612, 1.27633, 1.25016, 1.19983, 1.1376, 1.06643" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0494974;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.590128, 0.59378, 0.604769, 0.633457, 0.678216, 0.734668, 0.78925", \
+                            "0.5885, 0.592119, 0.603196, 0.631752, 0.676621, 0.733359, 0.787578", \
+                            "0.575894, 0.57948, 0.590898, 0.619124, 0.663982, 0.721028, 0.776017", \
+                            "0.549835, 0.553575, 0.565554, 0.593131, 0.638077, 0.695057, 0.750057", \
+                            "0.505428, 0.510037, 0.522027, 0.549615, 0.594473, 0.65153, 0.705496", \
+                            "0.466697, 0.471328, 0.483043, 0.510884, 0.555764, 0.612865, 0.667799", \
+                            "0.430969, 0.434577, 0.446578, 0.474155, 0.519013, 0.57607, 0.631059" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.627077, 0.631268, 0.649418, 0.68915, 0.757097, 0.846967, 0.952633", \
+                            "0.625977, 0.629508, 0.647746, 0.686323, 0.755425, 0.844305, 0.951016", \
+                            "0.612876, 0.616946, 0.635184, 0.675389, 0.74107, 0.832612, 0.937838", \
+                            "0.586817, 0.591052, 0.609191, 0.649352, 0.714945, 0.805079, 0.91201", \
+                            "0.543312, 0.547459, 0.565664, 0.606419, 0.67155, 0.762223, 0.868483", \
+                            "0.505109, 0.509091, 0.526944, 0.567545, 0.632841, 0.724372, 0.829609", \
+                            "0.468732, 0.471955, 0.490215, 0.529903, 0.597894, 0.687643, 0.792077" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.865172, 0.864875, 0.852423, 0.825352, 0.796059, 0.770297, 0.751883", \
+                            "0.8668, 0.865513, 0.854161, 0.827123, 0.797764, 0.772068, 0.753709", \
+                            "0.866712, 0.866646, 0.854117, 0.827475, 0.797852, 0.77209, 0.753236", \
+                            "0.873268, 0.87208, 0.860376, 0.833998, 0.804364, 0.778591, 0.75977", \
+                            "0.88154, 0.880968, 0.868483, 0.842105, 0.812482, 0.78672, 0.768361", \
+                            "0.884092, 0.882651, 0.871189, 0.844591, 0.814968, 0.789195, 0.770363", \
+                            "0.877558, 0.876733, 0.864666, 0.838288, 0.808665, 0.782903, 0.76406" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.492481, 0.489137, 0.470261, 0.430452, 0.36223, 0.280379, 0.175767", \
+                            "0.497277, 0.490666, 0.471889, 0.430815, 0.363968, 0.285637, 0.180395", \
+                            "0.495286, 0.490644, 0.472043, 0.430034, 0.36663, 0.282403, 0.18023", \
+                            "0.500863, 0.497387, 0.478368, 0.436898, 0.371745, 0.289795, 0.185098", \
+                            "0.508915, 0.505384, 0.486728, 0.444543, 0.381282, 0.3003, 0.192928", \
+                            "0.518793, 0.515042, 0.496606, 0.456687, 0.38863, 0.299574, 0.19972", \
+                            "0.575157, 0.57046, 0.552024, 0.512534, 0.44253, 0.35486, 0.256109" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00784667;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.239133, 0.242915, 0.261331, 0.30107, 0.369138, 0.450967, 0.556127", \
+                            "0.233786, 0.241551, 0.258743, 0.299673, 0.366476, 0.445104, 0.552079", \
+                            "0.235087, 0.240271, 0.258361, 0.299739, 0.363561, 0.447436, 0.549032", \
+                            "0.229873, 0.233802, 0.252209, 0.293524, 0.358611, 0.441232, 0.544951", \
+                            "0.221571, 0.225865, 0.24399, 0.285582, 0.349217, 0.430089, 0.538087", \
+                            "0.215993, 0.223124, 0.241095, 0.281985, 0.346291, 0.430584, 0.536217", \
+                            "0.224579, 0.229606, 0.247484, 0.287694, 0.354651, 0.437074, 0.540188" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.865172, 0.864875, 0.8525, 0.826661, 0.796059, 0.770297, 0.751883", \
+                            "0.8668, 0.865513, 0.854238, 0.82841, 0.797764, 0.772068, 0.753709", \
+                            "0.866712, 0.866646, 0.854249, 0.828421, 0.797852, 0.77209, 0.753236", \
+                            "0.873268, 0.87208, 0.860772, 0.834944, 0.804364, 0.778591, 0.75977", \
+                            "0.88154, 0.880968, 0.868868, 0.84304, 0.812482, 0.78672, 0.768361", \
+                            "0.884092, 0.882651, 0.871365, 0.845537, 0.814968, 0.789195, 0.770363", \
+                            "0.877558, 0.876733, 0.864864, 0.839036, 0.808665, 0.782903, 0.76406" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.493097, 0.489137, 0.470261, 0.430452, 0.362758, 0.280665, 0.175767", \
+                            "0.497277, 0.490666, 0.472109, 0.430815, 0.364045, 0.2871, 0.180395", \
+                            "0.495286, 0.490644, 0.472043, 0.431112, 0.36663, 0.282645, 0.18023", \
+                            "0.5016, 0.497387, 0.478368, 0.439065, 0.371745, 0.291082, 0.185098", \
+                            "0.509718, 0.505384, 0.486728, 0.444961, 0.381282, 0.30173, 0.192928", \
+                            "0.515229, 0.507749, 0.489027, 0.447799, 0.383757, 0.299761, 0.194525", \
+                            "0.505681, 0.501523, 0.482768, 0.442244, 0.375738, 0.293249, 0.189864" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0401181;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.633182, 0.628375, 0.624316, 0.633963, 0.660605, 0.701635, 0.736978", \
+                            "0.630388, 0.624635, 0.622204, 0.631807, 0.66506, 0.699446, 0.735911", \
+                            "0.630751, 0.625548, 0.619817, 0.630586, 0.661914, 0.700854, 0.734415", \
+                            "0.623612, 0.619795, 0.616473, 0.626637, 0.657767, 0.694683, 0.728794", \
+                            "0.615637, 0.609895, 0.606848, 0.616814, 0.645645, 0.685564, 0.719367", \
+                            "0.611237, 0.608025, 0.605044, 0.614768, 0.644391, 0.682011, 0.716716", \
+                            "0.619025, 0.614636, 0.610588, 0.619289, 0.646767, 0.68728, 0.721941" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.947419, 0.950653, 0.966405, 0.991749, 1.04409, 1.11675, 1.20299", \
+                            "0.946638, 0.950158, 0.962434, 0.990418, 1.04356, 1.11592, 1.20459", \
+                            "0.946946, 0.948772, 0.962907, 0.989362, 1.04272, 1.11519, 1.20261", \
+                            "0.942854, 0.94545, 0.957836, 0.982674, 1.03568, 1.10843, 1.19699", \
+                            "0.933097, 0.935, 0.947738, 0.974578, 1.02901, 1.09875, 1.18613", \
+                            "0.928246, 0.933878, 0.945208, 0.971619, 1.02682, 1.09669, 1.18546", \
+                            "0.933251, 0.938531, 0.949366, 0.976382, 1.03014, 1.10251, 1.19197" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.536239, 0.539968, 0.543004, 0.541013, 0.540892, 0.540089, 0.53878", \
+                            "0.540199, 0.543884, 0.54692, 0.54494, 0.544808, 0.544005, 0.542696", \
+                            "0.552805, 0.556699, 0.559746, 0.557777, 0.557645, 0.556831, 0.555533", \
+                            "0.575949, 0.579931, 0.582967, 0.580987, 0.580855, 0.580052, 0.578743", \
+                            "0.616264, 0.620136, 0.623227, 0.621247, 0.621115, 0.620312, 0.619003", \
+                            "0.659648, 0.663575, 0.666589, 0.664609, 0.664499, 0.663696, 0.662387", \
+                            "0.695156, 0.698852, 0.701877, 0.699897, 0.699765, 0.698962, 0.697664" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.548955, 0.546414, 0.533819, 0.517385, 0.512512, 0.51788, 0.527406", \
+                            "0.552871, 0.55033, 0.537746, 0.521312, 0.516428, 0.521796, 0.531322", \
+                            "0.565675, 0.563255, 0.550561, 0.534149, 0.529254, 0.534622, 0.544148", \
+                            "0.588984, 0.586278, 0.573793, 0.557348, 0.552475, 0.557832, 0.567369", \
+                            "0.629156, 0.626736, 0.614042, 0.597608, 0.592735, 0.598092, 0.607618", \
+                            "0.672507, 0.6699, 0.657437, 0.641003, 0.636119, 0.641476, 0.651013", \
+                            "0.707762, 0.705166, 0.692692, 0.676269, 0.671385, 0.676742, 0.686279" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0167494;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.457721, 0.460196, 0.473352, 0.483736, 0.479457, 0.461901, 0.424094", \
+                            "0.458084, 0.462143, 0.472208, 0.481569, 0.478203, 0.459888, 0.422455", \
+                            "0.454696, 0.45903, 0.46937, 0.478753, 0.477092, 0.458535, 0.421982", \
+                            "0.449691, 0.453607, 0.465564, 0.473803, 0.472494, 0.454047, 0.415041", \
+                            "0.442717, 0.445467, 0.455213, 0.46596, 0.463078, 0.445423, 0.405108", \
+                            "0.438735, 0.443091, 0.453464, 0.462099, 0.459437, 0.441045, 0.403766", \
+                            "0.44231, 0.446292, 0.457589, 0.469546, 0.465025, 0.445753, 0.409013" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.0939961, 0.0932382, 0.111436, 0.159321, 0.239146, 0.340824, 0.471493", \
+                            "0.0935902, 0.0919358, 0.110068, 0.159887, 0.238268, 0.339548, 0.469425", \
+                            "0.0926453, 0.0904332, 0.108948, 0.156954, 0.236973, 0.338602, 0.468963", \
+                            "0.0869231, 0.0855272, 0.103531, 0.153451, 0.231745, 0.333014, 0.463375", \
+                            "0.0779636, 0.0763884, 0.0942667, 0.144573, 0.222289, 0.323906, 0.453849", \
+                            "0.0752213, 0.0736769, 0.0919039, 0.139699, 0.219618, 0.321266, 0.45155", \
+                            "0.0798182, 0.0789547, 0.0968704, 0.147051, 0.224785, 0.326381, 0.456467" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.484077, 0.483252, 0.470877, 0.460042, 0.463265, 0.482053, 0.518716", \
+                            "0.486728, 0.482658, 0.473495, 0.463001, 0.466499, 0.485023, 0.521378", \
+                            "0.489302, 0.487278, 0.474826, 0.46497, 0.467192, 0.486541, 0.522731", \
+                            "0.494417, 0.492415, 0.479963, 0.469854, 0.471911, 0.491535, 0.530442", \
+                            "0.503976, 0.500764, 0.489159, 0.477466, 0.482317, 0.500225, 0.538175", \
+                            "0.505912, 0.501644, 0.491799, 0.481679, 0.483912, 0.502898, 0.53944", \
+                            "0.500533, 0.498982, 0.486431, 0.475772, 0.478544, 0.49764, 0.534072" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.674146, 0.675972, 0.657712, 0.607981, 0.529793, 0.428318, 0.299684", \
+                            "0.676478, 0.678084, 0.660044, 0.610313, 0.532125, 0.43065, 0.302159", \
+                            "0.678172, 0.679998, 0.661738, 0.611996, 0.533808, 0.432344, 0.303842", \
+                            "0.683078, 0.684706, 0.666611, 0.61688, 0.538692, 0.437217, 0.308726", \
+                            "0.692153, 0.693792, 0.675708, 0.625977, 0.547789, 0.446325, 0.317823", \
+                            "0.69476, 0.696619, 0.678348, 0.628628, 0.55044, 0.448976, 0.320474", \
+                            "0.689414, 0.691075, 0.673244, 0.62326, 0.545072, 0.443597, 0.315106" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0006242;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.cdl b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.cdl
new file mode 100755
index 0000000..f643daa
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.cdl
@@ -0,0 +1,2370 @@
+* Copyright 2022 GlobalFoundries PDK Authors
+*
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     http://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+**************************************
+* Revision: 1.0
+**************************************
+
+*.SCALE METER
+
+.SUBCKT M1_PSUB_I08
+** N=1781 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I13 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=6.81e-06 AD=2.9964e-12 AS=2.9964e-12 PD=1.45e-05 PS=1.45e-05 NRD=0.0646109 NRS=0.0646109 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I01
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I01 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=6.81e-06 AD=2.9964e-12 AS=2.9964e-12 PD=1.45e-05 PS=1.45e-05 NRD=0.0646109 NRS=0.0646109 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_dummy_R
+** N=8 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_1 3 4 7 8 9 10
+** N=12 EP=6 IP=16 FDC=4
+*.SEEDPROM
+M0 4 4 7 4 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=3560 $D=8
+M1 4 4 9 4 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=4840 $D=8
+M2 8 3 4 4 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=3560 $D=8
+M3 10 3 4 4 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=4840 $D=8
+.ENDS
+***************************************
+.SUBCKT ICV_2 1 2 3 4 10 12 14 15
+** N=19 EP=8 IP=24 FDC=16
+*.SEEDPROM
+M0 1 3 16 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=180 $Y=7970 $D=2
+M1 18 3 1 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=180 $Y=9260 $D=2
+M2 3 4 16 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=6340 $D=2
+M3 3 4 18 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=10710 $D=2
+M4 17 3 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=6340 $D=2
+M5 19 3 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=10710 $D=2
+M6 2 3 17 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=2220 $Y=7970 $D=2
+M7 19 3 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=2220 $Y=9260 $D=2
+X8 3 4 10 12 16 17 ICV_1 $T=0 0 0 0 $X=-340 $Y=-340
+X9 3 4 18 19 14 15 ICV_1 $T=0 9000 0 0 $X=-340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_bndry
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT strapx2b_bndry
+** N=10 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT dcap_103_novia
+** N=2 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1
+** N=8 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_2x 1 2 3 5 6 7 8 9 10
+** N=12 EP=9 IP=16 FDC=8
+*.SEEDPROM
+M0 1 5 7 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=180 $Y=3470 $D=2
+M1 9 6 1 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=180 $Y=4760 $D=2
+M2 3 8 7 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=1840 $D=2
+M3 3 10 9 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=6210 $D=2
+M4 8 7 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=1840 $D=2
+M5 10 9 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=6210 $D=2
+M6 2 5 8 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=2220 $Y=3470 $D=2
+M7 10 6 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=2220 $Y=4760 $D=2
+.ENDS
+***************************************
+.SUBCKT ICV_3 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
+** N=16 EP=15 IP=24 FDC=16
+*.SEEDPROM
+X0 5 6 2 3 4 9 11 10 12 018SRAM_cell1_2x $T=-3000 0 0 0 $X=-3340 $Y=-340
+X1 7 8 2 3 4 13 15 14 16 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_4 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18
+** N=26 EP=18 IP=32 FDC=40
+*.SEEDPROM
+M0 1 20 19 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=8060 $D=8
+M1 1 24 23 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=9340 $D=8
+M2 20 19 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=8060 $D=8
+M3 24 23 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=9340 $D=8
+M4 1 22 21 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=8060 $D=8
+M5 1 26 25 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=9340 $D=8
+M6 22 21 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=8060 $D=8
+M7 26 25 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=9340 $D=8
+X8 2 3 4 7 8 9 10 11 19 12 20 13 21 14 22 ICV_3 $T=0 0 0 0 $X=-3340 $Y=-340
+X9 2 5 6 7 8 9 10 23 15 24 16 25 17 26 18 ICV_3 $T=0 9000 0 0 $X=-3340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_5 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30
+** N=30 EP=30 IP=36 FDC=80
+*.SEEDPROM
+X0 1 2 3 4 5 6 7 8 9 10 15 16 17 18 19 20 21 22 ICV_4 $T=-6000 0 0 0 $X=-9340 $Y=-340
+X1 1 2 3 4 5 6 11 12 13 14 23 24 25 26 27 28 29 30 ICV_4 $T=0 0 0 0 $X=-3340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_6 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34
+** N=50 EP=34 IP=60 FDC=176
+*.SEEDPROM
+M0 1 36 35 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=17060 $D=8
+M1 1 44 43 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=18340 $D=8
+M2 36 35 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=17060 $D=8
+M3 44 43 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=18340 $D=8
+M4 1 38 37 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=17060 $D=8
+M5 1 46 45 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=18340 $D=8
+M6 38 37 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=17060 $D=8
+M7 46 45 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=18340 $D=8
+M8 1 40 39 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=17060 $D=8
+M9 1 48 47 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=18340 $D=8
+M10 40 39 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=17060 $D=8
+M11 48 47 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=18340 $D=8
+M12 1 42 41 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=17060 $D=8
+M13 1 50 49 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=18340 $D=8
+M14 42 41 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=17060 $D=8
+M15 50 49 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=18340 $D=8
+X16 1 2 3 4 5 6 11 12 13 14 15 16 17 18 19 20 21 22 35 36
++ 37 38 23 24 25 26 39 40 41 42
++ ICV_5 $T=0 0 0 0 $X=-9340 $Y=-340
+X17 1 2 7 8 9 10 11 12 13 14 15 16 17 18 43 44 45 46 27 28
++ 29 30 47 48 49 50 31 32 33 34
++ ICV_5 $T=0 18000 0 0 $X=-9340 $Y=17660
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_dummy 1 2 3 4 5 7
+** N=9 EP=6 IP=0 FDC=4
+*.SEEDPROM
+M0 1 7 2 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=180 $Y=260 $D=2
+M1 3 5 1 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=630 $Y=1710 $D=2
+M2 5 1 3 3 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=1770 $Y=1710 $D=2
+M3 5 7 4 3 nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=2220 $Y=260 $D=2
+.ENDS
+***************************************
+.SUBCKT ICV_7 1 3 4 5 6 7 8 9 10 11
+** N=15 EP=10 IP=18 FDC=8
+*.SEEDPROM
+X0 5 4 1 6 7 3 018SRAM_cell1_dummy $T=-3000 0 0 0 $X=-3340 $Y=-340
+X1 9 8 1 10 11 3 018SRAM_cell1_dummy $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_8 1 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19
+** N=27 EP=18 IP=30 FDC=16
+*.SEEDPROM
+X0 1 3 4 5 6 7 8 9 10 11 ICV_7 $T=-6000 0 0 0 $X=-9340 $Y=-340
+X1 1 3 12 13 14 15 16 17 18 19 ICV_7 $T=0 0 0 0 $X=-3340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_9
+** N=2 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_10
+** N=2 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_11
+** N=2 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_2x
+** N=10 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_12
+** N=15 EP=0 IP=20 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_13 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22
+** N=30 EP=18 IP=33 FDC=16
+*.SEEDPROM
+X0 4 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 ICV_8 $T=-3000 0 0 0 $X=-12340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$47122476
+** N=5 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46889004 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+X0 1 2 3 4 pmos_5p0_I01 $T=-155 0 0 0 $X=-1195 $Y=-620
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47119404 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+X0 1 2 3 4 nmos_5p0_I13 $T=-155 0 0 0 $X=-835 $Y=-620
+.ENDS
+***************************************
+.SUBCKT ypass_gate vss 3 b d bb db ypass pcb vdd
+** N=26 EP=9 IP=25 FDC=5
+*.SEEDPROM
+X1 bb b pcb vdd pmos_5p0_I01 $T=1240 50985 1 0 $X=200 $Y=43555
+X2 bb db 3 vdd pmos_5p0_I01 $T=1250 43050 1 0 $X=210 $Y=35620
+X4 b d 3 vdd pmos_1p2$$46889004 $T=1405 15300 1 0 $X=-25 $Y=7790
+X5 b d ypass vss nmos_1p2$$47119404 $T=1405 24575 1 0 $X=260 $Y=17090
+X6 bb db ypass vss nmos_1p2$$47119404 $T=1405 34595 1 0 $X=260 $Y=27110
+.ENDS
+***************************************
+.SUBCKT mux821 1 2 3 4 5 6 7 8 9 13 14 15 16 17 18 19 20 21 22 23
++ 24 25 26 27 28 29 30 31 32 33 42 43 44 45 46 47 48
+** N=86 EP=37 IP=165 FDC=48
+*.SEEDPROM
+M0 13 42 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=1510 $Y=2370 $D=2
+M1 16 43 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=3750 $Y=2370 $D=2
+M2 19 44 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=7705 $Y=2370 $D=2
+M3 22 45 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=9945 $Y=2370 $D=2
+M4 25 46 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=13895 $Y=2370 $D=2
+M5 28 47 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=16135 $Y=2370 $D=2
+M6 31 48 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=20090 $Y=2370 $D=2
+M7 2 9 1 1 nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=3.99e-13 PD=2.18e-06 PS=3.11e-06 NRD=0.912281 NRS=1.22807 m=1 nf=2 $X=22330 $Y=2370 $D=2
+X9 5 3 7 8 pmos_5p0_I01 $T=23310 51440 1 0 $X=22270 $Y=44010
+X10 5 6 2 8 pmos_5p0_I01 $T=23320 43505 1 0 $X=22280 $Y=36075
+X12 3 4 2 8 pmos_1p2$$46889004 $T=23475 15755 1 0 $X=22045 $Y=8245
+X13 3 4 9 1 nmos_1p2$$47119404 $T=23475 25030 1 0 $X=22330 $Y=17545
+X14 5 6 9 1 nmos_1p2$$47119404 $T=23475 35050 1 0 $X=22330 $Y=27565
+X15 1 13 15 4 14 6 42 7 8 ypass_gate $T=3490 455 1 180 $X=-1160 $Y=0
+X16 1 16 18 4 17 6 43 7 8 ypass_gate $T=3490 455 0 0 $X=2385 $Y=0
+X17 1 19 21 4 20 6 44 7 8 ypass_gate $T=9685 455 1 180 $X=5035 $Y=0
+X18 1 22 24 4 23 6 45 7 8 ypass_gate $T=9685 455 0 0 $X=8580 $Y=0
+X19 1 25 27 4 26 6 46 7 8 ypass_gate $T=15875 455 1 180 $X=11225 $Y=0
+X20 1 28 30 4 29 6 47 7 8 ypass_gate $T=15875 455 0 0 $X=14770 $Y=0
+X21 1 31 33 4 32 6 48 7 8 ypass_gate $T=22070 455 1 180 $X=17420 $Y=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I15
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I06
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I17
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I20
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I11 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=3.42e-06 AD=8.892e-13 AS=1.5048e-12 PD=4.46e-06 PS=8.6e-06 NRD=0.304094 NRS=0.51462 m=1 nf=2 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I03
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$202596396
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I04
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$202595372
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$202586156
+** N=3 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I07
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$202587180
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT wen_wm1 vss wep 3 4 5 men 7 8 9 10 11 12 13 14 vdd wen GWEN 18 19
+** N=43 EP=19 IP=113 FDC=31
+M0 10 wen vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=1765 $Y=5060 $D=2
+M1 7 men vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=3.562e-13 AS=6.028e-13 PD=1.89e-06 PS=3.62e-06 NRD=0.189781 NRS=0.321168 m=1 nf=1 $X=1765 $Y=8905 $D=2
+M2 vss GWEN 10 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=2885 $Y=5060 $D=2
+M3 vss vss 7 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=3.562e-13 PD=3.62e-06 PS=1.89e-06 NRD=0.321168 NRS=0.189781 m=1 nf=1 $X=2885 $Y=8905 $D=2
+M4 3 10 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5125 $Y=4650 $D=2
+M5 11 7 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5125 $Y=9315 $D=2
+M6 4 7 3 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=7660 $Y=8385 $D=2
+M7 8 5 vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=6.028e-13 PD=3.62e-06 PS=3.62e-06 NRD=0.321168 NRS=0.321168 m=1 nf=1 $X=8920 $Y=4240 $D=2
+M8 12 11 4 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=9970 $Y=9700 $D=2
+M9 vss 14 12 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=11090 $Y=9700 $D=2
+M10 vss 4 14 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=13330 $Y=9700 $D=2
+M11 13 14 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=14450 $Y=9700 $D=2
+M12 wep 8 vss vss nmos_5p0 L=6e-07 W=2.4e-06 AD=7.68e-13 AS=7.68e-13 PD=5.12e-06 PS=5.12e-06 NRD=1.2 NRS=1.2 m=1 nf=3 $X=12720 $Y=4810 $D=2
+M13 vss 13 9 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=6.028e-13 PD=3.62e-06 PS=3.62e-06 NRD=0.321168 NRS=0.321168 m=1 nf=1 $X=17810 $Y=9290 $D=2
+M14 men 9 5 vss nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=20050 $Y=8385 $D=2
+M15 vss 13 5 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=22290 $Y=8385 $D=2
+M16 18 wen vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=1765 $Y=600 $D=8
+M17 19 men vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=1765 $Y=12055 $D=8
+M18 10 GWEN 18 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=2885 $Y=600 $D=8
+M19 7 vss 19 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=2885 $Y=12055 $D=8
+M20 3 10 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5125 $Y=600 $D=8
+M21 11 7 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5125 $Y=12055 $D=8
+M22 4 11 3 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=1.17084e-12 AS=9.988e-13 PD=4.78598e-06 PS=5.42e-06 NRD=0.22722 NRS=0.193833 m=1 nf=1 $X=7660 $Y=12055 $D=8
+M23 12 7 4 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.87097e-13 AS=-6.48697e-13 PD=-2.78573e-06 PS=-2.70573e-06 NRD=-0.745548 NRS=-0.703882 m=1 nf=1 $X=9395 $Y=12055 $D=8
+M24 vdd 14 12 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.14386e-12 PD=5.42e-06 PS=4.72975e-06 NRD=0.193833 NRS=0.221983 m=1 nf=1 $X=11090 $Y=12055 $D=8
+M25 vdd 4 14 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=13330 $Y=12055 $D=8
+M26 13 14 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=14450 $Y=12055 $D=8
+M27 wep 8 vdd vdd pmos_5p0 L=6e-07 W=6e-06 AD=1.92e-12 AS=1.92e-12 PD=9.92e-06 PS=9.92e-06 NRD=0.48 NRS=0.48 m=1 nf=3 $X=12720 $Y=870 $D=8
+M28 men 13 5 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=20050 $Y=12055 $D=8
+X37 vdd 8 5 pmos_5p0_I11 $T=8920 2870 1 0 $X=7880 $Y=540
+X38 vdd 9 13 pmos_5p0_I11 $T=16690 12625 0 0 $X=15650 $Y=12005
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$44997676
+** N=7 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I18
+** N=6 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I17 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I12
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46281772
+** N=5 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I12 1 2 3 4 5
+** N=5 EP=5 IP=0 FDC=2
+M0 2 4 1 2 nmos_5p0 L=6e-07 W=6e-07 AD=1.56e-13 AS=2.64e-13 PD=1.12e-06 PS=2.08e-06 NRD=0.433333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+M1 3 5 2 2 nmos_5p0 L=6e-07 W=6e-07 AD=2.64e-13 AS=1.56e-13 PD=2.08e-06 PS=1.12e-06 NRD=0.733333 NRS=0.433333 m=1 nf=1 $X=1120 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I13 1 2 3 4 5
+** N=6 EP=5 IP=0 FDC=2
+M0 2 4 1 2 pmos_5p0 L=6e-07 W=1.2e-06 AD=3.12e-13 AS=5.28e-13 PD=1.72e-06 PS=3.28e-06 NRD=0.216667 NRS=0.366667 m=1 nf=1 $X=0 $Y=0 $D=8
+M1 3 5 2 2 pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=3.12e-13 PD=3.28e-06 PS=1.72e-06 NRD=0.366667 NRS=0.216667 m=1 nf=1 $X=1120 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I07 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=1.135e-05 AD=3.3596e-12 AS=3.3596e-12 PD=1.658e-05 PS=1.658e-05 NRD=0.651982 NRS=0.651982 m=1 nf=5 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46285868
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I05
+** N=6 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46286892
+** N=5 EP=0 IP=6 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT sacntl_2 vss 2 pcb 4 5 6 7 8 9 10 11 se 19 20 21 22 23 24 25 26
++ 27 vdd men
+** N=54 EP=23 IP=83 FDC=39
+M0 2 11 vss vss nmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=795 $Y=26115 $D=2
+M1 4 men vss vss nmos_5p0 L=6e-07 W=5.7e-06 AD=1.6872e-12 AS=1.6872e-12 PD=9.8e-06 PS=9.8e-06 NRD=1.29825 NRS=1.29825 m=1 nf=5 $X=855 $Y=4275 $D=2
+M2 vss 10 pcb vss nmos_5p0 L=6e-07 W=1.589e-05 AD=4.54e-12 AS=4.54e-12 PD=2.216e-05 PS=2.216e-05 NRD=0.881057 NRS=0.881057 m=1 nf=7 $X=1950 $Y=9235 $D=2
+M3 5 4 vss vss nmos_5p0 L=6e-07 W=2.86e-06 AD=7.436e-13 AS=1.2584e-12 PD=3.38e-06 PS=6.6e-06 NRD=0.0909091 NRS=0.153846 m=1 nf=1 $X=10910 $Y=8645 $D=2
+M4 6 11 5 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12030 $Y=8645 $D=2
+M5 7 27 6 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13150 $Y=8645 $D=2
+M6 8 27 7 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14270 $Y=8645 $D=2
+M7 9 11 8 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15390 $Y=8645 $D=2
+M8 vss 4 9 vss nmos_5p0 L=6e-07 W=2.86e-06 AD=1.2584e-12 AS=7.436e-13 PD=6.6e-06 PS=3.38e-06 NRD=0.153846 NRS=0.0909091 m=1 nf=1 $X=16510 $Y=8645 $D=2
+M9 10 7 vss vss nmos_5p0 L=6e-07 W=5.22e-06 AD=1.3572e-12 AS=2.2968e-12 PD=6.26e-06 PS=1.22e-05 NRD=0.199234 NRS=0.337165 m=1 nf=2 $X=18750 $Y=8895 $D=2
+M10 11 20 vss vss nmos_5p0 L=6e-07 W=1.44e-06 AD=6.336e-13 AS=6.336e-13 PD=3.76e-06 PS=3.76e-06 NRD=0.305556 NRS=0.305556 m=1 nf=1 $X=21255 $Y=4090 $D=2
+M11 se 27 vss vss nmos_5p0 L=6e-07 W=9.08e-06 AD=2.3608e-12 AS=3.178e-12 PD=1.116e-05 PS=1.642e-05 NRD=0.45815 NRS=0.61674 m=1 nf=4 $X=19460 $Y=25030 $D=2
+M12 2 11 vdd vdd pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=3.0008e-12 PD=7.86e-06 PS=1.54e-05 NRD=0.152493 NRS=0.258065 m=1 nf=2 $X=795 $Y=20945 $D=8
+M13 4 men vdd vdd pmos_5p0 L=6e-07 W=1.135e-05 AD=3.3596e-12 AS=3.3596e-12 PD=1.658e-05 PS=1.658e-05 NRD=0.651982 NRS=0.651982 m=1 nf=5 $X=855 $Y=590 $D=8
+M14 27 2 vdd vdd pmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=5370 $Y=20990 $D=8
+M15 vdd 4 27 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=8730 $Y=20990 $D=8
+M16 pcb 10 vdd vdd pmos_5p0 L=6e-07 W=4.09e-05 AD=1.0634e-11 AS=1.21023e-11 PD=4.61e-05 PS=4.6818e-05 NRD=0.635697 NRS=0.723472 m=1 nf=10 $X=830 $Y=14055 $D=8
+M17 7 27 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.06e-06 PS=9.96e-06 NRD=0.0572687 NRS=0.0969163 m=1 nf=1 $X=14270 $Y=13710 $D=8
+M18 vdd 11 7 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15390 $Y=13710 $D=8
+M19 7 4 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.1804e-12 PD=9.96e-06 PS=5.06e-06 NRD=0.0969163 NRS=0.0572687 m=1 nf=1 $X=16510 $Y=13710 $D=8
+M20 vdd 25 19 vdd pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=5.28e-13 PD=3.28e-06 PS=3.28e-06 NRD=0.366667 NRS=0.366667 m=1 nf=1 $X=18950 $Y=1670 $D=8
+M21 10 7 vdd vdd pmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=4.3584e-12 PD=2.008e-05 PS=2.008e-05 NRD=0.211454 NRS=0.211454 m=1 nf=3 $X=18750 $Y=13710 $D=8
+M22 se 27 vdd vdd pmos_5p0 L=6e-07 W=2.72e-05 AD=7.072e-12 AS=8.0512e-12 PD=3.24e-05 PS=3.856e-05 NRD=0.955882 NRS=1.08824 m=1 nf=10 $X=12740 $Y=20450 $D=8
+X23 vdd 11 20 pmos_5p0_I11 $T=21255 985 0 0 $X=20215 $Y=365
+X27 19 vss 25 vss nmos_5p0_I17 $T=18950 4420 0 0 $X=18270 $Y=3800
+X31 20 vss 21 4 vss nmos_5p0_I12 $T=8080 4420 0 0 $X=7400 $Y=3800
+X32 22 vss 23 21 22 nmos_5p0_I12 $T=11705 4420 0 0 $X=11025 $Y=3800
+X33 24 vss 25 23 24 nmos_5p0_I12 $T=15325 4420 0 0 $X=14645 $Y=3800
+X34 20 vdd 21 4 vss pmos_5p0_I13 $T=8080 1480 0 0 $X=7040 $Y=860
+X35 22 vdd 23 21 22 pmos_5p0_I13 $T=11705 1480 0 0 $X=10665 $Y=860
+X36 24 vdd 25 23 24 pmos_5p0_I13 $T=15325 1480 0 0 $X=14285 $Y=860
+X37 vss 26 2 vss nmos_5p0_I07 $T=5370 25030 0 0 $X=4690 $Y=24410
+X38 27 26 4 vss nmos_5p0_I07 $T=12415 25030 0 0 $X=11735 $Y=24410
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I02
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I02
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I14
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I04
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT outbuf_oe q vss 3 4 5 15 16 17 18 vdd GWE se qp qn 24
+** N=66 EP=15 IP=48 FDC=18
+M0 vss 5 q vss nmos_5p0 L=6e-07 W=1.272e-05 AD=3.3072e-12 AS=4.0704e-12 PD=1.584e-05 PS=2.08e-05 NRD=0.735849 NRS=0.90566 m=1 nf=6 $X=395 $Y=2665 $D=2
+M1 3 GWE vss vss nmos_5p0 L=6e-07 W=1.6e-06 AD=7.04e-13 AS=7.04e-13 PD=4.08e-06 PS=4.08e-06 NRD=0.275 NRS=0.275 m=1 nf=1 $X=8145 $Y=2720 $D=2
+M2 17 3 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=10105 $Y=2700 $D=2
+M3 vss 16 4 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=13175 $Y=12845 $D=2
+M4 5 15 4 vss nmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=2.1792e-12 PD=1.1e-05 PS=1.1e-05 NRD=0.422907 NRS=0.422907 m=1 nf=3 $X=12455 $Y=2720 $D=2
+M5 vss se 15 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=17045 $Y=4035 $D=2
+M6 5 qn 18 vss nmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=1.988e-12 PD=6.72e-06 PS=9.92e-06 NRD=0.183099 NRS=0.246479 m=1 nf=2 $X=19905 $Y=1945 $D=2
+M7 vss 3 18 vss nmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=1.988e-12 PD=6.72e-06 PS=9.92e-06 NRD=0.183099 NRS=0.246479 m=1 nf=2 $X=22145 $Y=1945 $D=2
+M8 vdd 5 q vdd pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=7.2576e-12 PD=2.58e-05 PS=3.408e-05 NRD=0.412698 NRS=0.507937 m=1 nf=6 $X=395 $Y=6190 $D=8
+M9 3 GWE vdd vdd pmos_5p0 L=6e-07 W=4e-06 AD=1.76e-12 AS=1.76e-12 PD=8.88e-06 PS=8.88e-06 NRD=0.11 NRS=0.11 m=1 nf=1 $X=8145 $Y=6395 $D=8
+M10 17 3 vdd vdd pmos_5p0 L=6e-07 W=4.5e-06 AD=1.98e-12 AS=1.98e-12 PD=9.88e-06 PS=9.88e-06 NRD=0.0977778 NRS=0.0977778 m=1 nf=1 $X=10105 $Y=6175 $D=8
+M11 4 16 vdd vdd pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.24202e-12 PD=3.32e-06 PS=5.60564e-06 NRD=0.45614 NRS=0.955691 m=1 nf=2 $X=12055 $Y=10310 $D=8
+M12 5 se 4 vdd pmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=2.1792e-12 PD=1.1e-05 PS=1.1e-05 NRD=0.422907 NRS=0.422907 m=1 nf=3 $X=12455 $Y=6395 $D=8
+M13 16 5 vdd vdd pmos_5p0 L=6e-07 W=1.2e-06 AD=5.28e-13 AS=7.79385e-13 PD=3.28e-06 PS=2.57436e-06 NRD=0.366667 NRS=0.541239 m=1 nf=1 $X=15085 $Y=10250 $D=8
+M14 vdd se 15 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=17045 $Y=7030 $D=8
+M15 5 qp 24 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=3.969e-12 PD=1.238e-05 PS=1.841e-05 NRD=0.0917108 NRS=0.123457 m=1 nf=2 $X=19680 $Y=6685 $D=8
+M16 vdd 17 24 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=3.969e-12 PD=1.238e-05 PS=1.841e-05 NRD=0.0917108 NRS=0.123457 m=1 nf=2 $X=21920 $Y=6685 $D=8
+X20 vss 16 5 vss nmos_5p0_I17 $T=15150 13365 1 0 $X=14470 $Y=12145
+.ENDS
+***************************************
+.SUBCKT M1_NWELL_I01
+** N=4 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I11 1 2 3 4 5 6
+** N=6 EP=6 IP=0 FDC=2
+M0 2 4 1 6 nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=0 $Y=0 $D=2
+M1 3 5 2 6 nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=1120 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46883884 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=1.134e-05 AD=4.9896e-12 AS=4.9896e-12 PD=2.356e-05 PS=2.356e-05 NRD=0.0388007 NRS=0.0388007 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46563372 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I18 1 2 3 4 5 6
+** N=6 EP=6 IP=0 FDC=2
+M0 2 4 1 6 pmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=0 $Y=0 $D=8
+M1 3 5 2 6 pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=1120 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46273580 1 2 3
+** N=3 EP=3 IP=3 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46887980 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.361e-05 AD=5.9884e-12 AS=5.9884e-12 PD=2.81e-05 PS=2.81e-05 NRD=0.0323292 NRS=0.0323292 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT din vss 2 3 4 5 6 7 8 d db 11 12 vdd datain men wep
+** N=69 EP=16 IP=73 FDC=24
+M0 2 4 vss vss nmos_5p0 L=6e-07 W=1.361e-05 AD=5.9884e-12 AS=5.9884e-12 PD=2.81e-05 PS=2.81e-05 NRD=0.0323292 NRS=0.0323292 m=1 nf=1 $X=260 $Y=10430 $D=2
+M1 3 wep vss vss nmos_5p0 L=6e-07 W=1.14e-06 AD=7.866e-13 AS=7.923e-13 PD=3.66e-06 PS=3.67e-06 NRD=0.605263 NRS=0.609649 m=1 nf=1 $X=3600 $Y=38320 $D=2
+M2 vss 7 4 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=11165 $Y=8655 $D=2
+M3 3 wep vdd vdd pmos_5p0 L=6e-07 W=2.97e-06 AD=1.13602e-12 AS=1.7523e-12 PD=4.5e-06 PS=8.3e-06 NRD=0.515152 NRS=0.794613 m=1 nf=2 $X=3025 $Y=35440 $D=8
+M4 vdd 2 11 vdd pmos_5p0 L=6e-07 W=1.134e-05 AD=4.9896e-12 AS=4.9896e-12 PD=2.356e-05 PS=2.356e-05 NRD=0.0388007 NRS=0.0388007 m=1 nf=1 $X=6980 $Y=26220 $D=8
+X5 4 vdd 7 vdd pmos_5p0_I01 $T=11165 455 0 0 $X=10125 $Y=-165
+X6 d 2 3 vdd pmos_1p2$$46889004 $T=2655 26220 0 0 $X=1225 $Y=25510
+X7 db 11 3 vdd pmos_1p2$$46889004 $T=4895 26220 0 0 $X=3465 $Y=25510
+X10 5 vss 6 datain 5 vss nmos_5p0_I11 $T=2765 1790 1 0 $X=2085 $Y=210
+X11 6 7 8 12 men vss nmos_5p0_I11 $T=6905 725 0 0 $X=6225 $Y=105
+X12 d 2 wep vss nmos_1p2$$46883884 $T=2655 12695 0 0 $X=1510 $Y=12010
+X13 db 11 wep vss nmos_1p2$$46883884 $T=4895 12695 0 0 $X=3750 $Y=12010
+X14 11 vss 2 vss nmos_1p2$$46883884 $T=7135 12695 0 0 $X=5990 $Y=12010
+X15 vss 12 men vss nmos_1p2$$46563372 $T=3470 9035 0 0 $X=2325 $Y=8350
+X16 vss 8 4 vss nmos_1p2$$46563372 $T=7060 10495 1 0 $X=5915 $Y=8860
+X17 5 vdd 6 datain 5 vdd pmos_5p0_I18 $T=2765 3195 0 0 $X=1725 $Y=2575
+X18 6 7 8 men 12 vdd pmos_5p0_I18 $T=6905 3605 0 0 $X=5865 $Y=2985
+X19 vdd 12 men pmos_1p2$$46273580 $T=2920 7175 1 0 $X=1490 $Y=5355
+X20 vdd 8 4 pmos_1p2$$46273580 $T=7060 8140 1 0 $X=5630 $Y=6320
+X21 vdd 2 4 pmos_1p2$$46887980 $T=415 26220 0 0 $X=-1015 $Y=25510
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46553132
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46897196 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$46898220
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$46551084
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT sa 1 vss 3 4 qn qp 7 pcb vdd d db se
+** N=105 EP=12 IP=47 FDC=27
+M0 1 vss vss vss nmos_5p0 L=6e-07 W=3.41e-06 AD=8.866e-13 AS=1.5004e-12 PD=3.93e-06 PS=7.7e-06 NRD=0.0762463 NRS=0.129032 m=1 nf=1 $X=11660 $Y=16585 $D=2
+M1 3 4 1 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12780 $Y=16585 $D=2
+M2 4 1 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=13900 $Y=16585 $D=2
+M3 7 4 vss vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=15170 $Y=8510 $D=2
+M4 1 4 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=16140 $Y=16585 $D=2
+M5 4 1 3 vss nmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=1.7732e-12 PD=7.86e-06 PS=7.86e-06 NRD=0.152493 NRS=0.152493 m=1 nf=2 $X=18380 $Y=16585 $D=2
+M6 vss 7 qp vss nmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=17410 $Y=8510 $D=2
+M7 1 4 3 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20620 $Y=16585 $D=2
+M8 qn 1 vss vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=20770 $Y=8510 $D=2
+M9 3 se vss vss nmos_5p0 L=6e-07 W=2.272e-05 AD=5.9072e-12 AS=6.9296e-12 PD=2.688e-05 PS=3.328e-05 NRD=0.732394 NRS=0.859155 m=1 nf=8 $X=12945 $Y=12550 $D=2
+M10 vss vss 1 vss nmos_5p0 L=6e-07 W=3.41e-06 AD=1.5004e-12 AS=8.866e-13 PD=7.7e-06 PS=3.93e-06 NRD=0.129032 NRS=0.0762463 m=1 nf=1 $X=21740 $Y=16585 $D=2
+M11 4 vdd vdd vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=2.366e-13 AS=4.004e-13 PD=1.43e-06 PS=2.7e-06 NRD=0.285714 NRS=0.483516 m=1 nf=1 $X=13985 $Y=24010 $D=8
+M12 vdd 1 4 vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=15105 $Y=24010 $D=8
+M13 d pcb vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=15755 $Y=30660 $D=8
+M14 7 4 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=15170 $Y=4385 $D=8
+M15 4 pcb 1 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=16875 $Y=26330 $D=8
+M16 db pcb d vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=16875 $Y=30660 $D=8
+M17 1 4 vdd vdd pmos_5p0 L=6e-07 W=1.82e-06 AD=4.732e-13 AS=4.732e-13 PD=2.86e-06 PS=2.86e-06 NRD=0.571429 NRS=0.571429 m=1 nf=2 $X=16225 $Y=24010 $D=8
+M18 vdd pcb db vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=17995 $Y=30660 $D=8
+M19 4 1 vdd vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=18465 $Y=24010 $D=8
+M20 qp 7 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.1804e-12 PD=5.58e-06 PS=5.58e-06 NRD=0.229075 NRS=0.229075 m=1 nf=2 $X=17410 $Y=4385 $D=8
+M21 vdd vdd 4 vdd pmos_5p0 L=6e-07 W=9.1e-07 AD=4.004e-13 AS=2.366e-13 PD=2.7e-06 PS=1.43e-06 NRD=0.483516 NRS=0.285714 m=1 nf=1 $X=19585 $Y=24010 $D=8
+M22 qn 1 vdd vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.589e-12 PD=5.58e-06 PS=8.21e-06 NRD=0.229075 NRS=0.30837 m=1 nf=2 $X=19650 $Y=4385 $D=8
+X28 db 1 se vdd pmos_1p2$$46897196 $T=12475 26330 0 0 $X=11045 $Y=25620
+X29 d 4 se vdd pmos_1p2$$46897196 $T=12475 30660 0 0 $X=11045 $Y=29950
+X30 d 4 se vdd pmos_1p2$$46897196 $T=20400 26330 0 0 $X=18970 $Y=25620
+X31 db 1 se vdd pmos_1p2$$46897196 $T=20400 30660 0 0 $X=18970 $Y=29950
+.ENDS
+***************************************
+.SUBCKT saout_R_m2 1 vss q pcb datain men vdd b[7] bb[7] WEN b[0] bb[0] bb[1] b[1] b[2] bb[2] bb[3] b[3] b[4] bb[4]
++ bb[5] b[5] b[6] bb[6] 54 ypass[0] ypass[1] ypass[2] GWE ypass[3] ypass[4] ypass[5] ypass[6] ypass[7] GWEN 74 75 76 77 78
++ 79 80
+** N=131 EP=42 IP=161 FDC=187
+*.SEEDPROM
+X0 vss 54 b[7] 70 bb[7] 73 pcb vdd ypass[7] 74 bb[0] b[0] 75 bb[1] b[1] 76 bb[2] b[2] 77 bb[3]
++ b[3] 78 bb[4] b[4] 79 bb[5] b[5] 80 bb[6] b[6] ypass[0] ypass[1] ypass[2] ypass[3] ypass[4] ypass[5] ypass[6]
++ mux821 $T=2765 83310 0 0 $X=-1345 $Y=83305
+X1 vss 1 85 87 93 men 83 88 92 82 86 89 91 90 vdd WEN GWEN 81 84 wen_wm1 $T=1610 -16880 0 0 $X=100 $Y=-17420
+X2 vss 94 pcb 68 99 100 101 102 104 107 108 72 106 97 71 98 69 103 105 96
++ 95 vdd men
++ sacntl_2 $T=3160 115 0 0 $X=425 $Y=-5
+X3 q vss 109 111 112 114 113 110 116 vdd GWE 72 130 131 115 outbuf_oe $T=3160 27545 0 0 $X=500 $Y=25750
+X4 vss 117 120 125 118 121 123 124 70 73 122 119 vdd datain men 1 din $T=1615 39025 0 0 $X=500 $Y=38740
+X5 126 vss 128 127 131 130 129 pcb vdd 70 73 72 sa $T=3160 43040 0 0 $X=1375 $Y=42060
+.ENDS
+***************************************
+.SUBCKT ICV_14 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
+** N=16 EP=15 IP=24 FDC=16
+*.SEEDPROM
+X0 5 6 2 3 4 9 11 10 12 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+X1 7 8 2 3 4 13 15 14 16 018SRAM_cell1_2x $T=3000 0 0 0 $X=2660 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_15 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18
+** N=26 EP=18 IP=32 FDC=40
+*.SEEDPROM
+M0 1 20 19 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=8060 $D=8
+M1 1 24 23 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=9340 $D=8
+M2 20 19 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=8060 $D=8
+M3 24 23 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=9340 $D=8
+M4 1 22 21 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=8060 $D=8
+M5 1 26 25 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=9340 $D=8
+M6 22 21 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=8060 $D=8
+M7 26 25 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=9340 $D=8
+X8 2 3 4 7 8 9 10 11 19 12 20 13 21 14 22 ICV_14 $T=0 0 0 0 $X=-340 $Y=-340
+X9 2 5 6 7 8 9 10 23 15 24 16 25 17 26 18 ICV_14 $T=0 9000 0 0 $X=-340 $Y=8660
+.ENDS
+***************************************
+.SUBCKT ICV_16 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30
+** N=30 EP=30 IP=36 FDC=80
+*.SEEDPROM
+X0 1 2 3 4 5 6 7 8 9 10 15 16 17 18 19 20 21 22 ICV_15 $T=0 0 0 0 $X=-340 $Y=-340
+X1 1 2 3 4 5 6 11 12 13 14 23 24 25 26 27 28 29 30 ICV_15 $T=6000 0 0 0 $X=5660 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_17 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
++ 21 22 23 24 25 26 27 28 29 30 31 32 33 34
+** N=50 EP=34 IP=60 FDC=176
+*.SEEDPROM
+M0 1 36 35 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=17060 $D=8
+M1 1 44 43 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=18340 $D=8
+M2 36 35 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=17060 $D=8
+M3 44 43 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=18340 $D=8
+M4 1 38 37 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=17060 $D=8
+M5 1 46 45 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=3630 $Y=18340 $D=8
+M6 38 37 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=17060 $D=8
+M7 46 45 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=4770 $Y=18340 $D=8
+M8 1 40 39 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=17060 $D=8
+M9 1 48 47 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=6630 $Y=18340 $D=8
+M10 40 39 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=17060 $D=8
+M11 48 47 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=7770 $Y=18340 $D=8
+M12 1 42 41 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=17060 $D=8
+M13 1 50 49 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=9630 $Y=18340 $D=8
+M14 42 41 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=17060 $D=8
+M15 50 49 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=10770 $Y=18340 $D=8
+X16 1 2 3 4 5 6 11 12 13 14 15 16 17 18 19 20 21 22 35 36
++ 37 38 23 24 25 26 39 40 41 42
++ ICV_16 $T=0 0 0 0 $X=-340 $Y=-340
+X17 1 2 7 8 9 10 11 12 13 14 15 16 17 18 43 44 45 46 27 28
++ 29 30 47 48 49 50 31 32 33 34
++ ICV_16 $T=0 18000 0 0 $X=-340 $Y=17660
+.ENDS
+***************************************
+.SUBCKT new_dummyrow_unit 7 9 43 45 47 49 51 53 55 57 59 61 63 65 67 69 71 73 75 77
++ 79 81 83 85 87 89 91 93 95 97 99 101 103 105
+** N=105 EP=34 IP=120 FDC=64
+*.SEEDPROM
+X0 7 9 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 ICV_8 $T=3310 885 1 180 $X=-30 $Y=545
+X1 7 9 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 ICV_8 $T=15310 885 1 180 $X=11970 $Y=545
+X2 7 9 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 ICV_8 $T=30310 885 1 180 $X=26970 $Y=545
+X3 7 9 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 ICV_8 $T=42310 885 1 180 $X=38970 $Y=545
+.ENDS
+***************************************
+.SUBCKT saout_m2 1 VSS q datain pcb men VDD b[0] bb[0] WEN b[7] bb[7] bb[6] b[6] b[5] bb[5] bb[4] b[4] b[3] bb[3]
++ bb[2] b[2] b[1] bb[1] 54 ypass[7] ypass[6] ypass[5] GWE ypass[4] ypass[3] ypass[2] ypass[1] ypass[0] GWEN 78 79 80 81 82
++ 83 84
+** N=135 EP=42 IP=161 FDC=187
+*.SEEDPROM
+X0 VSS 54 b[0] 74 bb[0] 77 pcb VDD ypass[0] 78 bb[7] b[7] 79 bb[6] b[6] 80 bb[5] b[5] 81 bb[4]
++ b[4] 82 bb[3] b[3] 83 bb[2] b[2] 84 bb[1] b[1] ypass[7] ypass[6] ypass[5] ypass[4] ypass[3] ypass[2] ypass[1]
++ mux821 $T=2765 83345 0 0 $X=-1345 $Y=83340
+X1 VSS 1 89 91 97 men 87 92 96 86 90 93 95 94 VDD WEN GWEN 85 88 wen_wm1 $T=1610 -16845 0 0 $X=100 $Y=-17385
+X2 VSS 98 pcb 72 103 104 105 106 108 111 112 76 110 101 75 102 73 107 109 100
++ 99 VDD men
++ sacntl_2 $T=3160 150 0 0 $X=425 $Y=30
+X3 q VSS 113 115 116 118 117 114 120 VDD GWE 76 134 135 119 outbuf_oe $T=3160 27580 0 0 $X=500 $Y=25785
+X4 VSS 121 124 129 122 125 127 128 74 77 126 123 VDD datain men 1 din $T=1615 39060 0 0 $X=500 $Y=38775
+X5 130 VSS 132 131 135 134 133 pcb VDD 74 77 76 sa $T=3160 43075 0 0 $X=1375 $Y=42095
+.ENDS
+***************************************
+.SUBCKT ICV_18 8 11 12 13 14 15 16
+** N=16 EP=7 IP=22 FDC=8
+*.SEEDPROM
+X1 11 12 8 8 8 13 15 14 16 018SRAM_cell1_2x $T=0 0 0 0 $X=-340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT rcol4_64 VSS tblhl pcb[4] VDD DWL WEN[6] pcb[6] 8 9 men WEN[4] 12 13 WEN[7] pcb[7] 16 17 WEN[5] pcb[5] 20
++ 21 WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] GWE GWEN ypass[1] ypass[0] ypass[2] ypass[3] ypass[4] ypass[5] ypass[6] ypass[7] din[5]
++ q[5] din[7] q[7] din[4] q[4] din[6] q[6] 390 391 392 393 394 395 396 397 398 399 400 401 402
++ 403 404 405 406 407 408 409 410 411 412 413 414 415 416 417 418 419 420 421 422
++ 423 424 425 426 427 428 429 430 431 432 433 434 435 436 437 438 439 440 441 442
++ 443 444 445
+** N=665 EP=103 IP=1279 FDC=2834
+*.SEEDPROM
+M0 195 VSS 83 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=1715 $Y=151295 $D=2
+M1 83 VSS 197 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=1715 $Y=195005 $D=2
+M2 VSS 194 195 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=2165 $Y=152745 $D=2
+M3 VSS 196 197 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=2165 $Y=193375 $D=2
+M4 194 195 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=3305 $Y=152745 $D=2
+M5 196 197 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=3305 $Y=193375 $D=2
+M6 194 VSS 82 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=3755 $Y=151295 $D=2
+M7 82 VSS 196 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=3755 $Y=195005 $D=2
+M8 450 VSS 61 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=115715 $Y=151295 $D=2
+M9 61 VSS 452 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=115715 $Y=168005 $D=2
+M10 454 VSS 61 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=115715 $Y=169295 $D=2
+M11 61 DWL 456 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=115715 $Y=186005 $D=2
+M12 446 DWL 61 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=115715 $Y=187295 $D=2
+M13 61 DWL 448 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=115715 $Y=195005 $D=2
+M14 VSS VDD 450 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=116165 $Y=152745 $D=2
+M15 VSS VDD 452 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=116165 $Y=166375 $D=2
+M16 VSS VDD 454 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=116165 $Y=170745 $D=2
+M17 VSS VDD 456 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=116165 $Y=184375 $D=2
+M18 VSS VDD 446 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=116165 $Y=188745 $D=2
+M19 VSS VDD 448 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=116165 $Y=193375 $D=2
+M20 451 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=117305 $Y=152745 $D=2
+M21 453 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=117305 $Y=166375 $D=2
+M22 455 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=117305 $Y=170745 $D=2
+M23 457 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=117305 $Y=184375 $D=2
+M24 447 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=117305 $Y=188745 $D=2
+M25 449 VSS VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=117305 $Y=193375 $D=2
+M26 55 61 VSS VSS nmos_5p0 L=6e-07 W=2.76e-06 AD=7.176e-13 AS=1.2144e-12 PD=3.8e-06 PS=7.28e-06 NRD=0.376812 NRS=0.637681 m=1 nf=2 $X=116215 $Y=69445 $D=2
+M27 tblhl 55 VSS VSS nmos_5p0 L=6e-07 W=1.7e-05 AD=4.42e-12 AS=7.48e-12 PD=1.804e-05 PS=3.576e-05 NRD=0.0611765 NRS=0.103529 m=1 nf=2 $X=116235 $Y=54020 $D=2
+M28 63 VDD VSS VSS nmos_5p0 L=6e-07 W=1.14e-06 AD=2.964e-13 AS=5.016e-13 PD=2.18e-06 PS=4.04e-06 NRD=0.912281 NRS=1.54386 m=1 nf=2 $X=116460 $Y=85710 $D=2
+M29 451 VSS 62 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=117755 $Y=151295 $D=2
+M30 62 VSS 453 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=117755 $Y=168005 $D=2
+M31 455 VSS 62 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=117755 $Y=169295 $D=2
+M32 62 DWL 457 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=117755 $Y=186005 $D=2
+M33 447 DWL 62 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=117755 $Y=187295 $D=2
+M34 62 DWL 449 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=117755 $Y=195005 $D=2
+M35 VDD 194 195 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=2165 $Y=154595 $D=8
+M36 VDD 650 652 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=2165 $Y=155875 $D=8
+M37 VDD 651 653 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=2165 $Y=163595 $D=8
+M38 VDD 654 656 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=2165 $Y=164875 $D=8
+M39 VDD 655 657 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=2165 $Y=172595 $D=8
+M40 VDD 658 660 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=2165 $Y=173875 $D=8
+M41 VDD 659 661 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=2165 $Y=181595 $D=8
+M42 VDD 662 664 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=2165 $Y=182875 $D=8
+M43 VDD 663 665 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=2165 $Y=190595 $D=8
+M44 VDD 196 197 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=2165 $Y=191875 $D=8
+M45 194 195 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=3305 $Y=154595 $D=8
+M46 650 652 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=3305 $Y=155875 $D=8
+M47 651 653 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=3305 $Y=163595 $D=8
+M48 654 656 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=3305 $Y=164875 $D=8
+M49 655 657 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=3305 $Y=172595 $D=8
+M50 658 660 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=3305 $Y=173875 $D=8
+M51 659 661 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=3305 $Y=181595 $D=8
+M52 662 664 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=3305 $Y=182875 $D=8
+M53 663 665 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=3305 $Y=190595 $D=8
+M54 196 197 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=3305 $Y=191875 $D=8
+M55 VDD 323 325 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=8165 $Y=154595 $D=8
+M56 VDD 541 540 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=8165 $Y=155875 $D=8
+M57 VDD 549 548 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=8165 $Y=190595 $D=8
+M58 VDD 243 245 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=8165 $Y=191875 $D=8
+M59 323 325 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=9305 $Y=154595 $D=8
+M60 541 540 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=9305 $Y=155875 $D=8
+M61 549 548 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=9305 $Y=190595 $D=8
+M62 243 245 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=9305 $Y=191875 $D=8
+M63 636 ypass[7] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=9160 $Y=87735 $D=8
+M64 VDD 319 321 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=11165 $Y=154595 $D=8
+M65 VDD 543 542 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=11165 $Y=155875 $D=8
+M66 VDD 551 550 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=11165 $Y=190595 $D=8
+M67 VDD 239 241 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=11165 $Y=191875 $D=8
+M68 319 321 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=12305 $Y=154595 $D=8
+M69 543 542 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=12305 $Y=155875 $D=8
+M70 551 550 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=12305 $Y=190595 $D=8
+M71 239 241 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=12305 $Y=191875 $D=8
+M72 637 ypass[6] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=11690 $Y=87735 $D=8
+M73 VDD 315 317 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=14165 $Y=154595 $D=8
+M74 VDD 545 544 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=14165 $Y=155875 $D=8
+M75 VDD 553 552 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=14165 $Y=190595 $D=8
+M76 VDD 235 237 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=14165 $Y=191875 $D=8
+M77 315 317 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=15305 $Y=154595 $D=8
+M78 545 544 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=15305 $Y=155875 $D=8
+M79 553 552 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=15305 $Y=190595 $D=8
+M80 235 237 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=15305 $Y=191875 $D=8
+M81 638 ypass[5] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=15355 $Y=87735 $D=8
+M82 VDD 311 313 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=17165 $Y=154595 $D=8
+M83 VDD 547 546 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=17165 $Y=155875 $D=8
+M84 VDD 555 554 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=17165 $Y=190595 $D=8
+M85 VDD 231 233 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=17165 $Y=191875 $D=8
+M86 311 313 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=18305 $Y=154595 $D=8
+M87 547 546 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=18305 $Y=155875 $D=8
+M88 555 554 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=18305 $Y=190595 $D=8
+M89 231 233 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=18305 $Y=191875 $D=8
+M90 639 ypass[4] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=17885 $Y=87735 $D=8
+M91 VDD 203 205 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=20165 $Y=154595 $D=8
+M92 VDD 557 556 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=20165 $Y=155875 $D=8
+M93 VDD 565 564 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=20165 $Y=190595 $D=8
+M94 VDD 259 261 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=20165 $Y=191875 $D=8
+M95 203 205 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=21305 $Y=154595 $D=8
+M96 557 556 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=21305 $Y=155875 $D=8
+M97 565 564 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=21305 $Y=190595 $D=8
+M98 259 261 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=21305 $Y=191875 $D=8
+M99 640 ypass[3] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=21545 $Y=87735 $D=8
+M100 VDD 199 201 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=23165 $Y=154595 $D=8
+M101 VDD 559 558 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=23165 $Y=155875 $D=8
+M102 VDD 567 566 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=23165 $Y=190595 $D=8
+M103 VDD 255 257 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=23165 $Y=191875 $D=8
+M104 199 201 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=24305 $Y=154595 $D=8
+M105 559 558 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=24305 $Y=155875 $D=8
+M106 567 566 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=24305 $Y=190595 $D=8
+M107 255 257 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=24305 $Y=191875 $D=8
+M108 641 ypass[2] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=24075 $Y=87735 $D=8
+M109 VDD 211 213 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=26165 $Y=154595 $D=8
+M110 VDD 561 560 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=26165 $Y=155875 $D=8
+M111 VDD 569 568 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=26165 $Y=190595 $D=8
+M112 VDD 251 253 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=26165 $Y=191875 $D=8
+M113 211 213 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=27305 $Y=154595 $D=8
+M114 561 560 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=27305 $Y=155875 $D=8
+M115 569 568 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=27305 $Y=190595 $D=8
+M116 251 253 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=27305 $Y=191875 $D=8
+M117 642 ypass[1] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=27740 $Y=87735 $D=8
+M118 VDD 207 209 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=29165 $Y=154595 $D=8
+M119 VDD 563 562 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=29165 $Y=155875 $D=8
+M120 VDD 571 570 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=29165 $Y=190595 $D=8
+M121 VDD 247 249 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=29165 $Y=191875 $D=8
+M122 207 209 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=30305 $Y=154595 $D=8
+M123 563 562 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=30305 $Y=155875 $D=8
+M124 571 570 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=30305 $Y=190595 $D=8
+M125 247 249 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=30305 $Y=191875 $D=8
+M126 460 ypass[0] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=30270 $Y=87735 $D=8
+M127 VDD 339 341 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=35165 $Y=154595 $D=8
+M128 VDD 468 469 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=35165 $Y=155875 $D=8
+M129 VDD 476 477 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=35165 $Y=190595 $D=8
+M130 VDD 355 357 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=35165 $Y=191875 $D=8
+M131 458 ypass[7] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=33945 $Y=87735 $D=8
+M132 339 341 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=36305 $Y=154595 $D=8
+M133 468 469 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=36305 $Y=155875 $D=8
+M134 476 477 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=36305 $Y=190595 $D=8
+M135 355 357 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=36305 $Y=191875 $D=8
+M136 532 ypass[6] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=36470 $Y=87735 $D=8
+M137 VDD 335 337 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=38165 $Y=154595 $D=8
+M138 VDD 466 467 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=38165 $Y=155875 $D=8
+M139 VDD 474 475 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=38165 $Y=190595 $D=8
+M140 VDD 351 353 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=38165 $Y=191875 $D=8
+M141 335 337 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=39305 $Y=154595 $D=8
+M142 466 467 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=39305 $Y=155875 $D=8
+M143 474 475 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=39305 $Y=190595 $D=8
+M144 351 353 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=39305 $Y=191875 $D=8
+M145 VDD 331 333 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=41165 $Y=154595 $D=8
+M146 VDD 464 465 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=41165 $Y=155875 $D=8
+M147 VDD 472 473 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=41165 $Y=190595 $D=8
+M148 VDD 347 349 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=41165 $Y=191875 $D=8
+M149 531 ypass[5] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=40135 $Y=87735 $D=8
+M150 331 333 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=42305 $Y=154595 $D=8
+M151 464 465 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=42305 $Y=155875 $D=8
+M152 472 473 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=42305 $Y=190595 $D=8
+M153 347 349 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=42305 $Y=191875 $D=8
+M154 530 ypass[4] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=42665 $Y=87735 $D=8
+M155 VDD 327 329 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=44165 $Y=154595 $D=8
+M156 VDD 462 463 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=44165 $Y=155875 $D=8
+M157 VDD 470 471 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=44165 $Y=190595 $D=8
+M158 VDD 343 345 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=44165 $Y=191875 $D=8
+M159 327 329 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=45305 $Y=154595 $D=8
+M160 462 463 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=45305 $Y=155875 $D=8
+M161 470 471 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=45305 $Y=190595 $D=8
+M162 343 345 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=45305 $Y=191875 $D=8
+M163 VDD 275 277 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=47165 $Y=154595 $D=8
+M164 VDD 484 485 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=47165 $Y=155875 $D=8
+M165 VDD 492 493 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=47165 $Y=190595 $D=8
+M166 VDD 291 293 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=47165 $Y=191875 $D=8
+M167 529 ypass[3] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=46325 $Y=87735 $D=8
+M168 275 277 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=48305 $Y=154595 $D=8
+M169 484 485 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=48305 $Y=155875 $D=8
+M170 492 493 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=48305 $Y=190595 $D=8
+M171 291 293 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=48305 $Y=191875 $D=8
+M172 528 ypass[2] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=48855 $Y=87735 $D=8
+M173 VDD 271 273 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=50165 $Y=154595 $D=8
+M174 VDD 482 483 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=50165 $Y=155875 $D=8
+M175 VDD 490 491 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=50165 $Y=190595 $D=8
+M176 VDD 287 289 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=50165 $Y=191875 $D=8
+M177 271 273 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=51305 $Y=154595 $D=8
+M178 482 483 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=51305 $Y=155875 $D=8
+M179 490 491 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=51305 $Y=190595 $D=8
+M180 287 289 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=51305 $Y=191875 $D=8
+M181 VDD 267 269 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=53165 $Y=154595 $D=8
+M182 VDD 480 481 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=53165 $Y=155875 $D=8
+M183 VDD 488 489 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=53165 $Y=190595 $D=8
+M184 VDD 283 285 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=53165 $Y=191875 $D=8
+M185 527 ypass[1] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=52520 $Y=87735 $D=8
+M186 267 269 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=54305 $Y=154595 $D=8
+M187 480 481 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=54305 $Y=155875 $D=8
+M188 488 489 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=54305 $Y=190595 $D=8
+M189 283 285 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=54305 $Y=191875 $D=8
+M190 VDD 263 265 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=56165 $Y=154595 $D=8
+M191 VDD 478 479 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=56165 $Y=155875 $D=8
+M192 VDD 486 487 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=56165 $Y=190595 $D=8
+M193 VDD 279 281 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=56165 $Y=191875 $D=8
+M194 526 ypass[0] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=55050 $Y=87735 $D=8
+M195 263 265 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=57305 $Y=154595 $D=8
+M196 478 479 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=57305 $Y=155875 $D=8
+M197 486 487 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=57305 $Y=190595 $D=8
+M198 279 281 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=57305 $Y=191875 $D=8
+M199 VDD 371 373 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=62165 $Y=154595 $D=8
+M200 VDD 573 572 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=62165 $Y=155875 $D=8
+M201 VDD 581 580 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=62165 $Y=190595 $D=8
+M202 VDD 610 611 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=62165 $Y=191875 $D=8
+M203 371 373 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=63305 $Y=154595 $D=8
+M204 573 572 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=63305 $Y=155875 $D=8
+M205 581 580 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=63305 $Y=190595 $D=8
+M206 610 611 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=63305 $Y=191875 $D=8
+M207 643 ypass[7] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=63160 $Y=87735 $D=8
+M208 VDD 367 369 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=65165 $Y=154595 $D=8
+M209 VDD 575 574 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=65165 $Y=155875 $D=8
+M210 VDD 583 582 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=65165 $Y=190595 $D=8
+M211 VDD 608 609 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=65165 $Y=191875 $D=8
+M212 367 369 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=66305 $Y=154595 $D=8
+M213 575 574 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=66305 $Y=155875 $D=8
+M214 583 582 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=66305 $Y=190595 $D=8
+M215 608 609 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=66305 $Y=191875 $D=8
+M216 644 ypass[6] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=65690 $Y=87735 $D=8
+M217 VDD 363 365 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=68165 $Y=154595 $D=8
+M218 VDD 577 576 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=68165 $Y=155875 $D=8
+M219 VDD 585 584 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=68165 $Y=190595 $D=8
+M220 VDD 606 607 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=68165 $Y=191875 $D=8
+M221 363 365 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=69305 $Y=154595 $D=8
+M222 577 576 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=69305 $Y=155875 $D=8
+M223 585 584 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=69305 $Y=190595 $D=8
+M224 606 607 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=69305 $Y=191875 $D=8
+M225 645 ypass[5] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=69355 $Y=87735 $D=8
+M226 VDD 359 361 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=71165 $Y=154595 $D=8
+M227 VDD 579 578 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=71165 $Y=155875 $D=8
+M228 VDD 587 586 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=71165 $Y=190595 $D=8
+M229 VDD 604 605 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=71165 $Y=191875 $D=8
+M230 359 361 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=72305 $Y=154595 $D=8
+M231 579 578 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=72305 $Y=155875 $D=8
+M232 587 586 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=72305 $Y=190595 $D=8
+M233 604 605 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=72305 $Y=191875 $D=8
+M234 646 ypass[4] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=71885 $Y=87735 $D=8
+M235 VDD 219 221 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=74165 $Y=154595 $D=8
+M236 VDD 589 588 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=74165 $Y=155875 $D=8
+M237 VDD 597 596 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=74165 $Y=190595 $D=8
+M238 VDD 618 619 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=74165 $Y=191875 $D=8
+M239 219 221 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=75305 $Y=154595 $D=8
+M240 589 588 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=75305 $Y=155875 $D=8
+M241 597 596 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=75305 $Y=190595 $D=8
+M242 618 619 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=75305 $Y=191875 $D=8
+M243 647 ypass[3] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=75545 $Y=87735 $D=8
+M244 VDD 215 217 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=77165 $Y=154595 $D=8
+M245 VDD 591 590 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=77165 $Y=155875 $D=8
+M246 VDD 599 598 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=77165 $Y=190595 $D=8
+M247 VDD 616 617 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=77165 $Y=191875 $D=8
+M248 215 217 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=78305 $Y=154595 $D=8
+M249 591 590 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=78305 $Y=155875 $D=8
+M250 599 598 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=78305 $Y=190595 $D=8
+M251 616 617 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=78305 $Y=191875 $D=8
+M252 648 ypass[2] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=78075 $Y=87735 $D=8
+M253 VDD 227 229 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=80165 $Y=154595 $D=8
+M254 VDD 593 592 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=80165 $Y=155875 $D=8
+M255 VDD 601 600 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=80165 $Y=190595 $D=8
+M256 VDD 614 615 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=80165 $Y=191875 $D=8
+M257 227 229 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=81305 $Y=154595 $D=8
+M258 593 592 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=81305 $Y=155875 $D=8
+M259 601 600 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=81305 $Y=190595 $D=8
+M260 614 615 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=81305 $Y=191875 $D=8
+M261 649 ypass[1] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=81740 $Y=87735 $D=8
+M262 VDD 223 225 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=83165 $Y=154595 $D=8
+M263 VDD 595 594 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=83165 $Y=155875 $D=8
+M264 VDD 603 602 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=83165 $Y=190595 $D=8
+M265 VDD 612 613 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=83165 $Y=191875 $D=8
+M266 223 225 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=84305 $Y=154595 $D=8
+M267 595 594 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=84305 $Y=155875 $D=8
+M268 603 602 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=84305 $Y=190595 $D=8
+M269 612 613 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=84305 $Y=191875 $D=8
+M270 461 ypass[0] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=84270 $Y=87735 $D=8
+M271 VDD 387 389 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=89165 $Y=154595 $D=8
+M272 VDD 500 501 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=89165 $Y=155875 $D=8
+M273 VDD 508 509 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=89165 $Y=190595 $D=8
+M274 VDD 626 627 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=89165 $Y=191875 $D=8
+M275 459 ypass[7] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=87945 $Y=87735 $D=8
+M276 387 389 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=90305 $Y=154595 $D=8
+M277 500 501 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=90305 $Y=155875 $D=8
+M278 508 509 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=90305 $Y=190595 $D=8
+M279 626 627 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=90305 $Y=191875 $D=8
+M280 539 ypass[6] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=90470 $Y=87735 $D=8
+M281 VDD 383 385 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=92165 $Y=154595 $D=8
+M282 VDD 498 499 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=92165 $Y=155875 $D=8
+M283 VDD 506 507 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=92165 $Y=190595 $D=8
+M284 VDD 624 625 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=92165 $Y=191875 $D=8
+M285 383 385 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=93305 $Y=154595 $D=8
+M286 498 499 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=93305 $Y=155875 $D=8
+M287 506 507 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=93305 $Y=190595 $D=8
+M288 624 625 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=93305 $Y=191875 $D=8
+M289 VDD 379 381 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=95165 $Y=154595 $D=8
+M290 VDD 496 497 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=95165 $Y=155875 $D=8
+M291 VDD 504 505 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=95165 $Y=190595 $D=8
+M292 VDD 622 623 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=95165 $Y=191875 $D=8
+M293 538 ypass[5] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=94135 $Y=87735 $D=8
+M294 379 381 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=96305 $Y=154595 $D=8
+M295 496 497 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=96305 $Y=155875 $D=8
+M296 504 505 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=96305 $Y=190595 $D=8
+M297 622 623 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=96305 $Y=191875 $D=8
+M298 537 ypass[4] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=96665 $Y=87735 $D=8
+M299 VDD 375 377 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=98165 $Y=154595 $D=8
+M300 VDD 494 495 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=98165 $Y=155875 $D=8
+M301 VDD 502 503 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=98165 $Y=190595 $D=8
+M302 VDD 620 621 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=98165 $Y=191875 $D=8
+M303 375 377 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=99305 $Y=154595 $D=8
+M304 494 495 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=99305 $Y=155875 $D=8
+M305 502 503 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=99305 $Y=190595 $D=8
+M306 620 621 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=99305 $Y=191875 $D=8
+M307 VDD 307 309 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=101165 $Y=154595 $D=8
+M308 VDD 516 517 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=101165 $Y=155875 $D=8
+M309 VDD 524 525 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=101165 $Y=190595 $D=8
+M310 VDD 634 635 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=101165 $Y=191875 $D=8
+M311 536 ypass[3] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=100325 $Y=87735 $D=8
+M312 307 309 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=102305 $Y=154595 $D=8
+M313 516 517 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=102305 $Y=155875 $D=8
+M314 524 525 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=102305 $Y=190595 $D=8
+M315 634 635 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=102305 $Y=191875 $D=8
+M316 535 ypass[2] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=102855 $Y=87735 $D=8
+M317 VDD 303 305 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=104165 $Y=154595 $D=8
+M318 VDD 514 515 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=104165 $Y=155875 $D=8
+M319 VDD 522 523 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=104165 $Y=190595 $D=8
+M320 VDD 632 633 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=104165 $Y=191875 $D=8
+M321 303 305 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=105305 $Y=154595 $D=8
+M322 514 515 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=105305 $Y=155875 $D=8
+M323 522 523 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=105305 $Y=190595 $D=8
+M324 632 633 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=105305 $Y=191875 $D=8
+M325 VDD 299 301 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=107165 $Y=154595 $D=8
+M326 VDD 512 513 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=107165 $Y=155875 $D=8
+M327 VDD 520 521 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=107165 $Y=190595 $D=8
+M328 VDD 630 631 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=107165 $Y=191875 $D=8
+M329 534 ypass[1] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=106520 $Y=87735 $D=8
+M330 299 301 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=108305 $Y=154595 $D=8
+M331 512 513 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=108305 $Y=155875 $D=8
+M332 520 521 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=108305 $Y=190595 $D=8
+M333 630 631 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=108305 $Y=191875 $D=8
+M334 VDD 295 297 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=110165 $Y=154595 $D=8
+M335 VDD 510 511 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=110165 $Y=155875 $D=8
+M336 VDD 518 519 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=110165 $Y=190595 $D=8
+M337 VDD 628 629 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=110165 $Y=191875 $D=8
+M338 533 ypass[0] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=109050 $Y=87735 $D=8
+M339 295 297 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=111305 $Y=154595 $D=8
+M340 510 511 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=111305 $Y=155875 $D=8
+M341 518 519 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=111305 $Y=190595 $D=8
+M342 628 629 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=111305 $Y=191875 $D=8
+M343 VDD VSS VDD VDD pmos_5p0 L=2.365e-06 W=8.19e-05 AD=0 AS=6.1309e-11 PD=0 PS=0.000217698 NRD=0 NRS=11.8457 m=1 nf=36 $X=1805 $Y=145970 $D=8
+M344 61 pcb[4] VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=6.35965e-12 PD=7.86e-06 PS=1.737e-05 NRD=0.152493 NRS=0.546921 m=1 nf=2 $X=116100 $Y=135875 $D=8
+M345 62 pcb[4] VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=6.35965e-12 PD=7.86e-06 PS=1.737e-05 NRD=0.152493 NRS=0.546921 m=1 nf=2 $X=116100 $Y=139750 $D=8
+M346 55 61 VDD VDD pmos_5p0 L=6e-07 W=7.02e-06 AD=1.8252e-12 AS=3.0888e-12 PD=8.06e-06 PS=1.58e-05 NRD=0.148148 NRS=0.250712 m=1 nf=2 $X=116215 $Y=72345 $D=8
+M347 tblhl 55 VDD VDD pmos_5p0 L=6e-07 W=2.128e-05 AD=5.5328e-12 AS=9.3632e-12 PD=2.232e-05 PS=4.432e-05 NRD=0.0488722 NRS=0.0827068 m=1 nf=2 $X=116235 $Y=41975 $D=8
+M348 63 VDD VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=2.33887e-12 PD=4.29e-06 PS=9.09e-06 NRD=0.444444 NRS=1.06061 m=1 nf=2 $X=116315 $Y=87735 $D=8
+X349 61 61 VDD VSS nmos_5p0_I13 $T=117260 108370 0 180 $X=115980 $Y=100940
+X350 62 62 VDD VSS nmos_5p0_I13 $T=117260 118390 0 180 $X=115980 $Y=110960
+X352 61 61 63 VDD pmos_5p0_I01 $T=117260 99095 0 180 $X=115620 $Y=91665
+X353 62 62 63 VDD pmos_5p0_I01 $T=117260 126845 0 180 $X=115620 $Y=119415
+X354 62 61 pcb[4] VDD pmos_5p0_I01 $T=117270 134780 0 180 $X=115630 $Y=127350
+X355 VSS VDD 446 447 448 449 ICV_1 $T=115535 187035 0 0 $X=115195 $Y=186695
+X356 61 62 VSS VDD 450 451 452 453 ICV_2 $T=115535 151035 0 0 $X=115195 $Y=150695
+X357 61 62 VSS VDD 454 455 456 457 ICV_2 $T=115535 169035 0 0 $X=115195 $Y=168695
+X368 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] 390 391 392 393 394 395 9 8 462 463
++ 464 465 466 467 468 469 470 471 472 473 474 475 476 477
++ ICV_6 $T=37535 155535 1 180 $X=34195 $Y=155195
+X369 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] 396 397 398 399 400 401 402 403 478 479
++ 480 481 482 483 484 485 486 487 488 489 490 491 492 493
++ ICV_6 $T=49535 155535 1 180 $X=46195 $Y=155195
+X370 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] 404 405 406 407 408 409 13 12 494 495
++ 496 497 498 499 500 501 502 503 504 505 506 507 508 509
++ ICV_6 $T=91535 155535 1 180 $X=88195 $Y=155195
+X371 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] 410 411 412 413 414 415 416 417 510 511
++ 512 513 514 515 516 517 518 519 520 521 522 523 524 525
++ ICV_6 $T=103535 155535 1 180 $X=100195 $Y=155195
+X372 VSS VSS 198 199 200 201 202 203 204 205 ICV_7 $T=22535 151035 1 180 $X=19195 $Y=150695
+X373 VSS VSS 206 207 208 209 210 211 212 213 ICV_7 $T=28535 151035 1 180 $X=25195 $Y=150695
+X374 VSS VSS 214 215 216 217 218 219 220 221 ICV_7 $T=76535 151035 1 180 $X=73195 $Y=150695
+X375 VSS VSS 222 223 224 225 226 227 228 229 ICV_7 $T=82535 151035 1 180 $X=79195 $Y=150695
+X376 VSS DWL 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 ICV_8 $T=10535 196035 0 180 $X=7195 $Y=191195
+X377 VSS DWL 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 ICV_8 $T=22535 196035 0 180 $X=19195 $Y=191195
+X378 VSS VSS 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 ICV_8 $T=49535 151035 1 180 $X=46195 $Y=150695
+X379 VSS DWL 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 ICV_8 $T=49535 196035 0 180 $X=46195 $Y=191195
+X380 VSS VSS 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 ICV_8 $T=103535 151035 1 180 $X=100195 $Y=150695
+X395 VSS VSS 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 ICV_13 $T=7535 151035 1 180 $X=4195 $Y=150695
+X396 VSS VSS 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 ICV_13 $T=34535 151035 1 180 $X=31195 $Y=150695
+X397 VSS DWL 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 ICV_13 $T=34535 196035 0 180 $X=31195 $Y=191195
+X398 VSS VSS 358 359 360 361 362 363 364 365 366 367 368 369 370 371 372 373 ICV_13 $T=61535 151035 1 180 $X=58195 $Y=150695
+X399 VSS VSS 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388 389 ICV_13 $T=88535 151035 1 180 $X=85195 $Y=150695
+X400 153 VSS q[5] pcb[6] din[5] men VDD 8 9 WEN[6] 396 397 398 399 400 401 402 403 390 391
++ 392 393 394 395 458 ypass[0] ypass[1] ypass[2] GWE ypass[3] ypass[4] ypass[5] ypass[6] ypass[7] GWEN 526 527 528 529 530
++ 531 532
++ saout_R_m2 $T=60970 30 1 180 $X=22805 $Y=-18280
+X401 154 VSS q[7] pcb[4] din[7] men VDD 12 13 WEN[4] 410 411 412 413 414 415 416 417 404 405
++ 406 407 408 409 459 ypass[0] ypass[1] ypass[2] GWE ypass[3] ypass[4] ypass[5] ypass[6] ypass[7] GWEN 533 534 535 536 537
++ 538 539
++ saout_R_m2 $T=114970 30 1 180 $X=76805 $Y=-18280
+X402 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] 437 436 435 434 433 432 431 430 540 541
++ 542 543 544 545 546 547 548 549 550 551 552 553 554 555
++ ICV_17 $T=7535 155535 0 0 $X=7195 $Y=155195
+X403 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] 421 420 419 418 423 422 17 16 556 557
++ 558 559 560 561 562 563 564 565 566 567 568 569 570 571
++ ICV_17 $T=19535 155535 0 0 $X=19195 $Y=155195
+X404 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] 445 444 443 442 441 440 439 438 572 573
++ 574 575 576 577 578 579 580 581 582 583 584 585 586 587
++ ICV_17 $T=61535 155535 0 0 $X=61195 $Y=155195
+X405 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] 427 426 425 424 429 428 21 20 588 589
++ 590 591 592 593 594 595 596 597 598 599 600 601 602 603
++ ICV_17 $T=73535 155535 0 0 $X=73195 $Y=155195
+X406 VSS DWL 604 605 606 607 608 609 610 611 612 613 614 615 616 617 618 619 620 621
++ 622 623 624 625 626 627 628 629 630 631 632 633 634 635
++ new_dummyrow_unit $T=61225 196920 1 0 $X=61195 $Y=191195
+X407 155 VSS q[4] din[4] pcb[7] men VDD 16 17 WEN[7] 437 436 435 434 433 432 431 430 421 420
++ 419 418 423 422 460 ypass[7] ypass[6] ypass[5] GWE ypass[4] ypass[3] ypass[2] ypass[1] ypass[0] GWEN 636 637 638 639 640
++ 641 642
++ saout_m2 $T=5100 -5 0 0 $X=3755 $Y=-17780
+X408 156 VSS q[6] din[6] pcb[5] men VDD 20 21 WEN[5] 445 444 443 442 441 440 439 438 427 426
++ 425 424 429 428 461 ypass[7] ypass[6] ypass[5] GWE ypass[4] ypass[3] ypass[2] ypass[1] ypass[0] GWEN 643 644 645 646 647
++ 648 649
++ saout_m2 $T=59100 -5 0 0 $X=57755 $Y=-17780
+X409 VSS 82 83 650 651 652 653 ICV_18 $T=4535 155535 1 180 $X=1195 $Y=155195
+X410 VSS 82 83 654 655 656 657 ICV_18 $T=4535 164535 1 180 $X=1195 $Y=164195
+X411 VSS 82 83 658 659 660 661 ICV_18 $T=4535 173535 1 180 $X=1195 $Y=173195
+X412 VSS 82 83 662 663 664 665 ICV_18 $T=4535 182535 1 180 $X=1195 $Y=182195
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I01
+** N=666 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47815724
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_19
+** N=4 EP=0 IP=8 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$48624684
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I02
+** N=1201 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I09 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=1.2e-06 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I15 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=1.2e-06 W=9e-07 AD=3.96e-13 AS=3.96e-13 PD=2.68e-06 PS=2.68e-06 NRD=0.488889 NRS=0.488889 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47342636
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47512620
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47513644
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I21
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47514668
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xpredec1_xa
+** N=29 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47330348_161 1 2 3 4
+** N=4 EP=4 IP=4 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT alatch vss ab a vdd enb en
+** N=16 EP=6 IP=24 FDC=8
+M0 ab 11 vss vss nmos_5p0 L=6e-07 W=3.64e-06 AD=9.464e-13 AS=1.6016e-12 PD=4.68e-06 PS=9.04e-06 NRD=0.285714 NRS=0.483516 m=1 nf=2 $X=2590 $Y=1475 $D=2
+M1 vss ab 12 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=3710 $Y=12935 $D=2
+M2 a en 11 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=6280 $Y=1020 $D=2
+M3 12 enb 11 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=6280 $Y=12935 $D=2
+M4 ab 11 vdd vdd pmos_5p0 L=6e-07 W=9.08e-06 AD=2.3608e-12 AS=3.9952e-12 PD=1.012e-05 PS=1.992e-05 NRD=0.114537 NRS=0.193833 m=1 nf=2 $X=2590 $Y=4695 $D=8
+M5 a enb 11 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=6280 $Y=5895 $D=8
+X10 12 vdd ab vdd pmos_1p2$$47330348_161 $T=3865 11540 1 0 $X=2435 $Y=9910
+X11 11 12 en vdd pmos_1p2$$47330348_161 $T=6435 11540 1 0 $X=5005 $Y=9910
+.ENDS
+***************************************
+.SUBCKT M1_PSUB$$47335468
+** N=8 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47337516 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.633e-05 AD=7.1852e-12 AS=7.1852e-12 PD=3.354e-05 PS=3.354e-05 NRD=0.0269443 NRS=0.0269443 m=1 nf=1 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47336492 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=6e-07 W=6.58e-06 AD=2.8952e-12 AS=2.8952e-12 PD=1.404e-05 PS=1.404e-05 NRD=0.0668693 NRS=0.0668693 m=1 nf=1 $X=-155 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT xpredec1_bot 1 2 3 10 11 12 13
+** N=32 EP=7 IP=19 FDC=12
+X0 1 32 11 10 13 12 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+X2 10 2 32 pmos_1p2$$47337516 $T=3910 18340 0 0 $X=2480 $Y=17635
+X3 10 3 2 pmos_1p2$$47337516 $T=6480 18340 0 0 $X=5050 $Y=17635
+X4 1 2 32 nmos_1p2$$47336492 $T=3910 36070 0 0 $X=2765 $Y=35385
+X5 1 3 2 nmos_1p2$$47336492 $T=6480 36070 0 0 $X=5335 $Y=35385
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I19
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47109164 1 2 3
+** N=4 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=3.42e-06 AD=8.892e-13 AS=1.5048e-12 PD=4.46e-06 PS=8.6e-06 NRD=0.304094 NRS=0.51462 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT xpredec1 vss men vdd clk A[2] A[1] A[0] x[7] x[6] x[5] x[4] x[3] x[2] x[1] x[0]
+** N=91 EP=15 IP=199 FDC=108
+M0 77 18 51 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=1700 $Y=2310 $D=2
+M1 76 19 77 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2820 $Y=2310 $D=2
+M2 vss 20 76 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=3940 $Y=2310 $D=2
+M3 vss 51 x[7] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=1700 $Y=48000 $D=2
+M4 78 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=5060 $Y=2310 $D=2
+M5 79 19 78 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=6180 $Y=2310 $D=2
+M6 54 18 79 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=7300 $Y=2310 $D=2
+M7 x[6] 54 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=5060 $Y=48000 $D=2
+M8 81 18 57 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=9870 $Y=2310 $D=2
+M9 80 22 81 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=10990 $Y=2310 $D=2
+M10 vss 20 80 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12110 $Y=2310 $D=2
+M11 vss 57 x[5] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=9870 $Y=48000 $D=2
+M12 82 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13230 $Y=2310 $D=2
+M13 83 22 82 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14350 $Y=2310 $D=2
+M14 60 18 83 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=15470 $Y=2310 $D=2
+M15 x[4] 60 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=13230 $Y=48000 $D=2
+M16 85 23 63 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=18035 $Y=2310 $D=2
+M17 84 19 85 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=19155 $Y=2310 $D=2
+M18 vss 20 84 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20275 $Y=2310 $D=2
+M19 vss 63 x[3] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=18035 $Y=48000 $D=2
+M20 86 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=21395 $Y=2310 $D=2
+M21 87 19 86 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=22515 $Y=2310 $D=2
+M22 66 23 87 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=23635 $Y=2310 $D=2
+M23 x[2] 66 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=21395 $Y=48000 $D=2
+M24 89 23 69 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=3.2435e-12 AS=7.36025e-12 PD=1.2995e-05 PS=2.613e-05 NRD=0.0208417 NRS=0.0472946 m=1 nf=1 $X=26205 $Y=2310 $D=2
+M25 88 22 89 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=27325 $Y=2310 $D=2
+M26 vss 20 88 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=28445 $Y=2310 $D=2
+M27 vss 69 x[1] vss nmos_5p0 L=6e-07 W=1.362e-05 AD=3.5412e-12 AS=4.3584e-12 PD=1.518e-05 PS=2.008e-05 NRD=0.171806 NRS=0.211454 m=1 nf=3 $X=26205 $Y=48000 $D=2
+M28 90 21 vss vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=29565 $Y=2310 $D=2
+M29 91 22 90 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=30685 $Y=2310 $D=2
+M30 72 23 91 vss nmos_5p0 L=6e-07 W=1.2475e-05 AD=7.36025e-12 AS=3.2435e-12 PD=2.613e-05 PS=1.2995e-05 NRD=0.0472946 NRS=0.0208417 m=1 nf=1 $X=31805 $Y=2310 $D=2
+M31 x[0] 72 vss vss nmos_5p0 L=6e-07 W=1.362e-05 AD=4.3584e-12 AS=3.5412e-12 PD=2.008e-05 PS=1.518e-05 NRD=0.211454 NRS=0.171806 m=1 nf=3 $X=29565 $Y=48000 $D=2
+M32 17 men vss vss nmos_5p0 L=6e-07 W=1.91e-06 AD=4.966e-13 AS=8.404e-13 PD=2.43e-06 PS=4.7e-06 NRD=0.136126 NRS=0.230366 m=1 nf=1 $X=37165 $Y=51200 $D=2
+M33 vss clk 17 vss nmos_5p0 L=6e-07 W=1.91e-06 AD=8.404e-13 AS=4.966e-13 PD=4.7e-06 PS=2.43e-06 NRD=0.230366 NRS=0.136126 m=1 nf=1 $X=38285 $Y=51200 $D=2
+M34 vss 17 16 vss nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=5.984e-13 PD=3.6e-06 PS=3.6e-06 NRD=0.323529 NRS=0.323529 m=1 nf=1 $X=45140 $Y=51180 $D=2
+M35 vdd 18 51 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=1700 $Y=21650 $D=8
+M36 51 19 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2820 $Y=21650 $D=8
+M37 vdd 20 51 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=3940 $Y=21650 $D=8
+M38 vdd 51 x[7] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=1700 $Y=35260 $D=8
+M39 54 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=5060 $Y=21650 $D=8
+M40 vdd 19 54 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=6180 $Y=21650 $D=8
+M41 54 18 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=7300 $Y=21650 $D=8
+M42 x[6] 54 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=5060 $Y=35260 $D=8
+M43 vdd 18 57 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=9870 $Y=21650 $D=8
+M44 57 22 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=10990 $Y=21650 $D=8
+M45 vdd 20 57 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=12110 $Y=21650 $D=8
+M46 vdd 57 x[5] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=9870 $Y=35260 $D=8
+M47 60 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=13230 $Y=21650 $D=8
+M48 vdd 22 60 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=14350 $Y=21650 $D=8
+M49 60 18 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=15470 $Y=21650 $D=8
+M50 x[4] 60 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=13230 $Y=35260 $D=8
+M51 vdd 23 63 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=18035 $Y=21650 $D=8
+M52 63 19 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=19155 $Y=21650 $D=8
+M53 vdd 20 63 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=20275 $Y=21650 $D=8
+M54 vdd 63 x[3] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=18035 $Y=35260 $D=8
+M55 66 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=21395 $Y=21650 $D=8
+M56 vdd 19 66 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=22515 $Y=21650 $D=8
+M57 66 23 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=23635 $Y=21650 $D=8
+M58 x[2] 66 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=21395 $Y=35260 $D=8
+M59 vdd 23 69 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=2.7118e-12 AS=4.5892e-12 PD=1.095e-05 PS=2.174e-05 NRD=0.0249281 NRS=0.042186 m=1 nf=1 $X=26205 $Y=21650 $D=8
+M60 69 22 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=27325 $Y=21650 $D=8
+M61 vdd 20 69 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=28445 $Y=21650 $D=8
+M62 vdd 69 x[1] vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=8.8452e-12 AS=1.08864e-11 PD=3.558e-05 PS=4.728e-05 NRD=0.0687831 NRS=0.0846561 m=1 nf=3 $X=26205 $Y=35260 $D=8
+M63 72 21 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=29565 $Y=21650 $D=8
+M64 vdd 22 72 vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=30685 $Y=21650 $D=8
+M65 72 23 vdd vdd pmos_5p0 L=6e-07 W=1.043e-05 AD=4.5892e-12 AS=2.7118e-12 PD=2.174e-05 PS=1.095e-05 NRD=0.042186 NRS=0.0249281 m=1 nf=1 $X=31805 $Y=21650 $D=8
+M66 x[0] 72 vdd vdd pmos_5p0 L=6e-07 W=3.402e-05 AD=1.08864e-11 AS=8.8452e-12 PD=4.728e-05 PS=3.558e-05 NRD=0.0846561 NRS=0.0687831 m=1 nf=3 $X=29565 $Y=35260 $D=8
+M67 74 men vdd vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=5.915e-13 AS=1.35362e-12 PD=2.795e-06 PS=5.74e-06 NRD=0.114286 NRS=0.261538 m=1 nf=1 $X=37165 $Y=47525 $D=8
+M68 17 clk 74 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=38285 $Y=47525 $D=8
+M69 75 clk 17 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=39405 $Y=47525 $D=8
+M70 vdd men 75 vdd pmos_5p0 L=6e-07 W=2.275e-06 AD=1.35362e-12 AS=5.915e-13 PD=5.74e-06 PS=2.795e-06 NRD=0.261538 NRS=0.114286 m=1 nf=1 $X=40525 $Y=47525 $D=8
+X80 vss 18 23 vdd A[2] 17 16 xpredec1_bot $T=34205 3160 0 0 $X=33675 $Y=-5
+X81 vss 19 22 vdd A[1] 17 16 xpredec1_bot $T=42655 3160 0 0 $X=42125 $Y=-5
+X82 vss 20 21 vdd A[0] 17 16 xpredec1_bot $T=51110 3160 0 0 $X=50580 $Y=-5
+X85 vdd 16 17 pmos_1p2$$47109164 $T=44700 47595 0 0 $X=42105 $Y=46910
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE_I03
+** N=7 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I08 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 nmos_5p0 L=6e-07 W=1.92e-05 AD=4.992e-12 AS=5.6832e-12 PD=2.44e-05 PS=2.896e-05 NRD=1.35417 NRS=1.54167 m=1 nf=10 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I06 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=4.72e-05 AD=1.2272e-11 AS=1.39712e-11 PD=5.24e-05 PS=6.256e-05 NRD=0.550847 NRS=0.627119 m=1 nf=10 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT wen_v2 vss 2 vdd wen clk IGWEN GWE
+** N=50 EP=7 IP=93 FDC=30
+M0 vss wen 29 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=2545 $Y=1065 $D=2
+M1 12 wen vss vss nmos_5p0 L=6e-07 W=6e-06 AD=1.92e-12 AS=1.92e-12 PD=9.92e-06 PS=9.92e-06 NRD=0.48 NRS=0.48 m=1 nf=3 $X=1260 $Y=16070 $D=2
+M2 32 clk vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=3665 $Y=1065 $D=2
+M3 2 32 vss vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=5905 $Y=1475 $D=2
+M4 30 32 29 vss nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=8440 $Y=545 $D=2
+M5 34 2 30 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=10750 $Y=1860 $D=2
+M6 vss 35 34 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=11870 $Y=1860 $D=2
+M7 vss 30 35 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=14110 $Y=1860 $D=2
+M8 16 35 vss vss nmos_5p0 L=6e-07 W=2.4e-06 AD=6.24e-13 AS=1.056e-12 PD=3.44e-06 PS=6.56e-06 NRD=0.433333 NRS=0.733333 m=1 nf=2 $X=16465 $Y=1620 $D=2
+M9 16 2 31 vss nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=19750 $Y=545 $D=2
+M10 33 32 31 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=2.496e-13 AS=4.224e-13 PD=1.48e-06 PS=2.8e-06 NRD=0.270833 NRS=0.458333 m=1 nf=1 $X=23090 $Y=1240 $D=2
+M11 vss 20 33 vss nmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=2.496e-13 PD=2.8e-06 PS=1.48e-06 NRD=0.458333 NRS=0.270833 m=1 nf=1 $X=24210 $Y=1240 $D=2
+M12 20 31 vss vss nmos_5p0 L=6e-07 W=6.23e-06 AD=1.78e-12 AS=1.78e-12 PD=1.112e-05 PS=1.112e-05 NRD=2.24719 NRS=2.24719 m=1 nf=7 $X=26535 $Y=1905 $D=2
+M13 vdd wen 29 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=5.902e-13 AS=9.988e-13 PD=2.79e-06 PS=5.42e-06 NRD=0.114537 NRS=0.193833 m=1 nf=1 $X=2545 $Y=4215 $D=8
+M14 32 clk vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=5.902e-13 PD=5.42e-06 PS=2.79e-06 NRD=0.193833 NRS=0.114537 m=1 nf=1 $X=3665 $Y=4215 $D=8
+M15 2 32 vdd vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=5905 $Y=4215 $D=8
+M16 12 wen vdd vdd pmos_5p0 L=6e-07 W=1.488e-05 AD=3.8688e-12 AS=4.7616e-12 PD=1.8e-05 PS=2.368e-05 NRD=0.629032 NRS=0.774194 m=1 nf=6 $X=1260 $Y=9420 $D=8
+M17 30 2 29 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=1.17422e-12 AS=9.988e-13 PD=4.793e-06 PS=5.42e-06 NRD=0.227875 NRS=0.193833 m=1 nf=1 $X=8440 $Y=4215 $D=8
+M18 34 32 30 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.91897e-13 AS=-6.43897e-13 PD=-2.79573e-06 PS=-2.69573e-06 NRD=-0.750757 NRS=-0.698673 m=1 nf=1 $X=10180 $Y=4215 $D=8
+M19 vdd 35 34 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.14048e-12 PD=5.42e-06 PS=4.72272e-06 NRD=0.193833 NRS=0.221328 m=1 nf=1 $X=11870 $Y=4215 $D=8
+M20 vdd 30 35 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=14110 $Y=4215 $D=8
+M21 16 35 vdd vdd pmos_5p0 L=6e-07 W=5.68e-06 AD=1.4768e-12 AS=2.4992e-12 PD=6.72e-06 PS=1.312e-05 NRD=0.183099 NRS=0.309859 m=1 nf=2 $X=16465 $Y=4215 $D=8
+M22 16 32 31 vdd pmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=2.13253e-12 PD=5.58e-06 PS=1.01287e-05 NRD=0.229075 NRS=0.413851 m=1 nf=2 $X=19750 $Y=4215 $D=8
+M23 33 2 31 vdd pmos_5p0 L=6e-07 W=9.6e-07 AD=-6.59976e-13 AS=-6.40776e-13 PD=-2.72923e-06 PS=-2.68923e-06 NRD=-0.71612 NRS=-0.695287 m=1 nf=1 $X=22550 $Y=5525 $D=8
+M24 vdd 20 33 vdd pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=1.12024e-12 PD=5.42e-06 PS=4.68056e-06 NRD=0.193833 NRS=0.2174 m=1 nf=1 $X=24210 $Y=4215 $D=8
+M25 20 31 vdd vdd pmos_5p0 L=6e-07 W=1.54e-05 AD=4.4e-12 AS=4.4e-12 PD=2.16e-05 PS=2.16e-05 NRD=0.909091 NRS=0.909091 m=1 nf=7 $X=26535 $Y=4215 $D=8
+X48 vss IGWEN 12 nmos_5p0_I08 $T=10115 16070 0 0 $X=9435 $Y=15450
+X49 vss GWE 20 nmos_5p0_I08 $T=23345 16070 0 0 $X=22665 $Y=15450
+X50 vdd IGWEN 12 pmos_5p0_I06 $T=10115 9420 0 0 $X=9075 $Y=8800
+X51 vdd GWE 20 pmos_5p0_I06 $T=23345 9420 0 0 $X=22305 $Y=8800
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE_I01
+** N=38 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_1p2$$47641644
+** N=4 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I10
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xpredec0_xa 2 3 13 29 30
+** N=40 EP=5 IP=40 FDC=4
+*.SEEDPROM
+M0 40 29 2 3 nmos_5p0 L=6e-07 W=1.225e-05 AD=3.185e-12 AS=7.2275e-12 PD=1.277e-05 PS=2.568e-05 NRD=0.0212245 NRS=0.0481633 m=1 nf=1 $X=3255 $Y=2430 $D=2
+M1 3 30 40 3 nmos_5p0 L=6e-07 W=1.225e-05 AD=7.28875e-12 AS=3.185e-12 PD=2.569e-05 PS=1.277e-05 NRD=0.0485714 NRS=0.0212245 m=1 nf=1 $X=4375 $Y=2430 $D=2
+M2 2 29 13 13 pmos_5p0 L=6e-07 W=1.52e-05 AD=3.952e-12 AS=6.688e-12 PD=1.572e-05 PS=3.128e-05 NRD=0.0171053 NRS=0.0289474 m=1 nf=1 $X=3255 $Y=19540 $D=8
+M3 13 30 2 13 pmos_5p0 L=6e-07 W=1.52e-05 AD=6.688e-12 AS=3.952e-12 PD=3.128e-05 PS=1.572e-05 NRD=0.0289474 NRS=0.0171053 m=1 nf=1 $X=4375 $Y=19540 $D=8
+.ENDS
+***************************************
+.SUBCKT xpredec0_bot 1 2 3 8 9 10 11
+** N=33 EP=7 IP=7 FDC=12
+M0 2 33 1 1 nmos_5p0 L=6e-07 W=7.04e-06 AD=3.0976e-12 AS=3.0976e-12 PD=1.496e-05 PS=1.496e-05 NRD=0.0625 NRS=0.0625 m=1 nf=1 $X=3755 $Y=35615 $D=2
+M1 3 2 1 1 nmos_5p0 L=6e-07 W=5.22e-06 AD=2.2968e-12 AS=2.2968e-12 PD=1.132e-05 PS=1.132e-05 NRD=0.0842912 NRS=0.0842912 m=1 nf=1 $X=6325 $Y=36010 $D=2
+M2 2 33 8 8 pmos_5p0 L=6e-07 W=1.769e-05 AD=7.7836e-12 AS=7.7836e-12 PD=3.626e-05 PS=3.626e-05 NRD=0.0248728 NRS=0.0248728 m=1 nf=1 $X=3755 $Y=16320 $D=8
+M3 3 2 8 8 pmos_5p0 L=6e-07 W=1.316e-05 AD=5.7904e-12 AS=5.7904e-12 PD=2.72e-05 PS=2.72e-05 NRD=0.0334347 NRS=0.0334347 m=1 nf=1 $X=6325 $Y=20855 $D=8
+X4 1 33 9 8 11 10 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+.ENDS
+***************************************
+.SUBCKT xpredec0 vss vdd men clk A[1] A[0] x[3] x[2] x[1] x[0]
+** N=99 EP=10 IP=158 FDC=56
+M0 x[3] 90 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=5.5388e-12 PD=2.024e-05 PS=2.514e-05 NRD=0.229075 NRS=0.268722 m=1 nf=4 $X=260 $Y=50820 $D=2
+M1 x[2] 92 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=4.7216e-12 PD=2.024e-05 PS=2.024e-05 NRD=0.229075 NRS=0.229075 m=1 nf=4 $X=4740 $Y=50820 $D=2
+M2 x[1] 94 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=4.7216e-12 PD=2.024e-05 PS=2.024e-05 NRD=0.229075 NRS=0.229075 m=1 nf=4 $X=9220 $Y=50820 $D=2
+M3 x[0] 96 vss vss nmos_5p0 L=6e-07 W=1.816e-05 AD=4.7216e-12 AS=5.5388e-12 PD=2.024e-05 PS=2.514e-05 NRD=0.229075 NRS=0.268722 m=1 nf=4 $X=13700 $Y=50820 $D=2
+M4 17 men vss vss nmos_5p0 L=6e-07 W=1.37e-06 AD=3.562e-13 AS=6.028e-13 PD=1.89e-06 PS=3.62e-06 NRD=0.189781 NRS=0.321168 m=1 nf=1 $X=21630 $Y=51200 $D=2
+M5 vss clk 17 vss nmos_5p0 L=6e-07 W=1.37e-06 AD=6.028e-13 AS=3.562e-13 PD=3.62e-06 PS=1.89e-06 NRD=0.321168 NRS=0.189781 m=1 nf=1 $X=22750 $Y=51200 $D=2
+M6 x[3] 90 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.38348e-11 PD=4.744e-05 PS=5.914e-05 NRD=0.0917108 NRS=0.107584 m=1 nf=4 $X=260 $Y=38080 $D=8
+M7 x[2] 92 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.744e-05 PS=4.744e-05 NRD=0.0917108 NRS=0.0917108 m=1 nf=4 $X=4740 $Y=38080 $D=8
+M8 x[1] 94 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.744e-05 PS=4.744e-05 NRD=0.0917108 NRS=0.0917108 m=1 nf=4 $X=9220 $Y=38080 $D=8
+M9 x[0] 96 vdd vdd pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.38348e-11 PD=4.744e-05 PS=5.914e-05 NRD=0.0917108 NRS=0.107584 m=1 nf=4 $X=13700 $Y=38080 $D=8
+M10 98 men vdd vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=4.39037e-13 AS=1.01447e-12 PD=2.22e-06 PS=4.6e-06 NRD=0.151026 NRS=0.348974 m=1 nf=1 $X=21630 $Y=47525 $D=8
+M11 17 clk 98 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=8.525e-15 AS=-8.525e-15 PD=1e-08 PS=-1e-08 NRD=0.00293255 NRS=-0.00293255 m=1 nf=1 $X=22745 $Y=47525 $D=8
+M12 99 clk 17 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=-8.525e-15 AS=8.525e-15 PD=-1e-08 PS=1e-08 NRD=-0.00293255 NRS=0.00293255 m=1 nf=1 $X=23870 $Y=47525 $D=8
+M13 vdd men 99 vdd pmos_5p0 L=6e-07 W=1.705e-06 AD=1.01447e-12 AS=4.39037e-13 PD=4.6e-06 PS=2.22e-06 NRD=0.348974 NRS=0.151026 m=1 nf=1 $X=24985 $Y=47525 $D=8
+M14 18 17 vdd vdd pmos_5p0 L=6e-07 W=2.28e-06 AD=5.928e-13 AS=1.0032e-12 PD=3.32e-06 PS=6.32e-06 NRD=0.45614 NRS=0.77193 m=1 nf=2 $X=29010 $Y=47595 $D=8
+X17 18 vss 17 vss nmos_1p2$$46563372 $T=29755 51180 0 0 $X=28610 $Y=50495
+X18 90 vss vdd 30 31 xpredec0_xa $T=-2205 170 0 0 $X=-1440 $Y=-5
+X19 92 vss vdd 30 32 xpredec0_xa $T=11165 170 1 180 $X=3000 $Y=-5
+X20 94 vss vdd 33 31 xpredec0_xa $T=6755 170 0 0 $X=7520 $Y=-5
+X21 96 vss vdd 33 32 xpredec0_xa $T=20125 170 1 180 $X=11960 $Y=-5
+X22 vss 30 33 vdd A[1] 17 18 xpredec0_bot $T=18665 3160 0 0 $X=18135 $Y=-5
+X23 vss 31 32 vdd A[0] 17 18 xpredec0_bot $T=27120 3160 0 0 $X=26590 $Y=-5
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I09
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I16
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1_ys
+** N=8 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_20 1 2 4 5 7 8
+** N=8 EP=6 IP=10 FDC=4
+*.SEEDPROM
+M0 1 7 4 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=3510 $Y=1700 $D=2
+M1 8 5 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=6870 $Y=1700 $D=2
+M2 2 7 4 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=3510 $Y=14855 $D=8
+M3 8 5 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=6870 $Y=14855 $D=8
+.ENDS
+***************************************
+.SUBCKT ICV_21 1 2 4 5 6 7 8 9 11 13
+** N=14 EP=10 IP=16 FDC=12
+*.SEEDPROM
+M0 1 14 6 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=9110 $Y=1700 $D=2
+M1 12 7 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=12470 $Y=1700 $D=2
+M2 2 14 6 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=9110 $Y=14855 $D=8
+M3 12 7 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=12470 $Y=14855 $D=8
+X4 1 2 4 5 11 14 ICV_20 $T=0 0 0 0 $X=-5 $Y=-5
+X5 1 2 8 9 12 13 ICV_20 $T=11200 0 0 0 $X=11195 $Y=-5
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47821868
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$47820844
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ypredec1_xa
+** N=29 EP=0 IP=36 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_22 1 2 5 8 9 10 11 12
+** N=22 EP=8 IP=36 FDC=16
+M0 20 10 13 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=1.73655e-12 AS=4.05195e-12 PD=7.32e-06 PS=1.481e-05 NRD=0.0374449 NRS=0.0873715 m=1 nf=1 $X=-2370 $Y=-33035 $D=2
+M1 19 9 20 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=3.405e-14 AS=-3.405e-14 PD=1e-08 PS=-1e-08 NRD=0.000734214 NRS=-0.000734214 m=1 nf=1 $X=-1260 $Y=-33035 $D=2
+M2 1 2 19 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=3.405e-14 AS=-3.405e-14 PD=1e-08 PS=-1e-08 NRD=0.000734214 NRS=-0.000734214 m=1 nf=1 $X=-140 $Y=-33035 $D=2
+M3 1 13 11 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=1.7706e-12 AS=2.1792e-12 PD=8.37e-06 PS=1.1e-05 NRD=0.343612 NRS=0.422907 m=1 nf=3 $X=-2375 $Y=-2950 $D=2
+M4 21 5 1 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=-3.405e-14 AS=3.405e-14 PD=-1e-08 PS=1e-08 NRD=-0.000734214 NRS=0.000734214 m=1 nf=1 $X=990 $Y=-33035 $D=2
+M5 22 9 21 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=-3.405e-14 AS=3.405e-14 PD=-1e-08 PS=1e-08 NRD=-0.000734214 NRS=0.000734214 m=1 nf=1 $X=2110 $Y=-33035 $D=2
+M6 16 10 22 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=4.05195e-12 AS=1.73655e-12 PD=1.481e-05 PS=7.32e-06 NRD=0.0873715 NRS=0.0374449 m=1 nf=1 $X=3220 $Y=-33035 $D=2
+M7 12 16 1 1 nmos_5p0 L=6e-07 W=6.81e-06 AD=2.1792e-12 AS=1.7706e-12 PD=1.1e-05 PS=8.37e-06 NRD=0.422907 NRS=0.343612 m=1 nf=3 $X=985 $Y=-2950 $D=2
+M8 8 10 13 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=1.4742e-12 AS=2.4948e-12 PD=6.19e-06 PS=1.222e-05 NRD=0.0458554 NRS=0.0776014 m=1 nf=1 $X=-2375 $Y=-19360 $D=8
+M9 13 9 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=-1255 $Y=-19360 $D=8
+M10 8 2 13 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=-135 $Y=-19360 $D=8
+M11 8 13 11 8 pmos_5p0 L=6e-07 W=1.731e-05 AD=4.5006e-12 AS=5.5392e-12 PD=1.887e-05 PS=2.5e-05 NRD=0.135182 NRS=0.166378 m=1 nf=3 $X=-2375 $Y=-10125 $D=8
+M12 16 5 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=985 $Y=-19360 $D=8
+M13 8 9 16 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=2105 $Y=-19360 $D=8
+M14 16 10 8 8 pmos_5p0 L=6e-07 W=5.67e-06 AD=2.4948e-12 AS=1.4742e-12 PD=1.222e-05 PS=6.19e-06 NRD=0.0776014 NRS=0.0458554 m=1 nf=1 $X=3225 $Y=-19360 $D=8
+M15 12 16 8 8 pmos_5p0 L=6e-07 W=1.731e-05 AD=5.5392e-12 AS=4.5006e-12 PD=2.5e-05 PS=1.887e-05 NRD=0.166378 NRS=0.135182 m=1 nf=3 $X=985 $Y=-10125 $D=8
+.ENDS
+***************************************
+.SUBCKT ypredec1_bot 1 2 3 10 11 12 13
+** N=34 EP=7 IP=20 FDC=12
+M0 2 30 1 1 nmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.9976e-12 PD=9.96e-06 PS=9.96e-06 NRD=0.0969163 NRS=0.0969163 m=1 nf=1 $X=3755 $Y=33350 $D=2
+M1 3 2 1 1 nmos_5p0 L=6e-07 W=4.54e-06 AD=1.9976e-12 AS=1.9976e-12 PD=9.96e-06 PS=9.96e-06 NRD=0.0969163 NRS=0.0969163 m=1 nf=1 $X=6325 $Y=33350 $D=2
+X2 10 2 30 pmos_1p2$$46887980 $T=3910 18340 0 0 $X=2480 $Y=17630
+X3 10 3 2 pmos_1p2$$46887980 $T=6480 18340 0 0 $X=5050 $Y=17630
+X6 1 30 11 10 13 12 alatch $T=350 -3160 0 0 $X=-100 $Y=-3165
+.ENDS
+***************************************
+.SUBCKT ypredec1 1 2 men clk ly[6] ly[7] ly[0] ly[1] ly[2] ly[3] ly[4] ly[5] ry[0] ry[1] ry[2] ry[3] ry[4] ry[5] ry[6] ry[7]
++ A[2] A[1] A[0]
+** N=374 EP=23 IP=151 FDC=172
+M0 367 358 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=3.9952e-12 PD=1.904e-05 PS=1.904e-05 NRD=0.0484581 NRS=0.0484581 m=1 nf=1 $X=2545 $Y=46970 $D=2
+M1 1 371 ly[3] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=21585 $Y=46970 $D=2
+M2 368 361 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=24945 $Y=46970 $D=2
+M3 188 189 1 1 nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=5.984e-13 PD=3.6e-06 PS=3.6e-06 NRD=0.323529 NRS=0.323529 m=1 nf=1 $X=31760 $Y=4985 $D=2
+M4 189 clk 1 1 nmos_5p0 L=6e-07 W=1.91e-06 AD=4.966e-13 AS=8.404e-13 PD=2.43e-06 PS=4.7e-06 NRD=0.136126 NRS=0.230366 m=1 nf=1 $X=38610 $Y=5010 $D=2
+M5 1 men 189 1 nmos_5p0 L=6e-07 W=1.91e-06 AD=8.404e-13 AS=4.966e-13 PD=4.7e-06 PS=2.43e-06 NRD=0.230366 NRS=0.136126 m=1 nf=1 $X=39730 $Y=5010 $D=2
+M6 1 372 ly[7] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=43985 $Y=46970 $D=2
+M7 369 358 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=47345 $Y=46970 $D=2
+M8 1 373 ry[3] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=7.0824e-12 AS=8.7168e-12 PD=2.88e-05 PS=3.824e-05 NRD=0.0859031 NRS=0.105727 m=1 nf=3 $X=66385 $Y=46970 $D=2
+M9 370 361 1 1 nmos_5p0 L=6e-07 W=9.08e-06 AD=3.9952e-12 AS=2.3608e-12 PD=1.904e-05 PS=9.6e-06 NRD=0.0484581 NRS=0.0286344 m=1 nf=1 $X=69745 $Y=46970 $D=2
+M10 1 374 ry[7] 1 nmos_5p0 L=6e-07 W=2.724e-05 AD=8.7168e-12 AS=8.7168e-12 PD=3.824e-05 PS=3.824e-05 NRD=0.105727 NRS=0.105727 m=1 nf=3 $X=88785 $Y=46970 $D=2
+M11 367 358 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=8.8e-12 PD=4.088e-05 PS=4.088e-05 NRD=0.022 NRS=0.022 m=1 nf=1 $X=2545 $Y=60125 $D=8
+M12 2 371 ly[3] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=21585 $Y=60125 $D=8
+M13 368 361 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=24945 $Y=60125 $D=8
+M14 365 men 2 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=5.915e-13 AS=1.35362e-12 PD=2.795e-06 PS=5.74e-06 NRD=0.114286 NRS=0.261538 m=1 nf=1 $X=36375 $Y=1335 $D=8
+M15 189 clk 365 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=37495 $Y=1335 $D=8
+M16 366 clk 189 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=38615 $Y=1335 $D=8
+M17 2 men 366 2 pmos_5p0 L=6e-07 W=2.275e-06 AD=1.34225e-12 AS=5.915e-13 PD=5.73e-06 PS=2.795e-06 NRD=0.259341 NRS=0.114286 m=1 nf=1 $X=39735 $Y=1335 $D=8
+M18 2 372 ly[7] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=43985 $Y=60125 $D=8
+M19 369 358 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=47345 $Y=60125 $D=8
+M20 2 373 ry[3] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.56e-11 AS=1.92e-11 PD=6.156e-05 PS=8.192e-05 NRD=0.039 NRS=0.048 m=1 nf=3 $X=66385 $Y=60125 $D=8
+M21 370 361 2 2 pmos_5p0 L=6e-07 W=2e-05 AD=8.8e-12 AS=5.2e-12 PD=4.088e-05 PS=2.052e-05 NRD=0.022 NRS=0.013 m=1 nf=1 $X=69745 $Y=60125 $D=8
+M22 2 374 ry[7] 2 pmos_5p0 L=6e-07 W=6e-05 AD=1.92e-11 AS=1.92e-11 PD=8.192e-05 PS=8.192e-05 NRD=0.048 NRS=0.048 m=1 nf=3 $X=88785 $Y=60125 $D=8
+X26 2 188 189 pmos_1p2$$47109164 $T=32795 1405 1 180 $X=28795 $Y=720
+X27 1 2 ly[0] 357 ly[1] 359 ly[2] 360 367 371 ICV_21 $T=1275 45270 0 0 $X=1270 $Y=45265
+X28 1 2 ly[4] 362 ly[5] 363 ly[6] 364 368 372 ICV_21 $T=23675 45270 0 0 $X=23670 $Y=45265
+X29 1 2 ry[0] 357 ry[1] 359 ry[2] 360 369 373 ICV_21 $T=46075 45270 0 0 $X=46070 $Y=45265
+X30 1 2 ry[4] 362 ry[5] 363 ry[6] 364 370 374 ICV_21 $T=68475 45270 0 0 $X=68470 $Y=45265
+X31 1 195 194 2 192 190 363 364 ICV_22 $T=33645 42985 1 180 $X=28115 $Y=7365
+X32 1 195 194 2 193 190 361 362 ICV_22 $T=41810 42985 1 180 $X=36280 $Y=7365
+X33 1 195 194 2 192 191 359 360 ICV_22 $T=49980 42985 1 180 $X=44450 $Y=7365
+X34 1 195 194 2 193 191 358 357 ICV_22 $T=58150 42985 1 180 $X=52620 $Y=7365
+X35 1 190 191 2 A[2] 189 188 ypredec1_bot $T=1920 5135 0 0 $X=1820 $Y=1970
+X36 1 192 193 2 A[1] 189 188 ypredec1_bot $T=10375 5135 0 0 $X=10275 $Y=1970
+X37 1 194 195 2 A[0] 189 188 ypredec1_bot $T=18830 5135 0 0 $X=18730 $Y=1970
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I04
+** N=2401 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT pmoscap_W2_5_R270
+** N=13 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmoscap_W2_5_477_R270
+** N=26 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT ICV_23
+** N=6 EP=0 IP=8 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT M1_NWELL$$204218412
+** N=49 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT M1_PACTIVE$$204148780
+** N=13 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I14 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 2 nmos_5p0 L=6e-07 W=1.011e-05 AD=4.4484e-12 AS=4.4484e-12 PD=2.11e-05 PS=2.11e-05 NRD=0.0435213 NRS=0.0435213 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$204216364_R90 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=2.526e-05 AD=6.5676e-12 AS=1.11144e-11 PD=2.63e-05 PS=5.228e-05 NRD=0.0411718 NRS=0.0696754 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I03 1 2 3 4
+** N=4 EP=4 IP=0 FDC=1
+M0 2 3 1 4 pmos_5p0 L=6e-07 W=6.59e-06 AD=2.8996e-12 AS=2.8996e-12 PD=1.406e-05 PS=1.406e-05 NRD=0.0667678 NRS=0.0667678 m=1 nf=1 $X=0 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I05 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 2 nmos_5p0 L=6e-07 W=2.64e-06 AD=1.1616e-12 AS=1.1616e-12 PD=6.16e-06 PS=6.16e-06 NRD=0.166667 NRS=0.166667 m=1 nf=1 $X=0 $Y=0 $D=2
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I16
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT M1_POLY2_I01
+** N=2 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT nmos_5p0_I10
+** N=3 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT pmos_1p2$$49272876_R270 1 2 3
+** N=3 EP=3 IP=0 FDC=1
+M0 2 3 1 1 pmos_5p0 L=6e-07 W=1.1e-05 AD=2.86e-12 AS=4.84e-12 PD=1.204e-05 PS=2.376e-05 NRD=0.0945455 NRS=0.16 m=1 nf=2 $X=-155 $Y=0 $D=8
+.ENDS
+***************************************
+.SUBCKT pmos_5p0_I08
+** N=4 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT xdec 1 2 men 6 vss 8 28 vdd
+** N=98 EP=8 IP=41 FDC=6
+*.SEEDPROM
+M0 2 6 men vss nmos_5p0 L=6e-07 W=6.6e-06 AD=2.904e-12 AS=1.716e-12 PD=1.496e-05 PS=7.64e-06 NRD=0.266667 NRS=0.157576 m=1 nf=2 $X=37460 $Y=965 $D=2
+M1 vss 8 6 vss nmos_5p0 L=6e-07 W=6.6e-07 AD=2.904e-13 AS=2.904e-13 PD=2.2e-06 PS=2.2e-06 NRD=0.666667 NRS=0.666667 m=1 nf=1 $X=45970 $Y=965 $D=2
+M2 2 8 men vdd pmos_5p0 L=6e-07 W=6.6e-06 AD=2.904e-12 AS=1.716e-12 PD=1.496e-05 PS=7.64e-06 NRD=0.266667 NRS=0.157576 m=1 nf=2 $X=32185 $Y=965 $D=8
+M3 vdd 8 6 vdd pmos_5p0 L=6e-07 W=1.59e-06 AD=6.996e-13 AS=6.996e-13 PD=4.06e-06 PS=4.06e-06 NRD=0.27673 NRS=0.27673 m=1 nf=1 $X=43020 $Y=965 $D=8
+X12 vdd 1 2 pmos_1p2$$49272876_R270 $T=29780 1120 0 90 $X=23605 $Y=-360
+X13 vdd 28 2 pmos_1p2$$49272876_R270 $T=91805 1120 1 90 $X=91120 $Y=-360
+.ENDS
+***************************************
+.SUBCKT ICV_24 1 50 94 95 96 100 101 114 117 118 121
+** N=125 EP=11 IP=142 FDC=12
+*.SEEDPROM
+X0 114 94 95 115 1 96 117 50 xdec $T=0 0 1 0 $X=-5 $Y=-5640
+X1 118 100 95 119 1 101 121 50 xdec $T=0 0 0 0 $X=-5 $Y=-1115
+.ENDS
+***************************************
+.SUBCKT xdec8_64 men vss DRWL vdd xa[0] xa[1] xa[2] xa[3] xa[4] xa[5] xa[6] xa[7] LWL[0] LWL[1] LWL[2] LWL[3] LWL[4] LWL[5] LWL[6] LWL[7]
++ RWL[1] RWL[2] RWL[4] RWL[6] RWL[7] RWL[3] RWL[5] RWL[0]
+** N=317 EP=28 IP=541 FDC=156
+M0 vss 288 LWL[0] vss nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=24970 $Y=260 $D=2
+M1 288 272 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=24970 $Y=2660 $D=2
+M2 vss 270 286 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=24970 $Y=5740 $D=2
+M3 vss 286 LWL[1] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=24970 $Y=7020 $D=2
+M4 vss 292 LWL[2] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=24970 $Y=9260 $D=2
+M5 292 276 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=24970 $Y=11660 $D=2
+M6 vss 274 290 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=24970 $Y=14740 $D=2
+M7 vss 290 LWL[3] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=24970 $Y=16020 $D=2
+M8 vss 296 LWL[4] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=24970 $Y=18260 $D=2
+M9 296 280 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=24970 $Y=20660 $D=2
+M10 vss 278 294 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=24970 $Y=23740 $D=2
+M11 vss 294 LWL[5] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=24970 $Y=25020 $D=2
+M12 vss 300 LWL[6] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=24970 $Y=27260 $D=2
+M13 300 284 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=24970 $Y=29660 $D=2
+M14 vss 282 298 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=24970 $Y=32740 $D=2
+M15 vss 298 LWL[7] vss nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=24970 $Y=34020 $D=2
+M16 272 273 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=55250 $Y=260 $D=2
+M17 vss 271 270 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=55250 $Y=8140 $D=2
+M18 276 277 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=55250 $Y=9260 $D=2
+M19 vss 275 274 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=55250 $Y=17140 $D=2
+M20 280 281 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=55250 $Y=18260 $D=2
+M21 vss 279 278 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=5.72e-13 AS=9.68e-13 PD=2.72e-06 PS=5.28e-06 NRD=0.118182 NRS=0.2 m=1 nf=1 $X=55250 $Y=26140 $D=2
+M22 284 285 vss vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=5.72e-13 PD=5.28e-06 PS=2.72e-06 NRD=0.2 NRS=0.118182 m=1 nf=1 $X=55250 $Y=27260 $D=2
+M23 vss 283 282 vss nmos_5p0 L=6e-07 W=2.2e-06 AD=9.68e-13 AS=9.68e-13 PD=5.28e-06 PS=5.28e-06 NRD=0.2 NRS=0.2 m=1 nf=1 $X=55250 $Y=35140 $D=2
+M24 29 vdd men vss nmos_5p0 L=6e-07 W=6.59e-06 AD=2.8996e-12 AS=2.8996e-12 PD=1.406e-05 PS=1.406e-05 NRD=0.0667678 NRS=0.0667678 m=1 nf=1 $X=61430 $Y=38365 $D=2
+M25 305 vdd vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=7.32375e-13 AS=2.079e-12 PD=3.615e-06 PS=7.62e-06 NRD=0.0738095 NRS=0.209524 m=1 nf=1 $X=75090 $Y=315 $D=2
+M26 304 vdd 305 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=75090 $Y=1380 $D=2
+M27 273 xa[0] 304 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=75090 $Y=2500 $D=2
+M28 302 xa[1] 271 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=75090 $Y=5900 $D=2
+M29 303 vdd 302 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=75090 $Y=7020 $D=2
+M30 vss vdd 303 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=75090 $Y=8085 $D=2
+M31 309 vdd vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=75090 $Y=9315 $D=2
+M32 308 vdd 309 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=75090 $Y=10380 $D=2
+M33 277 xa[2] 308 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=75090 $Y=11500 $D=2
+M34 306 xa[3] 275 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=75090 $Y=14900 $D=2
+M35 307 vdd 306 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=75090 $Y=16020 $D=2
+M36 vss vdd 307 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=75090 $Y=17085 $D=2
+M37 313 vdd vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=75090 $Y=18315 $D=2
+M38 312 vdd 313 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=75090 $Y=19380 $D=2
+M39 281 xa[4] 312 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=75090 $Y=20500 $D=2
+M40 310 xa[5] 279 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=75090 $Y=23900 $D=2
+M41 311 vdd 310 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=75090 $Y=25020 $D=2
+M42 vss vdd 311 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.59875e-13 AS=-2.59875e-13 PD=1.65e-07 PS=-1.65e-07 NRD=0.0261905 NRS=-0.0261905 m=1 nf=1 $X=75090 $Y=26085 $D=2
+M43 317 vdd vss vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-2.59875e-13 AS=2.59875e-13 PD=-1.65e-07 PS=1.65e-07 NRD=-0.0261905 NRS=0.0261905 m=1 nf=1 $X=75090 $Y=27315 $D=2
+M44 316 vdd 317 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.6625e-14 AS=-8.6625e-14 PD=5.5e-08 PS=-5.5e-08 NRD=0.00873016 NRS=-0.00873016 m=1 nf=1 $X=75090 $Y=28380 $D=2
+M45 285 xa[6] 316 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.25225e-12 AS=8.19e-13 PD=7.73e-06 PS=3.67e-06 NRD=0.226984 NRS=0.0825397 m=1 nf=1 $X=75090 $Y=29500 $D=2
+M46 314 xa[7] 283 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=8.19e-13 AS=2.25225e-12 PD=3.67e-06 PS=7.73e-06 NRD=0.0825397 NRS=0.226984 m=1 nf=1 $X=75090 $Y=32900 $D=2
+M47 315 vdd 314 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=-8.6625e-14 AS=8.6625e-14 PD=-5.5e-08 PS=5.5e-08 NRD=-0.00873016 NRS=0.00873016 m=1 nf=1 $X=75090 $Y=34020 $D=2
+M48 vss vdd 315 vss nmos_5p0 L=6e-07 W=3.15e-06 AD=2.079e-12 AS=7.32375e-13 PD=7.62e-06 PS=3.615e-06 NRD=0.209524 NRS=0.0738095 m=1 nf=1 $X=75090 $Y=35085 $D=2
+M49 vss 289 RWL[0] vss nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=108885 $Y=260 $D=2
+M50 289 272 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=108885 $Y=2660 $D=2
+M51 vss 270 287 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=108885 $Y=5740 $D=2
+M52 vss 287 RWL[1] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=108885 $Y=7020 $D=2
+M53 vss 293 RWL[2] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=108885 $Y=9260 $D=2
+M54 293 276 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=108885 $Y=11660 $D=2
+M55 vss 274 291 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=108885 $Y=14740 $D=2
+M56 vss 291 RWL[3] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=108885 $Y=16020 $D=2
+M57 vss 297 RWL[4] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=108885 $Y=18260 $D=2
+M58 297 280 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=108885 $Y=20660 $D=2
+M59 vss 278 295 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=108885 $Y=23740 $D=2
+M60 vss 295 RWL[5] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=108885 $Y=25020 $D=2
+M61 vss 301 RWL[6] vss nmos_5p0 L=6e-07 W=1e-05 AD=3e-12 AS=2.6e-12 PD=1.12e-05 PS=1.104e-05 NRD=0.12 NRS=0.104 m=1 nf=2 $X=108885 $Y=27260 $D=2
+M62 301 284 vss vss nmos_5p0 L=6e-07 W=5e-06 AD=3.1e-12 AS=1.7e-12 PD=1.124e-05 PS=5.68e-06 NRD=0.124 NRS=0.068 m=1 nf=1 $X=108885 $Y=29660 $D=2
+M63 vss 282 299 vss nmos_5p0 L=6e-07 W=5e-06 AD=1.7e-12 AS=3.1e-12 PD=5.68e-06 PS=1.124e-05 NRD=0.068 NRS=0.124 m=1 nf=1 $X=108885 $Y=32740 $D=2
+M64 vss 299 RWL[7] vss nmos_5p0 L=6e-07 W=1e-05 AD=3.9e-12 AS=2.6e-12 PD=1.656e-05 PS=1.104e-05 NRD=0.156 NRS=0.104 m=1 nf=2 $X=108885 $Y=34020 $D=2
+M65 vdd vss vdd vdd pmos_5p0 L=3.94e-06 W=4.9455e-05 AD=0 AS=3.14863e-11 PD=0 PS=0.00012136 NRD=0 NRS=1.04277 m=1 nf=9 $X=2985 $Y=280 $D=8
+M66 vdd 288 LWL[0] vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=13295 $Y=260 $D=8
+M67 LWL[1] 286 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=13295 $Y=5900 $D=8
+M68 vdd 292 LWL[2] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=13295 $Y=9260 $D=8
+M69 LWL[3] 290 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=13295 $Y=14900 $D=8
+M70 vdd 296 LWL[4] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=13295 $Y=18260 $D=8
+M71 LWL[5] 294 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=13295 $Y=23900 $D=8
+M72 vdd 300 LWL[6] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=13295 $Y=27260 $D=8
+M73 LWL[7] 298 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=13295 $Y=32900 $D=8
+M74 vdd vdd 273 vdd pmos_5p0 L=6e-07 W=5.24e-06 AD=1.834e-12 AS=1.3624e-12 PD=9.26e-06 PS=6.28e-06 NRD=0.267176 NRS=0.198473 m=1 nf=2 $X=80610 $Y=260 $D=8
+M75 273 xa[0] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=80610 $Y=2500 $D=8
+M76 vdd xa[1] 271 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=80610 $Y=5900 $D=8
+M77 vdd vdd 271 vdd pmos_5p0 L=6e-07 W=5.24e-06 AD=1.3624e-12 AS=1.3624e-12 PD=6.28e-06 PS=6.28e-06 NRD=0.198473 NRS=0.198473 m=1 nf=2 $X=80610 $Y=7020 $D=8
+M78 vdd vdd 277 vdd pmos_5p0 L=6e-07 W=5.24e-06 AD=1.3624e-12 AS=1.3624e-12 PD=6.28e-06 PS=6.28e-06 NRD=0.198473 NRS=0.198473 m=1 nf=2 $X=80610 $Y=9260 $D=8
+M79 277 xa[2] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=80610 $Y=11500 $D=8
+M80 vdd xa[3] 275 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=80610 $Y=14900 $D=8
+M81 vdd vdd 275 vdd pmos_5p0 L=6e-07 W=5.24e-06 AD=1.3624e-12 AS=1.3624e-12 PD=6.28e-06 PS=6.28e-06 NRD=0.198473 NRS=0.198473 m=1 nf=2 $X=80610 $Y=16020 $D=8
+M82 vdd vdd 281 vdd pmos_5p0 L=6e-07 W=5.24e-06 AD=1.3624e-12 AS=1.3624e-12 PD=6.28e-06 PS=6.28e-06 NRD=0.198473 NRS=0.198473 m=1 nf=2 $X=80610 $Y=18260 $D=8
+M83 281 xa[4] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=80610 $Y=20500 $D=8
+M84 vdd xa[5] 279 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=80610 $Y=23900 $D=8
+M85 vdd vdd 279 vdd pmos_5p0 L=6e-07 W=5.24e-06 AD=1.3624e-12 AS=1.3624e-12 PD=6.28e-06 PS=6.28e-06 NRD=0.198473 NRS=0.198473 m=1 nf=2 $X=80610 $Y=25020 $D=8
+M86 vdd vdd 285 vdd pmos_5p0 L=6e-07 W=5.24e-06 AD=1.3624e-12 AS=1.3624e-12 PD=6.28e-06 PS=6.28e-06 NRD=0.198473 NRS=0.198473 m=1 nf=2 $X=80610 $Y=27260 $D=8
+M87 285 xa[6] vdd vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=1.1528e-12 AS=6.812e-13 PD=6.12e-06 PS=3.14e-06 NRD=0.167939 NRS=0.0992366 m=1 nf=1 $X=80610 $Y=29500 $D=8
+M88 vdd xa[7] 283 vdd pmos_5p0 L=6e-07 W=2.62e-06 AD=6.812e-13 AS=1.1528e-12 PD=3.14e-06 PS=6.12e-06 NRD=0.0992366 NRS=0.167939 m=1 nf=1 $X=80610 $Y=32900 $D=8
+M89 vdd vdd 283 vdd pmos_5p0 L=6e-07 W=5.24e-06 AD=1.834e-12 AS=1.3624e-12 PD=9.26e-06 PS=6.28e-06 NRD=0.267176 NRS=0.198473 m=1 nf=2 $X=80610 $Y=34020 $D=8
+M90 vdd 289 RWL[0] vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=115560 $Y=260 $D=8
+M91 RWL[1] 287 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=115560 $Y=5900 $D=8
+M92 vdd 293 RWL[2] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=115560 $Y=9260 $D=8
+M93 RWL[3] 291 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=115560 $Y=14900 $D=8
+M94 vdd 297 RWL[4] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=115560 $Y=18260 $D=8
+M95 RWL[5] 295 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=7.8e-12 PD=4.192e-05 PS=3.156e-05 NRD=0.096 NRS=0.078 m=1 nf=3 $X=115560 $Y=23900 $D=8
+M96 vdd 301 RWL[6] vdd pmos_5p0 L=6e-07 W=3e-05 AD=7.8e-12 AS=9.6e-12 PD=3.156e-05 PS=4.192e-05 NRD=0.078 NRS=0.096 m=1 nf=3 $X=115560 $Y=27260 $D=8
+M97 RWL[7] 299 vdd vdd pmos_5p0 L=6e-07 W=3e-05 AD=9.6e-12 AS=9.6e-12 PD=4.192e-05 PS=4.192e-05 NRD=0.096 NRS=0.096 m=1 nf=3 $X=115560 $Y=32900 $D=8
+M98 vdd vss vdd vdd pmos_5p0 L=3.94e-06 W=4.9455e-05 AD=0 AS=3.14863e-11 PD=0 PS=0.00012136 NRD=0 NRS=1.04277 m=1 nf=9 $X=130365 $Y=280 $D=8
+X110 DLWL vss 37 nmos_5p0_I14 $T=31730 38360 0 90 $X=21000 $Y=37680
+X111 DRWL vss 38 nmos_5p0_I14 $T=116730 38360 0 90 $X=106000 $Y=37680
+X112 vdd DLWL 37 pmos_1p2$$204216364_R90 $T=46225 38515 0 90 $X=32935 $Y=37035
+X113 vdd DRWL 38 pmos_1p2$$204216364_R90 $T=104750 38515 0 90 $X=91460 $Y=37035
+X114 37 vdd 29 vdd pmos_5p0_I03 $T=55020 38360 0 90 $X=47810 $Y=37320
+X115 men 29 vss vdd pmos_5p0_I03 $T=76520 38360 0 90 $X=69310 $Y=37320
+X116 38 vdd 29 vdd pmos_5p0_I03 $T=89870 38360 0 90 $X=82660 $Y=37320
+X117 37 vss 29 nmos_5p0_I05 $T=59565 38360 0 90 $X=56305 $Y=37680
+X118 38 vss 29 nmos_5p0_I05 $T=81415 38360 0 90 $X=78155 $Y=37680
+X119 vss vdd 270 men 271 272 273 286 287 288 289 ICV_24 $T=8635 4500 1 0 $X=8630 $Y=-1140
+X120 vss vdd 274 men 275 276 277 290 291 292 293 ICV_24 $T=8635 13500 1 0 $X=8630 $Y=7860
+X121 vss vdd 278 men 279 280 281 294 295 296 297 ICV_24 $T=8635 22500 1 0 $X=8630 $Y=16860
+X122 vss vdd 282 men 283 284 285 298 299 300 301 ICV_24 $T=8635 31500 1 0 $X=8630 $Y=25860
+.ENDS
+***************************************
+.SUBCKT 018SRAM_cell1_cutPC
+** N=7 EP=0 IP=0 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT 018SRAM_strap1_2x_bndry
+** N=13 EP=0 IP=12 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_25 1 2 10 13 14 15 16
+** N=16 EP=7 IP=27 FDC=8
+*.SEEDPROM
+M0 2 10 14 10 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=3180 $Y=-1030 $D=2
+M1 16 10 2 10 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=3180 $Y=260 $D=2
+M2 10 13 14 10 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=3630 $Y=-2660 $D=2
+M3 10 15 16 10 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=3630 $Y=1710 $D=2
+M4 13 14 10 10 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=4770 $Y=-2660 $D=2
+M5 15 16 10 10 nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=4770 $Y=1710 $D=2
+M6 1 10 13 10 nmos_5p0 L=7.7e-07 W=6e-07 AD=-2.739e-13 AS=1.416e-13 PD=-1.70545e-06 PS=8.14545e-07 NRD=-0.760833 NRS=0.393333 m=1 nf=1 $X=5220 $Y=-1030 $D=2
+M7 15 10 1 10 nmos_5p0 L=7.7e-07 W=6e-07 AD=1.416e-13 AS=-2.739e-13 PD=8.14545e-07 PS=-1.70545e-06 NRD=0.393333 NRS=-0.760833 m=1 nf=1 $X=5220 $Y=260 $D=2
+.ENDS
+***************************************
+.SUBCKT ICV_26 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 35 36
++ 37 38 39 40 41 42
+** N=66 EP=26 IP=87 FDC=208
+*.SEEDPROM
+M0 1 22 20 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=3560 $D=8
+M1 1 44 43 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=4840 $D=8
+M2 1 48 47 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=21560 $D=8
+M3 1 60 59 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-8370 $Y=22840 $D=8
+M4 22 20 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=3560 $D=8
+M5 44 43 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=4840 $D=8
+M6 48 47 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=21560 $D=8
+M7 60 59 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-7230 $Y=22840 $D=8
+M8 1 26 24 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=3560 $D=8
+M9 1 46 45 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=4840 $D=8
+M10 1 50 49 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=21560 $D=8
+M11 1 62 61 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-5370 $Y=22840 $D=8
+M12 26 24 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=3560 $D=8
+M13 46 45 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=4840 $D=8
+M14 50 49 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=21560 $D=8
+M15 62 61 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-4230 $Y=22840 $D=8
+M16 1 30 28 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=3560 $D=8
+M17 1 52 51 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=4840 $D=8
+M18 1 56 55 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=21560 $D=8
+M19 1 64 63 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-2370 $Y=22840 $D=8
+M20 30 28 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=3560 $D=8
+M21 52 51 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=4840 $D=8
+M22 56 55 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=21560 $D=8
+M23 64 63 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-1230 $Y=22840 $D=8
+M24 1 34 32 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=3560 $D=8
+M25 1 54 53 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=4840 $D=8
+M26 1 58 57 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=21560 $D=8
+M27 1 66 65 1 pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=630 $Y=22840 $D=8
+M28 34 32 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=3560 $D=8
+M29 54 53 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=4840 $D=8
+M30 58 57 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=21560 $D=8
+M31 66 65 1 1 pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=1770 $Y=22840 $D=8
+X32 1 2 3 4 5 6 11 12 13 14 15 16 17 18 43 44 45 46 47 48
++ 49 50 51 52 53 54 55 56 57 58
++ ICV_5 $T=0 4500 0 0 $X=-9340 $Y=4160
+X33 1 2 7 8 9 10 11 12 13 14 15 16 17 18 59 60 61 62 35 36
++ 37 38 63 64 65 66 39 40 41 42
++ ICV_5 $T=0 22500 0 0 $X=-9340 $Y=22160
+X34 2 2 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 ICV_8 $T=0 0 0 0 $X=-9340 $Y=-340
+.ENDS
+***************************************
+.SUBCKT ICV_27
+** N=19 EP=0 IP=30 FDC=0
+.ENDS
+***************************************
+.SUBCKT ICV_28
+** N=2 EP=0 IP=4 FDC=0
+*.SEEDPROM
+.ENDS
+***************************************
+.SUBCKT lcol4_64 VSS VDD WEN[2] pcb[2] b[23] bb[23] men WEN[0] pcb[0] b[7] bb[7] WEN[3] pcb[3] b[24] bb[24] WEN[1] pcb[1] b[8] bb[8] WL[0]
++ WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] GWEN GWE ypass[0] ypass[1] ypass[2] ypass[3] ypass[4] ypass[5] ypass[6] ypass[7] din[1] q[1] din[3]
++ q[3] din[0] q[0] din[2] q[2] b[26] bb[26] bb[27] b[27] bb[25] b[25] b[10] bb[10] bb[11] b[11] bb[9] b[9] b[28] bb[28] bb[29]
++ b[29] b[30] bb[30] bb[31] b[31] b[20] bb[20] bb[21] b[21] b[22] bb[22] b[16] bb[16] bb[17] b[17] b[18] bb[18] bb[19] b[19] b[12]
++ bb[12] bb[13] b[13] b[14] bb[14] bb[15] b[15] b[0] bb[0] bb[1] b[1] b[2] bb[2] bb[3] b[3] b[4] bb[4] bb[5] b[5] b[6]
++ bb[6]
+** N=500 EP=101 IP=1003 FDC=2761
+*.SEEDPROM
+M0 138 VSS 47 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=105785 $Y=151295 $D=2
+M1 47 VSS 140 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=105785 $Y=195005 $D=2
+M2 VSS 137 138 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=106235 $Y=152745 $D=2
+M3 VSS 139 140 VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.84565e-14 AS=1.18957e-13 PD=-7.88406e-08 PS=9.41159e-07 NRD=0.0204504 NRS=0.131808 m=1 nf=1 $X=106235 $Y=193375 $D=2
+M4 137 138 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=107375 $Y=152745 $D=2
+M5 139 140 VSS VSS nmos_5p0 L=6e-07 W=9.5e-07 AD=1.18957e-13 AS=1.84565e-14 PD=9.41159e-07 PS=-7.88406e-08 NRD=0.131808 NRS=0.0204504 m=1 nf=1 $X=107375 $Y=193375 $D=2
+M6 137 VSS 46 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.81613e-13 AS=2.82e-13 PD=1.84258e-06 PS=2.14e-06 NRD=0.782258 NRS=0.783333 m=1 nf=1 $X=107825 $Y=151295 $D=2
+M7 46 VSS 139 VSS nmos_5p0 L=7.7e-07 W=6e-07 AD=2.82e-13 AS=2.81613e-13 PD=2.14e-06 PS=1.84258e-06 NRD=0.783333 NRS=0.782258 m=1 nf=1 $X=107825 $Y=195005 $D=2
+M8 VDD 186 188 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-1765 $Y=154595 $D=8
+M9 VDD 344 343 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-1765 $Y=155875 $D=8
+M10 VDD 352 351 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-1765 $Y=190595 $D=8
+M11 VDD 202 204 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=-1765 $Y=191875 $D=8
+M12 186 188 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-625 $Y=154595 $D=8
+M13 344 343 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-625 $Y=155875 $D=8
+M14 352 351 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-625 $Y=190595 $D=8
+M15 202 204 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=-625 $Y=191875 $D=8
+M16 439 ypass[7] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=-770 $Y=87735 $D=8
+M17 VDD 182 184 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=1235 $Y=154595 $D=8
+M18 VDD 346 345 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=1235 $Y=155875 $D=8
+M19 VDD 354 353 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=1235 $Y=190595 $D=8
+M20 VDD 198 200 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=1235 $Y=191875 $D=8
+M21 182 184 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=2375 $Y=154595 $D=8
+M22 346 345 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=2375 $Y=155875 $D=8
+M23 354 353 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=2375 $Y=190595 $D=8
+M24 198 200 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=2375 $Y=191875 $D=8
+M25 440 ypass[6] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=1760 $Y=87735 $D=8
+M26 VDD 178 180 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=4235 $Y=154595 $D=8
+M27 VDD 348 347 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=4235 $Y=155875 $D=8
+M28 VDD 356 355 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=4235 $Y=190595 $D=8
+M29 VDD 194 196 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=4235 $Y=191875 $D=8
+M30 178 180 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=5375 $Y=154595 $D=8
+M31 348 347 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=5375 $Y=155875 $D=8
+M32 356 355 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=5375 $Y=190595 $D=8
+M33 194 196 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=5375 $Y=191875 $D=8
+M34 441 ypass[5] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=5425 $Y=87735 $D=8
+M35 VDD 174 176 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=7235 $Y=154595 $D=8
+M36 VDD 350 349 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=7235 $Y=155875 $D=8
+M37 VDD 358 357 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=7235 $Y=190595 $D=8
+M38 VDD 190 192 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=7235 $Y=191875 $D=8
+M39 174 176 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=8375 $Y=154595 $D=8
+M40 350 349 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=8375 $Y=155875 $D=8
+M41 358 357 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=8375 $Y=190595 $D=8
+M42 190 192 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=8375 $Y=191875 $D=8
+M43 442 ypass[4] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=7955 $Y=87735 $D=8
+M44 VDD 146 148 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=10235 $Y=154595 $D=8
+M45 VDD 360 359 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=10235 $Y=155875 $D=8
+M46 VDD 368 367 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=10235 $Y=190595 $D=8
+M47 VDD 218 220 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=10235 $Y=191875 $D=8
+M48 146 148 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=11375 $Y=154595 $D=8
+M49 360 359 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=11375 $Y=155875 $D=8
+M50 368 367 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=11375 $Y=190595 $D=8
+M51 218 220 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=11375 $Y=191875 $D=8
+M52 443 ypass[3] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=11615 $Y=87735 $D=8
+M53 VDD 142 144 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=13235 $Y=154595 $D=8
+M54 VDD 362 361 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=13235 $Y=155875 $D=8
+M55 VDD 370 369 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=13235 $Y=190595 $D=8
+M56 VDD 214 216 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=13235 $Y=191875 $D=8
+M57 142 144 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=14375 $Y=154595 $D=8
+M58 362 361 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=14375 $Y=155875 $D=8
+M59 370 369 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=14375 $Y=190595 $D=8
+M60 214 216 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=14375 $Y=191875 $D=8
+M61 444 ypass[2] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=14145 $Y=87735 $D=8
+M62 VDD 154 156 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=16235 $Y=154595 $D=8
+M63 VDD 364 363 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=16235 $Y=155875 $D=8
+M64 VDD 372 371 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=16235 $Y=190595 $D=8
+M65 VDD 210 212 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=16235 $Y=191875 $D=8
+M66 154 156 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=17375 $Y=154595 $D=8
+M67 364 363 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=17375 $Y=155875 $D=8
+M68 372 371 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=17375 $Y=190595 $D=8
+M69 210 212 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=17375 $Y=191875 $D=8
+M70 445 ypass[1] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=17810 $Y=87735 $D=8
+M71 VDD 150 152 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=19235 $Y=154595 $D=8
+M72 VDD 366 365 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=19235 $Y=155875 $D=8
+M73 VDD 374 373 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=19235 $Y=190595 $D=8
+M74 VDD 206 208 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=19235 $Y=191875 $D=8
+M75 150 152 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=20375 $Y=154595 $D=8
+M76 366 365 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=20375 $Y=155875 $D=8
+M77 374 373 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=20375 $Y=190595 $D=8
+M78 206 208 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=20375 $Y=191875 $D=8
+M79 327 ypass[0] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=20340 $Y=87735 $D=8
+M80 VDD 475 476 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=25235 $Y=190595 $D=8
+M81 VDD 234 236 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=25235 $Y=191875 $D=8
+M82 325 ypass[7] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=24015 $Y=87735 $D=8
+M83 475 476 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=26375 $Y=190595 $D=8
+M84 234 236 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=26375 $Y=191875 $D=8
+M85 335 ypass[6] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=26540 $Y=87735 $D=8
+M86 VDD 473 474 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=28235 $Y=190595 $D=8
+M87 VDD 230 232 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=28235 $Y=191875 $D=8
+M88 473 474 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=29375 $Y=190595 $D=8
+M89 230 232 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=29375 $Y=191875 $D=8
+M90 VDD 471 472 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=31235 $Y=190595 $D=8
+M91 VDD 226 228 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=31235 $Y=191875 $D=8
+M92 334 ypass[5] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=30205 $Y=87735 $D=8
+M93 471 472 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=32375 $Y=190595 $D=8
+M94 226 228 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=32375 $Y=191875 $D=8
+M95 333 ypass[4] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=32735 $Y=87735 $D=8
+M96 VDD 469 470 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=34235 $Y=190595 $D=8
+M97 VDD 222 224 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=34235 $Y=191875 $D=8
+M98 469 470 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=35375 $Y=190595 $D=8
+M99 222 224 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=35375 $Y=191875 $D=8
+M100 VDD 483 484 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=37235 $Y=190595 $D=8
+M101 VDD 250 252 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=37235 $Y=191875 $D=8
+M102 332 ypass[3] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=36395 $Y=87735 $D=8
+M103 483 484 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=38375 $Y=190595 $D=8
+M104 250 252 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=38375 $Y=191875 $D=8
+M105 331 ypass[2] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=38925 $Y=87735 $D=8
+M106 VDD 481 482 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=40235 $Y=190595 $D=8
+M107 VDD 246 248 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=40235 $Y=191875 $D=8
+M108 481 482 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=41375 $Y=190595 $D=8
+M109 246 248 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=41375 $Y=191875 $D=8
+M110 VDD 479 480 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=43235 $Y=190595 $D=8
+M111 VDD 242 244 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=43235 $Y=191875 $D=8
+M112 330 ypass[1] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=42590 $Y=87735 $D=8
+M113 479 480 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=44375 $Y=190595 $D=8
+M114 242 244 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=44375 $Y=191875 $D=8
+M115 VDD 477 478 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=46235 $Y=190595 $D=8
+M116 VDD 238 240 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=46235 $Y=191875 $D=8
+M117 329 ypass[0] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=45120 $Y=87735 $D=8
+M118 477 478 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=47375 $Y=190595 $D=8
+M119 238 240 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=47375 $Y=191875 $D=8
+M120 VDD 266 268 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=52235 $Y=154595 $D=8
+M121 VDD 376 375 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=52235 $Y=155875 $D=8
+M122 VDD 384 383 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=52235 $Y=190595 $D=8
+M123 VDD 413 414 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=52235 $Y=191875 $D=8
+M124 266 268 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=53375 $Y=154595 $D=8
+M125 376 375 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=53375 $Y=155875 $D=8
+M126 384 383 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=53375 $Y=190595 $D=8
+M127 413 414 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=53375 $Y=191875 $D=8
+M128 446 ypass[7] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=53230 $Y=87735 $D=8
+M129 VDD 262 264 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=55235 $Y=154595 $D=8
+M130 VDD 378 377 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=55235 $Y=155875 $D=8
+M131 VDD 386 385 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=55235 $Y=190595 $D=8
+M132 VDD 411 412 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=55235 $Y=191875 $D=8
+M133 262 264 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=56375 $Y=154595 $D=8
+M134 378 377 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=56375 $Y=155875 $D=8
+M135 386 385 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=56375 $Y=190595 $D=8
+M136 411 412 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=56375 $Y=191875 $D=8
+M137 447 ypass[6] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=55760 $Y=87735 $D=8
+M138 VDD 258 260 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=58235 $Y=154595 $D=8
+M139 VDD 380 379 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=58235 $Y=155875 $D=8
+M140 VDD 388 387 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=58235 $Y=190595 $D=8
+M141 VDD 409 410 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=58235 $Y=191875 $D=8
+M142 258 260 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=59375 $Y=154595 $D=8
+M143 380 379 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=59375 $Y=155875 $D=8
+M144 388 387 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=59375 $Y=190595 $D=8
+M145 409 410 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=59375 $Y=191875 $D=8
+M146 448 ypass[5] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=59425 $Y=87735 $D=8
+M147 VDD 254 256 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=61235 $Y=154595 $D=8
+M148 VDD 382 381 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=61235 $Y=155875 $D=8
+M149 VDD 390 389 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=61235 $Y=190595 $D=8
+M150 VDD 407 408 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=61235 $Y=191875 $D=8
+M151 254 256 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=62375 $Y=154595 $D=8
+M152 382 381 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=62375 $Y=155875 $D=8
+M153 390 389 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=62375 $Y=190595 $D=8
+M154 407 408 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=62375 $Y=191875 $D=8
+M155 449 ypass[4] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=61955 $Y=87735 $D=8
+M156 VDD 162 164 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=64235 $Y=154595 $D=8
+M157 VDD 392 391 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=64235 $Y=155875 $D=8
+M158 VDD 400 399 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=64235 $Y=190595 $D=8
+M159 VDD 421 422 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=64235 $Y=191875 $D=8
+M160 162 164 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=65375 $Y=154595 $D=8
+M161 392 391 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=65375 $Y=155875 $D=8
+M162 400 399 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=65375 $Y=190595 $D=8
+M163 421 422 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=65375 $Y=191875 $D=8
+M164 450 ypass[3] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=65615 $Y=87735 $D=8
+M165 VDD 158 160 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=67235 $Y=154595 $D=8
+M166 VDD 394 393 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=67235 $Y=155875 $D=8
+M167 VDD 402 401 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=67235 $Y=190595 $D=8
+M168 VDD 419 420 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=67235 $Y=191875 $D=8
+M169 158 160 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=68375 $Y=154595 $D=8
+M170 394 393 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=68375 $Y=155875 $D=8
+M171 402 401 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=68375 $Y=190595 $D=8
+M172 419 420 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=68375 $Y=191875 $D=8
+M173 451 ypass[2] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=68145 $Y=87735 $D=8
+M174 VDD 170 172 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=70235 $Y=154595 $D=8
+M175 VDD 396 395 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=70235 $Y=155875 $D=8
+M176 VDD 404 403 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=70235 $Y=190595 $D=8
+M177 VDD 417 418 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=70235 $Y=191875 $D=8
+M178 170 172 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=71375 $Y=154595 $D=8
+M179 396 395 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=71375 $Y=155875 $D=8
+M180 404 403 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=71375 $Y=190595 $D=8
+M181 417 418 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=71375 $Y=191875 $D=8
+M182 452 ypass[1] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=71810 $Y=87735 $D=8
+M183 VDD 166 168 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=73235 $Y=154595 $D=8
+M184 VDD 398 397 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=73235 $Y=155875 $D=8
+M185 VDD 406 405 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=73235 $Y=190595 $D=8
+M186 VDD 415 416 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=73235 $Y=191875 $D=8
+M187 166 168 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=74375 $Y=154595 $D=8
+M188 398 397 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=74375 $Y=155875 $D=8
+M189 406 405 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=74375 $Y=190595 $D=8
+M190 415 416 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=74375 $Y=191875 $D=8
+M191 328 ypass[0] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=74340 $Y=87735 $D=8
+M192 VDD 491 492 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=79235 $Y=190595 $D=8
+M193 VDD 429 430 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=79235 $Y=191875 $D=8
+M194 326 ypass[7] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.72675e-13 AS=1.84882e-12 PD=4.28e-06 PS=5.46e-06 NRD=0.441077 NRS=0.838384 m=1 nf=2 $X=78015 $Y=87735 $D=8
+M195 491 492 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=80375 $Y=190595 $D=8
+M196 429 430 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=80375 $Y=191875 $D=8
+M197 342 ypass[6] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=80540 $Y=87735 $D=8
+M198 VDD 489 490 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=82235 $Y=190595 $D=8
+M199 VDD 427 428 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=82235 $Y=191875 $D=8
+M200 489 490 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=83375 $Y=190595 $D=8
+M201 427 428 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=83375 $Y=191875 $D=8
+M202 VDD 487 488 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=85235 $Y=190595 $D=8
+M203 VDD 425 426 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=85235 $Y=191875 $D=8
+M204 341 ypass[5] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=84205 $Y=87735 $D=8
+M205 487 488 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=86375 $Y=190595 $D=8
+M206 425 426 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=86375 $Y=191875 $D=8
+M207 340 ypass[4] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=86735 $Y=87735 $D=8
+M208 VDD 485 486 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=88235 $Y=190595 $D=8
+M209 VDD 423 424 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=88235 $Y=191875 $D=8
+M210 485 486 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=89375 $Y=190595 $D=8
+M211 423 424 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=89375 $Y=191875 $D=8
+M212 VDD 499 500 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=91235 $Y=190595 $D=8
+M213 VDD 437 438 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=91235 $Y=191875 $D=8
+M214 339 ypass[3] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83397e-12 PD=4.29e-06 PS=5.44e-06 NRD=0.444444 NRS=0.83165 m=1 nf=2 $X=90395 $Y=87735 $D=8
+M215 499 500 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=92375 $Y=190595 $D=8
+M216 437 438 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=92375 $Y=191875 $D=8
+M217 338 ypass[2] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=92925 $Y=87735 $D=8
+M218 VDD 497 498 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=94235 $Y=190595 $D=8
+M219 VDD 435 436 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=94235 $Y=191875 $D=8
+M220 497 498 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=95375 $Y=190595 $D=8
+M221 435 436 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=95375 $Y=191875 $D=8
+M222 VDD 495 496 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=97235 $Y=190595 $D=8
+M223 VDD 433 434 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=97235 $Y=191875 $D=8
+M224 337 ypass[1] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.83769e-12 PD=4.29e-06 PS=5.445e-06 NRD=0.444444 NRS=0.833333 m=1 nf=2 $X=96590 $Y=87735 $D=8
+M225 495 496 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=98375 $Y=190595 $D=8
+M226 433 434 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=98375 $Y=191875 $D=8
+M227 VDD 493 494 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=100235 $Y=190595 $D=8
+M228 VDD 431 432 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=100235 $Y=191875 $D=8
+M229 336 ypass[0] VDD VDD pmos_5p0 L=6e-07 W=2.97e-06 AD=9.801e-13 AS=1.8414e-12 PD=4.29e-06 PS=6.935e-06 NRD=0.444444 NRS=0.835017 m=1 nf=2 $X=99120 $Y=87735 $D=8
+M230 493 494 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=101375 $Y=190595 $D=8
+M231 431 432 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=101375 $Y=191875 $D=8
+M232 VDD 137 138 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=106235 $Y=154595 $D=8
+M233 VDD 453 454 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=106235 $Y=155875 $D=8
+M234 VDD 455 456 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=106235 $Y=163595 $D=8
+M235 VDD 457 458 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=106235 $Y=164875 $D=8
+M236 VDD 459 460 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=106235 $Y=172595 $D=8
+M237 VDD 461 462 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=106235 $Y=173875 $D=8
+M238 VDD 463 464 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=106235 $Y=181595 $D=8
+M239 VDD 465 466 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=106235 $Y=182875 $D=8
+M240 VDD 467 468 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=106235 $Y=190595 $D=8
+M241 VDD 139 140 VDD pmos_5p0 L=6e-07 W=6e-07 AD=8.52e-13 AS=2.7e-13 PD=5.32e-06 PS=2.1e-06 NRD=2.36667 NRS=0.75 m=1 nf=1 $X=106235 $Y=191875 $D=8
+M242 VDD VSS VDD VDD pmos_5p0 L=2.365e-06 W=8.19e-05 AD=0 AS=6.1309e-11 PD=0 PS=0.000217698 NRD=0 NRS=11.8457 m=1 nf=36 $X=-3770 $Y=145970 $D=8
+M243 137 138 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=107375 $Y=154595 $D=8
+M244 453 454 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=107375 $Y=155875 $D=8
+M245 455 456 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=107375 $Y=163595 $D=8
+M246 457 458 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=107375 $Y=164875 $D=8
+M247 459 460 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=107375 $Y=172595 $D=8
+M248 461 462 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=107375 $Y=173875 $D=8
+M249 463 464 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=107375 $Y=181595 $D=8
+M250 465 466 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=107375 $Y=182875 $D=8
+M251 467 468 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=107375 $Y=190595 $D=8
+M252 139 140 VDD VDD pmos_5p0 L=6e-07 W=6e-07 AD=2.7e-13 AS=8.52e-13 PD=2.1e-06 PS=5.32e-06 NRD=0.75 NRS=2.36667 m=1 nf=1 $X=107375 $Y=191875 $D=8
+X255 VSS VSS 141 142 143 144 145 146 147 148 ICV_7 $T=12605 151035 1 180 $X=9265 $Y=150695
+X256 VSS VSS 149 150 151 152 153 154 155 156 ICV_7 $T=18605 151035 1 180 $X=15265 $Y=150695
+X257 VSS VSS 157 158 159 160 161 162 163 164 ICV_7 $T=66605 151035 1 180 $X=63265 $Y=150695
+X258 VSS VSS 165 166 167 168 169 170 171 172 ICV_7 $T=72605 151035 1 180 $X=69265 $Y=150695
+X259 VSS VSS 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 ICV_8 $T=605 151035 1 180 $X=-2735 $Y=150695
+X260 VSS VSS 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 ICV_8 $T=605 196035 0 180 $X=-2735 $Y=191195
+X261 VSS VSS 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 ICV_8 $T=12605 196035 0 180 $X=9265 $Y=191195
+X262 VSS VSS 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 ICV_8 $T=27605 196035 0 180 $X=24265 $Y=191195
+X263 VSS VSS 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 ICV_8 $T=39605 196035 0 180 $X=36265 $Y=191195
+X264 VSS VSS 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 ICV_8 $T=54605 151035 1 180 $X=51265 $Y=150695
+X275 107 VSS q[1] pcb[2] din[1] men VDD b[23] bb[23] WEN[2] b[16] bb[16] bb[17] b[17] b[18] bb[18] bb[19] b[19] b[20] bb[20]
++ bb[21] b[21] b[22] bb[22] 325 ypass[0] ypass[1] ypass[2] GWE ypass[3] ypass[4] ypass[5] ypass[6] ypass[7] GWEN 329 330 331 332 333
++ 334 335
++ saout_R_m2 $T=51040 30 1 180 $X=12875 $Y=-18280
+X276 106 VSS q[3] pcb[0] din[3] men VDD b[7] bb[7] WEN[0] b[0] bb[0] bb[1] b[1] b[2] bb[2] bb[3] b[3] b[4] bb[4]
++ bb[5] b[5] b[6] bb[6] 326 ypass[0] ypass[1] ypass[2] GWE ypass[3] ypass[4] ypass[5] ypass[6] ypass[7] GWEN 336 337 338 339 340
++ 341 342
++ saout_R_m2 $T=105040 30 1 180 $X=66875 $Y=-18280
+X277 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] b[31] bb[31] bb[30] b[30] b[29] bb[29] bb[28] b[28] 343 344
++ 345 346 347 348 349 350 351 352 353 354 355 356 357 358
++ ICV_17 $T=-2395 155535 0 0 $X=-2735 $Y=155195
+X278 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] b[27] bb[27] bb[26] b[26] b[25] bb[25] bb[24] b[24] 359 360
++ 361 362 363 364 365 366 367 368 369 370 371 372 373 374
++ ICV_17 $T=9605 155535 0 0 $X=9265 $Y=155195
+X279 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] b[15] bb[15] bb[14] b[14] b[13] bb[13] bb[12] b[12] 375 376
++ 377 378 379 380 381 382 383 384 385 386 387 388 389 390
++ ICV_17 $T=51605 155535 0 0 $X=51265 $Y=155195
+X280 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] b[11] bb[11] bb[10] b[10] b[9] bb[9] bb[8] b[8] 391 392
++ 393 394 395 396 397 398 399 400 401 402 403 404 405 406
++ ICV_17 $T=63605 155535 0 0 $X=63265 $Y=155195
+X281 VSS VSS 407 408 409 410 411 412 413 414 415 416 417 418 419 420 421 422 423 424
++ 425 426 427 428 429 430 431 432 433 434 435 436 437 438
++ new_dummyrow_unit $T=51295 196920 1 0 $X=51265 $Y=191195
+X282 108 VSS q[0] din[0] pcb[3] men VDD b[24] bb[24] WEN[3] b[31] bb[31] bb[30] b[30] b[29] bb[29] bb[28] b[28] b[27] bb[27]
++ bb[26] b[26] b[25] bb[25] 327 ypass[7] ypass[6] ypass[5] GWE ypass[4] ypass[3] ypass[2] ypass[1] ypass[0] GWEN 439 440 441 442 443
++ 444 445
++ saout_m2 $T=-4830 -5 0 0 $X=-6175 $Y=-17780
+X283 105 VSS q[2] din[2] pcb[1] men VDD b[8] bb[8] WEN[1] b[15] bb[15] bb[14] b[14] b[13] bb[13] bb[12] b[12] b[11] bb[11]
++ bb[10] b[10] b[9] bb[9] 328 ypass[7] ypass[6] ypass[5] GWE ypass[4] ypass[3] ypass[2] ypass[1] ypass[0] GWEN 446 447 448 449 450
++ 451 452
++ saout_m2 $T=49170 -5 0 0 $X=47825 $Y=-17780
+X284 46 47 VSS 453 454 455 456 ICV_25 $T=102605 160035 0 0 $X=102265 $Y=155195
+X285 46 47 VSS 457 458 459 460 ICV_25 $T=102605 169035 0 0 $X=102265 $Y=164195
+X286 46 47 VSS 461 462 463 464 ICV_25 $T=102605 178035 0 0 $X=102265 $Y=173195
+X287 46 47 VSS 465 466 467 468 ICV_25 $T=102605 187035 0 0 $X=102265 $Y=182195
+X288 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] b[20] bb[20] bb[21] b[21] b[22] bb[22] bb[23] b[23] 469 470
++ 471 472 473 474 475 476
++ ICV_26 $T=27605 151035 1 180 $X=24265 $Y=150695
+X289 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] b[16] bb[16] bb[17] b[17] b[18] bb[18] bb[19] b[19] 477 478
++ 479 480 481 482 483 484
++ ICV_26 $T=39605 151035 1 180 $X=36265 $Y=150695
+X290 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] b[4] bb[4] bb[5] b[5] b[6] bb[6] bb[7] b[7] 485 486
++ 487 488 489 490 491 492
++ ICV_26 $T=81605 151035 1 180 $X=78265 $Y=150695
+X291 VDD VSS WL[0] WL[1] WL[2] WL[3] WL[4] WL[5] WL[6] WL[7] b[0] bb[0] bb[1] b[1] b[2] bb[2] bb[3] b[3] 493 494
++ 495 496 497 498 499 500
++ ICV_26 $T=93605 151035 1 180 $X=90265 $Y=150695
+.ENDS
+***************************************
+.SUBCKT M1_PSUB_I05
+** N=2765 EP=0 IP=0 FDC=0
+.ENDS
+***************************************
+.SUBCKT gf180mcu_fd_ip_sram__sram64x8m8wm1 A[5] A[4] A[3] A[2] A[1] A[0] CEN CLK
++ D[7] D[6] D[5] D[4] D[3] D[2] D[1] D[0] GWEN Q[7] Q[6] Q[5] Q[4] Q[3] Q[2]
++ Q[1] Q[0] VDD VSS WEN[7] WEN[6] WEN[5] WEN[4] WEN[3] WEN[2] WEN[1] WEN[0]
+** N=5630 EP=35 IP=395 FDC=6349
+M0 VSS 395 599 VSS nmos_5p0 L=6e-07 W=1.36e-06 AD=3.536e-13 AS=5.984e-13 PD=1.88e-06 PS=3.6e-06 NRD=0.191176 NRS=0.323529 m=1 nf=1 $X=233770 $Y=54135 $D=2
+M1 599 CLK VSS VSS nmos_5p0 L=6e-07 W=1.36e-06 AD=5.984e-13 AS=3.536e-13 PD=3.6e-06 PS=1.88e-06 NRD=0.323529 NRS=0.191176 m=1 nf=1 $X=234890 $Y=54135 $D=2
+M2 592 595 VSS VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=1.1804e-12 AS=1.9976e-12 PD=5.58e-06 PS=1.084e-05 NRD=0.229075 NRS=0.387665 m=1 nf=2 $X=242235 $Y=54135 $D=2
+M3 273 598 VSS VSS nmos_5p0 L=1e-06 W=6e-07 AD=2.64e-13 AS=2.64e-13 PD=2.08e-06 PS=2.08e-06 NRD=0.733333 NRS=0.733333 m=1 nf=1 $X=243265 $Y=46010 $D=2
+M4 CEN 599 595 VSS nmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=245925 $Y=54135 $D=2
+M5 242 461 VSS VSS nmos_5p0 L=6e-07 W=4.99e-05 AD=1.47704e-11 AS=1.47704e-11 PD=6.284e-05 PS=6.284e-05 NRD=0.148297 NRS=0.148297 m=1 nf=5 $X=241995 $Y=72320 $D=2
+M6 309 273 VSS VSS nmos_5p0 L=6e-07 W=7.5e-07 AD=3.3e-13 AS=3.3e-13 PD=2.38e-06 PS=2.38e-06 NRD=0.586667 NRS=0.586667 m=1 nf=1 $X=246495 $Y=46075 $D=2
+M7 346 309 VSS VSS nmos_5p0 L=6e-07 W=3.02e-06 AD=1.3288e-12 AS=1.3288e-12 PD=6.92e-06 PS=6.92e-06 NRD=0.145695 NRS=0.145695 m=1 nf=1 $X=249065 $Y=46070 $D=2
+M8 5512 346 VSS VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=1.34946e-11 PD=2.32e-05 PS=4.655e-05 NRD=0.0114638 NRS=0.0262346 m=1 nf=1 $X=256125 $Y=28435 $D=2
+M9 5513 CLK 5512 VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=257245 $Y=28435 $D=2
+M10 438 592 5513 VSS nmos_5p0 L=6e-07 W=2.268e-05 AD=1.33812e-11 AS=5.8968e-12 PD=4.654e-05 PS=2.32e-05 NRD=0.0260141 NRS=0.0114638 m=1 nf=1 $X=258365 $Y=28435 $D=2
+M11 5514 488 VSS VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=4.7177e-12 AS=1.07963e-11 PD=1.8665e-05 PS=3.748e-05 NRD=0.014329 NRS=0.0327914 m=1 nf=1 $X=262120 $Y=29545 $D=2
+M12 461 438 5514 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=263240 $Y=29545 $D=2
+M13 5515 438 461 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=264360 $Y=29545 $D=2
+M14 VSS 488 5515 VSS nmos_5p0 L=6e-07 W=1.8145e-05 AD=1.07055e-11 AS=4.7177e-12 PD=3.747e-05 PS=1.8665e-05 NRD=0.0325158 NRS=0.014329 m=1 nf=1 $X=265480 $Y=29545 $D=2
+M15 5516 461 VSS VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=1.16905e-12 AS=2.7013e-12 PD=5.055e-06 PS=1.027e-05 NRD=0.0567181 NRS=0.131057 m=1 nf=1 $X=268545 $Y=43150 $D=2
+M16 488 608 5516 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=2.27e-14 AS=-2.27e-14 PD=1e-08 PS=-1e-08 NRD=0.00110132 NRS=-0.00110132 m=1 nf=1 $X=269660 $Y=43150 $D=2
+M17 5517 608 488 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=-2.27e-14 AS=2.27e-14 PD=-1e-08 PS=1e-08 NRD=-0.00110132 NRS=0.00110132 m=1 nf=1 $X=270785 $Y=43150 $D=2
+M18 VSS 461 5517 VSS nmos_5p0 L=6e-07 W=4.54e-06 AD=2.7013e-12 AS=1.16905e-12 PD=1.027e-05 PS=5.055e-06 NRD=0.131057 NRS=0.0567181 m=1 nf=1 $X=271900 $Y=43150 $D=2
+M19 395 242 VSS VSS nmos_5p0 L=6e-07 W=0.0001474 AD=3.8324e-11 AS=4.09772e-11 PD=0.0001578 PS=0.00017326 NRD=0.705563 NRS=0.75441 m=1 nf=20 $X=253180 $Y=76320 $D=2
+M20 5594 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=13620 $Y=160970 $D=8
+M21 5593 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=13620 $Y=164845 $D=8
+M22 5591 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=16720 $Y=160970 $D=8
+M23 5592 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=16720 $Y=164845 $D=8
+M24 5590 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=19815 $Y=160970 $D=8
+M25 5589 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=19815 $Y=164845 $D=8
+M26 5587 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=22915 $Y=160970 $D=8
+M27 5588 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=22915 $Y=164845 $D=8
+M28 5578 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=26005 $Y=160970 $D=8
+M29 5577 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=26005 $Y=164845 $D=8
+M30 5575 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=29105 $Y=160970 $D=8
+M31 5576 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=29105 $Y=164845 $D=8
+M32 5580 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=32200 $Y=160970 $D=8
+M33 5579 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=32200 $Y=164845 $D=8
+M34 625 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=35120 $Y=160970 $D=8
+M35 626 5431 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=35120 $Y=164845 $D=8
+M36 627 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=38575 $Y=160970 $D=8
+M37 628 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=38575 $Y=164845 $D=8
+M38 5599 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=41500 $Y=160970 $D=8
+M39 5600 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=41500 $Y=164845 $D=8
+M40 5598 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=44595 $Y=160970 $D=8
+M41 5597 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=44595 $Y=164845 $D=8
+M42 5595 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=47695 $Y=160970 $D=8
+M43 5596 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=47695 $Y=164845 $D=8
+M44 5608 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=50785 $Y=160970 $D=8
+M45 5607 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=50785 $Y=164845 $D=8
+M46 5605 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=53885 $Y=160970 $D=8
+M47 5606 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=53885 $Y=164845 $D=8
+M48 5604 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=56980 $Y=160970 $D=8
+M49 5603 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=56980 $Y=164845 $D=8
+M50 5601 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=60080 $Y=160970 $D=8
+M51 5602 5432 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=60080 $Y=164845 $D=8
+M52 5616 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=67620 $Y=160970 $D=8
+M53 5615 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=67620 $Y=164845 $D=8
+M54 5613 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=70720 $Y=160970 $D=8
+M55 5614 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=70720 $Y=164845 $D=8
+M56 5612 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=73815 $Y=160970 $D=8
+M57 5611 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=73815 $Y=164845 $D=8
+M58 5609 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=76915 $Y=160970 $D=8
+M59 5610 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=76915 $Y=164845 $D=8
+M60 5584 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=80005 $Y=160970 $D=8
+M61 5583 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=80005 $Y=164845 $D=8
+M62 5581 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=83105 $Y=160970 $D=8
+M63 5582 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=83105 $Y=164845 $D=8
+M64 5586 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=86200 $Y=160970 $D=8
+M65 5585 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=86200 $Y=164845 $D=8
+M66 629 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=89120 $Y=160970 $D=8
+M67 630 5433 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=89120 $Y=164845 $D=8
+M68 631 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=92575 $Y=160970 $D=8
+M69 632 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=92575 $Y=164845 $D=8
+M70 5629 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=95500 $Y=160970 $D=8
+M71 5630 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=95500 $Y=164845 $D=8
+M72 5628 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=98595 $Y=160970 $D=8
+M73 5627 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=98595 $Y=164845 $D=8
+M74 5625 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=101695 $Y=160970 $D=8
+M75 5626 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=101695 $Y=164845 $D=8
+M76 5624 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=104785 $Y=160970 $D=8
+M77 5623 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=104785 $Y=164845 $D=8
+M78 5621 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=107885 $Y=160970 $D=8
+M79 5622 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=107885 $Y=164845 $D=8
+M80 5620 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=110980 $Y=160970 $D=8
+M81 5619 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=110980 $Y=164845 $D=8
+M82 5617 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=114080 $Y=160970 $D=8
+M83 5618 5434 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=114080 $Y=164845 $D=8
+M84 5518 395 VDD VDD pmos_5p0 L=5.95e-07 W=2.28e-06 AD=5.985e-13 AS=1.3566e-12 PD=2.805e-06 PS=5.75e-06 NRD=0.115132 NRS=0.260965 m=1 nf=1 $X=233770 $Y=57780 $D=8
+M85 593 599 VDD VDD pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=233770 $Y=63100 $D=8
+M86 599 CLK 5518 VDD pmos_5p0 L=5.95e-07 W=2.28e-06 AD=1.3566e-12 AS=5.985e-13 PD=5.75e-06 PS=2.805e-06 NRD=0.260965 NRS=0.115132 m=1 nf=1 $X=234890 $Y=57780 $D=8
+M87 592 595 VDD VDD pmos_5p0 L=6e-07 W=1.134e-05 AD=2.9484e-12 AS=4.9896e-12 PD=1.238e-05 PS=2.444e-05 NRD=0.0917108 NRS=0.155203 m=1 nf=2 $X=242235 $Y=57810 $D=8
+M88 273 598 VDD VDD pmos_5p0 L=1e-06 W=9e-07 AD=3.96e-13 AS=3.96e-13 PD=2.68e-06 PS=2.68e-06 NRD=0.488889 NRS=0.488889 m=1 nf=1 $X=243265 $Y=42525 $D=8
+M89 CEN 593 595 VDD pmos_5p0 L=6e-07 W=2.27e-06 AD=9.988e-13 AS=9.988e-13 PD=5.42e-06 PS=5.42e-06 NRD=0.193833 NRS=0.193833 m=1 nf=1 $X=245925 $Y=59010 $D=8
+M90 594 599 595 VDD pmos_5p0 L=6e-07 W=9.6e-07 AD=4.224e-13 AS=4.224e-13 PD=2.8e-06 PS=2.8e-06 NRD=0.458333 NRS=0.458333 m=1 nf=1 $X=245925 $Y=64875 $D=8
+M91 309 273 VDD VDD pmos_5p0 L=6e-07 W=1.89e-06 AD=8.316e-13 AS=8.316e-13 PD=4.66e-06 PS=4.66e-06 NRD=0.232804 NRS=0.232804 m=1 nf=1 $X=246495 $Y=41535 $D=8
+M92 346 309 VDD VDD pmos_5p0 L=6e-07 W=7.54e-06 AD=1.9604e-12 AS=3.3176e-12 PD=8.58e-06 PS=1.684e-05 NRD=0.137931 NRS=0.233422 m=1 nf=2 $X=249065 $Y=39655 $D=8
+M93 242 461 VDD VDD pmos_5p0 L=6e-07 W=0.0001248 AD=3.2448e-11 AS=3.69283e-11 PD=0.00013 PS=0.000130718 NRD=0.208333 NRS=0.237099 m=1 nf=10 $X=240535 $Y=94430 $D=8
+M94 438 346 VDD VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=5.07e-12 AS=8.58e-12 PD=2.002e-05 PS=3.988e-05 NRD=0.0133333 NRS=0.0225641 m=1 nf=1 $X=256125 $Y=53590 $D=8
+M95 VDD CLK 438 VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=0 AS=0 PD=0 PS=0 NRD=0 NRS=0 m=1 nf=1 $X=257245 $Y=53590 $D=8
+M96 438 592 VDD VDD pmos_5p0 L=6e-07 W=1.95e-05 AD=8.58e-12 AS=5.07e-12 PD=3.988e-05 PS=2.002e-05 NRD=0.0225641 NRS=0.0133333 m=1 nf=1 $X=258365 $Y=53590 $D=8
+M97 VDD 488 461 VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=9.9792e-12 PD=2.32e-05 PS=4.624e-05 NRD=0.0114638 NRS=0.0194004 m=1 nf=1 $X=262120 $Y=50420 $D=8
+M98 461 438 VDD VDD pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.64e-05 PS=4.64e-05 NRD=0.0229277 NRS=0.0229277 m=1 nf=2 $X=263240 $Y=50420 $D=8
+M99 461 488 VDD VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=9.9792e-12 AS=5.8968e-12 PD=4.624e-05 PS=2.32e-05 NRD=0.0194004 NRS=0.0114638 m=1 nf=1 $X=265480 $Y=50420 $D=8
+M100 VDD 461 488 VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=5.8968e-12 AS=9.9792e-12 PD=2.32e-05 PS=4.624e-05 NRD=0.0114638 NRS=0.0194004 m=1 nf=1 $X=268545 $Y=50420 $D=8
+M101 488 608 VDD VDD pmos_5p0 L=6e-07 W=4.536e-05 AD=1.17936e-11 AS=1.17936e-11 PD=4.64e-05 PS=4.64e-05 NRD=0.0229277 NRS=0.0229277 m=1 nf=2 $X=269665 $Y=50420 $D=8
+M102 488 461 VDD VDD pmos_5p0 L=6e-07 W=2.268e-05 AD=9.9792e-12 AS=5.8968e-12 PD=4.624e-05 PS=2.32e-05 NRD=0.0194004 NRS=0.0114638 m=1 nf=1 $X=271905 $Y=50420 $D=8
+M103 395 242 VDD VDD pmos_5p0 L=6e-07 W=0.0003674 AD=9.5524e-11 AS=1.02119e-10 PD=0.0003778 PS=0.000378518 NRD=0.28307 NRS=0.302613 m=1 nf=20 $X=253180 $Y=88540 $D=8
+M104 5566 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=311500 $Y=160970 $D=8
+M105 5565 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=311500 $Y=164845 $D=8
+M106 5563 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=314600 $Y=160970 $D=8
+M107 5564 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=314600 $Y=164845 $D=8
+M108 5562 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=317695 $Y=160970 $D=8
+M109 5561 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=317695 $Y=164845 $D=8
+M110 5559 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=320795 $Y=160970 $D=8
+M111 5560 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=320795 $Y=164845 $D=8
+M112 5550 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=323885 $Y=160970 $D=8
+M113 5549 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=323885 $Y=164845 $D=8
+M114 5547 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=326985 $Y=160970 $D=8
+M115 5548 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=326985 $Y=164845 $D=8
+M116 5552 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=330080 $Y=160970 $D=8
+M117 5551 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=330080 $Y=164845 $D=8
+M118 617 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=333000 $Y=160970 $D=8
+M119 618 5428 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=333000 $Y=164845 $D=8
+M120 619 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=336455 $Y=160970 $D=8
+M121 620 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=336455 $Y=164845 $D=8
+M122 5523 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=339380 $Y=160970 $D=8
+M123 5524 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=339380 $Y=164845 $D=8
+M124 5522 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=342475 $Y=160970 $D=8
+M125 5521 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=342475 $Y=164845 $D=8
+M126 5519 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=345575 $Y=160970 $D=8
+M127 5520 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=345575 $Y=164845 $D=8
+M128 5532 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=348665 $Y=160970 $D=8
+M129 5531 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=348665 $Y=164845 $D=8
+M130 5529 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=351765 $Y=160970 $D=8
+M131 5530 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=351765 $Y=164845 $D=8
+M132 5528 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=354860 $Y=160970 $D=8
+M133 5527 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=354860 $Y=164845 $D=8
+M134 5525 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=357960 $Y=160970 $D=8
+M135 5526 5429 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=357960 $Y=164845 $D=8
+M136 5574 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=365500 $Y=160970 $D=8
+M137 5573 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=365500 $Y=164845 $D=8
+M138 5571 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=368600 $Y=160970 $D=8
+M139 5572 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=368600 $Y=164845 $D=8
+M140 5570 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=371695 $Y=160970 $D=8
+M141 5569 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=371695 $Y=164845 $D=8
+M142 5567 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=374795 $Y=160970 $D=8
+M143 5568 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=374795 $Y=164845 $D=8
+M144 5556 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=377885 $Y=160970 $D=8
+M145 5555 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=377885 $Y=164845 $D=8
+M146 5553 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=380985 $Y=160970 $D=8
+M147 5554 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=380985 $Y=164845 $D=8
+M148 5558 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=384080 $Y=160970 $D=8
+M149 5557 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=384080 $Y=164845 $D=8
+M150 621 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=387000 $Y=160970 $D=8
+M151 622 5430 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=387000 $Y=164845 $D=8
+M152 623 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=390455 $Y=160970 $D=8
+M153 624 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.79025e-12 AS=4.99565e-12 PD=7.87e-06 PS=9.75e-06 NRD=0.153959 NRS=0.429619 m=1 nf=2 $X=390455 $Y=164845 $D=8
+M154 5537 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=393380 $Y=160970 $D=8
+M155 5538 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.39037e-12 PD=7.86e-06 PS=9.395e-06 NRD=0.152493 NRS=0.377566 m=1 nf=2 $X=393380 $Y=164845 $D=8
+M156 5536 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=396475 $Y=160970 $D=8
+M157 5535 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=396475 $Y=164845 $D=8
+M158 5533 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=399575 $Y=160970 $D=8
+M159 5534 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=399575 $Y=164845 $D=8
+M160 5546 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=402665 $Y=160970 $D=8
+M161 5545 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.68875e-12 PD=7.86e-06 PS=9.57e-06 NRD=0.152493 NRS=0.403226 m=1 nf=2 $X=402665 $Y=164845 $D=8
+M162 5543 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=405765 $Y=160970 $D=8
+M163 5544 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=405765 $Y=164845 $D=8
+M164 5542 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=408860 $Y=160970 $D=8
+M165 5541 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=4.69727e-12 PD=7.86e-06 PS=9.575e-06 NRD=0.152493 NRS=0.403959 m=1 nf=2 $X=408860 $Y=164845 $D=8
+M166 5539 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=411960 $Y=160970 $D=8
+M167 5540 616 VDD VDD pmos_5p0 L=6e-07 W=6.82e-06 AD=1.7732e-12 AS=5.2173e-12 PD=7.86e-06 PS=1.329e-05 NRD=0.152493 NRS=0.44868 m=1 nf=2 $X=411960 $Y=164845 $D=8
+X175 VSS 593 599 VSS nmos_1p2$$46563372 $T=233925 66830 0 0 $X=232780 $Y=66145
+X176 594 VSS 592 VSS nmos_1p2$$46563372 $T=243510 68190 1 0 $X=242365 $Y=66555
+X177 595 594 593 VSS nmos_1p2$$46563372 $T=246080 68190 1 0 $X=244935 $Y=66555
+X178 VDD 594 592 pmos_1p2$$46273580 $T=242390 65835 1 0 $X=240960 $Y=64015
+X180 VSS 608 616 VDD 5452 WEN[5] 5429 619 620 395 WEN[7] 623 624 WEN[4] 5428 617 618 WEN[6] 5430 621
++ 622 5461 5462 5463 5464 5465 5466 5467 5468 607 5451 5459 5460 5458 5457 5456 5455 5454 5453 D[5]
++ Q[5] D[7] Q[7] D[4] Q[4] D[6] Q[6] 5519 5520 5521 5522 5523 5524 5525 5526 5527 5528 5529 5530 5531
++ 5532 5533 5534 5535 5536 5537 5538 5539 5540 5541 5542 5543 5544 5545 5546 5547 5548 5549 5550 5551
++ 5552 5553 5554 5555 5556 5557 5558 5559 5560 5561 5562 5563 5564 5565 5566 5567 5568 5569 5570 5571
++ 5572 5573 5574
++ rcol4_64 $T=302555 25095 0 0 $X=297105 $Y=5955
+X191 VSS 597 CLK nmos_5p0_I09 $T=234280 46585 1 0 $X=233600 $Y=45365
+X192 VSS 598 597 nmos_5p0_I09 $T=239670 46585 1 0 $X=238990 $Y=45365
+X193 VDD 597 CLK pmos_5p0_I15 $T=234280 43425 1 0 $X=233240 $Y=41905
+X194 VDD 598 597 pmos_5p0_I15 $T=239670 43425 1 0 $X=238630 $Y=41905
+X197 VSS 395 VDD CLK A[5] A[4] A[3] 5496 5497 5498 5499 5500 5501 5502 5503 xpredec1 $T=219860 111460 0 0 $X=219855 $Y=111455
+X198 VSS 5450 VDD GWEN CLK 5451 607 wen_v2 $T=208415 16605 0 0 $X=208280 $Y=15275
+X201 VSS VDD 395 CLK VSS VSS 5485 5486 5490 5491 xpredec0 $T=146075 111460 0 0 $X=144630 $Y=111455
+X202 VSS VDD 395 CLK VSS VSS 5492 5493 5494 5495 xpredec0 $T=182970 111460 0 0 $X=181525 $Y=111455
+X203 VSS VDD 395 CLK 5448 5449 5442 5443 5444 5445 5446 5447 5460 5459 5458 5457 5456 5455 5454 5453
++ A[2] A[1] A[0]
++ ypredec1 $T=145470 26355 0 0 $X=146365 $Y=26735
+X205 395 VSS 5452 VDD 5503 5502 5501 5500 5499 5498 5497 5496 5469 5470 5471 5472 5473 5474 5475 5476
++ 5462 5463 5465 5467 5468 5464 5466 5461
++ xdec8_64 $T=143385 180635 0 0 $X=126565 $Y=178920
+X206 VSS VDD WEN[1] 5432 627 628 395 WEN[3] 5434 631 632 WEN[0] 5431 625 626 WEN[2] 5433 629 630 5469
++ 5470 5471 5472 5473 5474 5475 5476 5451 607 5442 5443 5444 5445 5446 5447 5448 5449 D[1] Q[1] D[3]
++ Q[3] D[0] Q[0] D[2] Q[2] 5575 5576 5577 5578 5579 5580 5581 5582 5583 5584 5585 5586 5587 5588 5589
++ 5590 5591 5592 5593 5594 5595 5596 5597 5598 5599 5600 5601 5602 5603 5604 5605 5606 5607 5608 5609
++ 5610 5611 5612 5613 5614 5615 5616 5617 5618 5619 5620 5621 5622 5623 5624 5625 5626 5627 5628 5629
++ 5630
++ lcol4_64 $T=14605 25095 0 0 $X=2855 $Y=4030
+.ENDS
+***************************************
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.gds b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.gds
new file mode 100644
index 0000000..d53a578
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.gds
Binary files differ
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.lef b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.lef
new file mode 100644
index 0000000..0300d3a
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.lef
@@ -0,0 +1,1762 @@
+# Copyright 2022 GlobalFoundries PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+#=====================================
+# Revision: 1.1
+#=====================================
+
+VERSION 5.8 ;
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+
+UNITS
+  DATABASE MICRONS   1000 ;
+END UNITS
+
+MANUFACTURINGGRID   0.005 ;
+
+
+
+MACRO gf180mcu_fd_ip_sram__sram64x8m8wm1
+  CLASS BLOCK ;
+  ORIGIN 0 0 ;
+  FOREIGN gf180mcu_fd_ip_sram__sram64x8m8wm1 0 0 ;
+  SIZE 431.86 BY 232.88 ;
+  SYMMETRY X Y R90 ;
+  PIN A[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 171.215 0 172.335 1 ;
+    END
+  END A[0]
+  PIN A[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 162.76 0 163.88 1 ;
+    END
+  END A[1]
+  PIN A[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 154.295 0 155.415 1 ;
+    END
+  END A[2]
+  PIN A[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 281.325 0 282.445 1 ;
+    END
+  END A[3]
+  PIN A[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 275.82 0 276.94 1 ;
+    END
+  END A[4]
+  PIN A[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 272.085 0 273.205 1 ;
+    END
+  END A[5]
+  PIN CEN
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 1.9976 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 251.71 0 252.83 1 ;
+    END
+  END CEN
+  PIN CLK
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 44.7066 LAYER Metal3 ;
+      ANTENNAGATEAREA 2.8680 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 139.68 0 140.8 1 ;
+    END
+  END CLK
+  PIN D[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 9.32 0 10.44 1 ;
+    END
+  END D[0]
+  PIN D[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 61.03 0 62.15 1 ;
+    END
+  END D[1]
+  PIN D[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 67.27 0 68.39 1 ;
+    END
+  END D[2]
+  PIN D[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 118.975 0 120.095 1 ;
+    END
+  END D[3]
+  PIN D[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 307.235 0 308.355 1 ;
+    END
+  END D[4]
+  PIN D[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 358.91 0 360.03 1 ;
+    END
+  END D[5]
+  PIN D[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 365.15 0 366.27 1 ;
+    END
+  END D[6]
+  PIN D[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.152 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 416.86 0 417.98 1 ;
+    END
+  END D[7]
+  PIN GWEN
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 14.466 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 202.94 0 204.06 1 ;
+    END
+  END GWEN
+  PIN Q[0]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 16.9 0 18.02 1 ;
+    END
+  END Q[0]
+  PIN Q[1]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 57.665 0 58.785 1 ;
+    END
+  END Q[1]
+  PIN Q[2]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 70.635 0 71.755 1 ;
+    END
+  END Q[2]
+  PIN Q[3]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 111.395 0 112.515 1 ;
+    END
+  END Q[3]
+  PIN Q[4]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 314.79 0 315.91 1 ;
+    END
+  END Q[4]
+  PIN Q[5]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 355.545 0 356.665 1 ;
+    END
+  END Q[5]
+  PIN Q[6]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 368.515 0 369.635 1 ;
+    END
+  END Q[6]
+  PIN Q[7]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    ANTENNADIFFAREA 11.328 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 409.275 0 410.395 1 ;
+    END
+  END Q[7]
+  PIN WEN[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 12.695 0 13.815 1 ;
+    END
+  END WEN[0]
+  PIN WEN[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 63.02 0 64.14 1 ;
+    END
+  END WEN[1]
+  PIN WEN[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 65.27 0 66.39 1 ;
+    END
+  END WEN[2]
+  PIN WEN[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 117.02 0 118.14 1 ;
+    END
+  END WEN[3]
+  PIN WEN[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 310.575 0 311.695 1 ;
+    END
+  END WEN[4]
+  PIN WEN[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 360.9 0 362.02 1 ;
+    END
+  END WEN[5]
+  PIN WEN[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 363.15 0 364.27 1 ;
+    END
+  END WEN[6]
+  PIN WEN[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+      ANTENNAGATEAREA 1.938 LAYER Metal2 ;
+    PORT
+      LAYER Metal2 ;
+        RECT 413.475 0 414.595 1 ;
+    END
+  END WEN[7]
+  PIN VDD
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER Metal3 ;
+        RECT 0 214.88 8.53 218.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 205.88 8.53 209.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 196.88 8.53 200.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 187.88 8.53 191.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 178.88 8.53 182.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 40.76 5.07 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 40.76 15.055 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.13 40.77 143.645 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 40.765 121.25 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 140.89 35.42 143.645 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 40.77 143.645 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.685 33.72 173.11 38.26 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 140.89 35.42 173.11 38.26 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 7.005 223.88 12.005 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 20.685 223.88 25.685 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 34.005 223.88 39.005 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 47.685 223.88 52.685 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 61.005 223.88 66.005 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 74.685 223.88 79.685 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 88.005 223.88 93.005 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 103.265 223.88 108.265 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 117.415 223.88 122.415 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 132.86 223.88 137.86 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 153.55 223.88 158.55 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 177.075 223.88 182.075 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 192.925 223.88 197.925 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 206.15 223.88 211.15 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 225.345 223.88 230.345 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 231.565 223.88 236.565 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 244.505 223.88 249.505 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 262.845 223.88 267.845 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 271.31 223.88 276.31 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 287.735 223.88 292.735 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 304.885 223.88 309.885 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 318.565 223.88 323.565 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 331.885 223.88 336.885 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 345.565 223.88 350.565 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 358.885 223.88 363.885 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 372.565 223.88 377.565 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 385.885 223.88 390.885 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 401.145 223.88 406.145 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.295 223.88 420.295 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 223.88 428.33 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 223.88 431.86 228.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 214.88 431.86 218.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 205.88 431.86 209.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 196.88 431.86 200.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 187.88 431.86 191.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 178.88 431.86 182.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 147.15 8.53 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 10.475 161.575 10.94 170.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.575 15.055 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.58 125.425 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 161.59 136.07 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 157.43 291.755 160.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 136.91 291.755 150.525 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 157.43 291.755 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.265 161.575 361.915 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 161.575 431.86 170.62 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 147.15 431.86 148.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 147.15 431.86 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 363.265 161.575 431.86 170.625 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 114.69 8.53 119.69 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 114.69 136.07 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.85 116.85 291.74 121.39 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 114.685 418.815 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.54 114.69 431.86 116.9 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 114.69 431.86 119.69 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 90.08 121.25 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.565 99.845 278.225 108.125 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.605 99.845 278.225 108.535 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 222.16 99.845 278.225 108.54 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 90.075 418.815 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.035 90.08 431.86 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 99.845 431.86 103.695 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 60.18 8.53 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.105 60.23 173.805 64.235 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 67.305 136.07 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 60.18 121.25 64.23 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 60.23 136.07 70.895 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 60.23 173.805 64.67 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.52 49.86 206.765 63.03 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 53.78 206.765 63.03 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 58.485 291.755 63.03 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 59.22 291.755 63.03 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 60.175 292.105 69.33 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 299.13 60.175 300.13 70.085 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 67.305 431.86 69.33 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.035 67.305 362.145 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 308.865 67.305 431.86 70.885 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.845 60.175 421.105 64.235 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 415.845 67.305 421.105 70.895 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 251.14 60.18 431.86 64.23 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 133.86 60.175 424.995 63.03 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 60.18 431.86 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 363.035 67.305 431.86 70.89 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.01 40.765 431.86 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 40.77 311.39 47.58 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 40.77 362.17 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 363.01 40.76 416.17 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 40.76 431.86 47.57 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 40.76 431.86 47.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.3 8.56 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 25.865 15.055 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 25.87 121.25 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.3 121.705 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.435 25.875 136.07 28.15 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.545 20.83 312.145 23.095 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 20.82 296.615 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 20.83 312.145 23.105 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 289.545 25.875 312.145 28.15 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 308.94 20.3 431.86 22.575 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 25.865 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.01 25.87 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.3 20.3 431.86 28.145 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 3.53 0 8.53 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 10.195 0 15.195 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 17.21 0 22.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 29.21 0 34.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 35.21 0 40.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 41.21 0 46.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 53.21 0 58.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 62.215 0 67.215 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 71.21 0 76.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 83.21 0 88.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 89.21 0 94.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 95.21 0 100.21 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 109.55 0 114.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 115.55 0 120.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 122.05 0 127.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 128.55 0 133.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 135.05 0 140.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 141.55 0 146.55 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 148.05 0 153.05 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 180.155 0 185.155 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 196.14 0 201.14 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 212.165 0 217.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 224.165 0 229.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 236.165 0 241.165 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 242.83 0 247.83 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 249.38 0 254.38 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 272.29 0 277.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 278.79 0 283.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 285.29 0 290.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 291.79 0 296.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 298.29 0 303.29 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 304.79 0 309.79 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 311.475 0 316.475 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 327.09 0 332.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 333.09 0 338.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 339.09 0 344.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 351.09 0 356.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 360.085 0 365.085 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 369.09 0 374.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 381.09 0 386.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 387.09 0 392.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 393.09 0 398.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 405.09 0 410.09 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 412.095 0 417.095 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 423.33 0 428.33 11.16 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 6.16 431.86 11.16 ;
+    END
+  END VDD
+  PIN VSS
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER Metal3 ;
+        RECT 13.13 229.84 18.13 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 23.21 0 28.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 26.81 229.84 31.81 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 40.13 229.84 45.13 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 47.21 0 52.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 53.81 229.84 58.81 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 67.13 229.84 72.13 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 77.21 0 82.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 80.81 229.84 85.81 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 94.13 229.84 99.13 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 101.21 0 106.21 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 111.29 229.84 116.29 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 125.79 229.84 130.79 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 9.77 132.165 11.395 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 63.77 132.165 65.395 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 132.175 130.35 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 6.355 132.17 139.14 134.45 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 50.88 15.055 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.245 50.87 121.25 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.145 50.875 121.25 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.235 50.88 139.14 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 172.68 5.07 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 175.63 124.6 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 172.68 125.425 175.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 124.71 172.45 139.15 174.81 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 139.385 229.84 144.385 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 146.365 229.84 151.365 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 156.62 0 161.62 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 161.905 229.84 166.905 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 165.11 0 170.11 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 170.12 229.84 175.12 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.155 0 179.155 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 184.74 229.84 189.74 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 190.14 0 195.14 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 199.41 229.84 204.41 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 206.165 0 211.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 212.15 229.84 217.15 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 218.165 0 223.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 218.565 229.84 223.565 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 230.165 0 235.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 237.69 229.84 242.69 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 252.325 229.84 257.325 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 256.165 0 261.165 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 262.39 0 267.39 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 279.95 229.84 284.95 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.955 229.84 298.955 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 311.01 229.84 316.01 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 321.09 0 326.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 324.69 229.84 329.69 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 338.01 229.84 343.01 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 345.09 0 350.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 351.69 229.84 356.69 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 365.01 229.84 370.01 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 375.09 0 380.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 378.69 229.84 383.69 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 392.01 229.84 397.01 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 399.09 0 404.09 4.66 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 409.17 229.84 414.17 232.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 219.38 5.07 222.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 220.38 138.895 221.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.01 220.63 273.11 221.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 220.635 431.86 221.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 285.255 220.38 431.86 221.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 219.38 431.86 222.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 210.38 5.07 213.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 211.38 136.36 212.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 211.63 273.11 212.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 211.635 431.86 212.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 211.38 431.86 212.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 210.38 431.86 213.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 201.38 5.07 204.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 202.38 136.36 203.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 202.63 273.11 203.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 202.635 431.86 203.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 202.38 431.86 203.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 201.38 431.86 204.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 192.38 5.07 195.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 193.38 136.36 194.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 193.63 273.11 194.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 193.635 431.86 194.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 193.38 431.86 194.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 192.38 431.86 195.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 183.38 5.07 186.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 184.38 136.36 185.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 152.015 184.63 273.11 185.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 184.635 431.86 185.64 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 297.105 184.38 431.86 185.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 183.38 431.86 186.88 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.745 175.43 303.195 176.85 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.55 172.45 308.77 174.81 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 302.745 175.79 431.86 176.49 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 306.555 172.68 431.86 175.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 172.68 431.86 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.8 175.63 431.86 176.63 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 132.175 431.86 134.45 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 307.65 132.165 309.275 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 361.65 132.165 363.275 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 307.65 132.17 423.585 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 293.925 132.175 431.86 142.08 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 106.41 5.07 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.18 109.13 139.13 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 109.135 139.13 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 109.13 139.13 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.13 288.385 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 111.455 288.385 115.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.13 418.815 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 106.41 431.86 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 280.39 109.135 431.86 111.41 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 71.64 118.39 88.65 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 71.64 121.25 82.985 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 120.555 71.645 139.14 82.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.39 66.215 229.885 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 136.935 66.225 229.885 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 72.455 238.415 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 207.465 65.39 248.875 68.8 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 74.68 258.8 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 74.83 278.225 75.075 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 234.91 74.84 431.86 83.92 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 71.635 418.815 83.92 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.035 71.64 431.86 88.65 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 211.305 53.7 288.68 57.635 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.475 50.88 431.86 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 50.865 422.41 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.025 50.875 422.41 57.455 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 50.88 431.86 57.465 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 5.07 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 15.055 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 34.91 15.055 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.245 34.9 121.25 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 11.13 34.905 121.25 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.125 34.91 139.14 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 118.435 30.885 206.985 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.29 28.325 173.11 32.865 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 28.83 173.11 30.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.29 30.885 206.985 32.865 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.3 30.885 206.985 42.91 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 147.565 39.5 206.985 42.91 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 174.3 32.96 277.41 36.96 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 209.285 45.825 257.15 52.1 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.025 277.41 47.51 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 35.44 288.68 45.06 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 296.545 34.91 431.86 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.83 312.145 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 35.44 313.735 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 254.61 28.83 431.86 30.99 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.125 34.9 423.935 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 309.01 34.905 423.935 37.975 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 28.83 431.86 30.995 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 28.83 431.86 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 416.805 34.91 431.86 37.98 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 12.51 5 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 17.1 15.055 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 17.105 121.705 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 137.19 17.62 138.89 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 143.82 17.62 144.47 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 208.87 17.62 209.52 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 211.495 17.62 212.145 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 234.365 17.62 235.015 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 236.605 17.62 237.255 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 238.845 17.62 239.495 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 241.085 17.62 241.735 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 119.545 17.62 306.075 19.375 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 286.725 17.62 306.075 19.38 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 0 12.51 431.86 14.27 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 304.43 17.1 431.86 18.86 ;
+    END
+    PORT
+      LAYER Metal3 ;
+        RECT 426.79 12.51 431.86 18.86 ;
+    END
+  END VSS
+  OBS
+    LAYER Metal1 ;
+      RECT 0 0 431.86 232.88 ;
+    LAYER Metal2 ;
+      POLYGON 431.86 232.88 0 232.88 0 0 9.04 0 9.04 1.28 10.72 1.28 10.72 0 12.415 0 12.415 1.28 14.095 1.28 14.095 0 16.62 0 16.62 1.28 18.3 1.28 18.3 0 57.385 0 57.385 1.28 59.065 1.28 59.065 0 60.75 0 60.75 1.28 62.43 1.28 62.43 0 62.74 0 62.74 1.28 64.42 1.28 64.42 0 64.99 0 64.99 1.28 66.67 1.28 66.67 0 66.99 0 66.99 1.28 68.67 1.28 68.67 0 70.355 0 70.355 1.28 72.035 1.28 72.035 0 111.115 0 111.115 1.28 112.795 1.28 112.795 0 116.74 0 116.74 1.28 118.42 1.28 118.42 0 118.695 0 118.695 1.28 120.375 1.28 120.375 0 139.4 0 139.4 1.28 141.08 1.28 141.08 0 154.015 0 154.015 1.28 155.695 1.28 155.695 0 162.48 0 162.48 1.28 164.16 1.28 164.16 0 170.935 0 170.935 1.28 172.615 1.28 172.615 0 202.66 0 202.66 1.28 204.34 1.28 204.34 0 251.43 0 251.43 1.28 253.11 1.28 253.11 0 271.805 0 271.805 1.28 273.485 1.28 273.485 0 275.54 0 275.54 1.28 277.22 1.28 277.22 0 281.045 0 281.045 1.28 282.725 1.28 282.725 0 306.955 0 306.955 1.28 308.635 1.28 308.635 0 310.295 0 310.295 1.28 311.975 1.28 311.975 0 314.51 0 314.51 1.28 316.19 1.28 316.19 0 355.265 0 355.265 1.28 356.945 1.28 356.945 0 358.63 0 358.63 1.28 360.31 1.28 360.31 0 360.62 0 360.62 1.28 362.3 1.28 362.3 0 362.87 0 362.87 1.28 364.55 1.28 364.55 0 364.87 0 364.87 1.28 366.55 1.28 366.55 0 368.235 0 368.235 1.28 369.915 1.28 369.915 0 408.995 0 408.995 1.28 410.675 1.28 410.675 0 413.195 0 413.195 1.28 414.875 1.28 414.875 0 416.58 0 416.58 1.28 418.26 1.28 418.26 0 431.86 0 ;
+    LAYER Metal3 ;
+      RECT 410.37 0 411.815 5.88 ;
+      POLYGON 431.86 40.48 308.845 40.48 308.845 40.485 308.73 40.485 308.73 40.49 289.265 40.49 289.265 47.86 311.67 47.86 311.67 47.855 362.45 47.855 362.45 47.85 362.73 47.85 362.73 47.855 416.45 47.855 416.45 47.85 426.51 47.85 426.51 47.855 431.86 47.855 431.86 50.6 422.69 50.6 422.69 50.585 308.845 50.585 308.845 50.595 308.745 50.595 308.745 50.6 286.195 50.6 286.195 53.42 211.025 53.42 211.025 57.915 288.96 57.915 288.96 57.735 308.845 57.735 308.845 57.745 431.86 57.745 431.86 59.9 425.275 59.9 425.275 59.895 292.035 59.895 292.035 58.205 207.045 58.205 207.045 49.58 174.24 49.58 174.24 53.5 147.11 53.5 147.11 58.94 133.58 58.94 133.58 59.95 121.53 59.95 121.53 59.9 0 59.9 0 57.745 15.335 57.745 15.335 57.735 119.955 57.735 119.955 57.745 139.42 57.745 139.42 50.6 121.53 50.6 121.53 50.59 10.965 50.59 10.965 50.595 10.865 50.595 10.865 50.6 0 50.6 0 47.855 5.35 47.855 5.35 47.85 10.85 47.85 10.85 47.855 119.955 47.855 119.955 47.86 143.925 47.86 143.925 38.54 173.39 38.54 173.39 33.44 147.405 33.44 147.405 35.14 140.61 35.14 140.61 40.49 121.53 40.49 121.53 40.485 15.335 40.485 15.335 40.48 0 40.48 0 38.26 15.335 38.26 15.335 38.255 117.845 38.255 117.845 38.26 139.42 38.26 139.42 34.63 121.53 34.63 121.53 34.62 10.965 34.62 10.965 34.625 10.85 34.625 10.85 34.63 5.35 34.63 5.35 31.275 15.335 31.275 15.335 31.27 118.155 31.27 118.155 31.275 147.01 31.275 147.01 33.145 174.02 33.145 174.02 39.22 147.285 39.22 147.285 43.19 207.265 43.19 207.265 37.24 254.33 37.24 254.33 45.545 209.005 45.545 209.005 52.38 257.43 52.38 257.43 47.79 277.69 47.79 277.69 45.34 288.96 45.34 288.96 38.26 314.015 38.26 314.015 38.255 416.525 38.255 416.525 38.26 431.86 38.26 ;
+      POLYGON 431.86 28.55 277.69 28.55 277.69 27.745 254.33 27.745 254.33 32.68 207.265 32.68 207.265 30.605 173.39 30.605 173.39 28.045 147.01 28.045 147.01 28.55 0 28.55 0 28.425 118.155 28.425 118.155 28.43 136.35 28.43 136.35 25.595 121.53 25.595 121.53 25.59 15.335 25.59 15.335 25.585 8.84 25.585 8.84 22.855 119.265 22.855 119.265 23.375 289.265 23.375 289.265 23.385 312.425 23.385 312.425 22.855 423.02 22.855 423.02 25.585 416.525 25.585 416.525 25.59 308.73 25.59 308.73 25.595 289.265 25.595 289.265 28.43 312.425 28.43 312.425 28.425 431.86 28.425 ;
+      POLYGON 431.86 114.41 419.095 114.41 419.095 114.405 289.26 114.405 289.26 116.57 136.35 116.57 136.35 114.41 0 114.41 0 111.69 136.655 111.69 136.655 116.275 288.665 116.275 288.665 111.69 431.86 111.69 ;
+      RECT 332.37 0 332.81 5.88 ;
+      POLYGON 153.27 232.88 151.645 232.88 151.645 229.56 146.085 229.56 146.085 232.88 144.665 232.88 144.665 229.56 139.105 229.56 139.105 232.88 138.14 232.88 138.14 229.16 153.27 229.16 ;
+      POLYGON 119.955 67.025 8.81 67.025 8.81 64.51 118.825 64.51 118.825 64.515 119.955 64.515 ;
+      RECT 0 229.16 6.725 232.88 ;
+      POLYGON 404.81 5.88 398.37 5.88 398.37 0 398.81 0 398.81 4.94 404.37 4.94 404.37 0 404.81 0 ;
+      POLYGON 82.93 5.88 76.49 5.88 76.49 0 76.93 0 76.93 4.94 82.49 4.94 82.49 0 82.93 0 ;
+      RECT 428.61 229.16 431.86 232.88 ;
+      POLYGON 192.645 232.88 190.02 232.88 190.02 229.56 184.46 229.56 184.46 232.88 182.355 232.88 182.355 229.16 192.645 229.16 ;
+      RECT 268.125 229.16 271.03 232.88 ;
+      POLYGON 132.58 232.88 131.07 232.88 131.07 229.56 125.51 229.56 125.51 232.88 122.695 232.88 122.695 229.16 132.58 229.16 ;
+      RECT 120.83 0 121.77 5.88 ;
+      POLYGON 244.225 232.88 242.97 232.88 242.97 229.56 237.41 229.56 237.41 232.88 236.845 232.88 236.845 229.16 244.225 229.16 ;
+      RECT 386.37 0 386.81 5.88 ;
+      POLYGON 326.81 5.88 316.755 5.88 316.755 0 320.81 0 320.81 4.94 326.37 4.94 326.37 0 326.81 0 ;
+      RECT 8.81 0 9.915 5.88 ;
+      RECT 40.49 0 40.93 5.88 ;
+      RECT 356.37 0 359.805 5.88 ;
+      POLYGON 102.985 232.88 99.41 232.88 99.41 229.56 93.85 229.56 93.85 232.88 93.285 232.88 93.285 229.16 102.985 229.16 ;
+      POLYGON 431.86 106.13 426.51 106.13 426.51 108.855 419.095 108.855 419.095 108.85 280.11 108.85 280.11 111.175 139.41 111.175 139.41 108.85 119.9 108.85 119.9 108.855 5.35 108.855 5.35 106.13 0 106.13 0 103.975 147.285 103.975 147.285 108.405 147.325 108.405 147.325 108.815 221.88 108.815 221.88 108.82 278.505 108.82 278.505 103.975 431.86 103.975 ;
+      POLYGON 423.05 67.025 300.41 67.025 300.41 64.51 415.565 64.51 415.565 64.515 421.385 64.515 421.385 64.51 423.05 64.51 ;
+      POLYGON 431.86 196.6 423.05 196.6 423.05 200.66 431.86 200.66 431.86 201.1 426.51 201.1 426.51 202.1 296.825 202.1 296.825 202.355 273.39 202.355 273.39 202.35 151.735 202.35 151.735 202.355 136.64 202.355 136.64 202.1 5.35 202.1 5.35 201.1 0 201.1 0 200.66 8.81 200.66 8.81 196.6 0 196.6 0 196.16 5.35 196.16 5.35 195.16 136.64 195.16 136.64 194.92 296.825 194.92 296.825 195.16 426.51 195.16 426.51 196.16 431.86 196.16 ;
+      POLYGON 28.93 5.88 22.49 5.88 22.49 0 22.93 0 22.93 4.94 28.49 4.94 28.49 0 28.93 0 ;
+      RECT 88.49 0 88.93 5.88 ;
+      RECT 428.61 0 431.86 5.88 ;
+      RECT 290.57 0 291.51 5.88 ;
+      RECT 67.495 0 70.93 5.88 ;
+      POLYGON 431.86 89.8 419.095 89.8 419.095 89.795 308.845 89.795 308.845 89.8 308.755 89.8 308.755 99.565 121.53 99.565 121.53 89.8 0 89.8 0 88.93 118.67 88.93 118.67 83.265 120.275 83.265 120.275 83.27 139.42 83.27 139.42 75.355 234.63 75.355 234.63 84.2 308.755 84.2 308.755 88.93 431.86 88.93 ;
+      RECT 392.37 0 392.81 5.88 ;
+      POLYGON 318.285 232.88 316.29 232.88 316.29 229.56 310.73 229.56 310.73 232.88 310.165 232.88 310.165 229.16 318.285 229.16 ;
+      RECT 241.445 0 242.55 5.88 ;
+      RECT 146.83 0 147.77 5.88 ;
+      RECT 310.07 0 311.195 5.88 ;
+      RECT 0 0 3.25 5.88 ;
+      RECT 133.83 0 134.77 5.88 ;
+      RECT 338.37 0 338.81 5.88 ;
+      POLYGON 400.865 232.88 397.29 232.88 397.29 229.56 391.73 229.56 391.73 232.88 391.165 232.88 391.165 229.16 400.865 229.16 ;
+      POLYGON 262.565 232.88 257.605 232.88 257.605 229.56 252.045 229.56 252.045 232.88 249.785 232.88 249.785 229.16 262.565 229.16 ;
+      POLYGON 225.065 232.88 223.845 232.88 223.845 229.56 218.285 229.56 218.285 232.88 217.43 232.88 217.43 229.56 211.87 229.56 211.87 232.88 211.43 232.88 211.43 229.16 225.065 229.16 ;
+      POLYGON 211.885 5.88 201.42 5.88 201.42 0 205.885 0 205.885 4.94 211.445 4.94 211.445 0 211.885 0 ;
+      POLYGON 431.86 131.895 423.865 131.895 423.865 131.89 363.555 131.89 363.555 131.885 361.37 131.885 361.37 131.89 309.555 131.89 309.555 131.885 307.37 131.885 307.37 131.895 286.195 131.895 286.195 134.73 293.645 134.73 293.645 142.36 431.86 142.36 431.86 146.87 292.035 146.87 292.035 136.63 133.58 136.63 133.58 146.87 0 146.87 0 142.36 130.63 142.36 130.63 134.73 139.42 134.73 139.42 131.89 65.675 131.89 65.675 131.885 63.49 131.885 63.49 131.89 11.675 131.89 11.675 131.885 9.49 131.885 9.49 131.89 6.075 131.89 6.075 131.895 0 131.895 0 119.97 8.81 119.97 8.81 117.18 133.57 117.18 133.57 121.67 292.02 121.67 292.02 117.18 423.05 117.18 423.05 119.97 431.86 119.97 ;
+      POLYGON 179.875 5.88 153.33 5.88 153.33 0 156.34 0 156.34 4.94 161.9 4.94 161.9 0 164.83 0 164.83 4.94 170.39 4.94 170.39 0 173.875 0 173.875 4.94 179.435 4.94 179.435 0 179.875 0 ;
+      POLYGON 109.27 5.88 100.49 5.88 100.49 0 100.93 0 100.93 4.94 106.49 4.94 106.49 0 109.27 0 ;
+      RECT 248.11 0 249.1 5.88 ;
+      POLYGON 358.605 232.88 356.97 232.88 356.97 229.56 351.41 229.56 351.41 232.88 350.845 232.88 350.845 229.16 358.605 229.16 ;
+      RECT 284.07 0 285.01 5.88 ;
+      POLYGON 47.405 232.88 45.41 232.88 45.41 229.56 39.85 229.56 39.85 232.88 39.285 232.88 39.285 229.16 47.405 229.16 ;
+      POLYGON 20.405 232.88 18.41 232.88 18.41 229.56 12.85 229.56 12.85 232.88 12.285 232.88 12.285 229.16 20.405 229.16 ;
+      POLYGON 431.86 172.4 309.05 172.4 309.05 172.17 302.27 172.17 302.27 175.09 306.275 175.09 306.275 175.36 416.52 175.36 416.52 175.51 303.475 175.51 303.475 175.15 302.465 175.15 302.465 177.13 303.475 177.13 303.475 176.77 416.52 176.77 416.52 176.91 431.86 176.91 431.86 178.6 423.05 178.6 423.05 182.66 431.86 182.66 431.86 183.1 426.51 183.1 426.51 184.1 296.825 184.1 296.825 184.355 273.39 184.355 273.39 184.35 151.735 184.35 151.735 184.355 136.64 184.355 136.64 184.1 5.35 184.1 5.35 183.1 0 183.1 0 182.66 8.81 182.66 8.81 178.6 0 178.6 0 176.91 124.88 176.91 124.88 175.36 125.705 175.36 125.705 175.09 139.43 175.09 139.43 172.17 124.43 172.17 124.43 172.4 0 172.4 0 170.905 10.195 170.905 10.195 170.91 11.22 170.91 11.22 170.905 125.705 170.905 125.705 170.9 136.35 170.9 136.35 161.31 125.705 161.31 125.705 161.3 15.335 161.3 15.335 161.295 8.81 161.295 8.81 148.85 133.58 148.85 133.58 150.805 292.035 150.805 292.035 148.85 423.05 148.85 423.05 161.295 292.035 161.295 292.035 157.15 133.58 157.15 133.58 161.275 289.26 161.275 289.26 170.9 308.985 170.9 308.985 170.905 362.195 170.905 362.195 170.9 362.985 170.9 362.985 170.905 431.86 170.905 ;
+      POLYGON 304.605 232.88 299.235 232.88 299.235 229.56 293.675 229.56 293.675 232.88 293.015 232.88 293.015 229.16 304.605 229.16 ;
+      RECT 303.57 0 304.51 5.88 ;
+      RECT 15.475 0 16.93 5.88 ;
+      RECT 114.83 0 115.27 5.88 ;
+      POLYGON 205.87 232.88 204.69 232.88 204.69 229.56 199.13 229.56 199.13 232.88 198.205 232.88 198.205 229.16 205.87 229.16 ;
+      POLYGON 350.81 5.88 344.37 5.88 344.37 0 344.81 0 344.81 4.94 350.37 4.94 350.37 0 350.81 0 ;
+      POLYGON 60.725 232.88 59.09 232.88 59.09 229.56 53.53 229.56 53.53 232.88 52.965 232.88 52.965 229.16 60.725 229.16 ;
+      POLYGON 385.605 232.88 383.97 232.88 383.97 229.56 378.41 229.56 378.41 232.88 377.845 232.88 377.845 229.16 385.605 229.16 ;
+      RECT 417.375 0 423.05 5.88 ;
+      POLYGON 431.86 214.6 423.05 214.6 423.05 218.66 431.86 218.66 431.86 219.1 426.51 219.1 426.51 220.1 284.975 220.1 284.975 220.355 273.39 220.355 273.39 220.35 151.73 220.35 151.73 220.355 139.175 220.355 139.175 220.1 5.35 220.1 5.35 219.1 0 219.1 0 218.66 8.81 218.66 8.81 214.6 0 214.6 0 214.16 5.35 214.16 5.35 213.16 136.64 213.16 136.64 212.92 296.825 212.92 296.825 213.16 426.51 213.16 426.51 214.16 431.86 214.16 ;
+      RECT 0 11.44 431.86 12.23 ;
+      POLYGON 117.135 232.88 116.57 232.88 116.57 229.56 111.01 229.56 111.01 232.88 108.545 232.88 108.545 229.16 117.135 229.16 ;
+      POLYGON 426.51 16.82 304.15 16.82 304.15 17.34 121.985 17.34 121.985 16.825 15.335 16.825 15.335 16.82 5.28 16.82 5.28 14.55 426.51 14.55 ;
+      POLYGON 426.51 34.63 424.215 34.63 424.215 34.62 308.845 34.62 308.845 34.625 308.73 34.625 308.73 34.63 296.265 34.63 296.265 35.16 277.69 35.16 277.69 31.275 312.425 31.275 312.425 31.27 416.525 31.27 416.525 31.275 426.51 31.275 ;
+      POLYGON 380.81 5.88 374.37 5.88 374.37 0 374.81 0 374.81 4.94 380.37 4.94 380.37 0 380.81 0 ;
+      POLYGON 287.455 232.88 285.23 232.88 285.23 229.56 279.67 229.56 279.67 232.88 276.59 232.88 276.59 229.16 287.455 229.16 ;
+      RECT 292.385 64.51 298.85 67.025 ;
+      RECT 58.49 0 61.935 5.88 ;
+      POLYGON 372.285 232.88 370.29 232.88 370.29 229.56 364.73 229.56 364.73 232.88 364.165 232.88 364.165 229.16 372.285 229.16 ;
+      RECT 94.49 0 94.93 5.88 ;
+      POLYGON 431.86 223.6 0 223.6 0 223.16 5.35 223.16 5.35 222.16 139.175 222.16 139.175 221.92 284.975 221.92 284.975 222.16 426.51 222.16 426.51 223.16 431.86 223.16 ;
+      RECT 420.575 229.16 423.05 232.88 ;
+      POLYGON 431.86 205.6 423.05 205.6 423.05 209.66 431.86 209.66 431.86 210.1 426.51 210.1 426.51 211.1 296.825 211.1 296.825 211.355 273.39 211.355 273.39 211.35 151.735 211.35 151.735 211.355 136.64 211.355 136.64 211.1 5.35 211.1 5.35 210.1 0 210.1 0 209.66 8.81 209.66 8.81 205.6 0 205.6 0 205.16 5.35 205.16 5.35 204.16 136.64 204.16 136.64 203.92 296.825 203.92 296.825 204.16 426.51 204.16 426.51 205.16 431.86 205.16 ;
+      RECT 297.07 0 298.01 5.88 ;
+      POLYGON 176.795 232.88 175.4 232.88 175.4 229.56 169.84 229.56 169.84 232.88 167.185 232.88 167.185 229.56 161.625 229.56 161.625 232.88 158.83 232.88 158.83 229.16 176.795 229.16 ;
+      RECT 127.33 0 128.27 5.88 ;
+      RECT 140.33 0 141.27 5.88 ;
+      RECT 365.365 0 368.81 5.88 ;
+      POLYGON 431.86 71.36 419.095 71.36 419.095 71.355 286.195 71.355 286.195 74.56 278.505 74.56 278.505 74.55 259.08 74.55 259.08 74.4 238.695 74.4 238.695 72.175 230.165 72.175 230.165 69.08 249.155 69.08 249.155 65.11 207.185 65.11 207.185 65.935 147.11 65.935 147.11 65.945 136.655 65.945 136.655 71.365 121.53 71.365 121.53 71.36 0 71.36 0 71.17 119.955 71.17 119.955 71.175 136.35 71.175 136.35 64.95 174.085 64.95 174.085 63.31 250.86 63.31 250.86 69.61 298.85 69.61 298.85 70.365 300.41 70.365 300.41 69.61 308.585 69.61 308.585 71.165 308.755 71.165 308.755 71.17 362.425 71.17 362.425 71.165 362.755 71.165 362.755 71.17 415.565 71.17 415.565 71.175 421.385 71.175 421.385 71.17 431.86 71.17 ;
+      POLYGON 431.86 187.6 423.05 187.6 423.05 191.66 431.86 191.66 431.86 192.1 426.51 192.1 426.51 193.1 296.825 193.1 296.825 193.355 273.39 193.355 273.39 193.35 151.735 193.35 151.735 193.355 136.64 193.355 136.64 193.1 5.35 193.1 5.35 192.1 0 192.1 0 191.66 8.81 191.66 8.81 187.6 0 187.6 0 187.16 5.35 187.16 5.35 186.16 136.64 186.16 136.64 185.92 296.825 185.92 296.825 186.16 426.51 186.16 426.51 187.16 431.86 187.16 ;
+      POLYGON 235.885 5.88 229.445 5.88 229.445 0 229.885 0 229.885 4.94 235.445 4.94 235.445 0 235.885 0 ;
+      POLYGON 415.015 232.88 414.45 232.88 414.45 229.56 408.89 229.56 408.89 232.88 406.425 232.88 406.425 229.16 415.015 229.16 ;
+      POLYGON 223.885 5.88 217.445 5.88 217.445 0 217.885 0 217.885 4.94 223.445 4.94 223.445 0 223.885 0 ;
+      POLYGON 52.93 5.88 46.49 5.88 46.49 0 46.93 0 46.93 4.94 52.49 4.94 52.49 0 52.93 0 ;
+      RECT 34.49 0 34.93 5.88 ;
+      POLYGON 195.86 5.88 185.435 5.88 185.435 0 189.86 0 189.86 4.94 195.42 4.94 195.42 0 195.86 0 ;
+      POLYGON 87.725 232.88 86.09 232.88 86.09 229.56 80.53 229.56 80.53 232.88 79.965 232.88 79.965 229.16 87.725 229.16 ;
+      POLYGON 74.405 232.88 72.41 232.88 72.41 229.56 66.85 229.56 66.85 232.88 66.285 232.88 66.285 229.16 74.405 229.16 ;
+      POLYGON 431.86 20.02 308.66 20.02 308.66 20.55 296.895 20.55 296.895 20.54 121.985 20.54 121.985 20.02 0 20.02 0 19.14 119.265 19.14 119.265 19.655 136.91 19.655 136.91 19.66 139.17 19.66 139.17 19.655 143.54 19.655 143.54 19.66 144.75 19.66 144.75 19.655 208.59 19.655 208.59 19.66 209.8 19.66 209.8 19.655 211.215 19.655 211.215 19.66 212.425 19.66 212.425 19.655 234.085 19.655 234.085 19.66 235.295 19.66 235.295 19.655 236.325 19.655 236.325 19.66 237.535 19.66 237.535 19.655 238.565 19.655 238.565 19.66 239.775 19.66 239.775 19.655 240.805 19.655 240.805 19.66 242.015 19.66 242.015 19.655 286.445 19.655 286.445 19.66 306.355 19.66 306.355 19.14 431.86 19.14 ;
+      POLYGON 345.285 232.88 343.29 232.88 343.29 229.56 337.73 229.56 337.73 232.88 337.165 232.88 337.165 229.16 345.285 229.16 ;
+      RECT 277.57 0 278.51 5.88 ;
+      POLYGON 272.01 5.88 254.66 5.88 254.66 0 255.885 0 255.885 4.94 261.445 4.94 261.445 0 262.11 0 262.11 4.94 267.67 4.94 267.67 0 272.01 0 ;
+      POLYGON 33.725 232.88 32.09 232.88 32.09 229.56 26.53 229.56 26.53 232.88 25.965 232.88 25.965 229.16 33.725 229.16 ;
+      RECT 230.625 229.16 231.285 232.88 ;
+      POLYGON 331.605 232.88 329.97 232.88 329.97 229.56 324.41 229.56 324.41 232.88 323.845 232.88 323.845 229.16 331.605 229.16 ;
+    LAYER Via1 ;
+      RECT 0 0 431.86 232.88 ;
+    LAYER Via2 ;
+      RECT 0 0 431.86 232.88 ;
+  END
+
+END gf180mcu_fd_ip_sram__sram64x8m8wm1
+
+END LIBRARY
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.v b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.v
new file mode 100755
index 0000000..326947e
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.v
@@ -0,0 +1,453 @@
+/*
+ * $Id: $
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http:www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * Project:             018 5VGREEN SRAM
+ * Author:              GlobalFoundries PDK Authors
+ * Data Created:        05-06-2014
+ * Revision:		0.0
+ *
+ * Description:         gf180mcu_fd_ip_sram__sram64x8m8wm1 Simulation Model
+ */
+
+`timescale 1 ps / 1 ps
+
+module gf180mcu_fd_ip_sram__sram64x8m8wm1 (
+	CLK,
+	CEN,
+	GWEN,
+	WEN,
+	A,
+	D,
+	Q,
+	VDD,
+	VSS
+);
+
+input           CLK;
+input           CEN;    //Chip Enable
+input           GWEN;   //Global Write Enable
+input   [7:0]  	WEN;    //Write Enable
+input   [5:0]   A;
+input   [7:0]  	D;
+output	[7:0]	Q;
+inout		VDD;
+inout		VSS;
+
+reg	[7:0]	mem[63:0];
+reg	[7:0]	qo_reg;
+
+wire		cen_flag;
+wire		write_flag;
+wire		read_flag;
+
+reg             ntf_Tcyc;	//notifier for clock period/low/high pulse
+reg             ntf_Tckh;
+reg             ntf_Tckl;
+
+reg		ntf_tcs;	//notifier for setup time
+reg		ntf_tas;
+reg		ntf_tds;
+reg		ntf_tws;
+reg		ntf_twis;
+
+reg             ntf_tch;	//notifier for hold time
+reg             ntf_tah;
+reg             ntf_tdh;
+reg             ntf_twh;
+reg             ntf_twih;
+
+wire		no_st_viol;	//no setup violation
+wire		no_hd_viol;	//no hold violation
+wire		no_ck_viol;	//no clock related violation
+
+reg             clk_dly;        //for read/write
+reg             write_flag_dly; //for write invalidation
+reg             read_flag_dly;  //for read invalidation
+reg             cen_dly;
+reg             cen_fell;       //detect CEN 1 -> 0 transition
+reg             cen_not_rst;    //detect CEN is not reset initially
+
+wire    [7:0]  we;       	//inversion of WEN
+wire    [7:0]  cd2;
+wire    [7:0]  cd4;
+wire    [7:0]  cd5;
+reg    	[7:0]  cdx;
+
+reg	[5:0]	marked_a;
+
+integer         i;
+
+assign Q = qo_reg;
+
+//---- for debugging
+wire    [7:0]  mem_0;
+wire	[7:0]  mem_1;
+wire	[7:0]  mem_2;
+wire	[7:0]  mem_3;
+assign mem_0 = mem[0];
+assign mem_1 = mem[1];
+assign mem_2 = mem[2];
+assign mem_3 = mem[3];
+
+always @(CEN) cen_dly = #100 CEN;
+always @(CEN or cen_dly) begin
+  if (!CEN & cen_dly) cen_fell = 1'b1;
+end
+
+always @(posedge CLK) begin
+  if (!CEN & !cen_fell & !cen_not_rst) cen_not_rst = 1;
+end
+
+always @(posedge cen_not_rst) begin
+  $display("-------- WARNING: CEN is not reset, memory is not operational ---------");
+  $display("-------- @Time %0t: scope = %m", $realtime, " ---------");
+end
+
+always @(posedge cen_fell) begin
+  $display("-------- MESSAGE: CEN is just reset, memory is operational ---------");
+  $display("-------- @Time %0t: scope = %m", $realtime, " ---------");
+end
+
+assign cen_flag   =  cen_fell & !CEN;
+assign write_flag =  cen_fell & !CEN & !GWEN & !(&WEN);
+assign read_flag  =  cen_fell & !CEN &  GWEN;
+
+reg cen_flag_dly;
+always @(cen_flag) cen_flag_dly = #100 cen_flag;
+
+specify
+  specparam Tcyc = 55600 : 55600 : 55600;
+  specparam Tckh = 25000 : 25000 : 25000;
+  specparam Tckl = 25000 : 25000 : 25000;
+
+  specparam tcs  = 5000 : 5000 : 5000;
+  specparam tas  = 5000 : 5000 : 5000;
+  specparam tds  = 5000 : 5000 : 5000;
+  specparam tws  = 5000 : 5000 : 5000;
+  specparam twis = 5000 : 5000 : 5000;
+
+  specparam tch  = 10000 : 10000 : 10000;
+  specparam tah  = 10000 : 10000 : 10000;
+  specparam tdh  = 10000 : 10000 : 10000;
+  specparam twh  = 10000 : 10000 : 10000;
+  specparam twih = 10000 : 10000 : 10000;
+
+  specparam ta   = 45000 : 45000 : 45000;
+
+  specparam Tdly  = 100 : 100: 100;
+
+//---- CLK period/pulse timing
+  $period (negedge CLK, Tcyc, ntf_Tcyc);
+  $width  (posedge CLK, Tckh, 0, ntf_Tckh);
+  $width  (negedge CLK, Tckl, 0, ntf_Tckl);
+
+//---- CEN setup/hold timing
+  $setup (negedge CEN, posedge CLK &&& cen_flag, tcs, ntf_tcs);
+  $setup (posedge CEN, posedge CLK &&& cen_flag, tcs, ntf_tcs);
+
+  $hold  (posedge CLK &&& cen_flag_dly, posedge CEN, tch, ntf_tch);
+  $hold  (posedge CLK &&& cen_flag,     negedge CEN, tch, ntf_tch);
+
+//---- GWEN setup/hold timing
+  $setup (negedge GWEN,  posedge CLK &&& cen_flag, tws, ntf_tws);
+  $setup (posedge GWEN,  posedge CLK &&& cen_flag, tws, ntf_tws);
+
+  $hold  (posedge CLK &&& cen_flag, posedge GWEN, twh, ntf_twh);
+  $hold  (posedge CLK &&& cen_flag, negedge GWEN, twh, ntf_twh);
+
+//---- WEN[7:0] setup/hold timing
+  $setup (negedge WEN[0],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[1],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[2],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[3],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[4],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[5],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[6],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (negedge WEN[7],  posedge CLK &&& write_flag, twis, ntf_twis);
+
+  $setup (posedge WEN[0],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[1],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[2],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[3],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[4],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[5],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[6],  posedge CLK &&& write_flag, twis, ntf_twis);
+  $setup (posedge WEN[7],  posedge CLK &&& write_flag, twis, ntf_twis);
+
+  $hold  (posedge CLK &&& write_flag, posedge WEN[0],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[1],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[2],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[3],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[4],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[5],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[6],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, posedge WEN[7],  twih, ntf_twih);
+
+  $hold  (posedge CLK &&& write_flag, negedge WEN[0],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[1],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[2],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[3],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[4],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[5],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[6],  twih, ntf_twih);
+  $hold  (posedge CLK &&& write_flag, negedge WEN[7],  twih, ntf_twih);
+
+//---- A[5:0] setup/hold timing
+  $setup (posedge A[0],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[1],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[2],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[3],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[4],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (posedge A[5],  posedge CLK &&& cen_flag, tas, ntf_tas);
+
+  $setup (negedge A[0],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[1],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[2],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[3],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[4],  posedge CLK &&& cen_flag, tas, ntf_tas);
+  $setup (negedge A[5],  posedge CLK &&& cen_flag, tas, ntf_tas);
+
+  $hold  (posedge CLK &&& cen_flag, negedge A[0],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[1],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[2],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[3],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[4],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, negedge A[5],  tah, ntf_tah);
+
+  $hold  (posedge CLK &&& cen_flag, posedge A[0],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[1],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[2],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[3],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[4],  tah, ntf_tah);
+  $hold  (posedge CLK &&& cen_flag, posedge A[5],  tah, ntf_tah);
+
+//---- D[7:0] setup/hold timing
+  $setup (posedge D[0],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[1],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[2],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[3],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[4],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[5],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[6],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (posedge D[7],  posedge CLK &&& write_flag, tds, ntf_tds);
+
+  $setup (negedge D[0],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[1],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[2],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[3],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[4],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[5],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[6],  posedge CLK &&& write_flag, tds, ntf_tds);
+  $setup (negedge D[7],  posedge CLK &&& write_flag, tds, ntf_tds);
+
+  $hold  (posedge CLK &&& write_flag, negedge D[0],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[1],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[2],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[3],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[4],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[5],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[6],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, negedge D[7],  tdh, ntf_tdh);
+
+  $hold  (posedge CLK &&& write_flag, posedge D[0],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[1],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[2],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[3],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[4],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[5],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[6],  tdh, ntf_tdh);
+  $hold  (posedge CLK &&& write_flag, posedge D[7],  tdh, ntf_tdh);
+
+//---- Output delay
+// rise transition:     0->1, z->1, Ta
+// fall transition:     1->0, 1->z, Ta
+// turn-off transition: 0->z, 1->z, Tcqx
+//if (!CEN & GWEN) (posedge CLK => (Q : 8'bx)) = (Ta, Ta, Tcqx);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[0]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[1]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[2]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[3]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[4]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[5]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[6]  : 1'bx)) = (ta, ta);
+if ((CEN == 1'b0) && (GWEN == 1'b1)) (posedge CLK => (Q[7]  : 1'bx)) = (ta, ta);
+endspecify
+
+assign no_st_viol = ~(|{ntf_tcs, ntf_tas, ntf_tds, ntf_tws, ntf_twis});
+assign no_hd_viol = ~(|{ntf_tch, ntf_tah, ntf_tdh, ntf_twh, ntf_twih});
+assign no_ck_viol = ~(|{ntf_Tcyc, ntf_Tckh, ntf_Tckl});
+
+always @(CLK) clk_dly        = #Tdly CLK;
+always @(CLK) write_flag_dly = #200 write_flag;
+always @(CLK) read_flag_dly  = #200 read_flag;
+
+always @(posedge CLK) marked_a = A;
+
+assign we  = ~WEN;
+assign cd2 = mem[A] & WEN;	//set write bits to 0, others unchanged
+assign cd4 = D & we;		//set write bits to 0/1, others = 0
+assign cd5 = cd2 | cd4;		//memory content after write
+
+always @(posedge CLK) cdx = {8{1'bx}} & we;    //latch cdx
+
+always @(posedge clk_dly) begin
+  if (write_flag) begin 	//write
+    if (no_st_viol) begin 	//write, no viol
+      mem[A] = cd5;
+    end
+    else begin                 	//write, with viol
+      mem[A] = mem[A] ^ cdx;    //1^x = x
+      qo_reg = qo_reg ^ cdx;
+    end
+  end //write
+  else if (read_flag) begin     //read
+    if (no_st_viol) begin 	//read, no viol
+      qo_reg = mem[marked_a];
+    end
+    else begin                  //read, with viol
+      qo_reg = 8'bx;
+    end
+  end //read
+end
+
+always @(negedge clk_dly) begin         	//invalidate write/read when hold/clk viol
+  if (no_hd_viol == 0 | no_ck_viol == 0) begin
+    if (write_flag_dly) begin
+      if (ntf_twh) begin
+        mem[marked_a] = mem[marked_a] ^ 8'bx; //GWEN can't be used to generate cdx
+        qo_reg        = qo_reg ^ 8'bx;
+      end
+      else begin
+        mem[marked_a] = mem[marked_a] ^ cdx;
+        qo_reg        = qo_reg ^ cdx;
+      end
+    end
+    else if (read_flag_dly) begin
+      qo_reg = 8'bx;
+    end
+
+    #100;
+    ntf_tch  = 0;
+    ntf_tah  = 0;
+    ntf_tdh  = 0;
+    ntf_twh  = 0;
+    ntf_twih = 0;
+
+    ntf_Tcyc  = 0;
+    ntf_Tckh  = 0;
+    ntf_Tckl  = 0;
+  end
+  else begin
+    #100;
+    ntf_tch  = 0;
+    ntf_tah  = 0;
+    ntf_tdh  = 0;
+    ntf_twh  = 0;
+    ntf_twih = 0;
+
+    ntf_Tcyc  = 0;
+    ntf_Tckh  = 0;
+    ntf_Tckl  = 0;
+  end
+end
+
+always @(posedge ntf_tcs or posedge ntf_tas or posedge ntf_tds or
+         posedge ntf_tws or posedge ntf_twis or
+         posedge ntf_tch or posedge ntf_tah or posedge ntf_tdh or
+         posedge ntf_twh or posedge ntf_twih or
+         posedge ntf_Tcyc or posedge ntf_Tckh or posedge ntf_Tckl) begin
+  if (cen_fell) begin
+    #Tdly;
+    if (ntf_tcs)  $display("---- ERROR: CEN setup violation! ----");
+    if (ntf_tas)  $display("---- ERROR: A setup violation! ----");
+    if (ntf_tds)  $display("---- ERROR: D setup violation! ----");
+    if (ntf_tws)  $display("---- ERROR: GWEN setup violation! ----");
+    if (ntf_twis) $display("---- ERROR: WEN setup violation! ----");
+
+    if (ntf_tch)  $display("---- ERROR: CEN hold violation! ----");
+    if (ntf_tah)  $display("---- ERROR: A hold violation! ----");
+    if (ntf_tdh)  $display("---- ERROR: D hold violation! ----");
+    if (ntf_twh)  $display("---- ERROR: GWEN hold violation! ----");
+    if (ntf_twih) $display("---- ERROR: WEN hold violation! ----");
+
+    if (ntf_Tcyc) $display("---- ERROR: CLK period violation! ----");
+    if (ntf_Tckh) $display("---- ERROR: CLK pulse width high violation! ----");
+    if (ntf_Tckl) $display("---- ERROR: CLK pulse width low violation! ----");
+  end
+end
+
+always @(posedge cen_fell) begin	//reset fasle notifiers
+  ntf_tcs  = 0;				//after CEN reset (CEN from 1 to 0)
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+end
+
+always @(negedge clk_dly) begin	//reset setup/hold notifiers
+  #100;
+  ntf_tcs  = 0;
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+end
+
+initial begin			//initialization
+  ntf_Tcyc  = 0;
+  ntf_Tckh  = 0;
+  ntf_Tckl  = 0;
+
+  ntf_tcs  = 0;
+  ntf_tas  = 0;
+  ntf_tds  = 0;
+  ntf_tws  = 0;
+  ntf_twis = 0;
+
+  ntf_tch  = 0;
+  ntf_tah  = 0;
+  ntf_tdh  = 0;
+  ntf_twh  = 0;
+  ntf_twih = 0;
+
+  marked_a = 6'd0;
+
+  qo_reg         = 8'd0;
+  clk_dly        = 0;
+  write_flag_dly = 0;
+  read_flag_dly  = 0;
+  cen_dly        = 0;
+  cen_fell       = 0;
+  cen_not_rst    = 0;
+
+  for(i=0; i<64; i=i+1) begin
+    mem[i] = 8'd0;
+  end
+end
+
+endmodule
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_1v98.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_1v98.lib
new file mode 100755
index 0000000..fa7ee64
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_1v98.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_1v98) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 1.98;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 3.235;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.98);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_1p98v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 1.98;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_1p98v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.018;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+			  index_2 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "10.1268, 10.16472, 10.31328, 10.55172, 10.89528, 11.38488, 11.99952", \
+                            "10.11648, 10.18548, 10.32168, 10.55952, 10.91256, 11.38428, 12.006", \
+                            "10.14252, 10.2078, 10.35108, 10.58424, 10.93296, 11.40348, 12.0072", \
+                            "10.19316, 10.23588, 10.37448, 10.62696, 10.97112, 11.44524, 12.072", \
+                            "10.24128, 10.28928, 10.43328, 10.67208, 11.01816, 11.49336, 12.1092", \
+                            "10.25712, 10.32084, 10.47288, 10.70688, 11.05224, 11.52024, 12.1452", \
+                            "10.2774, 10.33884, 10.4814, 10.7274, 11.064, 11.53464, 12.1536" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+  	                     "0.404112, 0.475632, 0.695652, 1.10827, 1.77288, 2.73972, 4.0038" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "10.59672, 10.62936, 10.746, 10.9398, 11.17788, 11.46936, 11.80344", \
+                            "10.59204, 10.64268, 10.7646, 10.95516, 11.18556, 11.46612, 11.80956", \
+                            "10.6176, 10.66692, 10.79616, 10.97952, 11.21208, 11.4924, 11.81808", \
+                            "10.66344, 10.70172, 10.80912, 11.00868, 11.24628, 11.53584, 11.87364", \
+                            "10.72296, 10.7646, 10.88004, 11.07156, 11.30196, 11.57952, 11.91732", \
+                            "10.72908, 10.77672, 10.91412, 11.09532, 11.33652, 11.61576, 11.95464", \
+                            "10.75284, 10.80972, 10.93008, 11.11452, 11.3478, 11.62044, 11.96388" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02813, 0.09177, 0.2133, 0.4026, 0.6683, 1.018");
+                          values  ( \
+                            "0.401748, 0.464112, 0.591828, 0.823596, 1.12597, 1.54128, 2.07384" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.274173;
+                  clock                : true;
+                  max_transition       : 3.235;
+                  min_pulse_width_high : 3.916035;
+                  min_pulse_width_low  : 5.90664;
+                  min_period           : 13.879725;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("120.582, 120.582, 120.582, 120.582, 120.582, 120.582, 120.582");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("120.582, 120.582, 120.582, 120.582, 120.582, 120.582, 120.582");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("0.00119879, 0.00119879, 0.00119879, 0.00119879, 0.00119879, 0.00119879, 0.00119879");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("0.00119879, 0.00119879, 0.00119879, 0.00119879, 0.00119879, 0.00119879, 0.00119879");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("108.841, 108.841, 108.841, 108.841, 108.841, 108.841, 108.841");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                values  ("108.841, 108.841, 108.841, 108.841, 108.841, 108.841, 108.841");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0171918;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.972961, 0.965745, 0.962093, 1.00066, 1.11405, 1.23992, 1.33782", \
+                            "0.960828, 0.953502, 0.949795, 0.989736, 1.09908, 1.22041, 1.31875", \
+                            "0.91839, 0.911064, 0.907368, 0.945934, 1.05679, 1.17817, 1.27488", \
+                            "0.8503, 0.841335, 0.839454, 0.87351, 0.981772, 1.10326, 1.19986", \
+                            "0.850113, 0.841302, 0.839201, 0.873257, 0.972719, 1.05022, 1.13879", \
+                            "0.849838, 0.840609, 0.838915, 0.872993, 0.971553, 1.05152, 1.11714", \
+                            "0.84964, 0.840642, 0.838728, 0.874863, 0.968627, 1.0514, 1.12247" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.803902, 0.807455, 0.847803, 0.944042, 1.09661, 1.3037, 1.52713", \
+                            "0.789745, 0.799458, 0.839762, 0.932327, 1.08512, 1.29766, 1.51481", \
+                            "0.791351, 0.799106, 0.83941, 0.931997, 1.08487, 1.29732, 1.51283", \
+                            "0.789668, 0.799029, 0.839322, 0.931887, 1.08472, 1.29722, 1.51272", \
+                            "0.789041, 0.798787, 0.83908, 0.931634, 1.08448, 1.29697, 1.5125", \
+                            "0.788766, 0.798435, 0.838772, 0.93137, 1.0842, 1.29669, 1.51217", \
+                            "0.790526, 0.798314, 0.838607, 0.931161, 1.08404, 1.2965, 1.51195" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "2.7467, 2.75583, 2.75781, 2.72382, 2.62174, 2.54056, 2.49788", \
+                            "2.75671, 2.76551, 2.7676, 2.73196, 2.63417, 2.55684, 2.51614", \
+                            "2.79521, 2.80401, 2.8061, 2.772, 2.67256, 2.59501, 2.55629", \
+                            "2.87155, 2.88046, 2.88233, 2.84834, 2.7489, 2.67124, 2.63263", \
+                            "2.99233, 3.00113, 3.00322, 2.96923, 2.86979, 2.79224, 2.75352", \
+                            "3.12906, 3.1383, 3.13995, 3.10585, 3.00729, 2.92732, 2.88134", \
+                            "3.24885, 3.25787, 3.25974, 3.22366, 3.12983, 3.04711, 3.01092" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "2.80599, 2.79829, 2.75803, 2.66541, 2.51251, 2.3001, 2.08461", \
+                            "2.81776, 2.80797, 2.76771, 2.67509, 2.5223, 2.30978, 2.09429", \
+                            "2.85428, 2.84658, 2.80621, 2.71359, 2.5608, 2.34828, 2.13279", \
+                            "2.93216, 2.92281, 2.88244, 2.78993, 2.63714, 2.42462, 2.20902", \
+                            "3.05338, 3.0437, 3.00344, 2.91082, 2.75803, 2.54551, 2.33002", \
+                            "3.19011, 3.18043, 3.14006, 3.04755, 2.89465, 2.68213, 2.46664", \
+                            "3.30803, 3.30022, 3.25985, 3.16734, 3.01444, 2.80203, 2.58654" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0458878;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.29686, 1.30286, 1.3431, 1.41669, 1.52823, 1.6621, 1.78079", \
+                            "1.29093, 1.29637, 1.33573, 1.40921, 1.52152, 1.65187, 1.7633", \
+                            "1.25633, 1.26172, 1.30099, 1.37467, 1.48687, 1.61678, 1.73811", \
+                            "1.18588, 1.19285, 1.23096, 1.30475, 1.41867, 1.55265, 1.66804", \
+                            "1.07347, 1.07888, 1.11817, 1.19181, 1.30404, 1.43396, 1.55529", \
+                            "1.0023, 1.00815, 1.0471, 1.12013, 1.23537, 1.36323, 1.48038", \
+                            "0.943811, 0.949652, 0.988933, 1.06256, 1.17479, 1.30475, 1.42373" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.53131, 1.53681, 1.58873, 1.67783, 1.84899, 2.07152, 2.35246", \
+                            "1.52361, 1.52889, 1.5763, 1.67035, 1.83557, 2.06404, 2.34498", \
+                            "1.48918, 1.496, 1.54176, 1.63647, 1.80092, 2.02939, 2.31033", \
+                            "1.419, 1.42604, 1.47169, 1.57014, 1.73096, 1.96031, 2.24037", \
+                            "1.30701, 1.31322, 1.35894, 1.45365, 1.6181, 1.84657, 2.12751", \
+                            "1.23543, 1.24247, 1.28814, 1.38292, 1.54737, 1.77584, 2.05678", \
+                            "1.17594, 1.18399, 1.22965, 1.32363, 1.48885, 1.71534, 1.99826" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.75043, 1.73129, 1.69708, 1.62624, 1.54275, 1.47565, 1.43187", \
+                            "1.7567, 1.74427, 1.70885, 1.6335, 1.54825, 1.48357, 1.45365", \
+                            "1.75945, 1.74427, 1.70709, 1.63625, 1.55122, 1.48676, 1.44452", \
+                            "1.79256, 1.77749, 1.74031, 1.672, 1.58444, 1.52504, 1.47763", \
+                            "1.82347, 1.80829, 1.77111, 1.70027, 1.61524, 1.55078, 1.50854", \
+                            "1.87176, 1.85658, 1.8194, 1.74856, 1.66232, 1.59907, 1.56497", \
+                            "1.88078, 1.86571, 1.82853, 1.75769, 1.67255, 1.60809, 1.57179" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.855481, 0.83743, 0.788865, 0.705771, 0.534831, 0.3288, 0.065857", \
+                            "0.862609, 0.844778, 0.802285, 0.713086, 0.541211, 0.334345, 0.073194", \
+                            "0.865337, 0.847121, 0.804914, 0.704352, 0.545391, 0.337106, 0.075933", \
+                            "0.898821, 0.879901, 0.838178, 0.747219, 0.578721, 0.363979, 0.109098", \
+                            "0.932591, 0.910767, 0.869066, 0.768317, 0.609422, 0.401104, 0.139963", \
+                            "0.977636, 0.955735, 0.917334, 0.816673, 0.657756, 0.449372, 0.188244", \
+                            "0.993564, 0.968099, 0.926288, 0.837188, 0.665434, 0.457413, 0.197305" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00723483;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.565983, 0.5841, 0.634337, 0.716485, 0.890923, 1.09654, 1.36103", \
+                            "0.560164, 0.579326, 0.621676, 0.710424, 0.883993, 1.08972, 1.35278", \
+                            "0.552189, 0.571813, 0.613987, 0.712943, 0.876051, 1.08285, 1.34541", \
+                            "0.521334, 0.541816, 0.583143, 0.673519, 0.843931, 1.05806, 1.31468", \
+                            "0.48873, 0.514668, 0.556358, 0.65747, 0.81719, 1.02553, 1.28691", \
+                            "0.44506, 0.465982, 0.504614, 0.602371, 0.765325, 0.968847, 1.23544", \
+                            "0.426206, 0.45507, 0.496683, 0.584991, 0.75845, 0.965316, 1.22808" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.75043, 1.73338, 1.69708, 1.62624, 1.54275, 1.47961, 1.43979", \
+                            "1.7567, 1.74427, 1.70885, 1.6335, 1.54957, 1.48698, 1.45365", \
+                            "1.75945, 1.74427, 1.70709, 1.63625, 1.55232, 1.48973, 1.45266", \
+                            "1.79256, 1.77749, 1.74031, 1.672, 1.58554, 1.52504, 1.48588", \
+                            "1.82347, 1.80829, 1.77111, 1.70027, 1.61634, 1.55375, 1.51679", \
+                            "1.87176, 1.85658, 1.8194, 1.74856, 1.66463, 1.60204, 1.56497", \
+                            "1.88078, 1.86571, 1.82853, 1.75769, 1.67365, 1.61106, 1.5741" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.855481, 0.83743, 0.788865, 0.705771, 0.534831, 0.3288, 0.065857", \
+                            "0.862609, 0.844778, 0.802285, 0.713086, 0.541211, 0.334345, 0.073194", \
+                            "0.865337, 0.847121, 0.804914, 0.704352, 0.545391, 0.337106, 0.075933", \
+                            "0.898821, 0.879901, 0.838178, 0.747219, 0.578721, 0.363979, 0.109098", \
+                            "0.932591, 0.910767, 0.869066, 0.768317, 0.609422, 0.401104, 0.139963", \
+                            "0.977636, 0.955735, 0.917334, 0.816673, 0.657756, 0.449372, 0.188244", \
+                            "0.993564, 0.968099, 0.926288, 0.837188, 0.665434, 0.457413, 0.197305" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0324056;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.22665, 1.23175, 1.21886, 1.26512, 1.35839, 1.4894, 1.56662", \
+                            "1.22078, 1.23245, 1.21342, 1.25635, 1.35003, 1.48786, 1.5675", \
+                            "1.2059, 1.21968, 1.20493, 1.24441, 1.3387, 1.46718, 1.55232", \
+                            "1.18496, 1.18788, 1.17459, 1.20841, 1.30685, 1.43396, 1.53296", \
+                            "1.14861, 1.15619, 1.14203, 1.18485, 1.27601, 1.40646, 1.4905", \
+                            "1.10596, 1.10667, 1.09845, 1.13793, 1.22674, 1.35652, 1.45277", \
+                            "1.09402, 1.10199, 1.08283, 1.12949, 1.2197, 1.34838, 1.43649" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.36202, 1.36554, 1.40943, 1.49809, 1.65165, 1.86967, 2.09836", \
+                            "1.35696, 1.35982, 1.39777, 1.48544, 1.64714, 1.86043, 2.09209", \
+                            "1.34662, 1.3497, 1.39557, 1.47708, 1.63735, 1.85152, 2.08098", \
+                            "1.31647, 1.31899, 1.36488, 1.45035, 1.60545, 1.83051, 2.05337", \
+                            "1.28453, 1.28862, 1.32891, 1.41944, 1.57201, 1.7897, 2.01894", \
+                            "1.23613, 1.24148, 1.28701, 1.37071, 1.52537, 1.74603, 1.9734", \
+                            "1.22809, 1.23299, 1.27697, 1.35861, 1.51723, 1.74394, 1.96449" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.938036, 0.942084, 0.942326, 0.878691, 0.828586, 0.787985, 0.756448", \
+                            "0.94963, 0.950994, 0.951643, 0.894564, 0.840268, 0.789943, 0.749639", \
+                            "0.988691, 0.990055, 0.990693, 0.933614, 0.879318, 0.829136, 0.794178", \
+                            "1.06306, 1.06447, 1.06512, 1.00771, 0.953744, 0.903441, 0.868604", \
+                            "1.1723, 1.17369, 1.17433, 1.11727, 1.06297, 1.01276, 0.977834", \
+                            "1.29906, 1.30316, 1.30195, 1.24418, 1.18763, 1.14552, 1.10552", \
+                            "1.38853, 1.38985, 1.39051, 1.33342, 1.27519, 1.23426, 1.20541" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.02678, 1.01578, 0.974435, 0.896885, 0.823229, 0.761035, 0.712844", \
+                            "1.03792, 1.02651, 0.986216, 0.90761, 0.833877, 0.771749, 0.722854", \
+                            "1.07698, 1.06623, 1.02528, 0.94666, 0.873004, 0.810799, 0.76263", \
+                            "1.15136, 1.14006, 1.0997, 1.02109, 0.94743, 0.875116, 0.837056", \
+                            "1.26058, 1.24929, 1.20892, 1.13032, 1.05658, 0.994455, 0.946275", \
+                            "1.3882, 1.37687, 1.33661, 1.25794, 1.18428, 1.12209, 1.07391", \
+                            "1.47675, 1.46542, 1.42505, 1.34651, 1.2728, 1.20038, 1.16243" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0154266;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.06095, 1.08861, 1.10646, 1.152, 1.17709, 1.16389, 1.12543", \
+                            "1.06465, 1.07372, 1.09956, 1.1404, 1.1793, 1.15732, 1.08908", \
+                            "1.05181, 1.06125, 1.08908, 1.13762, 1.16724, 1.14698, 1.08891", \
+                            "1.01856, 1.04278, 1.0633, 1.09718, 1.13813, 1.11444, 1.05816", \
+                            "0.990495, 1.00185, 1.03118, 1.07675, 1.10536, 1.08632, 1.02178", \
+                            "0.946286, 0.954514, 0.986579, 1.02451, 1.05333, 1.03694, 0.983994", \
+                            "0.935209, 0.947001, 0.973225, 1.02215, 1.03738, 1.03041, 0.980837" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.143471, 0.141327, 0.175165, 0.280106, 0.449251, 0.673519, 0.930831", \
+                            "0.137915, 0.135643, 0.169632, 0.274604, 0.444125, 0.668008, 0.925331", \
+                            "0.127311, 0.124995, 0.159157, 0.264001, 0.433521, 0.657404, 0.914727", \
+                            "0.100837, 0.094919, 0.128986, 0.233822, 0.403458, 0.627341, 0.884664", \
+                            "0.065549, 0.063162, 0.097328, 0.202083, 0.37169, 0.595573, 0.852907", \
+                            "0.018271, 0.016203, 0.050105, 0.154954, 0.324476, 0.548361, 0.805684", \
+                            "0.011154, 0.008822, 0.042999, 0.147842, 0.317358, 0.541244, 0.798567" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "0.735482, 0.710963, 0.697114, 0.651684, 0.631345, 0.63789, 0.682341", \
+                            "0.743105, 0.739794, 0.707146, 0.65956, 0.631994, 0.644303, 0.716584", \
+                            "0.753632, 0.748044, 0.715297, 0.668415, 0.640662, 0.656117, 0.720753", \
+                            "0.792418, 0.757196, 0.743336, 0.697631, 0.670285, 0.682737, 0.748638", \
+                            "0.814737, 0.809138, 0.77638, 0.731049, 0.701745, 0.717211, 0.781836", \
+                            "0.862895, 0.857318, 0.827145, 0.77902, 0.759968, 0.765347, 0.821788", \
+                            "0.880847, 0.864116, 0.833756, 0.786181, 0.768735, 0.771716, 0.825968" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          index_2 ("0.02, 0.07784, 0.2809, 0.6686, 1.273, 2.12, 3.235");
+                          values  ( \
+                            "1.33969, 1.34222, 1.3087, 1.2074, 1.03361, 0.810568, 0.556556", \
+                            "1.34739, 1.35025, 1.31648, 1.21517, 1.04138, 0.818323, 0.564322", \
+                            "1.35784, 1.36059, 1.32693, 1.22555, 1.05178, 0.828729, 0.574717", \
+                            "1.38611, 1.38864, 1.35498, 1.25363, 1.07984, 0.85679, 0.602778", \
+                            "1.41889, 1.42164, 1.38798, 1.28665, 1.11288, 0.889823, 0.635822", \
+                            "1.46696, 1.4696, 1.43616, 1.33485, 1.16102, 0.93797, 0.683958", \
+                            "1.47389, 1.47675, 1.44298, 1.34167, 1.16786, 0.944812, 0.6908" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.001198791;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_3v60.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_3v60.lib
new file mode 100755
index 0000000..6bd2288
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_3v60.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_3v60) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 3.6;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.3877;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.6);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_3p6v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 3.6;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_3p6v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0626;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+			  index_2 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "5.40288, 5.4342, 5.49768, 5.62752, 5.80764, 6.06252, 6.39192", \
+                            "5.41008, 5.43084, 5.50416, 5.62812, 5.81232, 6.06096, 6.39228", \
+                            "5.4156, 5.43912, 5.50872, 5.63364, 5.814, 6.06516, 6.3948", \
+                            "5.4156, 5.44368, 5.51652, 5.63868, 5.82468, 6.0732, 6.40356", \
+                            "5.43384, 5.45928, 5.53092, 5.65416, 5.83524, 6.08436, 6.41364", \
+                            "5.42652, 5.44908, 5.52252, 5.64732, 5.83008, 6.07824, 6.41028", \
+                            "5.39652, 5.41884, 5.493, 5.61444, 5.7972, 6.05256, 6.37884" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+  	                     "0.233568, 0.26934, 0.38616, 0.614856, 0.98046, 1.51872, 2.2206" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "5.59008, 5.61912, 5.68308, 5.79396, 5.93196, 6.11172, 6.32856", \
+                            "5.59524, 5.61756, 5.68932, 5.79504, 5.93772, 6.11112, 6.33072", \
+                            "5.60124, 5.62428, 5.694, 5.80152, 5.94168, 6.11652, 6.33516", \
+                            "5.6016, 5.63052, 5.6988, 5.80596, 5.95128, 6.12372, 6.34116", \
+                            "5.61888, 5.64516, 5.71524, 5.82408, 5.96292, 6.13704, 6.35304", \
+                            "5.61252, 5.63676, 5.70528, 5.81412, 5.9568, 6.129, 6.3486", \
+                            "5.58204, 5.60616, 5.67696, 5.78112, 5.92596, 6.10272, 6.3162" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0954, 0.2223, 0.4200, 0.6975, 1.0626");
+                          values  ( \
+                            "0.239436, 0.266952, 0.344916, 0.477228, 0.682512, 0.95532, 1.3152" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.281059;
+                  clock                : true;
+                  max_transition       : 2.3877;
+                  min_pulse_width_high : 3.49452;
+                  min_pulse_width_low  : 3.18729;
+                  min_period           : 7.14045;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("441.252, 441.252, 441.252, 441.252, 441.252, 441.252, 441.252");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("441.252, 441.252, 441.252, 441.252, 441.252, 441.252, 441.252");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("0.00370476, 0.00370476, 0.00370476, 0.00370476, 0.00370476, 0.00370476, 0.00370476");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("0.00370476, 0.00370476, 0.00370476, 0.00370476, 0.00370476, 0.00370476, 0.00370476");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("395.91, 395.91, 395.91, 395.91, 395.91, 395.91, 395.91");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                values  ("395.91, 395.91, 395.91, 395.91, 395.91, 395.91, 395.91");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0170368;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.538263, 0.531685, 0.528231, 0.550165, 0.594605, 0.629607, 0.654115", \
+                            "0.531861, 0.525052, 0.521906, 0.544159, 0.589193, 0.623502, 0.648318", \
+                            "0.523039, 0.515757, 0.512325, 0.531355, 0.568194, 0.602492, 0.627319", \
+                            "0.522082, 0.51546, 0.512028, 0.527714, 0.551056, 0.568392, 0.597872", \
+                            "0.522544, 0.515262, 0.51183, 0.53086, 0.559713, 0.572836, 0.595276", \
+                            "0.522577, 0.515229, 0.511797, 0.530827, 0.56078, 0.595089, 0.619905", \
+                            "0.571593, 0.564564, 0.561407, 0.583671, 0.628705, 0.663014, 0.68783" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.497013, 0.502447, 0.524029, 0.575883, 0.664741, 0.764357, 0.878878", \
+                            "0.496859, 0.502293, 0.523831, 0.575454, 0.664587, 0.766326, 0.878724", \
+                            "0.496573, 0.502007, 0.523556, 0.571758, 0.663718, 0.76604, 0.877327", \
+                            "0.496287, 0.501699, 0.52327, 0.575135, 0.663993, 0.765743, 0.87813", \
+                            "0.495506, 0.50149, 0.523061, 0.574662, 0.663806, 0.765523, 0.877943", \
+                            "0.496045, 0.501457, 0.52305, 0.571219, 0.661782, 0.764324, 0.877899", \
+                            "0.504702, 0.510147, 0.531608, 0.582637, 0.670252, 0.772343, 0.886314" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.47587, 1.48258, 1.48632, 1.46773, 1.43935, 1.42615, 1.41999", \
+                            "1.4861, 1.49303, 1.49655, 1.47752, 1.44859, 1.4355, 1.42956", \
+                            "1.5059, 1.51327, 1.51668, 1.49765, 1.46883, 1.45563, 1.44969", \
+                            "1.55056, 1.55716, 1.56068, 1.54495, 1.52163, 1.50612, 1.49369", \
+                            "1.61106, 1.61832, 1.62173, 1.6027, 1.57388, 1.56068, 1.55485", \
+                            "1.66353, 1.6709, 1.67431, 1.65528, 1.62646, 1.61337, 1.60743", \
+                            "1.69169, 1.69895, 1.70236, 1.68333, 1.65451, 1.64142, 1.63548" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.50205, 1.49666, 1.4751, 1.42318, 1.3343, 1.23474, 1.12022", \
+                            "1.51228, 1.50678, 1.48533, 1.43363, 1.34453, 1.24279, 1.13039", \
+                            "1.53241, 1.52702, 1.50546, 1.45728, 1.36521, 1.26294, 1.15166", \
+                            "1.57641, 1.57091, 1.54935, 1.49754, 1.40866, 1.30691, 1.19452", \
+                            "1.63812, 1.63207, 1.61051, 1.55892, 1.46982, 1.36807, 1.25563", \
+                            "1.69015, 1.68465, 1.66309, 1.61491, 1.52438, 1.42186, 1.30823", \
+                            "1.71798, 1.7127, 1.69114, 1.63955, 1.55045, 1.4487, 1.33628" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0482391;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.742049, 0.745954, 0.764918, 0.808665, 0.872806, 0.941413, 0.991419", \
+                            "0.737759, 0.741477, 0.761244, 0.804815, 0.868956, 0.93225, 0.987569", \
+                            "0.71951, 0.723063, 0.742302, 0.78628, 0.850179, 0.913473, 0.968814", \
+                            "0.675521, 0.679019, 0.698038, 0.74184, 0.805409, 0.870661, 0.924539", \
+                            "0.625141, 0.629167, 0.647944, 0.691922, 0.755183, 0.824428, 0.874445", \
+                            "0.588192, 0.592031, 0.610995, 0.654522, 0.718872, 0.782166, 0.837496", \
+                            "0.568766, 0.572594, 0.591734, 0.635305, 0.699446, 0.764181, 0.818059" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.813274, 0.817135, 0.8492, 0.915706, 1.02091, 1.16119, 1.31791", \
+                            "0.809424, 0.813274, 0.845284, 0.911856, 1.01707, 1.15674, 1.31406", \
+                            "0.790581, 0.794508, 0.826507, 0.888525, 0.998294, 1.13832, 1.29525", \
+                            "0.746383, 0.750244, 0.781836, 0.848815, 0.95403, 1.09406, 1.25101", \
+                            "0.696366, 0.70015, 0.732149, 0.798721, 0.903936, 1.0436, 1.20091", \
+                            "0.659274, 0.663179, 0.695189, 0.761772, 0.866987, 1.00702, 1.16395", \
+                            "0.639881, 0.643764, 0.675763, 0.742335, 0.847561, 0.987591, 1.14453" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.01571, 1.01272, 0.994378, 0.956747, 0.924572, 0.907676, 0.912065", \
+                            "1.01598, 1.01298, 0.994862, 0.957242, 0.925067, 0.90783, 0.91256", \
+                            "1.02228, 1.01972, 1.00039, 0.96415, 0.930589, 0.913352, 0.918082", \
+                            "1.02999, 1.02567, 1.00779, 0.969991, 0.9383, 0.921822, 0.925485", \
+                            "1.03644, 1.03267, 1.01434, 0.978109, 0.94457, 0.929423, 0.932041", \
+                            "1.03382, 1.03165, 1.0127, 0.975084, 0.942909, 0.925672, 0.930402", \
+                            "1.00706, 1.0037, 0.985798, 0.948178, 0.915992, 0.899833, 0.903496" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.556611, 0.551276, 0.518045, 0.456225, 0.350559, 0.214612, 0.05621", \
+                            "0.556842, 0.551034, 0.519079, 0.457974, 0.351054, 0.215303, 0.056705", \
+                            "0.562166, 0.556567, 0.524425, 0.463045, 0.356565, 0.220716, 0.062227", \
+                            "0.570097, 0.563948, 0.532477, 0.470734, 0.363957, 0.228107, 0.069619", \
+                            "0.576664, 0.571252, 0.538923, 0.47729, 0.370535, 0.23478, 0.076186", \
+                            "0.603746, 0.598378, 0.567677, 0.501369, 0.391259, 0.254991, 0.10504", \
+                            "0.660957, 0.655028, 0.624349, 0.558041, 0.447931, 0.311663, 0.161709" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.0075492;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.336985, 0.342969, 0.375804, 0.43725, 0.545039, 0.679316, 0.838057", \
+                            "0.335203, 0.341462, 0.375353, 0.435919, 0.543169, 0.678084, 0.836143", \
+                            "0.332728, 0.336985, 0.370887, 0.432256, 0.539132, 0.673948, 0.832634", \
+                            "0.323961, 0.329912, 0.361251, 0.42372, 0.531113, 0.665258, 0.825253", \
+                            "0.316745, 0.322817, 0.354574, 0.416042, 0.524876, 0.659802, 0.817597", \
+                            "0.317779, 0.323411, 0.354981, 0.418187, 0.524942, 0.659846, 0.818257", \
+                            "0.345444, 0.349437, 0.383207, 0.443498, 0.552332, 0.685674, 0.845482" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "1.01598, 1.01272, 0.996215, 0.956747, 0.924979, 0.90838, 0.912065", \
+                            "1.01644, 1.01298, 0.996688, 0.957242, 0.925452, 0.908853, 0.91256", \
+                            "1.02228, 1.01972, 1.00223, 0.96415, 0.930985, 0.914386, 0.918082", \
+                            "1.02999, 1.02567, 1.00964, 0.969991, 0.93841, 0.921822, 0.925485", \
+                            "1.03644, 1.03267, 1.01619, 0.978109, 0.944955, 0.929423, 0.932041", \
+                            "1.03429, 1.03165, 1.01452, 0.975084, 0.943283, 0.926684, 0.930402", \
+                            "1.00739, 1.0037, 0.987624, 0.948178, 0.916399, 0.899833, 0.903496" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.556611, 0.551276, 0.518045, 0.456225, 0.350559, 0.214612, 0.05621", \
+                            "0.556842, 0.551034, 0.519079, 0.457974, 0.351054, 0.215303, 0.056705", \
+                            "0.562166, 0.556567, 0.524425, 0.463045, 0.356565, 0.220716, 0.062227", \
+                            "0.570097, 0.563948, 0.532477, 0.470734, 0.363957, 0.228107, 0.069619", \
+                            "0.576664, 0.571252, 0.538923, 0.47729, 0.370535, 0.23478, 0.076186", \
+                            "0.574486, 0.569239, 0.537284, 0.474551, 0.368874, 0.233025, 0.074547", \
+                            "0.547756, 0.542685, 0.509839, 0.448745, 0.34199, 0.206125, 0.04763" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0322506;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.678678, 0.672463, 0.664587, 0.696828, 0.734448, 0.754952, 0.784234", \
+                            "0.672815, 0.670637, 0.664191, 0.696124, 0.73183, 0.751949, 0.78243", \
+                            "0.668426, 0.666149, 0.65813, 0.691119, 0.728871, 0.751091, 0.777436", \
+                            "0.664147, 0.659373, 0.651266, 0.684178, 0.719642, 0.743886, 0.771001", \
+                            "0.654478, 0.652674, 0.646382, 0.675807, 0.715033, 0.738815, 0.763378", \
+                            "0.655292, 0.652014, 0.647141, 0.677061, 0.712976, 0.735625, 0.764269", \
+                            "0.682011, 0.679591, 0.671462, 0.703692, 0.739563, 0.762388, 0.791307" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.818323, 0.824428, 0.846571, 0.897017, 0.989648, 1.09978, 1.23444", \
+                            "0.815067, 0.821843, 0.842435, 0.894553, 0.987569, 1.09922, 1.23396", \
+                            "0.812801, 0.818147, 0.839267, 0.889735, 0.983389, 1.09359, 1.22822", \
+                            "0.804969, 0.811316, 0.833404, 0.882783, 0.977196, 1.08684, 1.22213", \
+                            "0.797819, 0.804056, 0.825825, 0.87626, 0.969364, 1.07605, 1.21528", \
+                            "0.79893, 0.80498, 0.826419, 0.879967, 0.969892, 1.08147, 1.2149", \
+                            "0.826166, 0.831611, 0.8536, 0.903782, 0.996556, 1.10712, 1.24274" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.607211, 0.611534, 0.607717, 0.590447, 0.588159, 0.589919, 0.587994", \
+                            "0.615483, 0.618541, 0.614812, 0.597476, 0.595155, 0.60104, 0.594913", \
+                            "0.635844, 0.638902, 0.635173, 0.61743, 0.615527, 0.621401, 0.615274", \
+                            "0.674586, 0.677644, 0.673915, 0.656579, 0.654269, 0.660143, 0.654027", \
+                            "0.726088, 0.729146, 0.725406, 0.707674, 0.70576, 0.710875, 0.705518", \
+                            "0.770429, 0.773487, 0.769758, 0.752422, 0.750101, 0.755986, 0.749859", \
+                            "0.779262, 0.78232, 0.778591, 0.761266, 0.758945, 0.764819, 0.758769" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.632302, 0.627649, 0.603031, 0.579997, 0.569459, 0.571549, 0.579227", \
+                            "0.639298, 0.63459, 0.609829, 0.587268, 0.576466, 0.578413, 0.586223", \
+                            "0.659538, 0.655006, 0.630168, 0.607365, 0.596827, 0.598774, 0.606826", \
+                            "0.698346, 0.693759, 0.668899, 0.646107, 0.635569, 0.637527, 0.645524", \
+                            "0.749903, 0.74525, 0.7205, 0.697609, 0.687071, 0.689403, 0.69707", \
+                            "0.79409, 0.789591, 0.764731, 0.738936, 0.731412, 0.733359, 0.741411", \
+                            "0.802956, 0.798435, 0.773597, 0.750783, 0.740245, 0.742203, 0.7502" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0155981;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.577412, 0.582824, 0.600094, 0.605957, 0.585772, 0.541156, 0.465509", \
+                            "0.575894, 0.580448, 0.594143, 0.60324, 0.584221, 0.540397, 0.463826", \
+                            "0.570306, 0.575619, 0.591866, 0.599214, 0.58201, 0.534919, 0.459635", \
+                            "0.565895, 0.568975, 0.584595, 0.586729, 0.574904, 0.528561, 0.450758", \
+                            "0.558756, 0.560109, 0.576026, 0.584782, 0.567325, 0.521532, 0.4455", \
+                            "0.557337, 0.561704, 0.578985, 0.583176, 0.566632, 0.520729, 0.446281", \
+                            "0.584452, 0.587884, 0.605176, 0.613129, 0.592702, 0.547008, 0.47003" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.144474, 0.136658, 0.171053, 0.248998, 0.371096, 0.530123, 0.728926", \
+                            "0.142708, 0.137889, 0.169137, 0.247223, 0.369369, 0.528396, 0.727199", \
+                            "0.138219, 0.133389, 0.164654, 0.243037, 0.364892, 0.523897, 0.722711", \
+                            "0.131261, 0.126446, 0.157715, 0.235804, 0.357951, 0.516967, 0.714483", \
+                            "0.124142, 0.116292, 0.150705, 0.228612, 0.350757, 0.509773, 0.708576", \
+                            "0.124868, 0.119332, 0.151448, 0.229382, 0.351538, 0.510554, 0.709357", \
+                            "0.15177, 0.144109, 0.177957, 0.256104, 0.378202, 0.537229, 0.736032" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.541266, 0.532774, 0.519937, 0.51293, 0.52976, 0.573518, 0.650661", \
+                            "0.54263, 0.537449, 0.522698, 0.515614, 0.532444, 0.576532, 0.650067", \
+                            "0.54637, 0.541805, 0.5258, 0.518705, 0.536052, 0.580624, 0.653158", \
+                            "0.551892, 0.549835, 0.531047, 0.527065, 0.54406, 0.589314, 0.665104", \
+                            "0.561627, 0.55858, 0.541497, 0.534402, 0.551705, 0.594913, 0.668855", \
+                            "0.560417, 0.555302, 0.540551, 0.533456, 0.550297, 0.594385, 0.667909", \
+                            "0.533049, 0.527934, 0.513194, 0.506022, 0.522973, 0.56804, 0.640563" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          index_2 ("0.0200, 0.0626, 0.2121, 0.4976, 0.9426, 1.5664, 2.3877");
+                          values  ( \
+                            "0.790823, 0.794794, 0.764313, 0.68563, 0.563321, 0.405328, 0.208989", \
+                            "0.793507, 0.797467, 0.766997, 0.688314, 0.566005, 0.408001, 0.211674", \
+                            "0.796631, 0.800569, 0.770099, 0.691416, 0.569107, 0.411103, 0.214773", \
+                            "0.805299, 0.809259, 0.778767, 0.700073, 0.577775, 0.419771, 0.22344", \
+                            "0.812317, 0.816255, 0.785785, 0.707102, 0.584804, 0.4268, 0.230463", \
+                            "0.81136, 0.815331, 0.78485, 0.706167, 0.583858, 0.425854, 0.229526", \
+                            "0.784003, 0.787974, 0.757493, 0.67881, 0.556501, 0.398497, 0.202168" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.00370476;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_5v50.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_5v50.lib
new file mode 100755
index 0000000..c77b5af
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_5v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_125C_5v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 5.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.394;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_5p5v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 5.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_5p5v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.115;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+			  index_2 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "4.05612, 4.07412, 4.12872, 4.21968, 4.353, 4.53684, 4.7892", \
+                            "4.05552, 4.07136, 4.12896, 4.2174, 4.35612, 4.536, 4.78356", \
+                            "4.05612, 4.07484, 4.12836, 4.21968, 4.35396, 4.54056, 4.78344", \
+                            "4.05528, 4.07208, 4.1262, 4.21764, 4.35312, 4.53828, 4.7808", \
+                            "4.06548, 4.0806, 4.1352, 4.22916, 4.3578, 4.542, 4.79412", \
+                            "4.05948, 4.07964, 4.13616, 4.2222, 4.35912, 4.5444, 4.788", \
+                            "4.04736, 4.06812, 4.12176, 4.20948, 4.34364, 4.52976, 4.776" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+  	                     "0.189936, 0.212568, 0.295704, 0.467256, 0.738888, 1.14137, 1.6686" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "4.16724, 4.1856, 4.24212, 4.33056, 4.44252, 4.58916, 4.78056", \
+                            "4.16472, 4.1886, 4.24404, 4.329, 4.44612, 4.58712, 4.77636", \
+                            "4.16568, 4.19028, 4.24104, 4.32924, 4.4412, 4.58964, 4.77672", \
+                            "4.1664, 4.18548, 4.23996, 4.32672, 4.44108, 4.59024, 4.77324", \
+                            "4.17672, 4.19268, 4.251, 4.33812, 4.44888, 4.59432, 4.78464", \
+                            "4.173, 4.19184, 4.2498, 4.33308, 4.4508, 4.59648, 4.78212", \
+                            "4.158, 4.18044, 4.23348, 4.31976, 4.434, 4.58064, 4.76808" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02988, 0.09966, 0.2329, 0.4405, 0.7318, 1.115");
+                          values  ( \
+                            "0.1878, 0.21096, 0.275868, 0.38574, 0.557592, 0.796896, 1.11739" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.283903;
+                  clock                : true;
+                  max_transition       : 1.394;
+                  min_pulse_width_high : 2.366415;
+                  min_pulse_width_low  : 2.42577;
+                  min_period           : 5.330145;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1103.25, 1103.25, 1103.25, 1103.25, 1103.25, 1103.25, 1103.25");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("1103.25, 1103.25, 1103.25, 1103.25, 1103.25, 1103.25, 1103.25");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("0.0094567, 0.0094567, 0.0094567, 0.0094567, 0.0094567, 0.0094567, 0.0094567");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("0.0094567, 0.0094567, 0.0094567, 0.0094567, 0.0094567, 0.0094567, 0.0094567");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("991.21, 991.21, 991.21, 991.21, 991.21, 991.21, 991.21");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                values  ("991.21, 991.21, 991.21, 991.21, 991.21, 991.21, 991.21");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0168675;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.411389, 0.407649, 0.402237, 0.404998, 0.424435, 0.447689, 0.473187", \
+                            "0.411147, 0.407671, 0.402633, 0.404943, 0.424633, 0.445478, 0.470965", \
+                            "0.410916, 0.40788, 0.401764, 0.405196, 0.425194, 0.440264, 0.462484", \
+                            "0.410718, 0.407286, 0.401621, 0.404415, 0.423852, 0.440814, 0.453442", \
+                            "0.410498, 0.407022, 0.401423, 0.404195, 0.423643, 0.440594, 0.453222", \
+                            "0.410421, 0.407605, 0.401335, 0.40414, 0.423566, 0.440528, 0.454663", \
+                            "0.419881, 0.416493, 0.411169, 0.41525, 0.440418, 0.468732, 0.493537" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.388333, 0.39127, 0.399476, 0.420013, 0.465641, 0.519959, 0.581108", \
+                            "0.389092, 0.391105, 0.399322, 0.42086, 0.465487, 0.519805, 0.580954", \
+                            "0.387959, 0.390225, 0.399707, 0.418022, 0.465256, 0.519574, 0.580712", \
+                            "0.388663, 0.390687, 0.398893, 0.42042, 0.463892, 0.519376, 0.580525", \
+                            "0.388685, 0.390456, 0.399289, 0.419221, 0.464838, 0.519156, 0.580305", \
+                            "0.387607, 0.39039, 0.399212, 0.418572, 0.464299, 0.51909, 0.580228", \
+                            "0.387398, 0.390313, 0.398541, 0.420057, 0.464695, 0.519013, 0.580162" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "1.07855, 1.0823, 1.0877, 1.08494, 1.0655, 1.04929, 1.03591", \
+                            "1.08955, 1.09303, 1.09805, 1.09574, 1.07605, 1.06019, 1.04682", \
+                            "1.10355, 1.10659, 1.11271, 1.10926, 1.08926, 1.07419, 1.06082", \
+                            "1.12102, 1.12445, 1.13012, 1.12732, 1.10788, 1.09091, 1.0783", \
+                            "1.15345, 1.15692, 1.16251, 1.15974, 1.1403, 1.12334, 1.11071", \
+                            "1.18276, 1.18558, 1.19186, 1.18904, 1.16962, 1.15266, 1.14004", \
+                            "1.20328, 1.20651, 1.21238, 1.20956, 1.19013, 1.17317, 1.16056" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "1.10161, 1.09868, 1.09046, 1.06993, 1.0243, 0.96998, 0.908842", \
+                            "1.11161, 1.10958, 1.10136, 1.07984, 1.0352, 0.980892, 0.919743", \
+                            "1.1265, 1.12423, 1.11476, 1.09644, 1.04921, 0.994884, 0.933746", \
+                            "1.14307, 1.14105, 1.13284, 1.11131, 1.06784, 1.01236, 0.951214", \
+                            "1.17526, 1.17348, 1.16466, 1.14473, 1.09911, 1.04478, 0.983642", \
+                            "1.20559, 1.2028, 1.19398, 1.17462, 1.1289, 1.07411, 1.01296", \
+                            "1.22624, 1.22332, 1.21509, 1.19357, 1.14894, 1.09462, 1.03347" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0492022;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.563046, 0.564509, 0.571549, 0.593703, 0.628892, 0.675081, 0.718058", \
+                            "0.562001, 0.563761, 0.570482, 0.592911, 0.628287, 0.674091, 0.716364", \
+                            "0.55638, 0.558459, 0.565334, 0.587191, 0.622666, 0.670043, 0.710897", \
+                            "0.537977, 0.539704, 0.547734, 0.569063, 0.604252, 0.650298, 0.692483", \
+                            "0.501314, 0.502238, 0.509641, 0.5313, 0.566731, 0.613272, 0.655424", \
+                            "0.468985, 0.471152, 0.478126, 0.50028, 0.534996, 0.581724, 0.625977", \
+                            "0.440528, 0.442057, 0.449801, 0.471559, 0.506935, 0.553597, 0.593956" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.588467, 0.59114, 0.607299, 0.641729, 0.701525, 0.779845, 0.874632", \
+                            "0.587895, 0.590524, 0.606551, 0.641553, 0.700931, 0.778547, 0.874027", \
+                            "0.582241, 0.584914, 0.601073, 0.635503, 0.695299, 0.773619, 0.872355", \
+                            "0.563651, 0.5665, 0.582505, 0.617936, 0.676885, 0.755447, 0.849926", \
+                            "0.526592, 0.529441, 0.545732, 0.580448, 0.636878, 0.718234, 0.812988", \
+                            "0.495033, 0.497706, 0.513997, 0.548295, 0.605143, 0.687808, 0.781198", \
+                            "0.466521, 0.469183, 0.485353, 0.519772, 0.579568, 0.657206, 0.752609" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.791186, 0.789932, 0.782782, 0.764434, 0.742577, 0.728673, 0.72468", \
+                            "0.792418, 0.790658, 0.78408, 0.765435, 0.743864, 0.731687, 0.721303", \
+                            "0.787666, 0.786016, 0.779295, 0.760639, 0.73909, 0.725197, 0.722337", \
+                            "0.788964, 0.787875, 0.78089, 0.762245, 0.740388, 0.725769, 0.723635", \
+                            "0.794332, 0.792121, 0.785521, 0.767085, 0.745316, 0.730697, 0.728563", \
+                            "0.798127, 0.796598, 0.789305, 0.770957, 0.7491, 0.734492, 0.732446", \
+                            "0.784564, 0.783475, 0.776193, 0.757537, 0.735988, 0.722117, 0.713427" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.45749, 0.453893, 0.438075, 0.403326, 0.344135, 0.269284, 0.174032", \
+                            "0.45925, 0.455158, 0.439351, 0.40546, 0.345081, 0.270607, 0.175159", \
+                            "0.454091, 0.45078, 0.434588, 0.399839, 0.340648, 0.266041, 0.170187", \
+                            "0.455653, 0.451561, 0.436073, 0.401478, 0.342067, 0.265576, 0.171835", \
+                            "0.461604, 0.456478, 0.44066, 0.407099, 0.347985, 0.272597, 0.17787", \
+                            "0.472824, 0.46981, 0.452826, 0.418176, 0.358545, 0.276639, 0.187703", \
+                            "0.521411, 0.518397, 0.501919, 0.466763, 0.404162, 0.326502, 0.236369" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00767809;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.243992, 0.247279, 0.263329, 0.297616, 0.357423, 0.43274, 0.527219", \
+                            "0.242724, 0.246516, 0.262758, 0.296186, 0.35706, 0.431299, 0.5269", \
+                            "0.246633, 0.250824, 0.26621, 0.300806, 0.360481, 0.435413, 0.531168", \
+                            "0.245111, 0.249424, 0.265036, 0.299409, 0.358314, 0.435347, 0.529188", \
+                            "0.239592, 0.243929, 0.259862, 0.293997, 0.353012, 0.428681, 0.52371", \
+                            "0.237806, 0.241799, 0.25724, 0.292644, 0.350625, 0.427526, 0.521631", \
+                            "0.250593, 0.254935, 0.269716, 0.304898, 0.364056, 0.438317, 0.534182" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.791186, 0.790064, 0.782782, 0.764489, 0.742577, 0.728673, 0.72468", \
+                            "0.792418, 0.791428, 0.78408, 0.765842, 0.743864, 0.731687, 0.721303", \
+                            "0.787666, 0.786588, 0.779295, 0.761002, 0.73909, 0.725197, 0.722337", \
+                            "0.788964, 0.787886, 0.78089, 0.762311, 0.740388, 0.725769, 0.723635", \
+                            "0.794332, 0.792825, 0.785521, 0.76725, 0.745316, 0.730697, 0.728563", \
+                            "0.798127, 0.796609, 0.789305, 0.771034, 0.7491, 0.734492, 0.732446", \
+                            "0.784564, 0.783486, 0.776193, 0.757911, 0.735988, 0.722117, 0.713427" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.45749, 0.453893, 0.438075, 0.403326, 0.344135, 0.269284, 0.174032", \
+                            "0.45925, 0.455158, 0.439351, 0.40546, 0.345081, 0.270607, 0.175169", \
+                            "0.454091, 0.45078, 0.434588, 0.399839, 0.340648, 0.266041, 0.170187", \
+                            "0.455653, 0.451561, 0.436073, 0.401478, 0.342067, 0.265576, 0.171835", \
+                            "0.461604, 0.456478, 0.44066, 0.407099, 0.347985, 0.272597, 0.17787", \
+                            "0.464024, 0.46046, 0.444455, 0.409838, 0.351373, 0.274754, 0.180562", \
+                            "0.450901, 0.447139, 0.431497, 0.396726, 0.337546, 0.262914, 0.167436" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0320813;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.49357, 0.489456, 0.48378, 0.487718, 0.518133, 0.541277, 0.562793", \
+                            "0.490831, 0.489214, 0.484594, 0.486134, 0.516846, 0.541035, 0.562584", \
+                            "0.494912, 0.493262, 0.487168, 0.489588, 0.519893, 0.54307, 0.565257", \
+                            "0.495462, 0.492712, 0.487663, 0.489698, 0.520223, 0.545281, 0.564817", \
+                            "0.489291, 0.486024, 0.481921, 0.484462, 0.513909, 0.539374, 0.558679", \
+                            "0.487751, 0.483494, 0.479182, 0.481492, 0.511896, 0.536866, 0.555423", \
+                            "0.499609, 0.496353, 0.491909, 0.493097, 0.524689, 0.546216, 0.57046" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.632467, 0.634832, 0.643478, 0.664081, 0.709401, 0.772541, 0.846208", \
+                            "0.632005, 0.633303, 0.641773, 0.662794, 0.708257, 0.771694, 0.844921", \
+                            "0.635085, 0.63701, 0.647273, 0.668635, 0.711865, 0.775456, 0.850982", \
+                            "0.634799, 0.636581, 0.645051, 0.668129, 0.711425, 0.775467, 0.848485", \
+                            "0.628617, 0.630729, 0.639617, 0.662156, 0.704451, 0.762432, 0.842908", \
+                            "0.62623, 0.628738, 0.637945, 0.659516, 0.702328, 0.767888, 0.839872", \
+                            "0.638847, 0.639936, 0.650793, 0.672518, 0.715803, 0.779196, 0.852093" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.491964, 0.49489, 0.496188, 0.492283, 0.490556, 0.491491, 0.492393", \
+                            "0.4928, 0.494956, 0.497233, 0.493317, 0.491601, 0.492525, 0.493449", \
+                            "0.503206, 0.505362, 0.507639, 0.503734, 0.502007, 0.502942, 0.503866", \
+                            "0.520124, 0.522511, 0.524546, 0.520608, 0.518903, 0.519838, 0.520762", \
+                            "0.548185, 0.550341, 0.552618, 0.548713, 0.546986, 0.547921, 0.549274", \
+                            "0.579546, 0.581955, 0.583979, 0.580063, 0.578347, 0.579271, 0.580635", \
+                            "0.60038, 0.602789, 0.604813, 0.600908, 0.599181, 0.600116, 0.60104" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.501831, 0.499697, 0.490336, 0.478533, 0.478566, 0.484176, 0.491403", \
+                            "0.50292, 0.500698, 0.491392, 0.480931, 0.479611, 0.485221, 0.492437", \
+                            "0.513326, 0.511104, 0.501787, 0.489984, 0.490017, 0.495627, 0.502854", \
+                            "0.529837, 0.528011, 0.518694, 0.506891, 0.506924, 0.5137, 0.51975", \
+                            "0.558316, 0.556105, 0.546799, 0.534974, 0.535007, 0.540815, 0.547833", \
+                            "0.589666, 0.587499, 0.578116, 0.566335, 0.566357, 0.572176, 0.579249", \
+                            "0.610511, 0.608234, 0.598972, 0.587158, 0.587202, 0.592812, 0.600028" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0156697;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.413611, 0.414777, 0.423632, 0.426217, 0.417956, 0.394306, 0.351351", \
+                            "0.414403, 0.41305, 0.421355, 0.424226, 0.417868, 0.394845, 0.350031", \
+                            "0.418451, 0.417615, 0.427966, 0.429649, 0.419045, 0.397639, 0.354156", \
+                            "0.415184, 0.417549, 0.42482, 0.428593, 0.420343, 0.395758, 0.353452", \
+                            "0.411829, 0.411917, 0.42064, 0.423247, 0.412962, 0.39149, 0.346918", \
+                            "0.405933, 0.408672, 0.418957, 0.420409, 0.41085, 0.389345, 0.341924", \
+                            "0.421586, 0.421685, 0.431354, 0.431673, 0.422917, 0.401588, 0.356609" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.104592, 0.105059, 0.120941, 0.167235, 0.239741, 0.338503, 0.462528", \
+                            "0.103103, 0.102404, 0.119807, 0.165999, 0.239924, 0.337557, 0.461186", \
+                            "0.106974, 0.1077, 0.123628, 0.169709, 0.242222, 0.341143, 0.464904", \
+                            "0.10641, 0.106922, 0.122895, 0.169228, 0.24279, 0.340879, 0.464508", \
+                            "0.100754, 0.101005, 0.117204, 0.163388, 0.235789, 0.334609, 0.459118", \
+                            "0.0983169, 0.0986315, 0.11498, 0.161592, 0.234818, 0.331969, 0.456203", \
+                            "0.111647, 0.111222, 0.127423, 0.173636, 0.246011, 0.345169, 0.468809" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.467544, 0.466642, 0.456467, 0.454454, 0.463166, 0.486563, 0.5302", \
+                            "0.468424, 0.468809, 0.460768, 0.456566, 0.465498, 0.488785, 0.533269", \
+                            "0.463012, 0.463177, 0.454168, 0.44902, 0.460911, 0.484242, 0.527868", \
+                            "0.464728, 0.464101, 0.457688, 0.452166, 0.461549, 0.487102, 0.530068", \
+                            "0.471449, 0.469909, 0.462748, 0.457787, 0.46871, 0.492272, 0.535623", \
+                            "0.474606, 0.473044, 0.464761, 0.459877, 0.470789, 0.494681, 0.537251", \
+                            "0.461604, 0.460856, 0.451627, 0.448833, 0.457314, 0.481756, 0.525822" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          index_2 ("0.02, 0.04471, 0.1314, 0.2971, 0.5551, 0.9172, 1.394");
+                          values  ( \
+                            "0.631587, 0.631334, 0.614482, 0.568634, 0.49555, 0.397727, 0.275297", \
+                            "0.633655, 0.633677, 0.616814, 0.570966, 0.497882, 0.40007, 0.27764", \
+                            "0.628573, 0.628518, 0.611655, 0.565807, 0.492723, 0.394911, 0.272479", \
+                            "0.630685, 0.630718, 0.613855, 0.568007, 0.494923, 0.3971, 0.274669", \
+                            "0.636383, 0.636328, 0.619465, 0.573617, 0.500533, 0.402721, 0.280291", \
+                            "0.638429, 0.638396, 0.621544, 0.575663, 0.502601, 0.404789, 0.282348", \
+                            "0.625779, 0.625724, 0.608872, 0.563013, 0.489929, 0.392117, 0.269684" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0094567;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_1v98.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_1v98.lib
new file mode 100755
index 0000000..f23e2da
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_1v98.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_1v98) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 1.98;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.315;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.98);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_1p98v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 1.98;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_1p98v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 0.973;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+			  index_2 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "7.44768, 7.47024, 7.59192, 7.75296, 8.00532, 8.33952, 8.81004", \
+                            "7.43784, 7.47432, 7.58016, 7.76196, 8.0058, 8.3442, 8.80344", \
+                            "7.47504, 7.51692, 7.62336, 7.7916, 8.04372, 8.39352, 8.829", \
+                            "7.5174, 7.54956, 7.65948, 7.8252, 8.08188, 8.42508, 8.88048", \
+                            "7.572, 7.60356, 7.70988, 7.88532, 8.13924, 8.4822, 8.94024", \
+                            "7.61832, 7.6548, 7.7676, 7.93704, 8.1858, 8.52816, 8.98356", \
+                            "7.67712, 7.71156, 7.82112, 8.00016, 8.25096, 8.5878, 9.0432" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+  	                     "0.256512, 0.301368, 0.45246, 0.734784, 1.18332, 1.84788, 2.71344" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "7.82052, 7.839, 7.94424, 8.06232, 8.2224, 8.40228, 8.65932", \
+                            "7.81572, 7.84584, 7.93236, 8.07432, 8.2188, 8.41092, 8.6556", \
+                            "7.84344, 7.8786, 7.97544, 8.10336, 8.25768, 8.44716, 8.679", \
+                            "7.88592, 7.91868, 8.00964, 8.13336, 8.29344, 8.48904, 8.72532", \
+                            "7.93752, 7.97328, 8.06244, 8.18988, 8.35524, 8.54544, 8.78772", \
+                            "7.99116, 8.02116, 8.1216, 8.24472, 8.40288, 8.59284, 8.82864", \
+                            "8.05404, 8.08284, 8.17632, 8.31264, 8.47176, 8.64696, 8.88648" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02732, 0.08813, 0.2042, 0.3851, 0.639, 0.973");
+                          values  ( \
+                            "0.252012, 0.295536, 0.386412, 0.520332, 0.72252, 1.012, 1.38276" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.269276;
+                  clock                : true;
+                  max_transition       : 2.315;
+                  min_pulse_width_high : 4.948095;
+                  min_pulse_width_low  : 4.736925;
+                  min_period           : 10.11168;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("110.484, 110.484, 110.484, 110.484, 110.484, 110.484, 110.484");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("110.484, 110.484, 110.484, 110.484, 110.484, 110.484, 110.484");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("2.05009e-05, 2.05009e-05, 2.05009e-05, 2.05009e-05, 2.05009e-05, 2.05009e-05, 2.05009e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("2.05009e-05, 2.05009e-05, 2.05009e-05, 2.05009e-05, 2.05009e-05, 2.05009e-05, 2.05009e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("100.495, 100.495, 100.495, 100.495, 100.495, 100.495, 100.495");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                values  ("100.495, 100.495, 100.495, 100.495, 100.495, 100.495, 100.495");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0167148;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.799073, 0.791703, 0.790317, 0.835483, 0.939268, 1.09337, 1.25111", \
+                            "0.786709, 0.782683, 0.780373, 0.825528, 0.929313, 1.08342, 1.24116", \
+                            "0.755106, 0.747516, 0.747868, 0.793034, 0.896819, 1.05093, 1.20866", \
+                            "0.706915, 0.702207, 0.69773, 0.742951, 0.838035, 0.989868, 1.14792", \
+                            "0.70719, 0.700601, 0.699347, 0.742775, 0.837859, 0.969463, 1.08209", \
+                            "0.706596, 0.699446, 0.699204, 0.742621, 0.837716, 0.969309, 1.06754", \
+                            "0.706508, 0.699127, 0.699908, 0.742533, 0.83776, 0.955768, 1.05199" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.653807, 0.662838, 0.692428, 0.763169, 0.88385, 1.05678, 1.26009", \
+                            "0.65065, 0.658086, 0.689337, 0.758846, 0.880066, 1.05339, 1.25015", \
+                            "0.650474, 0.657921, 0.689161, 0.75867, 0.87989, 1.05322, 1.24958", \
+                            "0.650001, 0.657503, 0.688611, 0.758208, 0.879428, 1.05276, 1.24912", \
+                            "0.650276, 0.657261, 0.688446, 0.758021, 0.879252, 1.05256, 1.24893", \
+                            "0.649715, 0.657107, 0.688303, 0.757878, 0.879109, 1.05243, 1.24879", \
+                            "0.649715, 0.657107, 0.68827, 0.75779, 0.87901, 1.05234, 1.2487" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "2.00123, 2.00926, 2.00904, 1.96559, 1.87055, 1.73899, 1.64076", \
+                            "2.00552, 2.01003, 2.01113, 1.96768, 1.87264, 1.74097, 1.64285", \
+                            "2.04226, 2.04941, 2.04963, 2.00618, 1.91114, 1.77947, 1.68135", \
+                            "2.10914, 2.11387, 2.11838, 2.07317, 1.97802, 1.84646, 1.74823", \
+                            "2.20803, 2.21463, 2.21584, 2.17239, 2.07735, 1.94568, 1.84756", \
+                            "2.34553, 2.35268, 2.3529, 2.30945, 2.21441, 2.08274, 1.98451", \
+                            "2.48237, 2.48974, 2.48897, 2.4464, 2.35114, 2.23311, 2.13697" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "2.05854, 2.05117, 2.01993, 1.95041, 1.82919, 1.65583, 1.45948", \
+                            "2.06063, 2.05315, 2.02191, 1.95239, 1.83117, 1.65792, 1.46157", \
+                            "2.09913, 2.09176, 2.06052, 1.991, 1.86978, 1.69642, 1.50007", \
+                            "2.16612, 2.15853, 2.12751, 2.05788, 1.93666, 1.7633, 1.56695", \
+                            "2.2649, 2.25797, 2.22673, 2.15721, 2.03588, 1.86263, 1.66628", \
+                            "2.4024, 2.39503, 2.36379, 2.29416, 2.17294, 1.99969, 1.80334", \
+                            "2.53924, 2.53176, 2.50063, 2.43111, 2.30989, 2.13653, 1.94018" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0441618;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.00397, 1.01665, 1.04481, 1.10547, 1.20429, 1.31659, 1.43088", \
+                            "0.991584, 1.00088, 1.03312, 1.09359, 1.19206, 1.30431, 1.41878", \
+                            "0.967593, 0.976723, 1.00839, 1.06903, 1.1679, 1.28017, 1.39447", \
+                            "0.902946, 0.915662, 0.943899, 1.00455, 1.10342, 1.21622, 1.33001", \
+                            "0.81939, 0.831358, 0.860926, 0.920986, 1.01984, 1.13347, 1.24713", \
+                            "0.735427, 0.7447, 0.776534, 0.837034, 0.935231, 1.04816, 1.16269", \
+                            "0.673563, 0.681538, 0.713218, 0.773872, 0.87274, 0.984995, 1.10002" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.18814, 1.19812, 1.23835, 1.31156, 1.44408, 1.6225, 1.83997", \
+                            "1.17646, 1.18639, 1.22278, 1.29929, 1.43187, 1.61018, 1.82765", \
+                            "1.15171, 1.16225, 1.20331, 1.27513, 1.40767, 1.58609, 1.80356", \
+                            "1.08782, 1.09778, 1.13743, 1.21065, 1.34321, 1.52163, 1.7391", \
+                            "1.00423, 1.01655, 1.05057, 1.12708, 1.25962, 1.43979, 1.6555", \
+                            "0.920282, 0.932602, 0.969914, 1.04313, 1.17548, 1.35575, 1.57157", \
+                            "0.857428, 0.86944, 0.906752, 0.979968, 1.11251, 1.29263, 1.50832" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.3035, 1.29616, 1.26553, 1.20487, 1.12552, 1.06055, 1.00511", \
+                            "1.3046, 1.29841, 1.26566, 1.2061, 1.12566, 1.0607, 1.00521", \
+                            "1.32825, 1.32297, 1.29028, 1.22962, 1.15027, 1.08532, 1.02988", \
+                            "1.36422, 1.35575, 1.32528, 1.26458, 1.18523, 1.12041, 1.06483", \
+                            "1.41647, 1.408, 1.37753, 1.31691, 1.23756, 1.17246, 1.11531", \
+                            "1.46597, 1.45981, 1.42604, 1.36642, 1.28708, 1.21978, 1.16607", \
+                            "1.51679, 1.51041, 1.47774, 1.41702, 1.33771, 1.27272, 1.21544" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.669097, 0.658361, 0.623238, 0.538241, 0.417285, 0.238611, 0.040909", \
+                            "0.669251, 0.65263, 0.62337, 0.538395, 0.417461, 0.238662, 0.041052", \
+                            "0.693858, 0.677248, 0.64691, 0.563255, 0.44209, 0.263309, 0.065659", \
+                            "0.728904, 0.712195, 0.681868, 0.59796, 0.477048, 0.298268, 0.100617", \
+                            "0.781132, 0.764434, 0.734173, 0.649583, 0.529364, 0.353639, 0.152923", \
+                            "0.830643, 0.813945, 0.783805, 0.70004, 0.578853, 0.40315, 0.202442", \
+                            "0.881111, 0.864655, 0.834306, 0.750398, 0.629497, 0.453772, 0.253059" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00700372;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0, 0, 0, 0, 0.045034, 0.113004, 0.169147", \
+                            "0, 0, 0, 0, 0.043285, 0.111221, 0.167363", \
+                            "0, 0, 0, 0, 0.018711, 0.086658, 0.142794", \
+                            "0, 0, 0, 0, 0, 0.05357, 0.109714", \
+                            "0, 0, 0, 0, 0, 0.001386, 0.05753", \
+                            "0, 0, 0, 0, 0, 0, 0.008349", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.500764, 0.509762, 0.547668, 0.630355, 0.753665, 0.929588, 1.1277", \
+                            "0.498993, 0.517165, 0.545897, 0.628595, 0.752147, 0.927872, 1.12593", \
+                            "0.475167, 0.492591, 0.521323, 0.6039, 0.7271, 0.902495, 1.10135", \
+                            "0.441672, 0.45958, 0.488235, 0.570944, 0.694496, 0.870353, 1.06807", \
+                            "0.390038, 0.407374, 0.436062, 0.517957, 0.642312, 0.814352, 1.01632", \
+                            "0.339955, 0.354266, 0.386771, 0.468765, 0.59312, 0.76472, 0.966922", \
+                            "0.291543, 0.308544, 0.337304, 0.41921, 0.54307, 0.714978, 0.916927" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.30792, 1.29878, 1.26896, 1.20823, 1.13304, 1.06055, 1.00511", \
+                            "1.30804, 1.2989, 1.26909, 1.20835, 1.13318, 1.0607, 1.00521", \
+                            "1.33265, 1.32352, 1.2937, 1.23296, 1.15778, 1.08532, 1.02988", \
+                            "1.36763, 1.3585, 1.32869, 1.26794, 1.19275, 1.12041, 1.06483", \
+                            "1.41999, 1.41086, 1.38105, 1.32022, 1.24509, 1.17246, 1.11664", \
+                            "1.46949, 1.46036, 1.43055, 1.36972, 1.29458, 1.2211, 1.16612", \
+                            "1.52009, 1.51096, 1.48115, 1.42043, 1.34519, 1.27272, 1.21677" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.669097, 0.658361, 0.623238, 0.538241, 0.417285, 0.238611, 0.041591", \
+                            "0.669251, 0.65263, 0.62337, 0.538395, 0.417461, 0.238662, 0.041756", \
+                            "0.693858, 0.677248, 0.64691, 0.563255, 0.44209, 0.263309, 0.066363", \
+                            "0.728904, 0.712195, 0.681868, 0.59796, 0.477048, 0.298268, 0.101343", \
+                            "0.781132, 0.764434, 0.734173, 0.649583, 0.529364, 0.353639, 0.153658", \
+                            "0.830643, 0.813945, 0.783805, 0.70004, 0.578853, 0.40315, 0.20316", \
+                            "0.881111, 0.864655, 0.834306, 0.750398, 0.629497, 0.453772, 0.253801" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0319286;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.01628, 1.01552, 1.02306, 1.0628, 1.15176, 1.29752, 1.44903", \
+                            "1.01361, 1.01372, 1.01922, 1.06105, 1.15091, 1.29609, 1.44419", \
+                            "0.990033, 0.991265, 0.998591, 1.03612, 1.12717, 1.27199, 1.42461", \
+                            "0.959871, 0.957616, 0.969364, 1.00428, 1.0925, 1.23207, 1.3904", \
+                            "0.90684, 0.900031, 0.911141, 0.950895, 1.04121, 1.18438, 1.33628", \
+                            "0.852632, 0.852379, 0.860816, 0.899635, 0.98967, 1.13368, 1.28275", \
+                            "0.801955, 0.803462, 0.808423, 0.850377, 0.939433, 1.08481, 1.22903" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.12435, 1.12653, 1.15418, 1.23105, 1.35047, 1.52328, 1.73327", \
+                            "1.1162, 1.12974, 1.15331, 1.23017, 1.3497, 1.52339, 1.73415", \
+                            "1.09772, 1.10505, 1.12972, 1.20284, 1.32594, 1.50128, 1.70918", \
+                            "1.06202, 1.07127, 1.09598, 1.17222, 1.29292, 1.46201, 1.67497", \
+                            "1.0142, 1.02025, 1.0437, 1.11933, 1.2399, 1.41119, 1.62448", \
+                            "0.962181, 0.963061, 0.991012, 1.06691, 1.18432, 1.35982, 1.57102", \
+                            "0.893915, 0.916003, 0.941655, 1.01846, 1.13793, 1.31176, 1.52273" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.758252, 0.763092, 0.746768, 0.7029, 0.628166, 0.534721, 0.426558", \
+                            "0.770033, 0.767382, 0.756877, 0.713009, 0.638264, 0.544819, 0.436689", \
+                            "0.795223, 0.792572, 0.782067, 0.738188, 0.663454, 0.570009, 0.461879", \
+                            "0.859639, 0.862796, 0.846164, 0.802615, 0.727969, 0.634425, 0.526295", \
+                            "0.948288, 0.951401, 0.935132, 0.891253, 0.816519, 0.723074, 0.611226", \
+                            "1.0734, 1.07007, 1.05955, 1.01568, 0.94094, 0.847495, 0.738661", \
+                            "1.1863, 1.18945, 1.17313, 1.12926, 1.05453, 0.961081, 0.849222" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.838552, 0.830907, 0.798578, 0.738881, 0.674564, 0.605473, 0.537702", \
+                            "0.849651, 0.841225, 0.808676, 0.74899, 0.684662, 0.614526, 0.547415", \
+                            "0.874841, 0.866415, 0.833866, 0.77418, 0.709852, 0.639705, 0.572154", \
+                            "0.938377, 0.930688, 0.898282, 0.838596, 0.774268, 0.704121, 0.637406", \
+                            "1.02715, 1.01948, 0.986931, 0.927245, 0.862917, 0.79277, 0.726066", \
+                            "1.15154, 1.14441, 1.11136, 1.05167, 0.987349, 0.917213, 0.849662", \
+                            "1.26591, 1.25871, 1.22494, 1.16524, 1.10092, 1.03079, 0.963237" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0153038;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.936804, 0.942304, 0.97328, 1.02963, 1.05987, 1.06949, 1.0658", \
+                            "0.935594, 0.948849, 0.974732, 1.02306, 1.05907, 1.06677, 1.05826", \
+                            "0.908083, 0.919611, 0.948739, 1.00387, 1.0418, 1.04398, 1.04126", \
+                            "0.880352, 0.886721, 0.918907, 0.963391, 1.00175, 1.01066, 1.00736", \
+                            "0.826331, 0.836407, 0.86636, 0.914771, 0.955724, 0.957044, 0.953799", \
+                            "0.770748, 0.782859, 0.814, 0.866382, 0.89903, 0.909062, 0.898414", \
+                            "0.726374, 0.734613, 0.765611, 0.815969, 0.838068, 0.860134, 0.852874" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.189886, 0.189164, 0.223178, 0.301411, 0.425161, 0.603394, 0.79739", \
+                            "0.188835, 0.188096, 0.222119, 0.300361, 0.424149, 0.602624, 0.796334", \
+                            "0.165397, 0.164662, 0.198734, 0.27692, 0.400664, 0.579183, 0.772893", \
+                            "0.131859, 0.130856, 0.164956, 0.243146, 0.366938, 0.545413, 0.738848", \
+                            "0.079486, 0.078606, 0.112666, 0.19085, 0.314598, 0.493119, 0.686829", \
+                            "0.026719, 0.025883, 0.059961, 0.138152, 0.261902, 0.440418, 0.633985", \
+                            "0, 0, 0.010604, 0.088792, 0.212539, 0.39105, 0.584485" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "0.54912, 0.534039, 0.510268, 0.459382, 0.424501, 0.412049, 0.403788", \
+                            "0.551089, 0.53889, 0.512347, 0.463441, 0.425293, 0.416108, 0.413006", \
+                            "0.575124, 0.563684, 0.535733, 0.484836, 0.446688, 0.437514, 0.429264", \
+                            "0.606276, 0.599907, 0.567325, 0.51876, 0.483879, 0.471438, 0.463177", \
+                            "0.658812, 0.650012, 0.620642, 0.571087, 0.533159, 0.523985, 0.515724", \
+                            "0.709511, 0.700678, 0.671209, 0.62128, 0.586795, 0.574475, 0.569811", \
+                            "0.761486, 0.751905, 0.721468, 0.672892, 0.634755, 0.625944, 0.61732" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          index_2 ("0.02, 0.06127, 0.2062, 0.4828, 0.9139, 1.519, 2.315");
+                          values  ( \
+                            "1.03909, 1.0416, 1.00568, 0.929555, 0.805662, 0.629057, 0.436183", \
+                            "1.04316, 1.04565, 1.00975, 0.933614, 0.809721, 0.633127, 0.440242", \
+                            "1.06456, 1.06702, 1.03115, 0.95502, 0.831127, 0.654522, 0.461648", \
+                            "1.09838, 1.10098, 1.06506, 0.988933, 0.86504, 0.688446, 0.495561", \
+                            "1.15101, 1.15349, 1.11761, 1.04148, 0.917587, 0.740982, 0.548108", \
+                            "1.20155, 1.20402, 1.16811, 1.09198, 0.968088, 0.791494, 0.598609", \
+                            "1.25263, 1.25509, 1.21921, 1.14308, 1.01918, 0.842578, 0.649704" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 2.050092e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_3v60.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_3v60.lib
new file mode 100755
index 0000000..6e5bca0
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_3v60.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_3v60) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 3.6;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.7498;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.6);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_3p6v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 3.6;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_3p6v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0614;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+			  index_2 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "3.67536, 3.69192, 3.74304, 3.83268, 3.96324, 4.1394, 4.37568", \
+                            "3.67704, 3.6924, 3.74628, 3.8334, 3.96264, 4.14264, 4.37736", \
+                            "3.68184, 3.70212, 3.75096, 3.84144, 3.97188, 4.14672, 4.37844", \
+                            "3.69624, 3.70956, 3.76356, 3.85008, 3.97992, 4.1598, 4.395", \
+                            "3.70644, 3.72636, 3.77508, 3.86592, 3.99156, 4.17672, 4.4118", \
+                            "3.7032, 3.71808, 3.76608, 3.86196, 3.98556, 4.16844, 4.40424", \
+                            "3.69348, 3.71088, 3.76308, 3.85128, 3.9828, 4.16136, 4.3956" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+  	                     "0.135252, 0.15876, 0.243348, 0.396, 0.642084, 1.00146, 1.4682" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "3.80976, 3.82704, 3.87564, 3.94968, 4.05156, 4.17, 4.32348", \
+                            "3.81216, 3.82596, 3.87876, 3.95244, 4.0506, 4.17312, 4.32624", \
+                            "3.8166, 3.83772, 3.882, 3.95916, 4.05888, 4.17756, 4.32672", \
+                            "3.83004, 3.84708, 3.89604, 3.97128, 4.06752, 4.19232, 4.34472", \
+                            "3.84084, 3.86112, 3.90864, 3.98388, 4.07496, 4.2054, 4.362", \
+                            "3.8376, 3.85332, 3.90048, 3.981, 4.07208, 4.19664, 4.35408", \
+                            "3.82776, 3.84228, 3.8952, 3.96732, 4.06752, 4.1898, 4.34376" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0289, 0.0953, 0.2221, 0.4195, 0.6967, 1.0614");
+                          values  ( \
+                            "0.140832, 0.156576, 0.21168, 0.309612, 0.4428, 0.629064, 0.876516" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.278737;
+                  clock                : true;
+                  max_transition       : 1.7498;
+                  min_pulse_width_high : 2.306295;
+                  min_pulse_width_low  : 2.047275;
+                  min_period           : 4.80033;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("409.23, 409.23, 409.23, 409.23, 409.23, 409.23, 409.23");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("409.23, 409.23, 409.23, 409.23, 409.23, 409.23, 409.23");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("6.81372e-05, 6.81372e-05, 6.81372e-05, 6.81372e-05, 6.81372e-05, 6.81372e-05, 6.81372e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("6.81372e-05, 6.81372e-05, 6.81372e-05, 6.81372e-05, 6.81372e-05, 6.81372e-05, 6.81372e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("368.748, 368.748, 368.748, 368.748, 368.748, 368.748, 368.748");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                values  ("368.748, 368.748, 368.748, 368.748, 368.748, 368.748, 368.748");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0167045;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.425612, 0.422169, 0.418935, 0.438592, 0.47509, 0.501501, 0.520795", \
+                            "0.42394, 0.416317, 0.415547, 0.434522, 0.470349, 0.496749, 0.516043", \
+                            "0.423621, 0.415998, 0.415228, 0.433081, 0.457072, 0.480502, 0.499796", \
+                            "0.424094, 0.415899, 0.415118, 0.432201, 0.456973, 0.46882, 0.475717", \
+                            "0.422763, 0.418297, 0.414788, 0.430254, 0.454223, 0.46849, 0.475277", \
+                            "0.422587, 0.415437, 0.414854, 0.430683, 0.454905, 0.468369, 0.473231", \
+                            "0.422587, 0.418121, 0.41481, 0.430617, 0.463232, 0.49192, 0.502931" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.405548, 0.408331, 0.424105, 0.460405, 0.522225, 0.593043, 0.674476", \
+                            "0.405592, 0.408111, 0.423896, 0.460196, 0.520916, 0.592834, 0.674267", \
+                            "0.405075, 0.407792, 0.423577, 0.459877, 0.520597, 0.592515, 0.673948", \
+                            "0.404492, 0.407682, 0.423478, 0.459778, 0.521598, 0.592416, 0.673849", \
+                            "0.404162, 0.407352, 0.423137, 0.459437, 0.520157, 0.592086, 0.673508", \
+                            "0.404041, 0.407231, 0.423016, 0.459316, 0.520036, 0.591954, 0.673387", \
+                            "0.404657, 0.407176, 0.422961, 0.459261, 0.519981, 0.591899, 0.673332" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "1.02017, 1.02337, 1.02688, 1.00981, 0.985039, 0.973192, 0.966394", \
+                            "1.0219, 1.02952, 1.0303, 1.01245, 0.988449, 0.976602, 0.969815", \
+                            "1.0387, 1.04633, 1.0471, 1.02925, 1.00526, 0.993399, 0.986612", \
+                            "1.07461, 1.08281, 1.08359, 1.06651, 1.04173, 1.02989, 1.0231", \
+                            "1.11592, 1.12038, 1.1239, 1.10843, 1.08447, 1.0702, 1.06341", \
+                            "1.15529, 1.16244, 1.16302, 1.14719, 1.12297, 1.1095, 1.1059", \
+                            "1.1757, 1.18017, 1.18348, 1.16767, 1.14425, 1.12999, 1.13281" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "1.03709, 1.03431, 1.01853, 0.982245, 0.920414, 0.849596, 0.768163", \
+                            "1.04026, 1.03774, 1.02195, 0.985644, 0.924935, 0.853006, 0.771584", \
+                            "1.05725, 1.05454, 1.03874, 1.00245, 0.941732, 0.869814, 0.788381", \
+                            "1.09421, 1.09102, 1.07523, 1.03893, 0.977108, 0.90629, 0.824857", \
+                            "1.13453, 1.13134, 1.11554, 1.07925, 1.01853, 0.946605, 0.865183", \
+                            "1.17384, 1.17064, 1.15486, 1.11856, 1.05784, 0.985919, 0.904486", \
+                            "1.19364, 1.19112, 1.17533, 1.13903, 1.07832, 1.00639, 0.924968" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0474066;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.557491, 0.562551, 0.575982, 0.611952, 0.662706, 0.711799, 0.751377", \
+                            "0.553762, 0.559449, 0.573903, 0.609642, 0.659549, 0.708345, 0.751729", \
+                            "0.538241, 0.543389, 0.558228, 0.593582, 0.643577, 0.692362, 0.732171", \
+                            "0.506, 0.510477, 0.524524, 0.559779, 0.610522, 0.659527, 0.699193", \
+                            "0.470283, 0.4752, 0.490072, 0.524843, 0.575311, 0.623557, 0.663982", \
+                            "0.435468, 0.440682, 0.454751, 0.489973, 0.540727, 0.589831, 0.629398", \
+                            "0.412357, 0.417725, 0.431211, 0.467082, 0.517836, 0.566192, 0.606496" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.601018, 0.606991, 0.627385, 0.67749, 0.754094, 0.85701, 0.968902", \
+                            "0.597839, 0.604329, 0.625504, 0.674355, 0.748077, 0.853237, 0.966702", \
+                            "0.581911, 0.588269, 0.608179, 0.658295, 0.732039, 0.837188, 0.949707", \
+                            "0.549021, 0.555302, 0.575201, 0.625317, 0.701921, 0.80421, 0.916729", \
+                            "0.51381, 0.520212, 0.53999, 0.590106, 0.663839, 0.768999, 0.883344", \
+                            "0.479226, 0.485485, 0.505406, 0.555522, 0.629244, 0.734129, 0.846934", \
+                            "0.455994, 0.462715, 0.482515, 0.532631, 0.606353, 0.711513, 0.824032" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.749925, 0.74646, 0.732688, 0.700172, 0.675268, 0.656282, 0.647163", \
+                            "0.753753, 0.750299, 0.736516, 0.704066, 0.679107, 0.659967, 0.651002", \
+                            "0.761926, 0.758472, 0.744414, 0.712228, 0.687456, 0.668283, 0.659164", \
+                            "0.765314, 0.761563, 0.747175, 0.715275, 0.690382, 0.671385, 0.662266", \
+                            "0.776523, 0.772805, 0.761079, 0.726627, 0.701613, 0.682616, 0.673508", \
+                            "0.779933, 0.776644, 0.762861, 0.730356, 0.705452, 0.686455, 0.677347", \
+                            "0.766964, 0.763246, 0.749474, 0.716958, 0.692065, 0.672738, 0.663949" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.437382, 0.432344, 0.409288, 0.36278, 0.287593, 0.191305, 0.0843667", \
+                            "0.441375, 0.43692, 0.412555, 0.366619, 0.292659, 0.193933, 0.083149", \
+                            "0.449053, 0.445071, 0.4213, 0.374847, 0.300828, 0.202086, 0.0963941", \
+                            "0.451583, 0.448184, 0.424391, 0.377883, 0.302694, 0.205202, 0.0995005", \
+                            "0.462836, 0.459404, 0.435633, 0.389125, 0.315128, 0.216468, 0.108427", \
+                            "0.509674, 0.504625, 0.482174, 0.432696, 0.35684, 0.257445, 0.152046", \
+                            "0.554664, 0.549186, 0.526746, 0.477257, 0.401401, 0.302839, 0.196612" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.0074375;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0, 0, 0, 0.030261, 0.054758, 0.073348, 0.082654", \
+                            "0, 0, 0, 0.025344, 0.050182, 0.067166, 0.078067", \
+                            "0, 0, 0, 0.017094, 0.041657, 0.058498, 0.069542", \
+                            "0, 0, 0, 0.013959, 0.038478, 0.05709, 0.066363", \
+                            "0, 0, 0, 0.002431, 0.026708, 0.045276, 0.054593", \
+                            "0, 0, 0, 0, 0.02332, 0.04191, 0.051216", \
+                            "0, 0, 0, 0.011902, 0.036707, 0.055528, 0.064592" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.292552, 0.296392, 0.32021, 0.366993, 0.44253, 0.536734, 0.645634", \
+                            "0.287027, 0.291567, 0.31647, 0.362472, 0.43428, 0.534974, 0.646107", \
+                            "0.279742, 0.28307, 0.306966, 0.353386, 0.425755, 0.525932, 0.631257", \
+                            "0.276538, 0.279868, 0.30404, 0.350746, 0.426228, 0.523006, 0.629321", \
+                            "0.264568, 0.268297, 0.292141, 0.338987, 0.413138, 0.510939, 0.619707", \
+                            "0.261236, 0.265571, 0.288589, 0.33561, 0.409035, 0.507276, 0.614515", \
+                            "0.273794, 0.278274, 0.301971, 0.348997, 0.420816, 0.521499, 0.62733" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.750508, 0.74646, 0.732688, 0.701019, 0.675488, 0.657789, 0.647317", \
+                            "0.754336, 0.750299, 0.736516, 0.704847, 0.679316, 0.661617, 0.651145", \
+                            "0.762509, 0.758472, 0.744414, 0.713009, 0.687478, 0.669779, 0.659307", \
+                            "0.765567, 0.761563, 0.747175, 0.716067, 0.690536, 0.672848, 0.662365", \
+                            "0.77682, 0.772805, 0.761079, 0.727331, 0.7018, 0.684101, 0.673629", \
+                            "0.780681, 0.776644, 0.762861, 0.731192, 0.705661, 0.687962, 0.67749", \
+                            "0.767327, 0.763246, 0.749474, 0.717805, 0.692274, 0.674575, 0.664092" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.437382, 0.432344, 0.409288, 0.36278, 0.287593, 0.191305, 0.0843667", \
+                            "0.441375, 0.43692, 0.41261, 0.366619, 0.292659, 0.193933, 0.08349", \
+                            "0.450087, 0.445071, 0.4213, 0.374847, 0.300828, 0.202086, 0.0963941", \
+                            "0.451858, 0.448184, 0.424391, 0.377883, 0.302694, 0.205202, 0.0995005", \
+                            "0.462924, 0.459404, 0.435633, 0.389125, 0.315128, 0.216468, 0.108427", \
+                            "0.46695, 0.463265, 0.439472, 0.392964, 0.318967, 0.220713, 0.114544", \
+                            "0.45452, 0.449856, 0.426085, 0.379566, 0.305613, 0.206884, 0.101189" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0319183;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.523237, 0.519838, 0.523281, 0.543884, 0.570449, 0.596156, 0.613602", \
+                            "0.519552, 0.514987, 0.520168, 0.539066, 0.565158, 0.589897, 0.611468", \
+                            "0.50941, 0.505439, 0.511291, 0.527703, 0.556435, 0.581768, 0.599654", \
+                            "0.508805, 0.502304, 0.508563, 0.527109, 0.553597, 0.579447, 0.59598", \
+                            "0.494384, 0.490655, 0.496727, 0.515526, 0.542179, 0.56727, 0.585233", \
+                            "0.490996, 0.488422, 0.493702, 0.512094, 0.53911, 0.565829, 0.581757", \
+                            "0.505637, 0.501171, 0.503415, 0.525657, 0.552464, 0.577654, 0.595067" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.652762, 0.656293, 0.669317, 0.7062, 0.771298, 0.850509, 0.941138", \
+                            "0.647339, 0.650364, 0.660913, 0.70103, 0.766139, 0.84799, 0.937343", \
+                            "0.639177, 0.641509, 0.655017, 0.692494, 0.757724, 0.838024, 0.927289", \
+                            "0.635767, 0.638913, 0.652751, 0.689469, 0.754809, 0.83589, 0.923813", \
+                            "0.623876, 0.627308, 0.640904, 0.678007, 0.742478, 0.82225, 0.915156", \
+                            "0.620928, 0.62414, 0.63745, 0.674674, 0.739926, 0.817256, 0.908985", \
+                            "0.63437, 0.637428, 0.650122, 0.687852, 0.753104, 0.834361, 0.922339" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.490578, 0.49423, 0.487157, 0.482724, 0.483428, 0.482944, 0.484", \
+                            "0.495759, 0.499026, 0.492118, 0.487916, 0.488609, 0.488323, 0.489181", \
+                            "0.509432, 0.512688, 0.505791, 0.501589, 0.502304, 0.501985, 0.502854", \
+                            "0.543543, 0.547063, 0.540155, 0.535953, 0.536657, 0.53636, 0.537218", \
+                            "0.585079, 0.588874, 0.582285, 0.577764, 0.578468, 0.578171, 0.579029", \
+                            "0.624404, 0.627682, 0.620785, 0.616572, 0.617276, 0.616979, 0.617848", \
+                            "0.645975, 0.649759, 0.643093, 0.63866, 0.639353, 0.639056, 0.639925" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.50578, 0.502007, 0.486431, 0.473913, 0.469403, 0.472153, 0.47993", \
+                            "0.511082, 0.507078, 0.493636, 0.479094, 0.474595, 0.477334, 0.48422", \
+                            "0.5247, 0.520861, 0.505285, 0.492767, 0.488257, 0.491007, 0.498784", \
+                            "0.559207, 0.555225, 0.53966, 0.527582, 0.522632, 0.525382, 0.533159", \
+                            "0.600875, 0.597036, 0.581471, 0.568953, 0.564443, 0.567193, 0.57497", \
+                            "0.639617, 0.635844, 0.620279, 0.607761, 0.603251, 0.606001, 0.613635", \
+                            "0.661793, 0.657932, 0.642356, 0.629838, 0.625339, 0.628078, 0.635712" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0155378;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.476355, 0.481954, 0.493944, 0.503316, 0.493504, 0.470316, 0.425227", \
+                            "0.473484, 0.476058, 0.489731, 0.496892, 0.491062, 0.465267, 0.422543", \
+                            "0.463958, 0.469304, 0.480062, 0.489599, 0.480073, 0.457743, 0.411697", \
+                            "0.460548, 0.464805, 0.477972, 0.485474, 0.478313, 0.452529, 0.409563", \
+                            "0.449075, 0.454091, 0.467896, 0.47498, 0.465762, 0.443322, 0.396011", \
+                            "0.446644, 0.451759, 0.464387, 0.470327, 0.462847, 0.440011, 0.395285", \
+                            "0.458139, 0.46453, 0.476344, 0.483571, 0.477565, 0.453563, 0.406802" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.165174, 0.167664, 0.189859, 0.246184, 0.330429, 0.440385, 0.573188", \
+                            "0.15917, 0.16155, 0.184444, 0.240761, 0.325006, 0.434522, 0.56903", \
+                            "0.151174, 0.153452, 0.176351, 0.232033, 0.316921, 0.426536, 0.559691", \
+                            "0.147685, 0.150041, 0.172951, 0.229276, 0.313522, 0.423588, 0.555467", \
+                            "0.136099, 0.138462, 0.161363, 0.217689, 0.301937, 0.412005, 0.54538", \
+                            "0.132832, 0.135156, 0.158096, 0.214422, 0.298639, 0.408584, 0.541409", \
+                            "0.146872, 0.148564, 0.171461, 0.22779, 0.312037, 0.421993, 0.554763" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.421036, 0.416284, 0.404129, 0.395274, 0.403425, 0.427559, 0.470074", \
+                            "0.425205, 0.420882, 0.405658, 0.400356, 0.408364, 0.430419, 0.47652", \
+                            "0.432124, 0.428527, 0.417175, 0.408122, 0.41646, 0.44011, 0.482625", \
+                            "0.437987, 0.433521, 0.420607, 0.412302, 0.420882, 0.444301, 0.486816", \
+                            "0.446754, 0.442816, 0.429792, 0.422279, 0.430969, 0.452342, 0.496903", \
+                            "0.45199, 0.445643, 0.434929, 0.426569, 0.434456, 0.456489, 0.501105", \
+                            "0.438471, 0.433587, 0.422246, 0.413171, 0.421751, 0.442519, 0.487685" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          index_2 ("0.0200, 0.0511, 0.1603, 0.3688, 0.6938, 1.1498, 1.7498");
+                          values  ( \
+                            "0.597927, 0.595386, 0.57332, 0.516912, 0.431783, 0.322773, 0.190445", \
+                            "0.603009, 0.600292, 0.578215, 0.521807, 0.436689, 0.327767, 0.195351", \
+                            "0.610445, 0.607937, 0.585838, 0.529452, 0.444334, 0.335401, 0.202993", \
+                            "0.614669, 0.612139, 0.590051, 0.533643, 0.448525, 0.339614, 0.207198", \
+                            "0.624756, 0.622215, 0.600138, 0.54373, 0.458612, 0.349701, 0.217281", \
+                            "0.628903, 0.626362, 0.604274, 0.547888, 0.462759, 0.353848, 0.221428", \
+                            "0.614933, 0.612997, 0.59092, 0.534512, 0.449394, 0.340472, 0.208051" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 6.81372e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_5v50.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_5v50.lib
new file mode 100755
index 0000000..d55ab62
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_5v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ff_n40C_5v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 5.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.087;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ff_5p5v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 5.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ff_5p5v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.165;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+			  index_2 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "2.79852, 2.8104, 2.85144, 2.92044, 3.02472, 3.17124, 3.36408", \
+                            "2.79912, 2.81196, 2.85312, 2.92344, 3.02496, 3.17184, 3.36432", \
+                            "2.8014, 2.81208, 2.85132, 2.91984, 3.02472, 3.17124, 3.366", \
+                            "2.80104, 2.81568, 2.85564, 2.925, 3.02904, 3.17352, 3.36888", \
+                            "2.80932, 2.82264, 2.86248, 2.9322, 3.03636, 3.18288, 3.37488", \
+                            "2.8116, 2.82408, 2.86488, 2.93376, 3.04008, 3.18576, 3.37716", \
+                            "2.80212, 2.81508, 2.85504, 2.92716, 3.03108, 3.17496, 3.36936" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+  	                     "0.110011, 0.128376, 0.192036, 0.319692, 0.520656, 0.81738, 1.20612" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "2.88624, 2.901, 2.94192, 3.00372, 3.08664, 3.19584, 3.33372", \
+                            "2.88768, 2.90304, 2.94324, 3.006, 3.08748, 3.19572, 3.3342", \
+                            "2.89056, 2.90064, 2.9418, 3.00288, 3.08856, 3.19488, 3.33564", \
+                            "2.8914, 2.90436, 2.94612, 3.00804, 3.09216, 3.19752, 3.339", \
+                            "2.8986, 2.9124, 2.95296, 3.01536, 3.099, 3.20688, 3.34584", \
+                            "2.90064, 2.91372, 2.95368, 3.01848, 3.102, 3.2094, 3.34692", \
+                            "2.88936, 2.90316, 2.94384, 3.01008, 3.09456, 3.1986, 3.33804" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03078, 0.1037, 0.243, 0.4599, 0.7643, 1.165");
+                          values  ( \
+                            "0.113394, 0.128832, 0.175356, 0.254784, 0.373968, 0.546744, 0.775164" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.282544;
+                  clock                : true;
+                  max_transition       : 1.087;
+                  min_pulse_width_high : 1.4138025;
+                  min_pulse_width_low  : 1.35504;
+                  min_period           : 3.733215;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1046.15, 1046.15, 1046.15, 1046.15, 1046.15, 1046.15, 1046.15");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("1046.15, 1046.15, 1046.15, 1046.15, 1046.15, 1046.15, 1046.15");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("0.000159494, 0.000159494, 0.000159494, 0.000159494, 0.000159494, 0.000159494, 0.000159494");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("0.000159494, 0.000159494, 0.000159494, 0.000159494, 0.000159494, 0.000159494, 0.000159494");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("940.445, 940.445, 940.445, 940.445, 940.445, 940.445, 940.445");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                values  ("940.445, 940.445, 940.445, 940.445, 940.445, 940.445, 940.445");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0166054;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.338129, 0.334103, 0.329406, 0.332178, 0.34892, 0.366443, 0.389103", \
+                            "0.337634, 0.33429, 0.329241, 0.332574, 0.348744, 0.364507, 0.387167", \
+                            "0.337304, 0.333707, 0.32901, 0.331782, 0.348524, 0.363528, 0.37565", \
+                            "0.337117, 0.333718, 0.328834, 0.333487, 0.348337, 0.363352, 0.377454", \
+                            "0.337293, 0.333487, 0.32857, 0.331078, 0.34551, 0.363088, 0.37719", \
+                            "0.336886, 0.333454, 0.328515, 0.332607, 0.347039, 0.362901, 0.377124", \
+                            "0.337128, 0.333267, 0.328075, 0.331562, 0.34617, 0.364342, 0.38654" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.323389, 0.324203, 0.330924, 0.344839, 0.374649, 0.41646, 0.461571", \
+                            "0.323279, 0.324027, 0.330748, 0.344674, 0.376288, 0.416295, 0.461406", \
+                            "0.323169, 0.323796, 0.330528, 0.344454, 0.376068, 0.416064, 0.461175", \
+                            "0.32285, 0.32362, 0.330341, 0.344278, 0.375881, 0.415888, 0.460999", \
+                            "0.322619, 0.323345, 0.330088, 0.344014, 0.375628, 0.415624, 0.460735", \
+                            "0.322564, 0.323257, 0.330022, 0.343959, 0.375562, 0.415558, 0.460669", \
+                            "0.322883, 0.3234, 0.330077, 0.344003, 0.375617, 0.415624, 0.460735" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.764038, 0.768064, 0.772761, 0.769989, 0.753247, 0.738243, 0.724141", \
+                            "0.766997, 0.770341, 0.775401, 0.772057, 0.755887, 0.740883, 0.726781", \
+                            "0.772046, 0.775643, 0.780329, 0.777568, 0.760826, 0.745822, 0.736252", \
+                            "0.788073, 0.791472, 0.796356, 0.791703, 0.776853, 0.761838, 0.747736", \
+                            "0.812911, 0.816717, 0.821634, 0.819126, 0.804705, 0.787116, 0.773014", \
+                            "0.832128, 0.83556, 0.840499, 0.836407, 0.821975, 0.806113, 0.79189", \
+                            "0.835307, 0.839168, 0.84436, 0.840873, 0.826265, 0.811921, 0.798292" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.778778, 0.777975, 0.771243, 0.757328, 0.727518, 0.685707, 0.640596", \
+                            "0.781363, 0.780604, 0.773883, 0.759957, 0.728343, 0.688347, 0.643236", \
+                            "0.786181, 0.785543, 0.778822, 0.764885, 0.733282, 0.693275, 0.648164", \
+                            "0.80234, 0.80157, 0.794849, 0.780923, 0.749309, 0.709302, 0.664191", \
+                            "0.827596, 0.82687, 0.820127, 0.806201, 0.774587, 0.73458, 0.689469", \
+                            "0.84645, 0.845757, 0.838992, 0.825055, 0.793452, 0.753456, 0.708345", \
+                            "0.849541, 0.849024, 0.842358, 0.828432, 0.796807, 0.756811, 0.7117" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0487349;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.435072, 0.436898, 0.444268, 0.462506, 0.495484, 0.532356, 0.566236", \
+                            "0.432454, 0.434368, 0.441947, 0.460262, 0.493075, 0.529947, 0.56683", \
+                            "0.426624, 0.428725, 0.43549, 0.454278, 0.487311, 0.524106, 0.561066", \
+                            "0.40843, 0.411301, 0.417714, 0.436634, 0.469304, 0.506066, 0.543059", \
+                            "0.379566, 0.381898, 0.38896, 0.407198, 0.440187, 0.476245, 0.514261", \
+                            "0.352539, 0.354211, 0.361669, 0.380248, 0.411136, 0.446666, 0.486398", \
+                            "0.331683, 0.333531, 0.340901, 0.358919, 0.392117, 0.428989, 0.465993" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.455114, 0.457897, 0.469612, 0.497464, 0.541101, 0.602019, 0.673266", \
+                            "0.452837, 0.455653, 0.467335, 0.495198, 0.538692, 0.59961, 0.670857", \
+                            "0.447183, 0.449724, 0.461439, 0.489291, 0.533214, 0.59444, 0.665093", \
+                            "0.429539, 0.431893, 0.443091, 0.471295, 0.514932, 0.578545, 0.647097", \
+                            "0.4004, 0.402743, 0.414161, 0.442156, 0.485793, 0.549406, 0.617958", \
+                            "0.373065, 0.375617, 0.387277, 0.414898, 0.458645, 0.519552, 0.590799", \
+                            "0.35178, 0.354585, 0.366388, 0.39424, 0.437745, 0.501358, 0.569954" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.593329, 0.592284, 0.586036, 0.569712, 0.550858, 0.533665, 0.520487", \
+                            "0.59389, 0.592999, 0.586806, 0.570592, 0.551551, 0.534358, 0.522225", \
+                            "0.594154, 0.593241, 0.587004, 0.57068, 0.551815, 0.534633, 0.522489", \
+                            "0.598378, 0.597168, 0.591305, 0.574783, 0.556039, 0.538857, 0.526713", \
+                            "0.604164, 0.602877, 0.596937, 0.580613, 0.561748, 0.544555, 0.532422", \
+                            "0.604945, 0.604945, 0.598015, 0.581405, 0.562496, 0.546568, 0.533412", \
+                            "0.596838, 0.595925, 0.589677, 0.573364, 0.554499, 0.537306, 0.525173" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.362923, 0.360217, 0.347633, 0.318989, 0.275726, 0.218495, 0.149365", \
+                            "0.36355, 0.36091, 0.347787, 0.320694, 0.276408, 0.219189, 0.150178", \
+                            "0.363539, 0.361174, 0.348436, 0.319957, 0.278234, 0.218514, 0.150442", \
+                            "0.367719, 0.365475, 0.352693, 0.324192, 0.280918, 0.220536, 0.154647", \
+                            "0.373087, 0.370843, 0.358292, 0.32989, 0.286616, 0.226241, 0.160372", \
+                            "0.39974, 0.396979, 0.385242, 0.356895, 0.311443, 0.252577, 0.185899", \
+                            "0.435006, 0.432399, 0.420662, 0.392315, 0.346874, 0.28556, 0.221373" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00761544;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0, 0, 0, 0.01672, 0.036619, 0.051821, 0.0642774", \
+                            "0, 0, 0, 0.015873, 0.035772, 0.050985, 0.0626571", \
+                            "0, 0, 0, 0.015213, 0.035112, 0.050325, 0.0619938", \
+                            "0, 0, 0, 0.012034, 0.031922, 0.047135, 0.0588049", \
+                            "0, 0, 0, 0.00638, 0.026279, 0.041492, 0.053152", \
+                            "0, 0, 0, 0.005302, 0.02519, 0.040403, 0.052074", \
+                            "0, 0, 0, 0.01342, 0.033319, 0.048532, 0.0601964" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.224576, 0.227174, 0.239087, 0.268156, 0.310706, 0.368445, 0.437668", \
+                            "0.223495, 0.226094, 0.238868, 0.266367, 0.310486, 0.367246, 0.436964", \
+                            "0.222723, 0.225618, 0.237486, 0.266528, 0.308385, 0.367807, 0.436194", \
+                            "0.220084, 0.222486, 0.234616, 0.263666, 0.306251, 0.367004, 0.432784", \
+                            "0.21449, 0.216824, 0.22876, 0.257721, 0.300443, 0.360844, 0.427438", \
+                            "0.212392, 0.215557, 0.22846, 0.256359, 0.299662, 0.357104, 0.425832", \
+                            "0.220936, 0.223568, 0.236565, 0.263911, 0.307692, 0.368214, 0.434489" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.593329, 0.592317, 0.586036, 0.569712, 0.550858, 0.533665, 0.521279", \
+                            "0.59389, 0.59301, 0.586806, 0.570592, 0.551551, 0.534358, 0.522225", \
+                            "0.594154, 0.593274, 0.587004, 0.57068, 0.551815, 0.534633, 0.522489", \
+                            "0.598378, 0.597509, 0.591305, 0.574783, 0.556039, 0.538857, 0.526713", \
+                            "0.604164, 0.603185, 0.596937, 0.580613, 0.561748, 0.544555, 0.532422", \
+                            "0.604945, 0.604945, 0.598015, 0.581405, 0.562496, 0.546568, 0.533412", \
+                            "0.596838, 0.595958, 0.589677, 0.573364, 0.554499, 0.537306, 0.525173" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.362923, 0.360217, 0.348546, 0.318989, 0.275726, 0.218495, 0.149365", \
+                            "0.36355, 0.36091, 0.348634, 0.320694, 0.276408, 0.219189, 0.150178", \
+                            "0.363539, 0.361174, 0.349349, 0.319957, 0.278234, 0.218514, 0.150442", \
+                            "0.367719, 0.365475, 0.353617, 0.324192, 0.280918, 0.220536, 0.154647", \
+                            "0.373087, 0.370843, 0.359216, 0.32989, 0.286616, 0.226241, 0.160372", \
+                            "0.374715, 0.371976, 0.359766, 0.331188, 0.287529, 0.230308, 0.161339", \
+                            "0.366498, 0.363858, 0.351604, 0.323642, 0.279367, 0.21899, 0.152969" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0318192;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.3872, 0.382074, 0.379269, 0.388872, 0.404855, 0.426074, 0.45078", \
+                            "0.385979, 0.383064, 0.378059, 0.388113, 0.403645, 0.424501, 0.441408", \
+                            "0.385803, 0.381722, 0.376926, 0.387706, 0.403007, 0.424402, 0.442112", \
+                            "0.382096, 0.379192, 0.374099, 0.384923, 0.39974, 0.421476, 0.437976", \
+                            "0.376937, 0.374396, 0.368632, 0.378598, 0.394086, 0.415932, 0.435567", \
+                            "0.375628, 0.372262, 0.369149, 0.377905, 0.392315, 0.413171, 0.429594", \
+                            "0.383922, 0.380237, 0.375573, 0.386012, 0.401181, 0.422807, 0.441562" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.516472, 0.517055, 0.523644, 0.537372, 0.571516, 0.618079, 0.676544", \
+                            "0.515416, 0.516912, 0.523072, 0.536965, 0.571956, 0.617859, 0.67672", \
+                            "0.515471, 0.516109, 0.521719, 0.53592, 0.570955, 0.620235, 0.675312", \
+                            "0.511885, 0.512424, 0.518485, 0.532653, 0.567292, 0.616726, 0.672111", \
+                            "0.506077, 0.507474, 0.513766, 0.526889, 0.5621, 0.611567, 0.666633", \
+                            "0.504944, 0.506011, 0.512149, 0.525745, 0.560219, 0.606771, 0.665335", \
+                            "0.512886, 0.51425, 0.520377, 0.53394, 0.568777, 0.616924, 0.673145" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.400488, 0.40315, 0.405405, 0.405449, 0.407462, 0.408639, 0.409442", \
+                            "0.40282, 0.40535, 0.406384, 0.407649, 0.409662, 0.41085, 0.411246", \
+                            "0.408782, 0.411312, 0.413633, 0.413611, 0.415613, 0.416801, 0.417197", \
+                            "0.423852, 0.426382, 0.428703, 0.428648, 0.430694, 0.431871, 0.432267", \
+                            "0.451649, 0.45419, 0.456489, 0.4565, 0.458513, 0.45969, 0.460086", \
+                            "0.476905, 0.479446, 0.480458, 0.481712, 0.483758, 0.484935, 0.485331", \
+                            "0.497772, 0.500302, 0.502634, 0.502601, 0.504603, 0.505791, 0.506187" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.406021, 0.40491, 0.398321, 0.395791, 0.399707, 0.406142, 0.410487", \
+                            "0.408463, 0.407099, 0.400147, 0.397606, 0.401907, 0.408342, 0.411587", \
+                            "0.41426, 0.41305, 0.406626, 0.403546, 0.407869, 0.414304, 0.418649", \
+                            "0.429099, 0.428208, 0.421179, 0.418638, 0.422939, 0.429583, 0.433719", \
+                            "0.457039, 0.455939, 0.448987, 0.446644, 0.450747, 0.457391, 0.461538", \
+                            "0.482581, 0.481162, 0.474232, 0.47168, 0.475992, 0.482427, 0.486739", \
+                            "0.503008, 0.50204, 0.495099, 0.492547, 0.496848, 0.503492, 0.507639" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.015637;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.362164, 0.364606, 0.369996, 0.374341, 0.367191, 0.351483, 0.319242", \
+                            "0.360591, 0.363594, 0.369842, 0.373021, 0.366047, 0.351527, 0.319396", \
+                            "0.360558, 0.363044, 0.368346, 0.372405, 0.365772, 0.350504, 0.31669", \
+                            "0.357247, 0.359843, 0.366619, 0.369083, 0.363176, 0.347512, 0.314512", \
+                            "0.351945, 0.354057, 0.360613, 0.362791, 0.357808, 0.342023, 0.308319", \
+                            "0.351274, 0.352726, 0.358501, 0.362252, 0.355377, 0.340241, 0.309815", \
+                            "0.358611, 0.360503, 0.367158, 0.370733, 0.364771, 0.348909, 0.316096" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.129562, 0.132045, 0.146287, 0.182548, 0.237774, 0.312851, 0.405812", \
+                            "0.128993, 0.131304, 0.145426, 0.181544, 0.236458, 0.312026, 0.404976", \
+                            "0.127951, 0.130612, 0.14472, 0.180519, 0.235899, 0.311333, 0.404327", \
+                            "0.125573, 0.127251, 0.14154, 0.177817, 0.233104, 0.308121, 0.401115", \
+                            "0.119369, 0.122164, 0.135348, 0.172315, 0.227608, 0.302676, 0.39567", \
+                            "0.118559, 0.12048, 0.134582, 0.170711, 0.226124, 0.30118, 0.393437", \
+                            "0.126172, 0.12876, 0.142904, 0.179, 0.234395, 0.309485, 0.402435" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.360844, 0.358424, 0.351516, 0.348777, 0.354981, 0.371481, 0.402754", \
+                            "0.359854, 0.358193, 0.351747, 0.348117, 0.354794, 0.371217, 0.40172", \
+                            "0.36201, 0.359986, 0.353078, 0.35035, 0.356609, 0.372647, 0.404525", \
+                            "0.365211, 0.363055, 0.357434, 0.353925, 0.35981, 0.375837, 0.407473", \
+                            "0.37004, 0.368588, 0.361284, 0.35838, 0.364067, 0.380809, 0.411323", \
+                            "0.371734, 0.37059, 0.364716, 0.360437, 0.366795, 0.383878, 0.413259", \
+                            "0.363396, 0.360866, 0.353287, 0.351571, 0.357445, 0.373472, 0.404789" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          index_2 ("0.02, 0.03919, 0.1065, 0.2352, 0.4356, 0.7167, 1.087");
+                          values  ( \
+                            "0.481789, 0.479743, 0.465817, 0.429539, 0.374341, 0.299486, 0.207314", \
+                            "0.481415, 0.479512, 0.465586, 0.429308, 0.37411, 0.299266, 0.207088", \
+                            "0.483571, 0.481349, 0.467423, 0.431684, 0.376189, 0.301092, 0.20892", \
+                            "0.486607, 0.484583, 0.470624, 0.434346, 0.379082, 0.304293, 0.212121", \
+                            "0.491172, 0.489115, 0.475189, 0.438911, 0.383713, 0.308858, 0.216686", \
+                            "0.493053, 0.491513, 0.477532, 0.44132, 0.386122, 0.311267, 0.219094", \
+                            "0.48422, 0.482185, 0.468259, 0.431981, 0.376783, 0.301928, 0.209756" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0001594945;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_1v62.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_1v62.lib
new file mode 100755
index 0000000..b5087a0
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_1v62.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_1v62) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 1.62;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 11.06;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.62);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_1p62v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 1.62;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_1p62v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.058;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+			  index_2 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "35.5512, 35.7012, 36.1872, 36.9828, 38.136, 39.8064, 41.9472", \
+                            "35.5632, 35.7168, 36.2508, 36.9948, 38.2116, 39.8052, 41.9628", \
+                            "35.7312, 35.892, 36.3624, 37.182, 38.3556, 40.0728, 42.1224", \
+                            "36.0792, 36.2268, 36.72, 37.5, 38.712, 40.3296, 42.4932", \
+                            "36.5568, 36.6156, 37.0908, 37.908, 39.1824, 40.806, 42.9348", \
+                            "37.1976, 37.326, 37.8468, 38.6892, 39.8652, 41.508, 43.632", \
+                            "37.9452, 38.0892, 38.5788, 39.3984, 40.5732, 42.1884, 44.3352" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+  	                     "1.11418, 1.33752, 2.0382, 3.30924, 5.42028, 8.42292, 12.4488" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "37.83, 37.944, 38.2908, 38.826, 39.4308, 40.2552, 41.2476", \
+                            "37.8312, 37.9752, 38.4144, 38.8668, 39.5568, 40.3176, 41.334", \
+                            "38.0088, 38.1612, 38.496, 39.0708, 39.6468, 40.494, 41.4384", \
+                            "38.34, 38.4684, 38.874, 39.3924, 40.0536, 40.7844, 41.772", \
+                            "38.8068, 38.8428, 39.216, 39.756, 40.4664, 41.2704, 42.2256", \
+                            "39.462, 39.5676, 39.942, 40.548, 41.2284, 41.982, 42.9684", \
+                            "40.1964, 40.3356, 40.6956, 41.2668, 41.8884, 42.6816, 43.662" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02885, 0.09503, 0.2214, 0.4183, 0.6946, 1.058");
+                          values  ( \
+                            "1.10924, 1.21476, 1.60716, 2.1948, 3.06204, 4.22472, 5.65344" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.299551;
+                  clock                : true;
+                  max_transition       : 11.06;
+                  min_pulse_width_high : 12.414765;
+                  min_pulse_width_low  : 23.49015;
+                  min_period           : 50.97375;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("77.4279, 77.4279, 77.4279, 77.4279, 77.4279, 77.4279, 77.4279");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("77.4279, 77.4279, 77.4279, 77.4279, 77.4279, 77.4279, 77.4279");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("2.03488e-05, 2.03488e-05, 2.03488e-05, 2.03488e-05, 2.03488e-05, 2.03488e-05, 2.03488e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("2.03488e-05, 2.03488e-05, 2.03488e-05, 2.03488e-05, 2.03488e-05, 2.03488e-05, 2.03488e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("71.1626, 71.1626, 71.1626, 71.1626, 71.1626, 71.1626, 71.1626");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                values  ("71.1626, 71.1626, 71.1626, 71.1626, 71.1626, 71.1626, 71.1626");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0193095;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.60932, 3.53661, 3.60591, 3.97848, 4.66301, 5.84144, 7.29608", \
+                            "3.56279, 3.50592, 3.55201, 3.90819, 4.61021, 5.78259, 7.26473", \
+                            "3.32684, 3.27778, 3.32904, 3.70238, 4.4022, 5.56644, 7.05892", \
+                            "2.97055, 2.89861, 2.96208, 3.31716, 4.0227, 5.19552, 6.64708", \
+                            "2.88255, 2.84284, 2.88376, 3.24247, 3.93393, 5.09619, 6.54577", \
+                            "2.8963, 2.84944, 2.88882, 3.25204, 3.94691, 5.10785, 6.54819", \
+                            "2.89399, 2.82293, 2.88805, 3.24522, 3.94284, 5.10708, 6.55589" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "2.46268, 2.48743, 2.69379, 3.12521, 3.81876, 4.8807, 6.22281", \
+                            "2.40526, 2.42847, 2.63241, 3.06625, 3.78004, 4.8279, 6.16396", \
+                            "2.17657, 2.21254, 2.4189, 2.8512, 3.51461, 4.61175, 5.92988", \
+                            "2.17305, 2.20198, 2.40262, 2.83514, 3.55135, 4.59514, 5.90403", \
+                            "2.16172, 2.20088, 2.40229, 2.83426, 3.5486, 4.59426, 5.90326", \
+                            "2.16997, 2.1989, 2.39745, 2.83206, 3.54442, 4.58623, 5.90106", \
+                            "2.17085, 2.19967, 2.39833, 2.83382, 3.54816, 4.59294, 5.89776" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "8.13714, 8.17707, 8.1367, 7.76556, 7.06244, 5.9114, 4.46952", \
+                            "8.17212, 8.23053, 8.184, 7.83167, 7.1445, 5.96585, 4.4935", \
+                            "8.38772, 8.41588, 8.3589, 8.00448, 7.31192, 6.14999, 4.66565", \
+                            "8.75061, 8.79758, 8.76403, 8.40884, 7.70044, 6.54071, 5.10389", \
+                            "9.39279, 9.4325, 9.39169, 9.03287, 8.34141, 7.17915, 5.72957", \
+                            "10.2106, 10.2575, 10.218, 9.8549, 9.16003, 7.99909, 6.55875", \
+                            "11.1496, 11.2211, 11.1555, 10.7984, 10.1008, 8.93662, 7.48781" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "8.84928, 8.81881, 8.61762, 8.18554, 7.49133, 6.43148, 5.11665", \
+                            "8.90219, 8.87348, 8.67471, 8.24021, 7.52565, 6.4801, 5.17121", \
+                            "9.09854, 9.05729, 8.8561, 8.42314, 7.74466, 6.66402, 5.37251", \
+                            "9.47705, 9.44812, 9.24748, 8.81496, 8.09864, 7.05485, 5.74596", \
+                            "10.1136, 10.0745, 9.87305, 9.44108, 8.72674, 7.68108, 6.37219", \
+                            "10.937, 10.908, 10.7095, 10.2749, 9.56252, 8.52071, 7.20577", \
+                            "11.8723, 11.8437, 11.6457, 11.2098, 10.4955, 9.45076, 8.14594" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0456898;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "4.16075, 4.21619, 4.39615, 4.81239, 5.36228, 6.20554, 7.16342", \
+                            "4.10641, 4.158, 4.33708, 4.75596, 5.31509, 6.14977, 7.10754", \
+                            "3.9039, 3.95791, 4.13523, 4.55598, 5.14932, 5.94902, 6.9069", \
+                            "3.53716, 3.58985, 3.76904, 4.18836, 4.79215, 5.58162, 6.53939", \
+                            "2.91016, 2.96527, 3.14512, 3.56246, 4.11136, 4.95462, 5.9125", \
+                            "2.23168, 2.28459, 2.46378, 2.88321, 3.48326, 4.27625, 5.23402", \
+                            "1.51316, 1.56816, 1.74471, 2.16469, 2.76672, 3.55619, 4.51682" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "5.60912, 5.65576, 5.86278, 6.3338, 7.02889, 8.04925, 9.40654", \
+                            "5.55137, 5.59999, 5.80745, 6.27792, 6.98346, 8.00789, 9.37189", \
+                            "5.35634, 5.39924, 5.60692, 6.04175, 6.7793, 7.80703, 9.18654", \
+                            "4.98377, 5.03195, 5.23875, 5.70581, 6.44325, 7.43974, 8.78273", \
+                            "4.36238, 4.40484, 4.61164, 5.05802, 5.78842, 6.81274, 8.15573", \
+                            "3.67708, 3.72647, 3.93404, 4.37976, 5.07496, 6.12007, 7.47725", \
+                            "2.95845, 3.00685, 3.23884, 3.68082, 4.41012, 5.40199, 6.79437" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "6.92351, 6.87786, 6.69823, 6.30795, 5.74948, 5.10048, 4.44378", \
+                            "6.58823, 6.54115, 6.35349, 5.93978, 5.39539, 4.76498, 4.10795", \
+                            "6.05902, 6.00809, 5.8267, 5.4406, 4.91722, 4.23643, 3.56708", \
+                            "5.63068, 5.58195, 5.3988, 5.01589, 4.44532, 3.80853, 3.14743", \
+                            "5.907, 5.85761, 5.66929, 5.291, 4.75211, 4.08419, 3.42298", \
+                            "6.42928, 6.38891, 6.1974, 5.81438, 5.24381, 4.62418, 3.94592", \
+                            "7.04979, 7.0026, 6.81637, 6.43346, 5.863, 5.22632, 4.54872" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.92579, 3.84131, 3.63913, 3.21013, 2.49403, 1.46663, 0.139795", \
+                            "3.60558, 3.50702, 3.30275, 2.87342, 2.13763, 1.13303, 0", \
+                            "3.06053, 2.97847, 2.77596, 2.3595, 1.61414, 0.604043, 0", \
+                            "2.6477, 2.55079, 2.34795, 1.90872, 1.13452, 0.176509, 0", \
+                            "2.90697, 2.82634, 2.62273, 2.21144, 1.45596, 0.451902, 0", \
+                            "3.42001, 3.34906, 3.14897, 2.73383, 2.02807, 0.973126, 0", \
+                            "4.06692, 3.96836, 3.75837, 3.32695, 2.54837, 1.60028, 0.229399" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00749419;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0.036883", \
+                            "0, 0, 0, 0, 0, 0, 0.56892", \
+                            "0, 0, 0, 0, 0, 0.343409, 0.994136", \
+                            "0, 0, 0, 0, 0, 0.066231, 0.728068", \
+                            "0, 0, 0, 0, 0, 0, 0.193383", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0.124225, 0.196895, 0.40678, 0.830115, 1.54682, 2.58522, 3.90148", \
+                            "0.463265, 0.545391, 0.753764, 1.17764, 1.91158, 2.91786, 4.27141", \
+                            "0.993454, 1.06374, 1.28123, 1.69433, 2.43518, 3.45554, 4.8059", \
+                            "1.42384, 1.50425, 1.70764, 2.13158, 2.92061, 3.88113, 5.19607", \
+                            "1.14472, 1.22712, 1.43, 1.83953, 2.59314, 3.60008, 4.91909", \
+                            "0.633237, 0.703615, 0.900339, 1.31836, 2.02213, 3.08363, 4.40737", \
+                            "0, 0.082907, 0.292722, 0.724911, 1.50392, 2.45256, 3.82371" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "6.94485, 6.88545, 6.69823, 6.32038, 5.74948, 5.1436, 4.47634", \
+                            "6.60957, 6.55017, 6.36251, 5.9851, 5.40738, 4.80832, 4.14106", \
+                            "6.08069, 6.02118, 5.83341, 5.45611, 4.91722, 4.27933, 3.61339", \
+                            "5.65301, 5.59361, 5.40584, 5.02843, 4.45071, 3.85176, 3.18582", \
+                            "5.92856, 5.86916, 5.67864, 5.3042, 4.75211, 4.12731, 3.46137", \
+                            "6.45139, 6.39188, 6.20411, 5.8267, 5.25657, 4.6497, 3.9842", \
+                            "7.073, 7.00854, 6.81637, 6.44611, 5.87103, 5.23875, 4.60537" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.93855, 3.90159, 3.67499, 3.24291, 2.49403, 1.48819, 0.171888", \
+                            "3.60558, 3.56653, 3.33916, 2.90664, 2.14148, 1.13303, 0", \
+                            "3.07285, 3.03754, 2.81193, 2.39327, 1.61843, 0.604043, 0", \
+                            "2.6477, 2.60997, 2.38326, 1.94194, 1.14347, 0.176509, 0", \
+                            "2.91918, 2.88552, 2.65881, 2.23399, 1.45948, 0.451902, 0", \
+                            "3.43189, 3.40813, 3.18472, 2.75638, 2.03566, 0.99473, 0", \
+                            "4.06692, 4.02743, 3.79412, 3.35874, 2.5971, 1.60028, 0.245801" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.038309;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.54013, 3.51274, 3.5343, 3.92315, 4.65509, 5.76631, 7.20808", \
+                            "3.4793, 3.44146, 3.47336, 3.86232, 4.59459, 5.70548, 7.13086", \
+                            "3.65937, 3.63154, 3.67147, 4.05075, 4.774, 5.89721, 7.32204", \
+                            "4.09805, 4.0579, 4.10135, 4.49097, 5.21741, 6.32621, 7.79449", \
+                            "3.80292, 3.77784, 3.81722, 4.213, 4.91821, 6.07618, 7.51784", \
+                            "3.28933, 3.26348, 3.29307, 3.68082, 4.40924, 5.52728, 7.0103", \
+                            "2.67421, 2.63604, 2.68048, 3.06416, 3.79555, 4.91051, 6.33941" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "2.79719, 2.85318, 3.08517, 3.50911, 4.21124, 5.29133, 6.65049", \
+                            "3.14116, 3.17966, 3.42353, 3.83955, 4.55092, 5.63101, 6.98654", \
+                            "3.63748, 3.71987, 3.94317, 4.37371, 5.07826, 6.16462, 7.52719", \
+                            "4.09035, 4.14513, 4.38603, 4.7883, 5.50066, 6.58889, 7.94761", \
+                            "3.78653, 3.86276, 4.09926, 4.52199, 5.22654, 6.31367, 7.66931", \
+                            "3.278, 3.35324, 3.58633, 3.98717, 4.70668, 5.79029, 7.13581", \
+                            "2.67663, 2.7324, 2.94327, 3.37964, 4.07803, 5.16813, 6.52883" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "2.56597, 2.59358, 2.55541, 2.14797, 1.44881, 0.516769, 0", \
+                            "2.63274, 2.66024, 2.62218, 2.21474, 1.51547, 0.583462, 0", \
+                            "2.83239, 2.85989, 2.82172, 2.41428, 1.71512, 0.783101, 0", \
+                            "3.20452, 3.23202, 3.19385, 2.78641, 2.08725, 1.15522, 0.134344", \
+                            "3.82866, 3.85605, 3.8181, 3.41066, 2.71139, 1.74757, 0.758472", \
+                            "4.67929, 4.7069, 4.66873, 4.2614, 3.56213, 2.63021, 1.6093", \
+                            "5.58008, 5.60736, 5.56952, 5.16241, 4.46325, 3.53133, 2.51042" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.15326, 3.10596, 2.89278, 2.46114, 1.91532, 1.25345, 0.502766", \
+                            "3.21992, 3.17273, 2.95955, 2.5278, 1.98198, 1.32011, 0.56947", \
+                            "3.41946, 3.37238, 3.1592, 2.72745, 2.18174, 1.51976, 0.769131", \
+                            "3.7917, 3.74451, 3.53133, 3.09958, 2.55387, 1.89189, 1.14125", \
+                            "4.41584, 4.36865, 4.15547, 3.72383, 3.17801, 2.51603, 1.76539", \
+                            "5.26669, 5.21939, 5.00621, 4.57457, 4.02875, 3.36688, 2.61624", \
+                            "6.16792, 6.12062, 5.90744, 5.4758, 4.92998, 4.26811, 3.51747" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0173971;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.31716, 3.39845, 3.6003, 3.95109, 4.35105, 4.7696, 5.11643", \
+                            "3.68137, 3.71173, 3.91721, 4.2944, 4.7124, 5.11148, 5.48812", \
+                            "4.18792, 4.24556, 4.44521, 4.84484, 5.23039, 5.64256, 6.02536", \
+                            "4.63562, 4.6717, 4.90314, 5.27197, 5.65928, 6.08421, 6.45931", \
+                            "4.34808, 4.39582, 4.62484, 4.97618, 5.38142, 5.78908, 6.20719", \
+                            "3.84098, 3.87607, 4.07924, 4.45456, 4.83659, 5.27736, 5.63739", \
+                            "3.20694, 3.26436, 3.44289, 3.82932, 4.23555, 4.65454, 5.01974" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.820622, 2.07878", \
+                            "0, 0, 0, 0, 0.186599, 1.15613, 2.41428", \
+                            "0, 0, 0, 0, 0.720005, 1.68927, 2.94866", \
+                            "0, 0, 0, 0.405702, 1.13379, 2.11409, 3.37227", \
+                            "0, 0, 0, 0.13076, 0.869616, 1.83777, 3.09727", \
+                            "0, 0, 0, 0, 0.346588, 1.31615, 2.57433", \
+                            "0, 0, 0, 0, 0, 0.693924, 1.94249" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "3.0888, 3.02786, 2.8314, 2.45608, 2.07669, 1.64307, 1.27456", \
+                            "2.73801, 2.69148, 2.49689, 2.12454, 1.7281, 1.29148, 0.922647", \
+                            "2.20979, 2.15644, 1.94678, 1.56761, 1.17942, 0.754281, 0.359403", \
+                            "1.77749, 1.73481, 1.52592, 1.15597, 0.769329, 0.332134, 0", \
+                            "2.04743, 2.01135, 1.81214, 1.43935, 1.04449, 0.610555, 0.244411", \
+                            "2.56883, 2.53528, 2.32584, 1.95382, 1.57971, 1.1399, 0.762817", \
+                            "3.21123, 3.1471, 2.96384, 2.58995, 2.20088, 1.76594, 1.39392" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          index_2 ("0.02, 0.2186, 0.9159, 2.247, 4.322, 7.233, 11.06");
+                          values  ( \
+                            "5.90634, 5.90414, 5.74255, 5.31399, 4.57578, 3.59007, 2.33233", \
+                            "5.56171, 5.55775, 5.39627, 4.9676, 4.2295, 3.24379, 1.98605", \
+                            "5.02601, 5.02458, 4.86255, 4.43399, 3.69589, 2.71007, 1.45233", \
+                            "4.60119, 4.59954, 4.43707, 4.00884, 3.27063, 2.28492, 1.02715", \
+                            "4.8818, 4.87729, 4.7157, 4.28714, 3.54893, 2.56322, 1.30546", \
+                            "5.4065, 5.40298, 5.24095, 4.81239, 4.07429, 3.08847, 1.83073", \
+                            "6.03317, 6.0291, 5.86751, 5.43906, 4.70085, 3.71514, 2.4574" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 2.034882e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_3v00.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_3v00.lib
new file mode 100755
index 0000000..015f260
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_3v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_3v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 3.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 7.0901;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_3p0v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 3.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_3p0v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.1270;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+			  index_2 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "11.95416, 11.98548, 12.1704, 12.4344, 12.8328, 13.398, 14.0976", \
+                            "11.96412, 12.0204, 12.2028, 12.4752, 12.8808, 13.4112, 14.1492", \
+                            "12.0216, 12.0708, 12.2076, 12.5184, 12.8844, 13.4412, 14.1492", \
+                            "12.0804, 12.126, 12.2952, 12.5736, 12.9732, 13.5264, 14.2428", \
+                            "12.1332, 12.198, 12.3852, 12.6504, 13.0608, 13.608, 14.3232", \
+                            "12.1656, 12.2244, 12.39, 12.6768, 13.0884, 13.6248, 14.3604", \
+                            "12.1428, 12.2016, 12.3648, 12.648, 13.0428, 13.5972, 14.31" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+  	                     "0.43668, 0.514272, 0.769308, 1.24788, 2.01612, 3.11424, 4.55112" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "12.456, 12.4824, 12.654, 12.876, 13.1592, 13.5264, 13.9512", \
+                            "12.4704, 12.5148, 12.6816, 12.9132, 13.1988, 13.542, 13.9884", \
+                            "12.5268, 12.5808, 12.6888, 12.9564, 13.2096, 13.5732, 13.998", \
+                            "12.588, 12.6312, 12.7812, 13.0116, 13.3008, 13.6512, 14.0928", \
+                            "12.6372, 12.708, 12.8604, 13.0836, 13.3788, 13.734, 14.1684", \
+                            "12.6828, 12.7272, 12.8784, 13.1088, 13.41, 13.752, 14.2152", \
+                            "12.6468, 12.7032, 12.8496, 13.0848, 13.362, 13.728, 14.1624" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0301, 0.1006, 0.2353, 0.4451, 0.7395, 1.1270");
+                          values  ( \
+                            "0.428472, 0.470448, 0.65262, 0.935808, 1.32048, 1.8558, 2.54988" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.311928;
+                  clock                : true;
+                  max_transition       : 7.0901;
+                  min_pulse_width_high : 4.54599;
+                  min_pulse_width_low  : 7.030995;
+                  min_period           : 16.3302;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("289.68, 289.68, 289.68, 289.68, 289.68, 289.68, 289.68");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("289.68, 289.68, 289.68, 289.68, 289.68, 289.68, 289.68");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("6.4251e-05, 6.4251e-05, 6.4251e-05, 6.4251e-05, 6.4251e-05, 6.4251e-05, 6.4251e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("6.4251e-05, 6.4251e-05, 6.4251e-05, 6.4251e-05, 6.4251e-05, 6.4251e-05, 6.4251e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("263.76, 263.76, 263.76, 263.76, 263.76, 263.76, 263.76");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                values  ("263.76, 263.76, 263.76, 263.76, 263.76, 263.76, 263.76");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.01933;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.07802, 1.06437, 1.0744, 1.21964, 1.46586, 1.63592, 1.74185", \
+                            "1.05019, 1.03797, 1.05333, 1.20624, 1.43704, 1.61491, 1.7028", \
+                            "0.96756, 0.953414, 0.97262, 1.10946, 1.34497, 1.53527, 1.63075", \
+                            "0.966031, 0.951709, 0.964568, 1.09735, 1.28549, 1.40734, 1.49765", \
+                            "0.965426, 0.951093, 0.971355, 1.10887, 1.27642, 1.38446, 1.42395", \
+                            "0.964843, 0.950312, 0.963732, 1.09614, 1.28401, 1.38259, 1.42087", \
+                            "0.964007, 0.94963, 0.962412, 1.09541, 1.27695, 1.40085, 1.50645" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.84964, 0.866404, 0.965701, 1.17114, 1.47818, 1.81467, 2.16304", \
+                            "0.844294, 0.858935, 0.958254, 1.16372, 1.46894, 1.78915, 2.13642", \
+                            "0.841698, 0.859485, 0.955999, 1.15139, 1.46806, 1.76484, 2.12443", \
+                            "0.841258, 0.857956, 0.954481, 1.16005, 1.46432, 1.78596, 2.12289", \
+                            "0.839575, 0.854612, 0.95392, 1.15974, 1.46465, 1.78541, 2.12234", \
+                            "0.840829, 0.856768, 0.953249, 1.16502, 1.46399, 1.78475, 2.12168", \
+                            "0.838046, 0.855855, 0.952413, 1.16371, 1.46311, 1.78343, 2.11475" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.84878, 2.86374, 2.85065, 2.71788, 2.52967, 2.43155, 2.39954", \
+                            "2.87089, 2.88629, 2.87342, 2.73042, 2.55475, 2.45465, 2.4222", \
+                            "2.9458, 2.95988, 2.94085, 2.81435, 2.63461, 2.52604, 2.49227", \
+                            "3.12235, 3.13665, 3.12378, 2.99101, 2.8028, 2.70457, 2.6686", \
+                            "3.34598, 3.36039, 3.34004, 3.20254, 3.03501, 2.92699, 2.9007", \
+                            "3.51934, 3.53386, 3.52044, 3.388, 3.20012, 3.10167, 3.06801", \
+                            "3.64232, 3.65662, 3.64386, 3.51087, 3.32937, 3.22278, 3.18549" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.97407, 2.95735, 2.86077, 2.65529, 2.35004, 2.02994, 1.69235", \
+                            "2.9975, 2.98276, 2.88354, 2.67806, 2.37281, 2.0526, 1.71512", \
+                            "3.07164, 3.05382, 2.95735, 2.76199, 2.4453, 2.14852, 1.78893", \
+                            "3.24709, 3.23037, 3.1339, 2.92831, 2.62405, 2.3023, 1.96548", \
+                            "3.47182, 3.45686, 3.35753, 3.15172, 2.8468, 2.52604, 2.18911", \
+                            "3.64331, 3.62747, 3.53089, 3.31914, 3.02027, 2.6994, 2.36247", \
+                            "3.76827, 3.75045, 3.65387, 3.44256, 3.14314, 2.82293, 2.49161" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0498398;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.43077, 1.45398, 1.54231, 1.71215, 1.93985, 2.14577, 2.32012", \
+                            "1.4102, 1.43374, 1.52152, 1.6885, 1.91609, 2.12487, 2.29768", \
+                            "1.32759, 1.3508, 1.43484, 1.60655, 1.83535, 2.04391, 2.2055", \
+                            "1.15948, 1.18273, 1.26988, 1.44067, 1.66386, 1.87264, 2.04017", \
+                            "0.986744, 1.00988, 1.09387, 1.2642, 1.49369, 1.69873, 1.86791", \
+                            "0.863181, 0.887469, 0.97383, 1.14415, 1.36807, 1.57894, 1.74383", \
+                            "0.77429, 0.797401, 0.885511, 1.05651, 1.27955, 1.48841, 1.65814" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.64769, 1.67497, 1.77826, 1.99705, 2.35169, 2.76287, 3.21464", \
+                            "1.62371, 1.65462, 1.75802, 1.97615, 2.33145, 2.74263, 3.19429", \
+                            "1.5422, 1.57179, 1.67508, 1.89211, 2.24884, 2.65771, 3.11146", \
+                            "1.37489, 1.40371, 1.507, 1.72381, 2.08109, 2.49106, 2.94327", \
+                            "1.20003, 1.22806, 1.33419, 1.55573, 1.90762, 2.31726, 2.77057", \
+                            "1.07696, 1.10699, 1.21024, 1.42791, 1.78376, 2.1934, 2.6466", \
+                            "0.9878, 1.01837, 1.12174, 1.34222, 1.6951, 2.10639, 2.55849" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.03753, 2.01817, 1.93149, 1.78684, 1.65242, 1.57432, 1.52449", \
+                            "2.01806, 2.00079, 1.91532, 1.76858, 1.64142, 1.55771, 1.50315", \
+                            "2.04457, 2.02763, 1.94381, 1.79454, 1.65737, 1.58444, 1.53956", \
+                            "2.09781, 2.08153, 1.99529, 1.84932, 1.71501, 1.64208, 1.59346", \
+                            "2.17151, 2.15292, 2.07152, 1.9173, 1.78893, 1.70984, 1.66001", \
+                            "2.19648, 2.17602, 2.09187, 1.94766, 1.81247, 1.73415, 1.6852", \
+                            "2.17228, 2.15512, 2.06844, 1.92357, 1.78959, 1.71325, 1.66705" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.962027, 0.935528, 0.829642, 0.611424, 0.277842, 0, 0", \
+                            "0.941886, 0.918577, 0.81213, 0.596222, 0.260388, 0, 0", \
+                            "0.972708, 0.945208, 0.839146, 0.622424, 0.290343, 0, 0", \
+                            "1.02588, 0.998844, 0.893233, 0.669108, 0.34056, 0, 0", \
+                            "1.17542, 1.14785, 1.04492, 0.816541, 0.467093, 0.0866558, 0", \
+                            "1.3816, 1.35355, 1.25084, 1.0265, 0.672914, 0.292403, 0", \
+                            "1.55353, 1.52581, 1.42296, 1.19616, 0.845053, 0.463386, 0.046255" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00804242;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.027412", \
+                            "0, 0, 0, 0, 0, 0, 0.042658", \
+                            "0, 0, 0, 0, 0, 0, 0.007139", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.554697, 0.580646, 0.690558, 0.905399, 1.23661, 1.63108, 2.08296", \
+                            "0.571516, 0.591712, 0.703637, 0.922372, 1.25236, 1.64274, 2.09561", \
+                            "0.547591, 0.568777, 0.680394, 0.898535, 1.22525, 1.62019, 2.07416", \
+                            "0.49412, 0.516736, 0.625416, 0.845493, 1.17481, 1.57102, 2.01443", \
+                            "0.420398, 0.446666, 0.550737, 0.776039, 1.0993, 1.49897, 1.94612", \
+                            "0.390181, 0.418319, 0.528022, 0.749529, 1.07749, 1.47543, 1.9195", \
+                            "0.416097, 0.441309, 0.551881, 0.770055, 1.10025, 1.4916, 1.94051" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "2.03753, 2.01817, 1.93149, 1.78838, 1.66848, 1.57696, 1.52658", \
+                            "2.02004, 2.00079, 1.91532, 1.771, 1.64791, 1.56068, 1.5092", \
+                            "2.04688, 2.02763, 1.94381, 1.79784, 1.67728, 1.58752, 1.54737", \
+                            "2.10056, 2.08153, 1.99529, 1.85163, 1.73184, 1.64208, 1.60105", \
+                            "2.17217, 2.15292, 2.07152, 1.92313, 1.80257, 1.71281, 1.67255", \
+                            "2.19648, 2.17602, 2.09187, 1.94766, 1.82699, 1.73712, 1.69697", \
+                            "2.17415, 2.15512, 2.06844, 1.92522, 1.80466, 1.71479, 1.67464" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.962027, 0.936199, 0.829642, 0.611424, 0.279995, 0, 0", \
+                            "0.941886, 0.919105, 0.81213, 0.596222, 0.262617, 0, 0", \
+                            "0.972708, 0.945758, 0.839146, 0.622424, 0.29372, 0, 0", \
+                            "1.02588, 0.999251, 0.893233, 0.670703, 0.342199, 0, 0", \
+                            "1.09405, 1.06664, 0.964238, 0.743435, 0.414766, 0.015862, 0", \
+                            "1.12616, 1.09543, 0.988867, 0.765809, 0.439109, 0.040304, 0", \
+                            "1.09908, 1.07299, 0.966405, 0.749034, 0.416867, 0.021879, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0383295;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.37456, 1.36532, 1.38886, 1.52988, 1.76374, 1.94623, 2.05601", \
+                            "1.38886, 1.37984, 1.40778, 1.55243, 1.7765, 1.94667, 2.08791", \
+                            "1.36466, 1.36015, 1.38831, 1.5202, 1.75901, 1.94711, 2.06602", \
+                            "1.30779, 1.29525, 1.32825, 1.47345, 1.69851, 1.87935, 2.00343", \
+                            "1.23486, 1.22382, 1.25583, 1.40085, 1.62514, 1.81412, 1.92907", \
+                            "1.2149, 1.20878, 1.23462, 1.38094, 1.60776, 1.7908, 1.89233", \
+                            "1.23588, 1.22844, 1.25444, 1.4003, 1.62327, 1.81412, 1.93666" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.57949, 1.59863, 1.7006, 1.91389, 2.22871, 2.56696, 2.95284", \
+                            "1.59456, 1.61568, 1.7149, 1.92401, 2.24532, 2.58313, 2.96912", \
+                            "1.57641, 1.59951, 1.69499, 1.90993, 2.2242, 2.55442, 2.948", \
+                            "1.52438, 1.53659, 1.63427, 1.84349, 2.16469, 2.50316, 2.8897", \
+                            "1.44892, 1.46597, 1.55936, 1.7721, 2.09385, 2.42638, 2.81545", \
+                            "1.42582, 1.44694, 1.53703, 1.74834, 2.06811, 2.40867, 2.7951", \
+                            "1.44584, 1.46971, 1.5664, 1.77914, 2.09242, 2.43001, 2.81809" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.10277, 1.11713, 1.07474, 0.994158, 0.941897, 0.912439, 0.866338", \
+                            "1.12666, 1.14109, 1.09875, 1.01497, 0.966867, 0.935319, 0.895455", \
+                            "1.21034, 1.22514, 1.18281, 1.10218, 1.0498, 1.01916, 0.977768", \
+                            "1.38127, 1.39601, 1.35377, 1.27007, 1.22147, 1.18901, 1.15115", \
+                            "1.58587, 1.6005, 1.55815, 1.47279, 1.42593, 1.39315, 1.35597", \
+                            "1.77716, 1.79179, 1.74933, 1.66892, 1.61667, 1.58719, 1.5411", \
+                            "1.8909, 1.90498, 1.86241, 1.78178, 1.72942, 1.69961, 1.65616" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.1999, 1.1803, 1.08193, 0.965668, 0.873598, 0.824054, 0.785059", \
+                            "1.22208, 1.20189, 1.10594, 0.989593, 0.8976, 0.848056, 0.809061", \
+                            "1.30823, 1.28592, 1.18996, 1.07362, 0.98164, 0.932085, 0.89309", \
+                            "1.47686, 1.45937, 1.36092, 1.24467, 1.1526, 1.10305, 1.06405", \
+                            "1.68355, 1.66133, 1.56541, 1.44903, 1.35707, 1.30746, 1.26851", \
+                            "1.87275, 1.85262, 1.7567, 1.64032, 1.54836, 1.49875, 1.45981", \
+                            "1.98792, 1.96537, 1.86593, 1.75329, 1.66133, 1.61172, 1.57278" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0176866;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.28724, 1.30273, 1.37863, 1.43825, 1.43594, 1.36983, 1.24628", \
+                            "1.2947, 1.31681, 1.39238, 1.44441, 1.44606, 1.37599, 1.25828", \
+                            "1.28324, 1.30328, 1.36763, 1.43275, 1.43726, 1.3596, 1.239", \
+                            "1.2207, 1.24093, 1.32319, 1.37401, 1.3607, 1.29844, 1.1502", \
+                            "1.15218, 1.17209, 1.24137, 1.31087, 1.29062, 1.24247, 1.09597", \
+                            "1.12963, 1.1499, 1.21968, 1.2742, 1.27883, 1.20773, 1.09399", \
+                            "1.1462, 1.16404, 1.24112, 1.29844, 1.30086, 1.21955, 1.12677" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.127185, 0.131384, 0.232175, 0.46871, 0.800404, 1.21229, 1.67013", \
+                            "0.141059, 0.139626, 0.246979, 0.483186, 0.823702, 1.22716, 1.68476", \
+                            "0.120955, 0.118969, 0.226175, 0.462264, 0.803451, 1.20658, 1.66408", \
+                            "0.06435, 0.061347, 0.168262, 0.400367, 0.745052, 1.14723, 1.59577", \
+                            "0, 0, 0.0958232, 0.323114, 0.672694, 1.0762, 1.53142", \
+                            "0, 0, 0.073194, 0.305228, 0.649913, 1.05336, 1.51074", \
+                            "0, 0, 0.0956483, 0.327481, 0.672375, 1.07586, 1.53109" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "0.829521, 0.817135, 0.740641, 0.679151, 0.676269, 0.739497, 0.861553", \
+                            "0.826045, 0.806608, 0.724592, 0.676247, 0.66572, 0.740124, 0.858077", \
+                            "0.838673, 0.822426, 0.750464, 0.684926, 0.688468, 0.757229, 0.883432", \
+                            "0.89408, 0.879923, 0.799458, 0.744139, 0.755205, 0.82247, 0.945164", \
+                            "0.96613, 0.947936, 0.871695, 0.800767, 0.817399, 0.878273, 1.02951", \
+                            "0.986062, 0.969254, 0.898304, 0.84271, 0.838662, 0.914122, 1.00693", \
+                            "0.966372, 0.95623, 0.879505, 0.818169, 0.817641, 0.889999, 0.981046" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          index_2 ("0.0200, 0.1472, 0.5937, 1.4462, 2.7748, 4.6388, 7.0901");
+                          values  ( \
+                            "1.50557, 1.50018, 1.39953, 1.16367, 0.824571, 0.422455, 0", \
+                            "1.49534, 1.48962, 1.38908, 1.15312, 0.814396, 0.411917, 0", \
+                            "1.51426, 1.50887, 1.40822, 1.17235, 0.833283, 0.431134, 0", \
+                            "1.57278, 1.56827, 1.46806, 1.23213, 0.893409, 0.49258, 0.041349", \
+                            "1.6423, 1.63691, 1.53615, 1.3002, 0.961532, 0.559053, 0.101292", \
+                            "1.66551, 1.66001, 1.55936, 1.32341, 0.984731, 0.582241, 0.124479", \
+                            "1.64483, 1.63933, 1.53879, 1.30284, 0.96415, 0.56166, 0.103902" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 6.4251e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_4v50.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_4v50.lib
new file mode 100755
index 0000000..8254f28
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_4v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_125C_4v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 125;
+        nom_voltage             : 4.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.775;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 4.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_4p5v_125C) {
+                process       : 1;
+                temperature   : 125;
+                voltage       : 4.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_4p5v_125C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.202;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+			  index_2 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "7.79676, 7.83144, 7.9422, 8.12112, 8.3874, 8.74548, 9.22092", \
+                            "7.797, 7.83456, 7.94016, 8.12904, 8.38704, 8.75496, 9.22416", \
+                            "7.8066, 7.84608, 7.95312, 8.13216, 8.39616, 8.76336, 9.23904", \
+                            "7.82064, 7.85628, 7.96788, 8.14608, 8.41296, 8.7726, 9.25188", \
+                            "7.84728, 7.88988, 7.98612, 8.17692, 8.43252, 8.79636, 9.28092", \
+                            "7.87104, 7.8972, 8.00604, 8.18868, 8.4576, 8.82072, 9.28716", \
+                            "7.85256, 7.88136, 7.99092, 8.17272, 8.44044, 8.80308, 9.27576" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+  	                     "0.298332, 0.348864, 0.537108, 0.864324, 1.3758, 2.13288, 3.12072" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "8.0592, 8.09436, 8.2002, 8.35836, 8.57424, 8.83188, 9.16764", \
+                            "8.05632, 8.1, 8.20632, 8.37084, 8.57724, 8.84196, 9.17364", \
+                            "8.06952, 8.10372, 8.2062, 8.3688, 8.58696, 8.8518, 9.1848", \
+                            "8.07972, 8.11596, 8.22552, 8.38464, 8.59536, 8.86188, 9.20016", \
+                            "8.10528, 8.1474, 8.24304, 8.41368, 8.6214, 8.88516, 9.22524", \
+                            "8.13288, 8.15988, 8.26692, 8.42676, 8.64492, 8.90916, 9.24072", \
+                            "8.11536, 8.14392, 8.2524, 8.41104, 8.62872, 8.89344, 9.22032" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03143, 0.1067, 0.2504, 0.4742, 0.7883, 1.202");
+                          values  ( \
+                            "0.303324, 0.348096, 0.4623, 0.673896, 0.975348, 1.39968, 1.94952" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.316948;
+                  clock                : true;
+                  max_transition       : 2.775;
+                  min_pulse_width_high : 3.96246;
+                  min_pulse_width_low  : 4.846305;
+                  min_period           : 10.279635;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("701.798, 701.798, 701.798, 701.798, 701.798, 701.798, 701.798");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("701.798, 701.798, 701.798, 701.798, 701.798, 701.798, 701.798");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("0.000140526, 0.000140526, 0.000140526, 0.000140526, 0.000140526, 0.000140526, 0.000140526");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("0.000140526, 0.000140526, 0.000140526, 0.000140526, 0.000140526, 0.000140526, 0.000140526");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("630.697, 630.697, 630.697, 630.697, 630.697, 630.697, 630.697");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                values  ("630.697, 630.697, 630.697, 630.697, 630.697, 630.697, 630.697");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0192375;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.662266, 0.655732, 0.648571, 0.672375, 0.736923, 0.805728, 0.87307", \
+                            "0.655853, 0.647262, 0.640288, 0.664664, 0.731434, 0.802813, 0.855459", \
+                            "0.648131, 0.640926, 0.632742, 0.653367, 0.70939, 0.77341, 0.835032", \
+                            "0.646778, 0.639661, 0.631642, 0.652784, 0.707454, 0.747527, 0.793276", \
+                            "0.647009, 0.639067, 0.631235, 0.652168, 0.706794, 0.744777, 0.789965", \
+                            "0.64537, 0.638099, 0.631312, 0.652597, 0.70499, 0.747604, 0.789052", \
+                            "0.646195, 0.639287, 0.63041, 0.650694, 0.706585, 0.748407, 0.786874" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.58663, 0.592086, 0.615978, 0.675576, 0.778932, 0.902352, 1.04327", \
+                            "0.582736, 0.588038, 0.613228, 0.67111, 0.774972, 0.902462, 1.03306", \
+                            "0.579821, 0.585541, 0.610566, 0.668987, 0.772332, 0.895741, 1.03041", \
+                            "0.578798, 0.584562, 0.609598, 0.668008, 0.771408, 0.900724, 1.03569", \
+                            "0.578545, 0.583792, 0.608553, 0.66737, 0.770737, 0.900306, 1.03494", \
+                            "0.577445, 0.582989, 0.606848, 0.666446, 0.769791, 0.895829, 1.0279", \
+                            "0.577247, 0.582703, 0.60764, 0.666248, 0.769604, 0.893035, 1.03399" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.85053, 1.85614, 1.86516, 1.84382, 1.79036, 1.74955, 1.7083", \
+                            "1.8667, 1.87451, 1.88221, 1.86153, 1.80587, 1.76704, 1.7413", \
+                            "1.89453, 1.90179, 1.90993, 1.88925, 1.83326, 1.79432, 1.76319", \
+                            "1.94579, 1.95283, 1.96086, 1.93974, 1.88507, 1.84503, 1.80488", \
+                            "2.03137, 2.0394, 2.04721, 2.0262, 1.97164, 1.93369, 1.88848", \
+                            "2.11464, 2.1219, 2.12872, 2.10738, 2.05502, 2.01245, 1.97098", \
+                            "2.17426, 2.18119, 2.1901, 2.16975, 2.11387, 2.07207, 2.03357" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.91785, 1.91246, 1.88859, 1.82897, 1.72557, 1.60215, 1.46124", \
+                            "1.93523, 1.92995, 1.90476, 1.84679, 1.74295, 1.61546, 1.48489", \
+                            "1.96284, 1.95712, 1.93215, 1.87363, 1.77034, 1.64692, 1.51228", \
+                            "2.01377, 2.00794, 1.98297, 1.92456, 1.82116, 1.6918, 1.55683", \
+                            "2.0999, 2.09462, 2.06987, 2.01102, 1.90773, 1.77815, 1.64351", \
+                            "2.18251, 2.17701, 2.15314, 2.09352, 1.99023, 1.86417, 1.73217", \
+                            "2.24323, 2.23773, 2.21287, 2.15424, 2.05084, 1.92742, 1.78651" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0515207;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.936232, 0.942337, 0.965932, 1.02169, 1.10787, 1.21504, 1.31648", \
+                            "0.931018, 0.936584, 0.959002, 1.01555, 1.10259, 1.20652, 1.30625", \
+                            "0.907478, 0.912967, 0.935242, 0.991947, 1.07917, 1.18538, 1.28381", \
+                            "0.854887, 0.860453, 0.882728, 0.939048, 1.02665, 1.13286, 1.22993", \
+                            "0.769791, 0.775632, 0.798622, 0.854953, 0.942128, 1.04609, 1.14621", \
+                            "0.694727, 0.700018, 0.723096, 0.779361, 0.866294, 0.973093, 1.07052", \
+                            "0.630586, 0.636482, 0.659593, 0.715792, 0.803077, 0.909513, 1.00838" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.01498, 1.02389, 1.05742, 1.13311, 1.26206, 1.42813, 1.61744", \
+                            "1.00992, 1.01794, 1.05226, 1.12954, 1.25522, 1.41812, 1.6115", \
+                            "0.985556, 0.994103, 1.02773, 1.10347, 1.23159, 1.39843, 1.5884", \
+                            "0.933174, 0.941589, 0.97559, 1.05094, 1.1778, 1.34651, 1.53472", \
+                            "0.848485, 0.857032, 0.890681, 0.966075, 1.09546, 1.25941, 1.45244", \
+                            "0.77319, 0.781077, 0.816948, 0.890791, 1.0195, 1.18556, 1.37577", \
+                            "0.709687, 0.717882, 0.751828, 0.827266, 0.955383, 1.12225, 1.31032" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.35762, 1.35047, 1.32759, 1.27809, 1.2148, 1.16164, 1.12725", \
+                            "1.35784, 1.3508, 1.32792, 1.27765, 1.21417, 1.16645, 1.13088", \
+                            "1.37016, 1.36323, 1.34035, 1.29008, 1.22768, 1.17443, 1.14136", \
+                            "1.3772, 1.37027, 1.34739, 1.29778, 1.23471, 1.18147, 1.14935", \
+                            "1.39898, 1.39205, 1.36917, 1.31912, 1.25649, 1.20816, 1.16896", \
+                            "1.4157, 1.40877, 1.38589, 1.33595, 1.27424, 1.22002, 1.18563", \
+                            "1.40877, 1.40184, 1.37885, 1.32858, 1.26588, 1.21305, 1.17856" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.676115, 0.668371, 0.629684, 0.556721, 0.431299, 0.271598, 0.0865843", \
+                            "0.674069, 0.670054, 0.632467, 0.554928, 0.428736, 0.277585, 0.0848342", \
+                            "0.690415, 0.681263, 0.645128, 0.56914, 0.44165, 0.284306, 0.0973236", \
+                            "0.697004, 0.686994, 0.652597, 0.576125, 0.453112, 0.291357, 0.107015", \
+                            "0.719202, 0.707817, 0.674883, 0.59972, 0.471911, 0.315777, 0.124529", \
+                            "0.815705, 0.806619, 0.771386, 0.697125, 0.564465, 0.403051, 0.223973", \
+                            "0.915123, 0.906059, 0.871948, 0.796554, 0.663894, 0.502535, 0.322322" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00826446;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.36553, 0.374077, 0.411444, 0.485672, 0.610951, 0.76857, 0.955009", \
+                            "0.367719, 0.372504, 0.409134, 0.487553, 0.613294, 0.762432, 0.955559", \
+                            "0.352572, 0.361394, 0.397056, 0.473759, 0.599236, 0.757768, 0.946033", \
+                            "0.344014, 0.353705, 0.387607, 0.46409, 0.585442, 0.747967, 0.932745", \
+                            "0.320716, 0.329989, 0.365167, 0.440495, 0.56782, 0.72347, 0.914397", \
+                            "0.30668, 0.314941, 0.349217, 0.424963, 0.549945, 0.707102, 0.896137", \
+                            "0.317537, 0.32439, 0.357929, 0.435402, 0.562265, 0.719191, 0.902385" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.35762, 1.35267, 1.32869, 1.27809, 1.21549, 1.16378, 1.12796", \
+                            "1.35784, 1.353, 1.32902, 1.27765, 1.21584, 1.16645, 1.13088", \
+                            "1.37016, 1.36543, 1.34145, 1.29008, 1.22826, 1.17655, 1.14136", \
+                            "1.3772, 1.37247, 1.34849, 1.29778, 1.23529, 1.18357, 1.14935", \
+                            "1.39898, 1.3926, 1.36917, 1.31912, 1.25649, 1.20816, 1.16896", \
+                            "1.4157, 1.41097, 1.38699, 1.33595, 1.27424, 1.22206, 1.18624", \
+                            "1.40877, 1.40382, 1.37984, 1.32858, 1.26665, 1.21495, 1.17912" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.67859, 0.668371, 0.630267, 0.556721, 0.431299, 0.271598, 0.0865843", \
+                            "0.676214, 0.670054, 0.632918, 0.555071, 0.431178, 0.277585, 0.0848342", \
+                            "0.690415, 0.681263, 0.645128, 0.56914, 0.443542, 0.284306, 0.0973236", \
+                            "0.697004, 0.686994, 0.653433, 0.576125, 0.453112, 0.291357, 0.107015", \
+                            "0.719202, 0.707817, 0.674883, 0.59972, 0.471911, 0.315777, 0.124529", \
+                            "0.734129, 0.72578, 0.68981, 0.614647, 0.488642, 0.330946, 0.143141", \
+                            "0.726517, 0.718344, 0.684684, 0.607519, 0.481943, 0.32274, 0.141259" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.038237;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.883278, 0.879153, 0.870804, 0.893816, 0.961477, 1.04106, 1.1054", \
+                            "0.881485, 0.878647, 0.869286, 0.898491, 0.963468, 1.03952, 1.10538", \
+                            "0.867768, 0.864677, 0.855294, 0.8844, 0.949729, 1.02858, 1.09582", \
+                            "0.856273, 0.854755, 0.849486, 0.875347, 0.940907, 1.01572, 1.08074", \
+                            "0.841599, 0.833283, 0.824538, 0.853875, 0.918434, 0.996435, 1.063", \
+                            "0.818928, 0.814814, 0.808082, 0.829983, 0.899954, 0.978461, 1.04636", \
+                            "0.827695, 0.824318, 0.819665, 0.846615, 0.91168, 0.988317, 1.05569" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.09498, 1.09688, 1.12429, 1.18862, 1.29613, 1.43363, 1.58598", \
+                            "1.09621, 1.0977, 1.12691, 1.18801, 1.29558, 1.43088, 1.5873", \
+                            "1.08116, 1.0869, 1.1156, 1.17742, 1.28337, 1.42164, 1.57575", \
+                            "1.07147, 1.07566, 1.10472, 1.16758, 1.27435, 1.41108, 1.56409", \
+                            "1.04974, 1.0559, 1.08309, 1.14396, 1.25182, 1.38864, 1.54242", \
+                            "1.03322, 1.03656, 1.06543, 1.12897, 1.23465, 1.37093, 1.52548", \
+                            "1.04319, 1.04788, 1.0756, 1.13808, 1.24391, 1.38204, 1.53549" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.792473, 0.799898, 0.801306, 0.782672, 0.774763, 0.769164, 0.76351", \
+                            "0.798171, 0.805464, 0.807323, 0.788425, 0.780527, 0.774917, 0.769263", \
+                            "0.823911, 0.831809, 0.833646, 0.81477, 0.803517, 0.801196, 0.795597", \
+                            "0.872124, 0.879989, 0.88187, 0.862939, 0.851697, 0.84931, 0.843777", \
+                            "0.961554, 0.968847, 0.970706, 0.951808, 0.940555, 0.938179, 0.932646", \
+                            "1.04015, 1.04801, 1.04986, 1.03097, 1.01972, 1.0174, 1.01181", \
+                            "1.11564, 1.12273, 1.12457, 1.10013, 1.09777, 1.09216, 1.08651" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.830291, 0.824835, 0.796521, 0.752928, 0.726154, 0.721325, 0.728321", \
+                            "0.83644, 0.830599, 0.802285, 0.758681, 0.731907, 0.727067, 0.734074", \
+                            "0.862598, 0.856922, 0.828608, 0.785015, 0.758241, 0.753401, 0.760408", \
+                            "0.910888, 0.905124, 0.876799, 0.833195, 0.806421, 0.801581, 0.808588", \
+                            "0.999493, 0.993806, 0.965679, 0.922064, 0.89529, 0.89045, 0.897457", \
+                            "1.07878, 1.07313, 1.04482, 1.00122, 0.974446, 0.969628, 0.976624", \
+                            "1.15347, 1.14852, 1.11953, 1.07593, 1.04916, 1.04432, 1.05133" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0178039;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.78331, 0.785543, 0.812493, 0.838816, 0.840587, 0.817839, 0.759132", \
+                            "0.78309, 0.789052, 0.815232, 0.840015, 0.843216, 0.81455, 0.757449", \
+                            "0.770814, 0.776688, 0.800525, 0.82896, 0.830236, 0.800811, 0.749694", \
+                            "0.759275, 0.769197, 0.789976, 0.814693, 0.819929, 0.791714, 0.73766", \
+                            "0.737451, 0.74536, 0.767932, 0.792022, 0.796323, 0.771166, 0.714197", \
+                            "0.720401, 0.726671, 0.752895, 0.777711, 0.78045, 0.753258, 0.691779", \
+                            "0.729333, 0.736175, 0.763532, 0.789283, 0.788172, 0.767052, 0.710468" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.104867, 0.102032, 0.138553, 0.227009, 0.369853, 0.550132, 0.772519", \
+                            "0.106707, 0.104456, 0.137601, 0.225294, 0.368082, 0.550099, 0.772838", \
+                            "0.098813, 0.0920689, 0.126606, 0.213378, 0.355971, 0.53845, 0.760562", \
+                            "0.0858385, 0.0830819, 0.116661, 0.203371, 0.347842, 0.528121, 0.750442", \
+                            "0.0608597, 0.0617991, 0.0939356, 0.180651, 0.325017, 0.505714, 0.727826", \
+                            "0.044748, 0.042834, 0.0777282, 0.161837, 0.30833, 0.488686, 0.711557", \
+                            "0.053416, 0.053691, 0.0871211, 0.173946, 0.316657, 0.498971, 0.723206" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "0.660451, 0.657063, 0.625416, 0.60456, 0.599357, 0.622281, 0.681505", \
+                            "0.660957, 0.649693, 0.627605, 0.60214, 0.601887, 0.623612, 0.683518", \
+                            "0.667975, 0.66242, 0.637747, 0.612216, 0.609312, 0.630311, 0.690789", \
+                            "0.682616, 0.673794, 0.648109, 0.622853, 0.620664, 0.640893, 0.703934", \
+                            "0.703076, 0.693638, 0.671066, 0.646085, 0.64526, 0.664257, 0.724636", \
+                            "0.719136, 0.711821, 0.689546, 0.66297, 0.661606, 0.685124, 0.740146", \
+                            "0.710303, 0.699116, 0.678007, 0.651695, 0.650903, 0.672793, 0.727188" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          index_2 ("0.02, 0.06956, 0.2435, 0.5757, 1.093, 1.819, 2.775");
+                          values  ( \
+                            "1.01388, 1.01649, 0.9801, 0.893893, 0.750761, 0.568689, 0.349261", \
+                            "1.01438, 1.01438, 0.981948, 0.89496, 0.751201, 0.569173, 0.349756", \
+                            "1.02104, 1.02452, 0.990737, 0.903969, 0.760782, 0.578754, 0.359337", \
+                            "1.03205, 1.03417, 1.00189, 0.914375, 0.771364, 0.589336, 0.369919", \
+                            "1.05818, 1.05631, 1.02493, 0.938157, 0.79497, 0.612942, 0.393525", \
+                            "1.07266, 1.07568, 1.04248, 0.955515, 0.812328, 0.6303, 0.410905", \
+                            "1.06322, 1.06275, 1.03053, 0.943195, 0.799997, 0.61798, 0.398464" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.000140526;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_1v62.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_1v62.lib
new file mode 100755
index 0000000..135ab75
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_1v62.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_1v62) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 1.62;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 10.6;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.62);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_1p62v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 1.62;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_1p62v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.03;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+			  index_2 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "32.2344, 32.3484, 32.8068, 33.5496, 34.7016, 36.2184, 38.3064", \
+                            "32.2848, 32.4192, 32.9124, 33.6192, 34.8, 36.3768, 38.502", \
+                            "32.5248, 32.6316, 33.0648, 33.8448, 34.986, 36.5796, 38.682", \
+                            "32.9508, 33.0552, 33.5148, 34.2324, 35.3868, 37.0392, 39.0948", \
+                            "33.6108, 33.8064, 34.1424, 34.8996, 36.0816, 37.7196, 39.774", \
+                            "34.4124, 34.4964, 34.9308, 35.7252, 36.8616, 38.4636, 40.572", \
+                            "35.4084, 35.5464, 36.0384, 36.7464, 37.9212, 39.4776, 41.586" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+  	                     "0.884292, 1.06388, 1.7394, 2.95692, 4.88208, 7.67892, 11.3596" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "34.7448, 34.8216, 35.1624, 35.526, 36.06, 36.5772, 37.416", \
+                            "34.8048, 34.9296, 35.2776, 35.64, 36.1956, 36.7872, 37.644", \
+                            "35.0508, 35.1336, 35.4312, 35.8344, 36.3864, 36.99, 37.7808", \
+                            "35.466, 35.5476, 35.868, 36.2328, 36.774, 37.4628, 38.22", \
+                            "36.1284, 36.2976, 36.48, 36.8892, 37.452, 38.1552, 38.892", \
+                            "36.9096, 36.9648, 37.2672, 37.7448, 38.2476, 38.8956, 39.66", \
+                            "37.908, 38.0412, 38.3556, 38.736, 39.3072, 39.9156, 40.6608" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02835, 0.09278, 0.2158, 0.4075, 0.6764, 1.03");
+                          values  ( \
+                            "0.865476, 0.966876, 1.26732, 1.71984, 2.3862, 3.3366, 4.46712" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.293687;
+                  clock                : true;
+                  max_transition       : 10.6;
+                  min_pulse_width_high : 17.80485;
+                  min_pulse_width_low  : 20.34585;
+                  min_period           : 46.9428;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("72.2552, 72.2552, 72.2552, 72.2552, 72.2552, 72.2552, 72.2552");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("72.2552, 72.2552, 72.2552, 72.2552, 72.2552, 72.2552, 72.2552");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("1.3516e-05, 1.3516e-05, 1.3516e-05, 1.3516e-05, 1.3516e-05, 1.3516e-05, 1.3516e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("1.3516e-05, 1.3516e-05, 1.3516e-05, 1.3516e-05, 1.3516e-05, 1.3516e-05, 1.3516e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("67.2535, 67.2535, 67.2535, 67.2535, 67.2535, 67.2535, 67.2535");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                values  ("67.2535, 67.2535, 67.2535, 67.2535, 67.2535, 67.2535, 67.2535");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0187828;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.59062, 3.53529, 3.62582, 4.05724, 4.93361, 6.11116, 7.76578", \
+                            "3.51109, 3.48645, 3.56466, 3.99366, 4.8708, 6.10027, 7.68383", \
+                            "3.28284, 3.24269, 3.32948, 3.75859, 4.64167, 5.79667, 7.50552", \
+                            "2.87485, 2.8391, 2.93436, 3.34631, 4.23148, 5.39803, 7.09346", \
+                            "2.87782, 2.84042, 2.92666, 3.32981, 4.21498, 5.38813, 7.02955", \
+                            "2.87386, 2.84724, 2.92457, 3.33751, 4.2284, 5.39506, 7.06255", \
+                            "2.87441, 2.8292, 2.92325, 3.32838, 4.20101, 5.39781, 7.0818" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.37226, 2.42154, 2.63252, 3.07274, 3.8522, 4.97343, 6.46899", \
+                            "2.29559, 2.35796, 2.56696, 3.00927, 3.78884, 4.91183, 6.40541", \
+                            "2.0658, 2.12267, 2.33552, 2.7742, 3.55366, 4.67599, 6.16748", \
+                            "2.02543, 2.0713, 2.29196, 2.73768, 3.49756, 4.63419, 6.12227", \
+                            "2.02554, 2.08109, 2.28987, 2.73702, 3.5002, 4.6321, 6.12216", \
+                            "2.02455, 2.07064, 2.29108, 2.7357, 3.49921, 4.63276, 6.12128", \
+                            "2.02433, 2.0801, 2.28866, 2.73559, 3.49899, 4.6321, 6.12106" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "7.26253, 7.31654, 7.21292, 6.79844, 5.91305, 4.74892, 3.09419", \
+                            "7.33326, 7.36241, 7.27639, 6.8728, 5.97817, 4.76025, 3.17834", \
+                            "7.55216, 7.60078, 7.50409, 7.09764, 6.19828, 5.05582, 3.35665", \
+                            "7.97621, 7.99986, 7.92242, 7.50948, 6.60748, 5.45534, 3.76585", \
+                            "8.66195, 8.69935, 8.61322, 8.20996, 7.32479, 6.15164, 4.51022", \
+                            "9.65107, 9.67758, 9.60025, 9.18742, 8.29653, 7.12976, 5.46227", \
+                            "10.8217, 10.867, 10.773, 10.3678, 9.4952, 8.2984, 6.61441" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "8.11569, 8.05574, 7.84498, 7.40025, 6.63685, 5.50374, 4.01467", \
+                            "8.1906, 8.1213, 7.91241, 7.46559, 6.70208, 5.56743, 4.08023", \
+                            "8.41555, 8.34889, 8.13637, 7.69307, 6.92967, 5.79557, 4.3076", \
+                            "8.81353, 8.76755, 8.547, 8.10128, 7.3414, 6.20477, 4.71669", \
+                            "9.51423, 9.45868, 9.2499, 8.80275, 8.03957, 6.90767, 5.41761", \
+                            "10.5004, 10.4543, 10.2338, 9.78912, 9.02572, 7.89206, 6.40354", \
+                            "11.6721, 11.616, 11.4081, 10.9606, 10.1971, 9.06411, 7.57515" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0436795;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.78037, 3.85132, 4.07154, 4.50219, 5.18595, 6.09433, 7.23932", \
+                            "3.73098, 3.79346, 4.02182, 4.43916, 5.12479, 6.04912, 7.17178", \
+                            "3.49547, 3.57423, 3.8027, 4.22037, 4.91238, 5.81262, 6.95288", \
+                            "3.08121, 3.15678, 3.37348, 3.81876, 4.49504, 5.39506, 6.53532", \
+                            "2.37391, 2.44926, 2.67751, 3.11102, 3.77883, 4.68787, 5.8322", \
+                            "1.57025, 1.63229, 1.84976, 2.29383, 2.96945, 3.87079, 5.01006", \
+                            "0.601491, 0.677127, 0.905179, 1.32253, 2.0119, 2.92611, 4.05999" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "5.43697, 5.49637, 5.74519, 6.23931, 7.03505, 8.1554, 9.71014", \
+                            "5.37284, 5.41772, 5.64795, 6.1765, 6.97246, 8.0949, 9.64425", \
+                            "5.15372, 5.1986, 5.46876, 5.95793, 6.75334, 7.90603, 9.35858", \
+                            "4.73517, 4.77587, 5.05109, 5.54345, 6.32137, 7.49265, 9.01043", \
+                            "4.02831, 4.09354, 4.30364, 4.84242, 5.62804, 6.73497, 8.29983", \
+                            "3.21046, 3.25094, 3.52121, 4.01577, 4.81096, 5.97707, 7.41906", \
+                            "2.25599, 2.3045, 2.53143, 3.06042, 3.85572, 4.97992, 6.46118" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "6.43753, 6.38308, 6.17375, 5.71461, 5.05989, 4.29528, 3.39823", \
+                            "6.07607, 6.01117, 5.78842, 5.34776, 4.71581, 3.91402, 3.0261", \
+                            "5.44258, 5.38868, 5.16164, 4.72098, 4.0887, 3.3176, 2.3991", \
+                            "5.12149, 5.05648, 4.83494, 4.39549, 3.76684, 2.99552, 2.07713", \
+                            "5.59229, 5.5275, 5.31047, 4.8664, 4.21454, 3.46643, 2.55332", \
+                            "6.38847, 6.34007, 6.1424, 5.66918, 5.0402, 4.25282, 3.34466", \
+                            "7.30004, 7.24801, 7.02042, 6.57987, 5.92867, 5.12842, 4.26327" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.70007, 3.65046, 3.39042, 2.87056, 2.13411, 0.991595, 0", \
+                            "3.31892, 3.27745, 3.05085, 2.5036, 1.76583, 0.621335, 0", \
+                            "2.71348, 2.65034, 2.42319, 1.87561, 1.1394, 0, 0", \
+                            "2.3958, 2.35895, 2.10199, 1.55265, 0.827805, 0, 0", \
+                            "2.86209, 2.79939, 2.57103, 2.0196, 1.28774, 0.153319, 0", \
+                            "3.66619, 3.63176, 3.34719, 2.82799, 2.09176, 0.916333, 0", \
+                            "4.57171, 4.50835, 4.28241, 3.73483, 2.99816, 1.85053, 0.343541" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00722788;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.531366", \
+                            "0, 0, 0, 0, 0, 0.014091, 0.904563", \
+                            "0, 0, 0, 0, 0, 0.608201, 1.52878", \
+                            "0, 0, 0, 0, 0.145914, 0.939994, 1.84679", \
+                            "0, 0, 0, 0, 0, 0.460911, 1.38083", \
+                            "0, 0, 0, 0, 0, 0, 0.574596", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0.089034, 0.143796, 0.407143, 0.910184, 1.66287, 2.80478, 4.41771", \
+                            "0.465652, 0.514734, 0.741422, 1.27155, 2.02972, 3.17097, 4.75431", \
+                            "1.06799, 1.13899, 1.3662, 1.91224, 2.65419, 3.80369, 5.31179", \
+                            "1.3959, 1.43693, 1.69301, 2.23751, 2.97088, 4.14601, 5.73606", \
+                            "0.922383, 0.992365, 1.21913, 1.75846, 2.50668, 3.64111, 5.23127", \
+                            "0.126354, 0.163112, 0.449394, 0.958903, 1.70742, 2.88299, 4.35633", \
+                            "0, 0, 0, 0.040029, 0.795102, 1.93842, 3.45323" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "6.46998, 6.42587, 6.18222, 5.74992, 5.09916, 4.32949, 3.44025", \
+                            "6.10324, 6.05902, 5.8157, 5.38307, 4.73242, 3.97606, 3.0734", \
+                            "5.47613, 5.43202, 5.18936, 4.75596, 4.10542, 3.33564, 2.44629", \
+                            "5.15383, 5.10928, 4.86607, 4.43377, 3.78312, 3.01334, 2.1241", \
+                            "5.62485, 5.5792, 5.33665, 4.90479, 4.25414, 3.47688, 2.59435", \
+                            "6.42796, 6.38374, 6.1424, 5.70779, 5.05714, 4.28736, 3.39812", \
+                            "7.33469, 7.29047, 7.04583, 6.61452, 5.97113, 5.1942, 4.30485" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.73461, 3.65046, 3.40417, 2.88904, 2.15523, 1.00973, 0", \
+                            "3.34895, 3.29263, 3.06108, 2.52219, 1.78717, 0.64053, 0", \
+                            "2.7258, 2.66552, 2.43353, 1.89464, 1.16064, 0, 0", \
+                            "2.40339, 2.36874, 2.11211, 1.57091, 0.847473, 0, 0", \
+                            "2.87111, 2.80665, 2.58148, 2.03929, 1.30884, 0.169915, 0", \
+                            "3.67708, 3.64287, 3.36182, 2.84658, 2.1131, 0.92444, 0", \
+                            "4.58073, 4.51132, 4.29242, 3.7532, 3.01917, 1.86681, 0.363572" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0377823;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.49756, 3.45917, 3.56367, 4.01159, 4.85892, 6.07134, 7.7066", \
+                            "3.43981, 3.40065, 3.50581, 3.95373, 4.80106, 6.00677, 7.59517", \
+                            "3.58171, 3.60965, 3.66234, 4.10993, 4.9995, 6.22644, 7.79614", \
+                            "3.9171, 3.949, 3.99696, 4.44972, 5.31806, 6.51486, 8.09545", \
+                            "3.45323, 3.45587, 3.52055, 3.96418, 4.85386, 6.07508, 7.6494", \
+                            "2.66728, 2.66651, 2.72855, 3.17559, 4.05141, 5.2514, 6.8574", \
+                            "1.76682, 1.7501, 1.82006, 2.26391, 3.14017, 4.37767, 5.9444" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.74989, 2.79609, 3.00102, 3.48227, 4.30639, 5.42597, 6.94155", \
+                            "3.12103, 3.1614, 3.37887, 3.84736, 4.65014, 5.79117, 7.31786", \
+                            "3.76013, 3.78642, 3.99608, 4.46457, 5.29573, 6.42004, 7.93386", \
+                            "4.05097, 4.11763, 4.32872, 4.79512, 5.63002, 6.74971, 8.28113", \
+                            "3.5827, 3.64221, 3.84142, 4.32938, 5.14866, 6.28276, 7.79009", \
+                            "2.7995, 2.86231, 3.0624, 3.53661, 4.36359, 5.49692, 7.0015", \
+                            "1.90729, 1.91598, 2.15138, 2.61921, 3.443, 4.56555, 6.0863" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.36643, 2.39492, 2.30241, 1.83403, 0.994411, 0, 0", \
+                            "2.43947, 2.46862, 2.37556, 1.90718, 1.06761, 0, 0", \
+                            "2.6565, 2.68565, 2.59259, 2.12421, 1.2846, 0.145358, 0", \
+                            "3.04238, 3.07153, 2.97847, 2.51009, 1.67046, 0.531223, 0", \
+                            "3.77553, 3.80446, 3.71151, 3.24313, 2.4035, 1.24472, 0", \
+                            "4.7212, 4.75035, 4.65729, 4.18891, 3.34928, 2.21001, 0.79013", \
+                            "5.88148, 5.9092, 5.81702, 5.34809, 4.50769, 3.3693, 1.94942" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.98749, 2.93799, 2.70149, 2.23718, 1.57597, 0.760826, 0", \
+                            "3.06064, 3.01114, 2.77475, 2.31033, 1.64912, 0.834042, 0", \
+                            "3.27767, 3.22817, 2.99167, 2.52736, 1.86615, 1.05108, 0.019668", \
+                            "3.66355, 3.61405, 3.37755, 2.91324, 2.25203, 1.43693, 0.405537", \
+                            "4.39637, 4.34709, 4.11059, 3.64628, 2.98507, 2.16997, 1.13856", \
+                            "5.34237, 5.29287, 5.05637, 4.59206, 3.93085, 3.11575, 2.08439", \
+                            "6.50155, 6.45216, 6.21566, 5.75135, 5.09014, 4.27504, 3.24368" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.017259;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "3.47765, 3.52748, 3.74187, 4.16625, 4.70074, 5.36921, 6.05825", \
+                            "3.84087, 3.90104, 4.12412, 4.54113, 5.06308, 5.71615, 6.41949", \
+                            "4.47678, 4.52573, 4.74386, 5.16087, 5.69657, 6.34403, 7.06376", \
+                            "4.80447, 4.87399, 5.08266, 5.50033, 6.03042, 6.68734, 7.38221", \
+                            "4.33103, 4.37745, 4.61098, 5.02656, 5.5528, 6.20851, 6.90624", \
+                            "3.53364, 3.58358, 3.82184, 4.24864, 4.75156, 5.43862, 6.116", \
+                            "2.61888, 2.66893, 2.89234, 3.31188, 3.85891, 4.50098, 5.20993" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 1.11451, 2.57543", \
+                            "0, 0, 0, 0, 0.316789, 1.48104, 2.94195", \
+                            "0, 0, 0, 0.209305, 0.941358, 2.11365, 3.56785", \
+                            "0, 0, 0.023452, 0.539946, 1.27204, 2.44574, 3.89851", \
+                            "0, 0, 0, 0.063844, 0.795575, 1.96152, 3.42243", \
+                            "0, 0, 0, 0, 0.007601, 1.18127, 2.63582", \
+                            "0, 0, 0, 0, 0, 0.260214, 1.71435" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "2.98133, 2.92787, 2.70688, 2.28349, 1.74691, 1.10515, 0.397023", \
+                            "2.61657, 2.56333, 2.33662, 1.91312, 1.38204, 0.730994, 0.024937", \
+                            "1.99199, 1.94139, 1.71644, 1.29573, 0.759814, 0.114285, 0", \
+                            "1.66144, 1.60875, 1.38391, 0.960388, 0.429088, 0, 0", \
+                            "2.13939, 2.08769, 1.86076, 1.44023, 0.904376, 0.255639, 0", \
+                            "2.92336, 2.87012, 2.64418, 2.22101, 1.68883, 1.03786, 0.331089", \
+                            "3.84989, 3.79841, 3.57181, 3.14721, 2.61459, 1.96669, 1.2611" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          index_2 ("0.02, 0.2104, 0.8786, 2.155, 4.143, 6.932, 10.6");
+                          values  ( \
+                            "5.68106, 5.65972, 5.46579, 4.95352, 4.17142, 3.0261, 1.53824", \
+                            "5.31718, 5.291, 5.10136, 4.58909, 3.80699, 2.66167, 1.17381", \
+                            "4.6937, 4.66807, 4.47843, 3.96616, 3.18406, 2.04776, 0.55088", \
+                            "4.36227, 4.33719, 4.14689, 3.63462, 2.85252, 1.7072, 0.219383", \
+                            "4.8411, 4.81976, 4.62583, 4.11345, 3.33135, 2.18603, 0.698247", \
+                            "5.62276, 5.59834, 5.40815, 4.89588, 4.11378, 2.96846, 1.48071", \
+                            "6.55237, 6.53037, 6.33655, 5.82417, 5.04207, 3.89675, 2.409" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 1.3515984e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_3v00.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_3v00.lib
new file mode 100755
index 0000000..244c238
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_3v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_3v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 3.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 6.4830;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_3p0v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 3.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_3p0v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.1369;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+			  index_2 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "8.19192, 8.24244, 8.35752, 8.54736, 8.8368, 9.21576, 9.71268", \
+                            "8.214, 8.26464, 8.37732, 8.57964, 8.86392, 9.24576, 9.73608", \
+                            "8.27484, 8.31252, 8.4084, 8.60772, 8.88708, 9.2772, 9.7782", \
+                            "8.34828, 8.40444, 8.52636, 8.70468, 8.97588, 9.36468, 9.8682", \
+                            "8.4456, 8.48616, 8.6034, 8.79456, 9.0774, 9.46308, 9.96372", \
+                            "8.472, 8.51124, 8.63688, 8.82864, 9.10236, 9.50712, 10.00248", \
+                            "8.47716, 8.49708, 8.6316, 8.82672, 9.11196, 9.48156, 9.99492" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+  	                     "0.272244, 0.319476, 0.4878, 0.804408, 1.31472, 2.05596, 3.01488" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "8.55144, 8.5914, 8.70144, 8.86596, 9.07152, 9.32064, 9.64116", \
+                            "8.56176, 8.61396, 8.71524, 8.88996, 9.105, 9.35244, 9.65508", \
+                            "8.62872, 8.67624, 8.75808, 8.92332, 9.12384, 9.38448, 9.70356", \
+                            "8.703, 8.75148, 8.86416, 9.01968, 9.22008, 9.47172, 9.79812", \
+                            "8.79276, 8.84016, 8.93988, 9.10812, 9.31248, 9.56244, 9.8802", \
+                            "8.82744, 8.85636, 8.97468, 9.14124, 9.34092, 9.6048, 9.927", \
+                            "8.82912, 8.8548, 8.97984, 9.13932, 9.35172, 9.5886, 9.91488" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0303, 0.1014, 0.2373, 0.4490, 0.7460, 1.1369");
+                          values  ( \
+                            "0.258276, 0.307716, 0.416544, 0.609336, 0.881592, 1.27116, 1.76784" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.309097;
+                  clock                : true;
+                  max_transition       : 6.4830;
+                  min_pulse_width_high : 5.27664;
+                  min_pulse_width_low  : 4.023945;
+                  min_period           : 11.08806;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("273.045, 273.045, 273.045, 273.045, 273.045, 273.045, 273.045");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("273.045, 273.045, 273.045, 273.045, 273.045, 273.045, 273.045");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("4.6761e-05, 4.6761e-05, 4.6761e-05, 4.6761e-05, 4.6761e-05, 4.6761e-05, 4.6761e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("4.6761e-05, 4.6761e-05, 4.6761e-05, 4.6761e-05, 4.6761e-05, 4.6761e-05, 4.6761e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("249.09, 249.09, 249.09, 249.09, 249.09, 249.09, 249.09");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                values  ("249.09, 249.09, 249.09, 249.09, 249.09, 249.09, 249.09");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0189577;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.807818, 0.794464, 0.830709, 1.01055, 1.24419, 1.41548, 1.54803", \
+                            "0.785202, 0.770792, 0.807444, 0.987701, 1.22157, 1.40162, 1.53923", \
+                            "0.754094, 0.731731, 0.774928, 0.942799, 1.1329, 1.31681, 1.4366", \
+                            "0.752796, 0.73315, 0.772849, 0.941457, 1.1151, 1.23137, 1.33672", \
+                            "0.753027, 0.737561, 0.77121, 0.940918, 1.11536, 1.23067, 1.2825", \
+                            "0.751982, 0.736637, 0.772453, 0.933449, 1.11514, 1.22819, 1.28224", \
+                            "0.745173, 0.735262, 0.771221, 0.939576, 1.11436, 1.21503, 1.29266" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.657393, 0.672925, 0.76538, 0.960058, 1.22437, 1.51272, 1.80334", \
+                            "0.653818, 0.66891, 0.761354, 0.948981, 1.22044, 1.49963, 1.79399", \
+                            "0.64944, 0.664752, 0.757196, 0.950774, 1.21618, 1.49545, 1.78079", \
+                            "0.647845, 0.663388, 0.755854, 0.948123, 1.21483, 1.49413, 1.7886", \
+                            "0.647383, 0.666358, 0.755293, 0.947562, 1.21433, 1.49358, 1.78805", \
+                            "0.6468, 0.662607, 0.75504, 0.949696, 1.21405, 1.49336, 1.78783", \
+                            "0.646305, 0.661826, 0.754259, 0.941864, 1.21327, 1.49259, 1.78695" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.89717, 1.91015, 1.87649, 1.70863, 1.53494, 1.43319, 1.36697", \
+                            "1.92478, 1.93963, 1.90465, 1.73646, 1.56255, 1.44892, 1.38435", \
+                            "2.02004, 2.04237, 1.99925, 1.83139, 1.65748, 1.54121, 1.48973", \
+                            "2.1857, 2.20528, 2.16557, 1.99694, 1.82336, 1.70709, 1.6445", \
+                            "2.37314, 2.38854, 2.35488, 2.18515, 2.0108, 1.89541, 1.8436", \
+                            "2.51306, 2.52835, 2.4926, 2.33156, 2.14995, 2.03687, 1.98286", \
+                            "2.6147, 2.6246, 2.58863, 2.42022, 2.24543, 2.14478, 2.07834" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "2.00222, 1.9866, 1.8942, 1.6995, 1.43517, 1.15577, 0.861465", \
+                            "2.02928, 2.01421, 1.92181, 1.73415, 1.46267, 1.18352, 0.889141", \
+                            "2.12465, 2.10936, 2.01696, 1.82336, 1.55793, 1.27864, 0.993366", \
+                            "2.29064, 2.27502, 2.18262, 1.99034, 1.72359, 1.4443, 1.14987", \
+                            "2.47874, 2.45971, 2.37083, 2.17855, 1.9118, 1.63251, 1.33815", \
+                            "2.61822, 2.60249, 2.50998, 2.31539, 2.05095, 1.77166, 1.47719", \
+                            "2.71348, 2.69797, 2.60557, 2.41791, 2.14654, 1.86725, 1.57289" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0488608;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.02972, 1.0492, 1.13915, 1.30394, 1.50821, 1.68608, 1.83359", \
+                            "1.01017, 1.0298, 1.11966, 1.2845, 1.48874, 1.66023, 1.804", \
+                            "0.923076, 0.942381, 1.03241, 1.19727, 1.40151, 1.57124, 1.72689", \
+                            "0.76769, 0.787017, 0.877008, 1.04193, 1.24614, 1.41592, 1.56057", \
+                            "0.63107, 0.64471, 0.741598, 0.906202, 1.11072, 1.27818, 1.43605", \
+                            "0.529606, 0.549131, 0.638979, 0.804276, 1.00804, 1.18273, 1.33342", \
+                            "0.460284, 0.479996, 0.5698, 0.734712, 0.938971, 1.11681, 1.26432" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.16025, 1.18827, 1.29712, 1.51734, 1.83238, 2.19285, 2.58489", \
+                            "1.141, 1.16643, 1.27892, 1.49787, 1.8139, 2.17679, 2.56531", \
+                            "1.06021, 1.08246, 1.19068, 1.41097, 1.72568, 2.08626, 2.47984", \
+                            "0.898227, 0.924506, 1.03397, 1.25028, 1.57036, 1.93083, 2.32276", \
+                            "0.762828, 0.788975, 0.900955, 1.11609, 1.43506, 1.79883, 2.18966", \
+                            "0.661023, 0.685751, 0.798292, 1.01728, 1.33221, 1.69279, 2.08505", \
+                            "0.591745, 0.616429, 0.729157, 0.948079, 1.26309, 1.6236, 2.01564" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.42604, 1.40679, 1.31758, 1.18997, 1.07824, 0.994829, 0.960102", \
+                            "1.44441, 1.42527, 1.33595, 1.2083, 1.09657, 1.01936, 0.975458", \
+                            "1.48984, 1.47059, 1.38138, 1.25375, 1.14201, 1.0728, 1.02474", \
+                            "1.56629, 1.54704, 1.45794, 1.33023, 1.21856, 1.14931, 1.09694", \
+                            "1.63999, 1.62888, 1.53164, 1.40404, 1.29336, 1.22298, 1.17855", \
+                            "1.66034, 1.6412, 1.5521, 1.42439, 1.31373, 1.23686, 1.20043", \
+                            "1.65594, 1.63669, 1.54759, 1.41988, 1.30812, 1.22475, 1.19084" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.692098, 0.669658, 0.553289, 0.338228, 0.045265, 0, 0", \
+                            "0.71324, 0.686653, 0.571703, 0.356653, 0.061732, 0, 0", \
+                            "0.755117, 0.726825, 0.615956, 0.408023, 0.109092, 0, 0", \
+                            "0.832436, 0.806278, 0.693902, 0.48686, 0.185481, 0, 0", \
+                            "1.03463, 1.01066, 0.894839, 0.678469, 0.370513, 0.043263, 0", \
+                            "1.2111, 1.18771, 1.07234, 0.853534, 0.547976, 0.222751, 0", \
+                            "1.35157, 1.32858, 1.21283, 0.993982, 0.688479, 0.363264, 0.005918" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00791289;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0, 0, 0, 0.005423, 0.116811, 0.199744, 0.240404", \
+                            "0, 0, 0, 0, 0.0983653, 0.176869, 0.222171", \
+                            "0, 0, 0, 0, 0.054835, 0.130984, 0.178364", \
+                            "0, 0, 0, 0, 0, 0.055759, 0.103144", \
+                            "0, 0, 0, 0, 0, 0, 0.027797", \
+                            "0, 0, 0, 0, 0, 0, 0.010274", \
+                            "0, 0, 0, 0, 0, 0, 0.012265" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.466356, 0.486519, 0.605495, 0.817388, 1.11392, 1.45662, 1.85108", \
+                            "0.445731, 0.469018, 0.587268, 0.797313, 1.09693, 1.44111, 1.83458", \
+                            "0.404767, 0.430892, 0.544588, 0.751718, 1.0519, 1.39469, 1.79212", \
+                            "0.328658, 0.353716, 0.467907, 0.675114, 0.976745, 1.31945, 1.71611", \
+                            "0.257089, 0.277665, 0.39281, 0.60247, 0.898414, 1.24879, 1.639", \
+                            "0.236526, 0.261802, 0.374528, 0.586674, 0.88396, 1.22652, 1.62338", \
+                            "0.238687, 0.261748, 0.377652, 0.588555, 0.885742, 1.22856, 1.62426" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.42692, 1.41185, 1.32121, 1.18997, 1.08087, 1.00396, 0.960102", \
+                            "1.44529, 1.43022, 1.33958, 1.2083, 1.09924, 1.02233, 0.975458", \
+                            "1.48984, 1.47422, 1.38358, 1.25375, 1.14322, 1.0728, 1.02474", \
+                            "1.56728, 1.55221, 1.46157, 1.33023, 1.22122, 1.14931, 1.09698", \
+                            "1.64109, 1.62888, 1.53538, 1.40404, 1.29492, 1.22298, 1.17855", \
+                            "1.66034, 1.64516, 1.55463, 1.42439, 1.31417, 1.23729, 1.20043", \
+                            "1.65693, 1.64186, 1.55122, 1.41988, 1.31087, 1.23393, 1.19084" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.694397, 0.672628, 0.555346, 0.340923, 0.050655, 0, 0", \
+                            "0.714406, 0.686653, 0.57387, 0.359777, 0.064075, 0, 0", \
+                            "0.755117, 0.727551, 0.616627, 0.408958, 0.113076, 0, 0", \
+                            "0.834746, 0.80498, 0.695189, 0.48686, 0.190899, 0, 0", \
+                            "0.903936, 0.879681, 0.769659, 0.559086, 0.259935, 0, 0", \
+                            "0.926541, 0.901505, 0.788788, 0.574255, 0.283877, 0, 0", \
+                            "0.923153, 0.899778, 0.785444, 0.571032, 0.280627, 0, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0379571;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.04394, 1.03768, 1.07745, 1.25127, 1.48764, 1.68432, 1.83139", \
+                            "1.03036, 1.01962, 1.06311, 1.23621, 1.47345, 1.66155, 1.8128", \
+                            "0.97757, 0.975436, 1.01354, 1.19013, 1.42406, 1.62239, 1.76924", \
+                            "0.90992, 0.899855, 0.938828, 1.11431, 1.35069, 1.54704, 1.69389", \
+                            "0.827585, 0.821282, 0.864127, 1.03717, 1.27072, 1.47169, 1.61865", \
+                            "0.813758, 0.803473, 0.845482, 1.02283, 1.2605, 1.45145, 1.59709", \
+                            "0.816761, 0.811745, 0.847561, 1.02295, 1.2556, 1.45772, 1.60314" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.25059, 1.26626, 1.35971, 1.56508, 1.84514, 2.14027, 2.46796", \
+                            "1.23422, 1.2471, 1.34497, 1.54748, 1.82743, 2.12278, 2.44948", \
+                            "1.19024, 1.20369, 1.29833, 1.50249, 1.7809, 2.07823, 2.40416", \
+                            "1.11158, 1.1269, 1.22488, 1.42725, 1.70588, 2.00651, 2.32397", \
+                            "1.03434, 1.04983, 1.14896, 1.34937, 1.63669, 1.92885, 2.25082", \
+                            "1.02014, 1.03332, 1.13116, 1.33606, 1.61733, 1.91301, 2.23311", \
+                            "1.01979, 1.03506, 1.13186, 1.33595, 1.61766, 1.91345, 2.23487" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.843469, 0.855503, 0.80212, 0.715682, 0.647207, 0.563255, 0.490006", \
+                            "0.870133, 0.882464, 0.82907, 0.742599, 0.674157, 0.582945, 0.517506", \
+                            "0.954745, 0.966856, 0.913473, 0.827024, 0.758549, 0.674597, 0.601348", \
+                            "1.11512, 1.12716, 1.07378, 0.987327, 0.918852, 0.834834, 0.762201", \
+                            "1.31879, 1.33089, 1.2777, 1.19124, 1.12288, 1.03892, 0.96558", \
+                            "1.48214, 1.49435, 1.441, 1.35465, 1.2862, 1.20218, 1.12893", \
+                            "1.6038, 1.61579, 1.56244, 1.47598, 1.40756, 1.32352, 1.25032" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.913341, 0.896423, 0.808841, 0.718454, 0.637186, 0.567732, 0.523127", \
+                            "0.94028, 0.920854, 0.835791, 0.745371, 0.664136, 0.594682, 0.550088", \
+                            "1.02468, 1.00525, 0.920194, 0.829785, 0.748539, 0.679085, 0.634469", \
+                            "1.185, 1.16555, 1.0805, 0.990066, 0.908842, 0.839377, 0.794783", \
+                            "1.38864, 1.3695, 1.28443, 1.194, 1.11276, 1.04331, 0.998701", \
+                            "1.55232, 1.53285, 1.44782, 1.35729, 1.27612, 1.20666, 1.16206", \
+                            "1.67332, 1.65418, 1.56915, 1.47873, 1.39755, 1.32803, 1.28344" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0176212;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.02446, 1.04343, 1.11911, 1.16524, 1.18207, 1.13642, 1.0484", \
+                            "1.00375, 1.02785, 1.09194, 1.15256, 1.16117, 1.11976, 1.02588", \
+                            "0.962181, 0.97691, 1.05328, 1.11201, 1.12263, 1.07181, 0.988537", \
+                            "0.888481, 0.908611, 0.978164, 1.02936, 1.04273, 0.992772, 0.918698", \
+                            "0.810898, 0.833129, 0.901945, 0.956197, 0.971586, 0.920788, 0.84183", \
+                            "0.790339, 0.815738, 0.883168, 0.938608, 0.957495, 0.906323, 0.822404", \
+                            "0.798886, 0.813439, 0.886611, 0.941446, 0.955856, 0.909843, 0.819269" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.181959, 0.192034, 0.301043, 0.517363, 0.799216, 1.12741, 1.51217", \
+                            "0.16355, 0.174132, 0.283215, 0.499521, 0.781385, 1.10958, 1.49534", \
+                            "0.121794, 0.129472, 0.238575, 0.451352, 0.736736, 1.06494, 1.44969", \
+                            "0.045331, 0.055044, 0.164144, 0.380457, 0.662167, 0.990517, 1.37489", \
+                            "0, 0, 0.087076, 0.303384, 0.584045, 0.913451, 1.29822", \
+                            "0, 0, 0.068123, 0.286699, 0.568568, 0.89661, 1.28149", \
+                            "0, 0, 0.071093, 0.287409, 0.569261, 0.897457, 1.28219" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "0.596409, 0.574189, 0.497992, 0.447337, 0.434049, 0.47388, 0.557194", \
+                            "0.617826, 0.596332, 0.523842, 0.465586, 0.456335, 0.495308, 0.579964", \
+                            "0.658691, 0.6369, 0.560802, 0.507265, 0.497541, 0.539627, 0.617001", \
+                            "0.733337, 0.714736, 0.638319, 0.588401, 0.575113, 0.617606, 0.695255", \
+                            "0.810458, 0.790152, 0.716045, 0.664763, 0.648648, 0.693495, 0.774235", \
+                            "0.827222, 0.807961, 0.733183, 0.681791, 0.664521, 0.706497, 0.790746", \
+                            "0.823625, 0.807884, 0.732039, 0.678876, 0.664521, 0.709478, 0.793254" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          index_2 ("0.0200, 0.1363, 0.5445, 1.3241, 2.5384, 4.2420, 6.4830");
+                          values  ( \
+                            "1.07077, 1.06319, 0.956791, 0.738122, 0.458601, 0.131718, 0", \
+                            "1.09368, 1.08539, 0.978978, 0.760331, 0.480788, 0.153911, 0", \
+                            "1.13435, 1.12674, 1.02033, 0.801669, 0.522137, 0.195252, 0", \
+                            "1.21186, 1.20427, 1.09784, 0.879186, 0.599665, 0.272777, 0", \
+                            "1.28791, 1.28025, 1.17383, 0.955152, 0.675818, 0.348755, 0", \
+                            "1.3057, 1.29734, 1.19096, 0.972279, 0.692769, 0.365882, 0", \
+                            "1.30482, 1.29624, 1.18982, 0.971168, 0.691636, 0.364749, 0" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 4.6761e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_4v50.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_4v50.lib
new file mode 100755
index 0000000..af26763
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_4v50.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__ss_n40C_4v50) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : -40;
+        nom_voltage             : 4.5;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 2.008;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 4.5);
+         voltage_map (VSS, 0.00);
+         operating_conditions(ss_4p5v_m40C) {
+                process       : 1;
+                temperature   : -40;
+                voltage       : 4.5;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : ss_4p5v_m40C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.253;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+			  index_2 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "5.29632, 5.31924, 5.39748, 5.52564, 5.71416, 5.97972, 6.33048", \
+                            "5.29392, 5.32356, 5.4024, 5.53404, 5.72028, 5.98008, 6.33792", \
+                            "5.31048, 5.33844, 5.41056, 5.544, 5.7324, 5.99112, 6.34572", \
+                            "5.32128, 5.3454, 5.42436, 5.55072, 5.74188, 6.00984, 6.35892", \
+                            "5.33688, 5.36616, 5.44476, 5.57388, 5.7678, 6.02952, 6.37728", \
+                            "5.3598, 5.38812, 5.46372, 5.59152, 5.7858, 6.0468, 6.39516", \
+                            "5.36124, 5.38248, 5.46348, 5.5908, 5.78076, 6.04464, 6.39612" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+  	                     "0.175704, 0.214728, 0.335064, 0.562584, 0.922164, 1.44444, 2.1276" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "5.48088, 5.50368, 5.5848, 5.70144, 5.8566, 6.05592, 6.31728", \
+                            "5.4774, 5.5032, 5.58552, 5.70708, 5.85912, 6.06036, 6.32616", \
+                            "5.4894, 5.52084, 5.59428, 5.71572, 5.87376, 6.06948, 6.33468", \
+                            "5.50068, 5.53188, 5.60748, 5.72592, 5.88912, 6.09156, 6.3486", \
+                            "5.52288, 5.55276, 5.63244, 5.74956, 5.9118, 6.10872, 6.36504", \
+                            "5.54268, 5.57196, 5.64816, 5.76924, 5.92848, 6.12432, 6.38436", \
+                            "5.544, 5.56308, 5.64732, 5.76072, 5.919, 6.11964, 6.38376" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.03235, 0.1108, 0.2606, 0.4941, 0.8216, 1.253");
+                          values  ( \
+                            "0.179784, 0.209136, 0.294384, 0.44736, 0.670092, 0.982056, 1.39416" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.31542;
+                  clock                : true;
+                  max_transition       : 2.008;
+                  min_pulse_width_high : 3.269625;
+                  min_pulse_width_low  : 2.723025;
+                  min_period           : 7.109355;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("670.77, 670.77, 670.77, 670.77, 670.77, 670.77, 670.77");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("670.77, 670.77, 670.77, 670.77, 670.77, 670.77, 670.77");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("0.000105602, 0.000105602, 0.000105602, 0.000105602, 0.000105602, 0.000105602, 0.000105602");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("0.000105602, 0.000105602, 0.000105602, 0.000105602, 0.000105602, 0.000105602, 0.000105602");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("603.675, 603.675, 603.675, 603.675, 603.675, 603.675, 603.675");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                values  ("603.675, 603.675, 603.675, 603.675, 603.675, 603.675, 603.675");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0189435;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.513986, 0.504658, 0.49819, 0.515042, 0.558349, 0.610951, 0.660099", \
+                            "0.510818, 0.503019, 0.495198, 0.511577, 0.554884, 0.606991, 0.656931", \
+                            "0.507111, 0.498652, 0.491667, 0.507529, 0.552101, 0.587532, 0.638561", \
+                            "0.506363, 0.497475, 0.490248, 0.505208, 0.5511, 0.588841, 0.623986", \
+                            "0.504977, 0.498949, 0.490182, 0.505989, 0.549879, 0.587675, 0.623436", \
+                            "0.504845, 0.496375, 0.489181, 0.504053, 0.549824, 0.587587, 0.622776", \
+                            "0.504592, 0.497739, 0.488752, 0.504702, 0.548658, 0.58476, 0.622237" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.457259, 0.460724, 0.47674, 0.515504, 0.584617, 0.669196, 0.759594", \
+                            "0.45474, 0.456852, 0.472989, 0.511907, 0.580844, 0.665742, 0.757537", \
+                            "0.451286, 0.453519, 0.469513, 0.508552, 0.57772, 0.662255, 0.752686", \
+                            "0.450142, 0.452551, 0.468611, 0.507551, 0.577247, 0.661221, 0.751663", \
+                            "0.447469, 0.452309, 0.466928, 0.508585, 0.576675, 0.660715, 0.752444", \
+                            "0.449207, 0.451484, 0.467335, 0.506605, 0.577412, 0.66, 0.75042", \
+                            "0.448624, 0.45089, 0.467148, 0.506143, 0.575509, 0.659494, 0.751091" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.23086, 1.24018, 1.24665, 1.2298, 1.18649, 1.14793, 1.11381", \
+                            "1.23599, 1.24379, 1.25161, 1.23523, 1.19193, 1.15337, 1.11836", \
+                            "1.25545, 1.26391, 1.27094, 1.25503, 1.21046, 1.17503, 1.13756", \
+                            "1.29272, 1.30163, 1.30889, 1.29393, 1.24799, 1.21025, 1.1751", \
+                            "1.35641, 1.36246, 1.37115, 1.35542, 1.31153, 1.27369, 1.23793", \
+                            "1.40525, 1.41372, 1.42087, 1.40602, 1.36026, 1.32242, 1.28733", \
+                            "1.45343, 1.46036, 1.46927, 1.45332, 1.40943, 1.37335, 1.33584" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "1.28755, 1.28414, 1.26808, 1.22934, 1.16023, 1.07565, 0.985248", \
+                            "1.29206, 1.28997, 1.2738, 1.2349, 1.16597, 1.08107, 0.989274", \
+                            "1.31131, 1.309, 1.29305, 1.25402, 1.18484, 1.10031, 1.00989", \
+                            "1.34893, 1.34651, 1.33045, 1.29151, 1.22185, 1.13787, 1.04743", \
+                            "1.41394, 1.4091, 1.39447, 1.35278, 1.28469, 1.20065, 1.10892", \
+                            "1.4608, 1.4586, 1.44276, 1.40349, 1.33265, 1.25006, 1.15964", \
+                            "1.50942, 1.50722, 1.49094, 1.45189, 1.38259, 1.29855, 1.20699" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0510011;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.676192, 0.68046, 0.698863, 0.738903, 0.807598, 0.891484, 0.968847", \
+                            "0.670615, 0.674652, 0.69311, 0.732798, 0.801361, 0.885236, 0.963391", \
+                            "0.651145, 0.655358, 0.674355, 0.714076, 0.782331, 0.866162, 0.945516", \
+                            "0.614372, 0.618035, 0.635877, 0.676654, 0.745437, 0.829026, 0.907698", \
+                            "0.548196, 0.552398, 0.571428, 0.610709, 0.679525, 0.763576, 0.841731", \
+                            "0.493526, 0.497761, 0.516274, 0.556567, 0.624932, 0.708917, 0.787083", \
+                            "0.43175, 0.43582, 0.454828, 0.494384, 0.562936, 0.646657, 0.725142" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.719048, 0.723756, 0.750453, 0.805871, 0.895213, 1.01575, 1.14771", \
+                            "0.713196, 0.717673, 0.744183, 0.797467, 0.889438, 1.009, 1.14318", \
+                            "0.694419, 0.698797, 0.72545, 0.780901, 0.870221, 0.990594, 1.1237", \
+                            "0.656447, 0.661309, 0.688127, 0.743424, 0.833437, 0.953117, 1.08624", \
+                            "0.591206, 0.595859, 0.622479, 0.675917, 0.767712, 0.887634, 1.02121", \
+                            "0.536371, 0.5412, 0.568007, 0.622798, 0.71258, 0.833008, 0.966097", \
+                            "0.474386, 0.479226, 0.505956, 0.560879, 0.651409, 0.771034, 0.904618" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.984093, 0.981464, 0.961631, 0.922834, 0.873532, 0.828322, 0.794585", \
+                            "0.989219, 0.987096, 0.966757, 0.927498, 0.879021, 0.833679, 0.797742", \
+                            "0.997095, 0.994444, 0.9746, 0.935572, 0.887161, 0.841511, 0.805574", \
+                            "1.01146, 1.00834, 0.988482, 0.949465, 0.900394, 0.855404, 0.819467", \
+                            "1.03157, 1.02869, 1.00895, 0.970321, 0.921679, 0.876018, 0.840081", \
+                            "1.04361, 1.04099, 1.02113, 0.982168, 0.933471, 0.888063, 0.852137", \
+                            "1.04751, 1.04444, 1.02507, 0.986249, 0.93764, 0.891979, 0.856042" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.518177, 0.514074, 0.483362, 0.428197, 0.34023, 0.23223, 0.100898", \
+                            "0.523226, 0.516736, 0.488521, 0.433191, 0.345433, 0.238213, 0.104776", \
+                            "0.530728, 0.526713, 0.497101, 0.441133, 0.353606, 0.244368, 0.113589", \
+                            "0.543257, 0.54054, 0.510235, 0.455037, 0.364771, 0.258247, 0.127525", \
+                            "0.583231, 0.57816, 0.552827, 0.498124, 0.403865, 0.290763, 0.16863", \
+                            "0.662574, 0.656964, 0.631477, 0.575476, 0.482317, 0.369666, 0.247629", \
+                            "0.748484, 0.742885, 0.717508, 0.661397, 0.56859, 0.45562, 0.333355" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00819589;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.004499, 0.040832", \
+                            "0, 0, 0, 0, 0, 0, 0.034309", \
+                            "0, 0, 0, 0, 0, 0, 0.02695", \
+                            "0, 0, 0, 0, 0, 0, 0.012837", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.293106, 0.295999, 0.326403, 0.381271, 0.468655, 0.577885, 0.710149", \
+                            "0.286528, 0.291863, 0.320617, 0.375716, 0.462638, 0.570801, 0.703153", \
+                            "0.279389, 0.282469, 0.312763, 0.367884, 0.456236, 0.564762, 0.695277", \
+                            "0.26588, 0.268032, 0.298771, 0.354629, 0.444224, 0.54956, 0.680438", \
+                            "0.245136, 0.248752, 0.278322, 0.333058, 0.42471, 0.53097, 0.662123", \
+                            "0.233261, 0.236653, 0.266737, 0.321508, 0.409684, 0.517627, 0.648054", \
+                            "0.230608, 0.232777, 0.263369, 0.318901, 0.40898, 0.514965, 0.646129" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.986656, 0.981684, 0.9636, 0.925507, 0.873532, 0.829268, 0.794585", \
+                            "0.991749, 0.987096, 0.968682, 0.930589, 0.879021, 0.83435, 0.797742", \
+                            "0.999592, 0.99462, 0.976525, 0.938443, 0.887161, 0.842193, 0.805574", \
+                            "1.0135, 1.00851, 0.990429, 0.952336, 0.900394, 0.856097, 0.819467", \
+                            "1.03404, 1.02906, 1.01098, 0.972884, 0.921679, 0.876645, 0.840081", \
+                            "1.04618, 1.04119, 1.02311, 0.985017, 0.933471, 0.888767, 0.852137", \
+                            "1.05006, 1.04508, 1.02699, 0.9889, 0.93764, 0.892661, 0.856042" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.522533, 0.514074, 0.485001, 0.428197, 0.34023, 0.23223, 0.100898", \
+                            "0.523457, 0.516736, 0.490127, 0.433191, 0.345433, 0.238213, 0.105256", \
+                            "0.5313, 0.526713, 0.497992, 0.441133, 0.353606, 0.244368, 0.113589", \
+                            "0.543642, 0.54054, 0.511863, 0.455037, 0.365178, 0.258247, 0.127525", \
+                            "0.568546, 0.561572, 0.532466, 0.475849, 0.384923, 0.278575, 0.148782", \
+                            "0.577665, 0.573111, 0.544555, 0.487531, 0.400345, 0.290653, 0.160112", \
+                            "0.580195, 0.576708, 0.548372, 0.491447, 0.40161, 0.296252, 0.164158" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.037943;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.6391, 0.635767, 0.628727, 0.64449, 0.695629, 0.758516, 0.815023", \
+                            "0.633094, 0.629398, 0.62216, 0.640915, 0.69124, 0.753181, 0.808148", \
+                            "0.622468, 0.620961, 0.61358, 0.632687, 0.68387, 0.74283, 0.79728", \
+                            "0.613316, 0.607211, 0.596013, 0.616715, 0.667513, 0.731192, 0.782078", \
+                            "0.592119, 0.585321, 0.57827, 0.598994, 0.649704, 0.711876, 0.767173", \
+                            "0.579722, 0.574134, 0.56793, 0.585695, 0.636075, 0.698544, 0.751443", \
+                            "0.576851, 0.568898, 0.564487, 0.583605, 0.631026, 0.691031, 0.74789" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.84447, 0.847792, 0.864017, 0.906741, 0.978747, 1.06931, 1.17623", \
+                            "0.834702, 0.840686, 0.85778, 0.900647, 0.972334, 1.06431, 1.16975", \
+                            "0.828795, 0.83413, 0.851719, 0.892771, 0.964733, 1.05553, 1.16245", \
+                            "0.81576, 0.81972, 0.836385, 0.879659, 0.949146, 1.04259, 1.14858", \
+                            "0.796961, 0.800305, 0.817927, 0.859067, 0.930039, 1.02246, 1.12916", \
+                            "0.782804, 0.787974, 0.805123, 0.846802, 0.917642, 1.01044, 1.11628", \
+                            "0.780054, 0.783717, 0.80014, 0.841929, 0.914056, 1.00567, 1.11191" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.618761, 0.623832, 0.627682, 0.622028, 0.621731, 0.619872, 0.617958", \
+                            "0.623161, 0.6281, 0.632005, 0.626406, 0.626109, 0.62425, 0.622336", \
+                            "0.644094, 0.649077, 0.652982, 0.647372, 0.647075, 0.645227, 0.643313", \
+                            "0.683782, 0.688809, 0.692714, 0.687104, 0.686818, 0.684959, 0.683056", \
+                            "0.74184, 0.746867, 0.750772, 0.745162, 0.744876, 0.743017, 0.741103", \
+                            "0.813219, 0.818587, 0.822899, 0.81455, 0.817003, 0.815144, 0.813241", \
+                            "0.879868, 0.885203, 0.889086, 0.880759, 0.88319, 0.881331, 0.879428" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.642103, 0.638528, 0.621291, 0.592625, 0.582934, 0.583803, 0.592713", \
+                            "0.646481, 0.642895, 0.625669, 0.597003, 0.587312, 0.588192, 0.597091", \
+                            "0.667524, 0.663883, 0.646074, 0.61798, 0.608289, 0.609169, 0.618068", \
+                            "0.707256, 0.703615, 0.686367, 0.657712, 0.648021, 0.648901, 0.6578", \
+                            "0.76538, 0.761662, 0.743842, 0.71577, 0.706079, 0.706948, 0.715308", \
+                            "0.83743, 0.8338, 0.815991, 0.787897, 0.778217, 0.779086, 0.787985", \
+                            "0.903562, 0.899987, 0.882761, 0.854095, 0.844404, 0.845273, 0.854172" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0177682;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.600622, 0.606474, 0.623766, 0.644688, 0.65131, 0.641443, 0.61512", \
+                            "0.594319, 0.596772, 0.617089, 0.639463, 0.647328, 0.635646, 0.607497", \
+                            "0.586806, 0.592218, 0.608795, 0.627022, 0.635811, 0.622567, 0.598697", \
+                            "0.570713, 0.57893, 0.596299, 0.615835, 0.623843, 0.614097, 0.583572", \
+                            "0.551617, 0.557128, 0.577368, 0.596024, 0.603119, 0.592757, 0.564839", \
+                            "0.539286, 0.546425, 0.562639, 0.583352, 0.589666, 0.580228, 0.552431", \
+                            "0.53647, 0.542817, 0.560593, 0.580327, 0.587642, 0.572011, 0.550528" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.123269, 0.121989, 0.144582, 0.208949, 0.302346, 0.430694, 0.582758", \
+                            "0.11654, 0.117391, 0.138156, 0.202542, 0.2959, 0.424369, 0.576257", \
+                            "0.10933, 0.109747, 0.13092, 0.196985, 0.288233, 0.417395, 0.568667", \
+                            "0.0957924, 0.0955053, 0.116328, 0.182911, 0.27511, 0.403491, 0.55462", \
+                            "0.0762432, 0.0750299, 0.0966163, 0.160065, 0.255327, 0.382899, 0.534875", \
+                            "0.062898, 0.0636328, 0.084392, 0.148851, 0.242224, 0.369523, 0.522555", \
+                            "0.0593846, 0.0596277, 0.0807554, 0.144813, 0.238212, 0.3663, 0.518595" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.508574, 0.505285, 0.487674, 0.464453, 0.459668, 0.470657, 0.497442", \
+                            "0.516263, 0.512622, 0.495143, 0.470899, 0.464475, 0.47542, 0.504218", \
+                            "0.522302, 0.517286, 0.502755, 0.480513, 0.472934, 0.486112, 0.512292", \
+                            "0.538626, 0.530805, 0.5137, 0.491205, 0.48609, 0.49665, 0.526944", \
+                            "0.558525, 0.552904, 0.535381, 0.509454, 0.506517, 0.516549, 0.543653", \
+                            "0.56903, 0.562683, 0.548152, 0.525932, 0.519574, 0.529364, 0.559251", \
+                            "0.573221, 0.56727, 0.551991, 0.528968, 0.520498, 0.534666, 0.559603" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          index_2 ("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008");
+                          values  ( \
+                            "0.743149, 0.742555, 0.721776, 0.656953, 0.560934, 0.436018, 0.287485", \
+                            "0.749683, 0.748913, 0.728123, 0.663289, 0.56727, 0.442365, 0.293832", \
+                            "0.757284, 0.757009, 0.735977, 0.669416, 0.575146, 0.450219, 0.301686", \
+                            "0.770033, 0.770385, 0.749045, 0.682781, 0.588511, 0.463595, 0.315062", \
+                            "0.789404, 0.789481, 0.76868, 0.703857, 0.607838, 0.482922, 0.334389", \
+                            "0.803308, 0.802758, 0.781737, 0.716903, 0.620895, 0.496562, 0.347468", \
+                            "0.806058, 0.805662, 0.784597, 0.719807, 0.623788, 0.498872, 0.350416" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.0001056015;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_1v80.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_1v80.lib
new file mode 100755
index 0000000..5be7bfd
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_1v80.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_1v80) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 1.8;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 4.617;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 1.8);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_1p8v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 1.8;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_1p8v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 0.9747;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+			  index_2 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "15.2892, 15.3864, 15.5844, 15.9036, 16.4544, 17.0808, 17.988", \
+                            "15.3288, 15.3876, 15.6144, 15.9564, 16.4364, 17.1432, 18.006", \
+                            "15.3996, 15.4668, 15.6516, 16.0116, 16.5288, 17.232, 18.0852", \
+                            "15.4776, 15.5472, 15.7344, 16.0752, 16.6092, 17.2836, 18.216", \
+                            "15.636, 15.7104, 15.9648, 16.2948, 16.7556, 17.4888, 18.3792", \
+                            "15.8448, 15.9228, 16.1256, 16.452, 16.992, 17.6568, 18.5604", \
+                            "16.0992, 16.176, 16.3776, 16.686, 17.2152, 17.9064, 18.8148" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+  	                     "0.501348, 0.588912, 0.879672, 1.43688, 2.34972, 3.63756, 5.35032" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "16.1808, 16.2636, 16.4232, 16.638, 16.9908, 17.2752, 17.7192", \
+                            "16.2168, 16.2672, 16.452, 16.6848, 16.9776, 17.3544, 17.7276", \
+                            "16.2888, 16.356, 16.5072, 16.758, 17.0724, 17.4144, 17.8224", \
+                            "16.3668, 16.4244, 16.5924, 16.83, 17.1396, 17.4852, 17.9556", \
+                            "16.53, 16.5984, 16.8, 17.028, 17.2944, 17.676, 18.0948", \
+                            "16.722, 16.818, 16.9848, 17.2032, 17.544, 17.856, 18.2868", \
+                            "16.992, 17.0496, 17.214, 17.43, 17.7516, 18.1008, 18.5328" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02735, 0.08826, 0.2046, 0.3858, 0.6401, 0.9747");
+                          values  ( \
+                            "0.501756, 0.557112, 0.741972, 0.98592, 1.3518, 1.88208, 2.5596" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.280919;
+                  clock                : true;
+                  max_transition       : 4.617;
+                  min_pulse_width_high : 5.59182;
+                  min_pulse_width_low  : 9.346725;
+                  min_period           : 21.43875;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("92.043, 92.043, 92.043, 92.043, 92.043, 92.043, 92.043");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("92.043, 92.043, 92.043, 92.043, 92.043, 92.043, 92.043");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("1.69949e-05, 1.69949e-05, 1.69949e-05, 1.69949e-05, 1.69949e-05, 1.69949e-05, 1.69949e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("1.69949e-05, 1.69949e-05, 1.69949e-05, 1.69949e-05, 1.69949e-05, 1.69949e-05, 1.69949e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("84.3399, 84.3399, 84.3399, 84.3399, 84.3399, 84.3399, 84.3399");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                values  ("84.3399, 84.3399, 84.3399, 84.3399, 84.3399, 84.3399, 84.3399");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0177614;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.56453, 1.53395, 1.52757, 1.67464, 1.92819, 2.3793, 2.88244", \
+                            "1.54495, 1.51899, 1.51338, 1.65451, 1.90465, 2.33398, 2.8699", \
+                            "1.45299, 1.44441, 1.46564, 1.58565, 1.83634, 2.26567, 2.80159", \
+                            "1.32396, 1.30898, 1.30052, 1.44056, 1.70621, 2.13697, 2.64407", \
+                            "1.3, 1.27498, 1.27621, 1.41603, 1.6742, 2.06767, 2.54859", \
+                            "1.29856, 1.28175, 1.29049, 1.41284, 1.67827, 2.06459, 2.57598", \
+                            "1.28942, 1.27794, 1.27675, 1.41163, 1.67805, 2.09264, 2.55376" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.15313, 1.13939, 1.24235, 1.38776, 1.68256, 2.06195, 2.57191", \
+                            "1.1309, 1.11819, 1.22103, 1.36642, 1.66122, 2.0405, 2.55057", \
+                            "1.08078, 1.08013, 1.17107, 1.32583, 1.60908, 1.99177, 2.49139", \
+                            "1.07952, 1.09242, 1.17061, 1.32528, 1.60864, 1.99133, 2.49084", \
+                            "1.07878, 1.09139, 1.16948, 1.32418, 1.60754, 1.99012, 2.48974", \
+                            "1.07855, 1.07868, 1.16977, 1.32451, 1.6082, 1.99045, 2.49007", \
+                            "1.0782, 1.07782, 1.1689, 1.32352, 1.60699, 1.98957, 2.48919" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "3.82987, 3.85363, 3.8599, 3.71833, 3.45136, 3.03446, 2.56399", \
+                            "3.85341, 3.87101, 3.87816, 3.73692, 3.47897, 3.08572, 2.58522", \
+                            "3.93602, 3.94933, 3.93382, 3.80809, 3.5497, 3.15634, 2.65584", \
+                            "4.07099, 4.08386, 4.09178, 3.95604, 3.69754, 3.28108, 2.81281", \
+                            "4.32234, 4.34742, 4.34621, 4.2064, 3.94812, 3.55465, 3.07373", \
+                            "4.63254, 4.64926, 4.64057, 4.51825, 4.25282, 3.8665, 3.355", \
+                            "5.00874, 5.02018, 5.02139, 4.88653, 4.62011, 4.20552, 3.74451" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "4.04932, 4.04987, 3.95868, 3.80402, 3.52066, 3.13797, 2.63835", \
+                            "4.0755, 4.07506, 3.98398, 3.82921, 3.54585, 3.16338, 2.66354", \
+                            "4.14491, 4.14557, 4.0546, 3.89983, 3.61658, 3.23389, 2.73427", \
+                            "4.28835, 4.27548, 4.19727, 4.04261, 3.75925, 3.37656, 2.87705", \
+                            "4.54355, 4.53101, 4.45291, 4.29825, 4.01478, 3.6322, 3.13258", \
+                            "4.85254, 4.85232, 4.76124, 4.60658, 4.32289, 3.94053, 3.44102", \
+                            "5.21994, 5.22038, 5.1293, 4.97464, 4.69117, 4.30859, 3.80897" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0444991;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.88485, 1.90212, 1.98297, 2.12608, 2.35444, 2.64429, 2.9656", \
+                            "1.86373, 1.88694, 1.96031, 2.10738, 2.33343, 2.61723, 2.94503", \
+                            "1.8018, 1.81313, 1.89365, 2.0394, 2.26545, 2.54936, 2.87727", \
+                            "1.65858, 1.67145, 1.74889, 1.89233, 2.12388, 2.41175, 2.73471", \
+                            "1.42087, 1.43814, 1.51481, 1.66419, 1.89035, 2.17448, 2.50228", \
+                            "1.20485, 1.22816, 1.30252, 1.44386, 1.672, 1.96603, 2.28723", \
+                            "0.979253, 1.00363, 1.07916, 1.21774, 1.44771, 1.74053, 2.06096" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.41692, 2.43364, 2.52329, 2.70424, 2.98507, 3.36413, 3.89224", \
+                            "2.3958, 2.41274, 2.50228, 2.68323, 2.96945, 3.33861, 3.87299", \
+                            "2.32793, 2.34465, 2.43441, 2.61536, 2.89377, 3.27503, 3.80501", \
+                            "2.18603, 2.20297, 2.29262, 2.47357, 2.76001, 3.13346, 3.66355", \
+                            "1.95338, 1.96966, 2.05953, 2.24048, 2.5212, 2.90004, 3.43013", \
+                            "1.74108, 1.75384, 1.84349, 2.02422, 2.27645, 2.68411, 3.212", \
+                            "1.5015, 1.52834, 1.61821, 1.79894, 2.07988, 2.45883, 2.98881" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.56113, 2.55288, 2.46235, 2.33244, 2.12619, 1.91972, 1.73547", \
+                            "2.51933, 2.50349, 2.42011, 2.28646, 2.07999, 1.86538, 1.68806", \
+                            "2.4684, 2.46048, 2.36885, 2.23597, 2.0295, 1.81478, 1.63746", \
+                            "2.50943, 2.5014, 2.41175, 2.27689, 2.07042, 1.85185, 1.67915", \
+                            "2.64418, 2.63604, 2.54463, 2.41153, 2.20517, 1.99034, 1.81313", \
+                            "2.82711, 2.8116, 2.73119, 2.59259, 2.39184, 2.17888, 1.9954", \
+                            "3.01224, 2.99673, 2.91335, 2.77981, 2.57686, 2.35444, 2.1813" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.26049, 1.24588, 1.1519, 0.984489, 0.694067, 0.316765, 0", \
+                            "1.22682, 1.20256, 1.10966, 0.942777, 0.652135, 0.280684, 0", \
+                            "1.17624, 1.15158, 1.05686, 0.89045, 0.603724, 0.22546, 0", \
+                            "1.21598, 1.19249, 1.09985, 0.93291, 0.64251, 0.265199, 0", \
+                            "1.35168, 1.32737, 1.23353, 1.0663, 0.777524, 0.401324, 0", \
+                            "1.53318, 1.51019, 1.41735, 1.2507, 0.990946, 0.583, 0.085591", \
+                            "1.71325, 1.69719, 1.60061, 1.43583, 1.14543, 0.768119, 0.269784" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00715847;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0.089045, 0.262931", \
+                            "0, 0, 0, 0, 0, 0.118061, 0.300218", \
+                            "0, 0, 0, 0, 0, 0.17046, 0.352605", \
+                            "0, 0, 0, 0, 0, 0.128631, 0.311594", \
+                            "0, 0, 0, 0, 0, 0, 0.177448", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0.718696, 0.73601, 0.832106, 0.995456, 1.28772, 1.66628, 2.16821", \
+                            "0.753247, 0.778767, 0.872586, 1.0407, 1.32869, 1.70137, 2.20407", \
+                            "0.804199, 0.830599, 0.932151, 1.09162, 1.37841, 1.7589, 2.25643", \
+                            "0.761728, 0.782914, 0.883322, 1.0438, 1.33694, 1.71127, 2.20902", \
+                            "0.631169, 0.655479, 0.751432, 0.916465, 1.20508, 1.5829, 2.079", \
+                            "0.448228, 0.471922, 0.565565, 0.734635, 0.99341, 1.39557, 1.89552", \
+                            "0.267148, 0.281961, 0.384263, 0.544918, 0.83237, 1.20678, 1.70962" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.57202, 2.55288, 2.47489, 2.33255, 2.1285, 1.936, 1.74031", \
+                            "2.52934, 2.50866, 2.43232, 2.28987, 2.08582, 1.89332, 1.69763", \
+                            "2.47874, 2.46048, 2.38172, 2.23927, 2.03522, 1.84272, 1.64703", \
+                            "2.51988, 2.5014, 2.42264, 2.28019, 2.07614, 1.88364, 1.68795", \
+                            "2.65419, 2.63604, 2.55706, 2.41472, 2.21067, 2.01817, 1.82237", \
+                            "2.83745, 2.81677, 2.74043, 2.59798, 2.39393, 2.20044, 2.00574", \
+                            "3.02258, 3.0019, 2.92545, 2.78311, 2.57906, 2.38656, 2.19087" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.26086, 1.24634, 1.15191, 0.984489, 0.694067, 0.316765, 0", \
+                            "1.22682, 1.20256, 1.10966, 0.942777, 0.652135, 0.280873, 0", \
+                            "1.17624, 1.15158, 1.05686, 0.89045, 0.603724, 0.22546, 0", \
+                            "1.21598, 1.19249, 1.09985, 0.93291, 0.64251, 0.265199, 0", \
+                            "1.35168, 1.32737, 1.23378, 1.0663, 0.777524, 0.401324, 0", \
+                            "1.53395, 1.51019, 1.41735, 1.2507, 0.990946, 0.583, 0.085591", \
+                            "1.71325, 1.69719, 1.60061, 1.43583, 1.14543, 0.768119, 0.269784" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0345814;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.81104, 1.78607, 1.80004, 1.92731, 2.2088, 2.63307, 3.14534", \
+                            "1.84602, 1.8315, 1.83249, 1.96482, 2.24862, 2.67179, 3.19143", \
+                            "1.90069, 1.87968, 1.89453, 2.01619, 2.29845, 2.72844, 3.23796", \
+                            "1.85823, 1.83777, 1.84129, 1.97285, 2.25797, 2.67773, 3.19847", \
+                            "1.71171, 1.71578, 1.72238, 1.8535, 2.12377, 2.54782, 3.04678", \
+                            "1.54451, 1.52889, 1.53252, 1.66177, 1.93974, 2.36632, 2.86242", \
+                            "1.35817, 1.33892, 1.33694, 1.47213, 1.75142, 2.17756, 2.69544" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.92412, 1.93028, 2.01443, 2.16634, 2.45454, 2.85989, 3.37106", \
+                            "1.93083, 1.96009, 2.05348, 2.20473, 2.48006, 2.89795, 3.40758", \
+                            "1.98517, 2.01179, 2.10837, 2.25555, 2.54958, 2.94976, 3.46489", \
+                            "1.95382, 1.97593, 2.06195, 2.21364, 2.50503, 2.90774, 3.4177", \
+                            "1.83183, 1.84085, 1.93149, 2.07944, 2.37259, 2.77563, 3.28482", \
+                            "1.63306, 1.66551, 1.74856, 1.90773, 2.20352, 2.59545, 3.10552", \
+                            "1.46069, 1.47114, 1.55727, 1.71446, 1.98836, 2.40779, 2.92061" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.27603, 1.28736, 1.2736, 1.1275, 0.857032, 0.523347, 0.142211", \
+                            "1.30172, 1.31318, 1.29901, 1.15366, 0.882959, 0.549483, 0.168022", \
+                            "1.36631, 1.37764, 1.36389, 1.2181, 0.947397, 0.613921, 0.232458", \
+                            "1.51085, 1.52141, 1.50821, 1.36279, 1.09206, 0.758571, 0.377113", \
+                            "1.74317, 1.7545, 1.7413, 1.595, 1.32429, 0.990803, 0.608058", \
+                            "2.04556, 2.05689, 2.04314, 1.89805, 1.63207, 1.29348, 0.9108", \
+                            "2.35774, 2.36929, 2.35499, 2.20968, 1.93952, 1.60556, 1.22408" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.48555, 1.46949, 1.38985, 1.23405, 1.04507, 0.827508, 0.59862", \
+                            "1.5114, 1.49523, 1.4157, 1.25981, 1.07086, 0.853303, 0.624426", \
+                            "1.57542, 1.55969, 1.48005, 1.32121, 1.13528, 0.91773, 0.688864", \
+                            "1.72051, 1.70434, 1.6247, 1.46916, 1.27996, 1.06239, 0.833514", \
+                            "1.95228, 1.93666, 1.85702, 1.70115, 1.51217, 1.29463, 1.06576", \
+                            "2.25511, 2.23905, 2.15941, 2.00354, 1.81456, 1.59698, 1.36818", \
+                            "2.56795, 2.55134, 2.4717, 2.31594, 2.12696, 1.90938, 1.68047" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0161834;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "1.84096, 1.87627, 1.94656, 2.07405, 2.19373, 2.27447, 2.40031", \
+                            "1.89134, 1.90993, 1.98484, 2.10628, 2.23883, 2.2968, 2.41098", \
+                            "1.94458, 1.96779, 2.03368, 2.16227, 2.29141, 2.37369, 2.50206", \
+                            "1.89387, 1.92104, 1.9833, 2.11871, 2.25049, 2.32518, 2.4442", \
+                            "1.76836, 1.79212, 1.86659, 1.98968, 2.11695, 2.19043, 2.32111", \
+                            "1.57696, 1.60655, 1.67783, 1.81236, 1.94502, 2.00871, 2.12289", \
+                            "1.39172, 1.41581, 1.49017, 1.61766, 1.75109, 1.82963, 1.93677" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0.024453, 0.029799, 0.08932, 0.266974, 0.539803, 0.926068, 1.40261", \
+                            "0.063184, 0.06534, 0.127321, 0.305254, 0.578105, 0.964601, 1.44089", \
+                            "0.114748, 0.117723, 0.179174, 0.356873, 0.629651, 1.01616, 1.485", \
+                            "0.072127, 0.07557, 0.137031, 0.314678, 0.587532, 0.973775, 1.4498", \
+                            "0, 0, 0.004708, 0.182636, 0.455422, 0.841676, 1.31821", \
+                            "0, 0, 0, 0.002233, 0.276152, 0.661573, 1.13737", \
+                            "0, 0, 0, 0, 0.085063, 0.47157, 0.947485" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "0.945186, 0.923109, 0.853941, 0.728079, 0.596805, 0.525228, 0.402622", \
+                            "0.908908, 0.88231, 0.812592, 0.680526, 0.563079, 0.500335, 0.367961", \
+                            "0.857285, 0.835142, 0.765985, 0.640167, 0.510697, 0.427911, 0.296078", \
+                            "0.906917, 0.877877, 0.807345, 0.683628, 0.553091, 0.470558, 0.351142", \
+                            "1.02777, 1.0056, 0.928554, 0.808852, 0.679228, 0.597993, 0.472648", \
+                            "1.21602, 1.19394, 1.12462, 0.992068, 0.869198, 0.798655, 0.669064", \
+                            "1.40547, 1.37896, 1.30974, 1.18393, 1.05411, 0.971652, 0.850729" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          index_2 ("0.02, 0.1027, 0.3929, 0.9472, 1.811, 3.022, 4.617");
+                          values  ( \
+                            "2.08857, 2.08791, 2.02114, 1.84514, 1.57223, 1.18832, 0.719763", \
+                            "2.04776, 2.04897, 1.98022, 1.80433, 1.53142, 1.1475, 0.678953", \
+                            "2.00068, 2.002, 1.93314, 1.75725, 1.48434, 1.10041, 0.631873", \
+                            "2.04336, 2.04468, 1.97582, 1.79993, 1.52702, 1.14303, 0.674487", \
+                            "2.17096, 2.17217, 2.10353, 1.92753, 1.65473, 1.27072, 0.802186", \
+                            "2.35873, 2.36082, 2.29185, 2.11596, 1.84305, 1.45904, 0.99055", \
+                            "2.54441, 2.54595, 2.47698, 2.30098, 2.02807, 1.64417, 1.17561" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 1.699488e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_3v30.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_3v30.lib
new file mode 100755
index 0000000..4e90786
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_3v30.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_3v30) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 3.3;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 3.1779;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 3.3);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_3p3v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 3.3;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_3p3v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.0423;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+			  index_2 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "6.16956, 6.18804, 6.27888, 6.41724, 6.61992, 6.90432, 7.27656", \
+                            "6.17076, 6.2004, 6.29028, 6.423, 6.63972, 6.91248, 7.28292", \
+                            "6.19656, 6.22272, 6.30996, 6.45372, 6.64764, 6.93924, 7.30764", \
+                            "6.22188, 6.23988, 6.31788, 6.47232, 6.6642, 6.9468, 7.32876", \
+                            "6.23436, 6.26004, 6.3426, 6.50304, 6.69912, 6.98832, 7.36212", \
+                            "6.25008, 6.28044, 6.36492, 6.49752, 6.70728, 6.98232, 7.36344", \
+                            "6.2394, 6.25392, 6.34296, 6.48648, 6.69684, 6.97716, 7.33824" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+  	                     "0.22902, 0.270516, 0.401616, 0.64218, 1.04041, 1.59612, 2.33484" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "6.417, 6.4374, 6.51828, 6.63612, 6.78768, 6.97464, 7.21308", \
+                            "6.41688, 6.4446, 6.52248, 6.63756, 6.80724, 6.98352, 7.22328", \
+                            "6.44436, 6.4686, 6.55056, 6.66816, 6.81504, 7.00884, 7.24092", \
+                            "6.46212, 6.49428, 6.55728, 6.68844, 6.82464, 7.01856, 7.27044", \
+                            "6.48288, 6.50532, 6.5826, 6.71832, 6.86484, 7.06092, 7.29912", \
+                            "6.49488, 6.52704, 6.60504, 6.717, 6.87468, 7.0572, 7.2966", \
+                            "6.48864, 6.50112, 6.579, 6.7026, 6.8622, 7.04676, 7.27248" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.0100, 0.0286, 0.0937, 0.2182, 0.4121, 0.6842, 1.0423");
+                          values  ( \
+                            "0.225528, 0.256824, 0.346536, 0.484368, 0.692532, 0.981636, 1.35192" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.291776;
+                  clock                : true;
+                  max_transition       : 3.1779;
+                  min_pulse_width_high : 2.693535;
+                  min_pulse_width_low  : 3.027885;
+                  min_period           : 8.26017;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("342.144, 342.144, 342.144, 342.144, 342.144, 342.144, 342.144");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("342.144, 342.144, 342.144, 342.144, 342.144, 342.144, 342.144");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("5.74068e-05, 5.74068e-05, 5.74068e-05, 5.74068e-05, 5.74068e-05, 5.74068e-05, 5.74068e-05");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("5.74068e-05, 5.74068e-05, 5.74068e-05, 5.74068e-05, 5.74068e-05, 5.74068e-05, 5.74068e-05");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("310.2, 310.2, 310.2, 310.2, 310.2, 310.2, 310.2");
+              }
+              fall_power(power_template) {
+                index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                values  ("310.2, 310.2, 310.2, 310.2, 310.2, 310.2, 310.2");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0177783;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.615582, 0.603482, 0.605308, 0.659263, 0.734778, 0.788898, 0.83402", \
+                            "0.606804, 0.595078, 0.594726, 0.642697, 0.723932, 0.778008, 0.823174", \
+                            "0.586443, 0.575806, 0.57706, 0.622204, 0.69421, 0.747494, 0.793353", \
+                            "0.587026, 0.575872, 0.575938, 0.621764, 0.672903, 0.697455, 0.740894", \
+                            "0.586113, 0.575487, 0.575619, 0.621434, 0.672573, 0.698357, 0.720082", \
+                            "0.585046, 0.574695, 0.574926, 0.621192, 0.676643, 0.696872, 0.719829", \
+                            "0.584771, 0.575091, 0.575795, 0.620389, 0.67639, 0.7238, 0.759968" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.54076, 0.549219, 0.583187, 0.665863, 0.788414, 0.926805, 1.07881", \
+                            "0.540639, 0.548119, 0.582109, 0.661958, 0.78727, 0.925672, 1.07769", \
+                            "0.540023, 0.546953, 0.580789, 0.66352, 0.784894, 0.924451, 1.07648", \
+                            "0.537966, 0.546425, 0.580393, 0.660308, 0.785532, 0.924022, 1.07603", \
+                            "0.538593, 0.546095, 0.580063, 0.66275, 0.78529, 0.923692, 1.07567", \
+                            "0.537471, 0.545523, 0.579843, 0.662541, 0.785059, 0.92345, 1.07548", \
+                            "0.538153, 0.545644, 0.579601, 0.662266, 0.784861, 0.923208, 1.07522" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.59214, 1.60336, 1.6027, 1.55749, 1.50425, 1.48115, 1.45882", \
+                            "1.6005, 1.61161, 1.6115, 1.5719, 1.51195, 1.48896, 1.46652", \
+                            "1.63438, 1.64505, 1.64373, 1.59863, 1.54539, 1.52295, 1.50007", \
+                            "1.70808, 1.7193, 1.71919, 1.67332, 1.62228, 1.59764, 1.57476", \
+                            "1.80114, 1.81181, 1.8117, 1.76583, 1.71468, 1.68894, 1.66716", \
+                            "1.88034, 1.89068, 1.89046, 1.84415, 1.78871, 1.76847, 1.74559", \
+                            "1.92753, 1.93721, 1.93644, 1.89189, 1.8359, 1.81566, 1.80521" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.64131, 1.63284, 1.59885, 1.51613, 1.39359, 1.25522, 1.10321", \
+                            "1.64802, 1.64054, 1.60655, 1.52669, 1.4014, 1.26295, 1.11093", \
+                            "1.6808, 1.67387, 1.6401, 1.55727, 1.43594, 1.29635, 1.14435", \
+                            "1.75714, 1.74867, 1.71468, 1.63482, 1.50964, 1.37115, 1.21911", \
+                            "1.84866, 1.84118, 1.80719, 1.72458, 1.60204, 1.46355, 1.31164", \
+                            "1.92786, 1.91983, 1.88551, 1.80279, 1.68036, 1.54198, 1.38985", \
+                            "1.97406, 1.96658, 1.9327, 1.84998, 1.72744, 1.58906, 1.43704" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0481872;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.824824, 0.834053, 0.86845, 0.936298, 1.03161, 1.12426, 1.20478", \
+                            "0.815166, 0.824637, 0.858066, 0.927344, 1.02243, 1.11507, 1.1955", \
+                            "0.784003, 0.793353, 0.827101, 0.896093, 0.991529, 1.08308, 1.16426", \
+                            "0.713801, 0.723877, 0.756965, 0.825979, 0.921888, 1.01372, 1.09412", \
+                            "0.640134, 0.650067, 0.683287, 0.752312, 0.848485, 0.938047, 1.02069", \
+                            "0.585376, 0.594429, 0.626164, 0.69718, 0.792869, 0.884895, 0.965349", \
+                            "0.54868, 0.557194, 0.591778, 0.660473, 0.756305, 0.848826, 0.922273" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.919215, 0.925672, 0.973709, 1.06767, 1.21681, 1.40107, 1.60644", \
+                            "0.907654, 0.917169, 0.964535, 1.05962, 1.20759, 1.39337, 1.59907", \
+                            "0.878911, 0.885852, 0.933207, 1.02718, 1.17428, 1.36213, 1.56783", \
+                            "0.806795, 0.815133, 0.863137, 0.957308, 1.10623, 1.29201, 1.4971", \
+                            "0.734074, 0.741708, 0.789778, 0.883685, 1.03281, 1.21711, 1.42373", \
+                            "0.68002, 0.68871, 0.734316, 0.828465, 0.977416, 1.16201, 1.36895", \
+                            "0.641069, 0.64988, 0.697939, 0.791879, 0.939114, 1.12681, 1.33067" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.12762, 1.11986, 1.08614, 1.02475, 0.980155, 0.93588, 0.920205", \
+                            "1.13486, 1.12746, 1.09377, 1.03228, 0.987767, 0.943514, 0.92785", \
+                            "1.15155, 1.14404, 1.11034, 1.04895, 1.00418, 0.962291, 0.944405", \
+                            "1.17062, 1.16322, 1.12954, 1.06818, 1.01394, 0.981508, 0.963622", \
+                            "1.1935, 1.18598, 1.15229, 1.09088, 1.03666, 1.00243, 0.986359", \
+                            "1.20341, 1.19589, 1.16234, 1.10079, 1.05717, 1.01412, 0.996237", \
+                            "1.18718, 1.17928, 1.1462, 1.08482, 1.04121, 0.998151, 0.981376" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.57816, 0.572627, 0.52217, 0.42724, 0.287537, 0.10919, 0", \
+                            "0.585992, 0.581713, 0.529782, 0.435083, 0.295178, 0.116593, 0", \
+                            "0.606584, 0.598235, 0.546293, 0.451407, 0.314534, 0.133129, 0", \
+                            "0.622105, 0.615978, 0.565488, 0.471449, 0.330748, 0.152276, 0", \
+                            "0.662343, 0.652663, 0.607816, 0.510477, 0.360327, 0.188722, 0", \
+                            "0.752411, 0.743391, 0.699248, 0.601843, 0.451682, 0.279781, 0.0846428", \
+                            "0.830027, 0.820325, 0.775456, 0.678062, 0.530222, 0.354827, 0.160879" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00764901;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0, 0, 0, 0, 0.005907, 0.044704, 0.05995", \
+                            "0, 0, 0, 0, 0, 0.036982, 0.051788", \
+                            "0, 0, 0, 0, 0, 0.017853, 0.034177", \
+                            "0, 0, 0, 0, 0, 0, 0.014069", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.388608, 0.396902, 0.447073, 0.540661, 0.681604, 0.860827, 1.05669", \
+                            "0.379478, 0.386507, 0.438977, 0.532543, 0.674608, 0.853072, 1.05541", \
+                            "0.359447, 0.368126, 0.421399, 0.516164, 0.653972, 0.83545, 1.03761", \
+                            "0.341297, 0.350141, 0.401269, 0.495154, 0.636394, 0.815155, 1.01364", \
+                            "0.322212, 0.329912, 0.38104, 0.475662, 0.616209, 0.794948, 0.992838", \
+                            "0.309155, 0.315557, 0.368203, 0.462286, 0.603801, 0.782188, 0.984456", \
+                            "0.323829, 0.332024, 0.383097, 0.477928, 0.616517, 0.796807, 0.993036" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "1.12762, 1.11986, 1.08725, 1.02605, 0.980155, 0.93588, 0.920205", \
+                            "1.13486, 1.12746, 1.09491, 1.03369, 0.987767, 0.943514, 0.92785", \
+                            "1.15155, 1.14404, 1.11141, 1.0502, 1.00418, 0.962291, 0.944405", \
+                            "1.17062, 1.16322, 1.13064, 1.06942, 1.01812, 0.981508, 0.963622", \
+                            "1.1935, 1.18598, 1.15344, 1.09222, 1.04092, 1.00243, 0.986359", \
+                            "1.20341, 1.19589, 1.16323, 1.10202, 1.05717, 1.01412, 0.996237", \
+                            "1.18718, 1.17928, 1.14729, 1.08608, 1.04121, 0.998151, 0.981376" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.578864, 0.572627, 0.52217, 0.427504, 0.287537, 0.10919, 0", \
+                            "0.585992, 0.581713, 0.529782, 0.43538, 0.295178, 0.116593, 0", \
+                            "0.607013, 0.598235, 0.546293, 0.45166, 0.314534, 0.133129, 0", \
+                            "0.622842, 0.615978, 0.565488, 0.471768, 0.330748, 0.152276, 0", \
+                            "0.6457, 0.638814, 0.588324, 0.49368, 0.353518, 0.175335, 0", \
+                            "0.65417, 0.649627, 0.598136, 0.504218, 0.363561, 0.184975, 0", \
+                            "0.638187, 0.632654, 0.58223, 0.487597, 0.349547, 0.168978, 0" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0345983;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.793144, 0.783948, 0.786731, 0.836385, 0.914133, 0.959211, 1.01685", \
+                            "0.784278, 0.776875, 0.780065, 0.828916, 0.907797, 0.955581, 1.00598", \
+                            "0.768735, 0.759341, 0.762487, 0.809446, 0.889988, 0.945142, 0.988614", \
+                            "0.748605, 0.739321, 0.743578, 0.790933, 0.871343, 0.924671, 0.968847", \
+                            "0.726803, 0.718113, 0.723074, 0.770407, 0.850564, 0.893607, 0.947485", \
+                            "0.714758, 0.707047, 0.710083, 0.760474, 0.837749, 0.893332, 0.934989", \
+                            "0.728706, 0.720621, 0.724757, 0.774103, 0.852797, 0.904486, 0.954602" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.95733, 0.963534, 0.996743, 1.08019, 1.21057, 1.35751, 1.51679", \
+                            "0.950444, 0.953876, 0.991155, 1.07315, 1.2011, 1.35025, 1.51789", \
+                            "0.932844, 0.935506, 0.972862, 1.05687, 1.18217, 1.33276, 1.49985", \
+                            "0.913385, 0.917983, 0.953755, 1.0343, 1.16496, 1.31307, 1.4795", \
+                            "0.891759, 0.898007, 0.932657, 1.01628, 1.1441, 1.28975, 1.46278", \
+                            "0.877844, 0.884191, 0.921316, 1.0032, 1.13418, 1.28641, 1.44837", \
+                            "0.893233, 0.899987, 0.934505, 1.01638, 1.14474, 1.29437, 1.45354" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.680779, 0.687863, 0.677963, 0.659571, 0.64999, 0.645535, 0.632511", \
+                            "0.693704, 0.700601, 0.690734, 0.670098, 0.662761, 0.658306, 0.645293", \
+                            "0.727529, 0.73436, 0.724471, 0.706068, 0.696432, 0.692021, 0.679019", \
+                            "0.791615, 0.798435, 0.788568, 0.770165, 0.760584, 0.756118, 0.743116", \
+                            "0.885951, 0.89287, 0.883487, 0.864578, 0.854997, 0.850553, 0.837529", \
+                            "0.953304, 0.960311, 0.951291, 0.932052, 0.922405, 0.917994, 0.904992", \
+                            "1.01115, 1.01811, 1.00824, 0.989835, 0.980199, 0.975788, 0.962786" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.719543, 0.71104, 0.673662, 0.633237, 0.604692, 0.596849, 0.602965", \
+                            "0.732325, 0.724174, 0.686433, 0.646008, 0.62117, 0.60962, 0.615736", \
+                            "0.76604, 0.7579, 0.72017, 0.679745, 0.652311, 0.643929, 0.649473", \
+                            "0.830093, 0.821997, 0.784267, 0.743842, 0.719015, 0.707454, 0.71357", \
+                            "0.92455, 0.916025, 0.87868, 0.838244, 0.813406, 0.801856, 0.807972", \
+                            "0.991969, 0.983873, 0.946143, 0.905718, 0.880891, 0.86933, 0.875446", \
+                            "1.04982, 1.04167, 1.00394, 0.963512, 0.934967, 0.927124, 0.93324" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0164468;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.722513, 0.733436, 0.75658, 0.777205, 0.778657, 0.741862, 0.667579", \
+                            "0.716958, 0.720203, 0.751476, 0.770055, 0.770473, 0.737946, 0.661716", \
+                            "0.699677, 0.700007, 0.734382, 0.75339, 0.751113, 0.720346, 0.645788", \
+                            "0.681439, 0.685949, 0.716276, 0.731995, 0.730697, 0.700414, 0.625251", \
+                            "0.659802, 0.666831, 0.69333, 0.714428, 0.711656, 0.676797, 0.605561", \
+                            "0.644655, 0.647669, 0.684651, 0.701481, 0.701349, 0.665676, 0.593164", \
+                            "0.658812, 0.666556, 0.697774, 0.711689, 0.712954, 0.678821, 0.606639" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.164921, 0.163773, 0.209283, 0.313093, 0.464948, 0.65219, 0.882046", \
+                            "0.156597, 0.159922, 0.202361, 0.306163, 0.458007, 0.645645, 0.874808", \
+                            "0.140339, 0.142965, 0.184732, 0.288599, 0.440451, 0.628672, 0.858605", \
+                            "0.121617, 0.125183, 0.164703, 0.267367, 0.42042, 0.607662, 0.839168", \
+                            "0.100359, 0.104277, 0.143246, 0.247669, 0.39952, 0.58784, 0.818356", \
+                            "0.0867493, 0.0928741, 0.132404, 0.235077, 0.388146, 0.576235, 0.806432", \
+                            "0.100955, 0.106499, 0.145966, 0.249831, 0.401698, 0.589787, 0.820028" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.542663, 0.528715, 0.500885, 0.485441, 0.485045, 0.521092, 0.592086", \
+                            "0.54054, 0.537317, 0.506605, 0.490831, 0.48884, 0.523347, 0.596508", \
+                            "0.565378, 0.556578, 0.527582, 0.510829, 0.510752, 0.542597, 0.61578", \
+                            "0.578919, 0.575872, 0.545083, 0.52899, 0.528726, 0.562529, 0.636988", \
+                            "0.601964, 0.596651, 0.567875, 0.548801, 0.549175, 0.585871, 0.65329", \
+                            "0.620136, 0.608311, 0.57959, 0.556094, 0.560791, 0.593406, 0.666809", \
+                            "0.604516, 0.593065, 0.564476, 0.546854, 0.546458, 0.577192, 0.653532" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          index_2 ("0.0200, 0.0768, 0.2762, 0.6570, 1.2503, 2.0822, 3.1779");
+                          values  ( \
+                            "0.856911, 0.858253, 0.815287, 0.709841, 0.55836, 0.369655, 0.143765", \
+                            "0.863225, 0.861102, 0.821172, 0.715726, 0.564234, 0.37554, 0.149647", \
+                            "0.882101, 0.879956, 0.840422, 0.734976, 0.583484, 0.394779, 0.168892", \
+                            "0.900504, 0.898359, 0.858836, 0.75339, 0.601909, 0.413204, 0.186578", \
+                            "0.921503, 0.918819, 0.879142, 0.773839, 0.622347, 0.433642, 0.207022", \
+                            "0.934472, 0.932327, 0.892793, 0.787347, 0.635855, 0.447161, 0.221267", \
+                            "0.919523, 0.916179, 0.8767, 0.771254, 0.619773, 0.431068, 0.205176" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 5.74068e-05;
+}
+}
diff --git a/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_5v00.lib b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_5v00.lib
new file mode 100755
index 0000000..c393e96
--- /dev/null
+++ b/cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_5v00.lib
@@ -0,0 +1,618 @@
+/*
+ * Copyright 2022 GlobalFoundries PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ *      Single Port SRAM cell name : gf180mcu_fd_ip_sram__sram64x8m8wm1
+ *      Technology                 : GF 180nm 5V Green
+ *
+ *      ---------
+ *      Pin name:
+ *      ---------
+ *      Input Pins : CLK CEN GWEN WEN[7:0] A[5:0] D[7:0]
+ *      Inout Pins:  VDD VSS
+ *      Output Pins: Q[7:0]
+ *
+ *     Revision History: 1.0 (Initial Release: June 20, 2014)
+ */
+
+library(gf180mcu_fd_ip_sram__sram64x8m8wm1__tt_025C_5v00) {
+        delay_model             : table_lookup;
+        revision                : 1.0;
+        date                    : "June 20, 2014";
+        comment                 : "GF 180nm 5V Green";
+        voltage_unit            : "1V";
+        time_unit               : "1ns";
+        current_unit            : "1mA";
+        leakage_power_unit      : "1mW";
+        nom_process             : 1;
+        nom_temperature         : 25;
+        nom_voltage             : 5.0;
+        capacitive_load_unit    (1,pf);
+        pulling_resistance_unit : "1kohm";
+
+        /* additional header data */
+        default_fanout_load            : 1;
+        default_inout_pin_cap          : 0.045;
+        default_input_pin_cap          : 0.045;
+        default_output_pin_cap         : 0;
+        default_max_transition         : 1.547;
+        default_cell_leakage_power     : 0;
+
+         /* default attributes */
+         slew_derate_from_library      : 1.000;
+         slew_lower_threshold_pct_fall : 10.000;
+         slew_upper_threshold_pct_fall : 90.000;
+         slew_lower_threshold_pct_rise : 10.000;
+         slew_upper_threshold_pct_rise : 90.000;
+         input_threshold_pct_fall      : 50.000;
+         input_threshold_pct_rise      : 50.000;
+         output_threshold_pct_fall     : 50.000;
+         output_threshold_pct_rise     : 50.000;
+         default_leakage_power_density : 0;
+
+        /* k-factors */
+        k_process_recovery_fall        : 1;
+        k_process_recovery_rise        : 1;
+        k_process_cell_fall            : 1;
+        k_process_cell_leakage_power   : 0;
+        k_process_cell_rise            : 1;
+        k_process_fall_transition      : 1;
+        k_process_hold_fall            : 1;
+        k_process_hold_rise            : 1;
+        k_process_internal_power       : 0;
+        k_process_min_pulse_width_high : 1;
+        k_process_min_pulse_width_low  : 1;
+        k_process_setup_fall           : 1;
+        k_process_setup_rise           : 1;
+        k_process_wire_cap             : 0;
+        k_process_wire_res             : 0;
+        k_process_pin_cap              : 0;
+        k_process_rise_transition      : 1;
+        k_temp_cell_fall               : 0.000;
+        k_temp_cell_rise               : 0.000;
+        k_temp_hold_fall               : 0.000;
+        k_temp_hold_rise               : 0.000;
+        k_temp_min_pulse_width_high    : 0.000;
+        k_temp_min_pulse_width_low     : 0.000;
+        k_temp_min_period              : 0.000;
+        k_temp_rise_propagation        : 0.000;
+        k_temp_fall_propagation        : 0.000;
+        k_temp_rise_transition         : 0.000;
+        k_temp_fall_transition         : 0.000;
+        k_temp_recovery_fall           : 0.000;
+        k_temp_recovery_rise           : 0.000;
+        k_temp_setup_fall              : 0.000;
+        k_temp_setup_rise              : 0.000;
+        k_volt_cell_fall               : 0.000;
+        k_volt_cell_rise               : 0.000;
+        k_volt_hold_fall               : 0.000;
+        k_volt_hold_rise               : 0.000;
+        k_volt_min_pulse_width_high    : 0.000;
+        k_volt_min_pulse_width_low     : 0.000;
+        k_volt_min_period              : 0.000;
+        k_volt_recovery_fall           : 0.000;
+        k_volt_recovery_rise           : 0.000;
+        k_volt_setup_fall              : 0.000;
+        k_volt_setup_rise              : 0.000;
+        k_volt_rise_propagation        : 0.000;
+        k_volt_fall_propagation        : 0.000;
+        k_volt_rise_transition         : 0.000;
+        k_volt_fall_transition         : 0.000;
+
+         voltage_map (VDD, 5.0);
+         voltage_map (VSS, 0.00);
+         operating_conditions(tt_5p0v_25C) {
+                process       : 1;
+                temperature   : 25;
+                voltage       : 5.0;
+                tree_type     : balanced_tree;
+         }
+
+         default_operating_conditions : tt_5p0v_25C;
+         wire_load("Estimate") {
+                resistance    : 1.44e-05;
+                capacitance   : 0.00018;
+                area          : 1.7;
+                slope         : 500;
+                fanout_length (1,500);
+         }
+         power_lut_template(power_template) {
+            variable_1 : input_transition_time;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_delay_template) {
+            variable_1 : input_net_transition;
+            variable_2 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(q_slew_template) {
+            variable_1 : total_output_net_capacitance;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+         lu_table_template(constraint_template) {
+            variable_1 : related_pin_transition;
+            variable_2 : constrained_pin_transition;
+                 index_1 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+                 index_2 ("1001, 1002, 1003, 1004, 1005, 1006, 1007");
+         }
+
+         library_features(report_delay_calculation);
+
+         type (A_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 6;
+                bit_from  : 5;
+                bit_to    : 0;
+                downto    : true;
+         }
+         type (Q_BUS) {
+                base_type : array;
+                data_type : bit;
+                bit_width : 8;
+                bit_from  : 7;
+                bit_to    : 0;
+                downto    : true;
+         }
+ cell(gf180mcu_fd_ip_sram__sram64x8m8wm1) {
+        area             : 100571.5568;
+        dont_use         : TRUE;
+        dont_touch       : TRUE;
+        interface_timing : TRUE;
+        memory() {
+                type          : ram;
+                address_width : 6;
+                word_width    : 8;
+        }
+
+                 bus(Q)   {
+                  bus_type             : Q_BUS;
+                  direction            : output;
+                  max_capacitance      : 1.119;
+                  memory_read() {
+                        address        : A;
+                  }
+                  timing() {
+                          related_pin  : "CLK";
+                          timing_type  : rising_edge;
+                          timing_sense : non_unate;
+       		  when : "((!CEN) & (GWEN))";
+        	  sdf_cond : "CEN== 1'b0 && GWEN== 1'b1";
+                          cell_rise(q_delay_template) {
+			  index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+			  index_2 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "4.33896, 4.35132, 4.40988, 4.51488, 4.66608, 4.86756, 5.13084", \
+                            "4.34136, 4.35672, 4.41456, 4.51344, 4.66164, 4.86408, 5.13312", \
+                            "4.34544, 4.36488, 4.4232, 4.52328, 4.67148, 4.8756, 5.14512", \
+                            "4.34772, 4.36932, 4.42752, 4.52484, 4.67412, 4.87764, 5.14692", \
+                            "4.36032, 4.37544, 4.43244, 4.53564, 4.68432, 4.88616, 5.15808", \
+                            "4.36296, 4.38216, 4.4382, 4.53948, 4.68804, 4.89228, 5.16204", \
+                            "4.35372, 4.37304, 4.43364, 4.53216, 4.6782, 4.88568, 5.14992" \
+                          )
+                          }
+                          rise_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+  	                     "0.167808, 0.194952, 0.293964, 0.472872, 0.761352, 1.18285, 1.73856" \
+                          )
+
+                          }
+                          cell_fall(q_delay_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "4.48224, 4.49652, 4.55232, 4.65072, 4.77528, 4.92168, 5.11008", \
+                            "4.48332, 4.50084, 4.5576, 4.65012, 4.7688, 4.92072, 5.11428", \
+                            "4.48908, 4.50816, 4.56792, 4.65564, 4.77864, 4.93116, 5.12376", \
+                            "4.49136, 4.51068, 4.57248, 4.65984, 4.78164, 4.93344, 5.12652", \
+                            "4.50468, 4.5198, 4.575, 4.67088, 4.791, 4.94136, 5.13912", \
+                            "4.50516, 4.52556, 4.58376, 4.67616, 4.79544, 4.94724, 5.13996", \
+                            "4.497, 4.51572, 4.5768, 4.6668, 4.7856, 4.941, 5.12892" \
+                          )
+                          }
+                          fall_transition(q_slew_template) {
+                          index_1 ("0.01, 0.02994, 0.09995, 0.2336, 0.4419, 0.7342, 1.119");
+                          values  ( \
+                            "0.174024, 0.199356, 0.261744, 0.381132, 0.545808, 0.787848, 1.10224" \
+                          )
+                          }
+                  }
+          }
+          pin(CLK)   {
+                  direction            : input;
+                  capacitance          : 0.296201;
+                  clock                : true;
+                  max_transition       : 1.547;
+                  min_pulse_width_high : 2.231475;
+                  min_pulse_width_low  : 2.53974;
+                  min_period           : 5.74725;
+
+/* WRITE POWER */
+             internal_power() {
+              when : "!CEN & !GWEN & (!WEN[0] | !WEN[1] | !WEN[2] | !WEN[3] | !WEN[4] | !WEN[5] | !WEN[6] | !WEN[7])";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("859.2, 859.2, 859.2, 859.2, 859.2, 859.2, 859.2");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("859.2, 859.2, 859.2, 859.2, 859.2, 859.2, 859.2");
+              }
+             }
+/* DISABLED POWER */
+             internal_power() {
+              when : "CEN";
+              rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("0.00013216, 0.00013216, 0.00013216, 0.00013216, 0.00013216, 0.00013216, 0.00013216");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("0.00013216, 0.00013216, 0.00013216, 0.00013216, 0.00013216, 0.00013216, 0.00013216");
+              }
+             }
+/* READ POWER */
+             internal_power() {
+             when : "!CEN & GWEN";
+             rise_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("770.85, 770.85, 770.85, 770.85, 770.85, 770.85, 770.85");
+              }
+              fall_power(power_template) {
+                index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                values  ("770.85, 770.85, 770.85, 770.85, 770.85, 770.85, 770.85");
+              }
+             }
+          }
+
+          pg_pin(VDD) {
+                  voltage_name     : VDD;
+                  pg_type          : primary_power;
+          }
+          pg_pin(VSS) {
+                  voltage_name     : VSS;
+                  pg_type          : primary_ground;
+          }
+          pin(CEN)   {
+                  direction            : input;
+                  capacitance          : 0.0176988;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.435171, 0.430936, 0.423456, 0.43043, 0.454883, 0.491634, 0.520333", \
+                            "0.434071, 0.429264, 0.422466, 0.429517, 0.453794, 0.484033, 0.520949", \
+                            "0.433598, 0.428494, 0.421476, 0.428406, 0.450923, 0.473385, 0.507518", \
+                            "0.432982, 0.428483, 0.421256, 0.42834, 0.453695, 0.473176, 0.493779", \
+                            "0.43307, 0.428494, 0.420937, 0.427999, 0.4488, 0.472846, 0.493944", \
+                            "0.432784, 0.427548, 0.420805, 0.427493, 0.448272, 0.472582, 0.493185", \
+                            "0.432861, 0.427625, 0.420409, 0.427471, 0.447931, 0.472318, 0.493537" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.405812, 0.408276, 0.418506, 0.443531, 0.495495, 0.55847, 0.629145", \
+                            "0.404888, 0.407198, 0.417571, 0.442431, 0.494483, 0.557458, 0.628144", \
+                            "0.40392, 0.406274, 0.416515, 0.441738, 0.493053, 0.55517, 0.627176", \
+                            "0.403788, 0.406362, 0.416328, 0.441254, 0.492877, 0.554972, 0.626967", \
+                            "0.403205, 0.405735, 0.415822, 0.440913, 0.492954, 0.557018, 0.626626", \
+                            "0.403029, 0.40546, 0.4158, 0.440935, 0.49269, 0.555687, 0.626373", \
+                            "0.402919, 0.405196, 0.415525, 0.44066, 0.492415, 0.554092, 0.624921" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "1.10821, 1.11244, 1.11991, 1.11295, 1.08855, 1.06578, 1.0474", \
+                            "1.1134, 1.11821, 1.125, 1.11795, 1.09368, 1.07374, 1.05203", \
+                            "1.12774, 1.13285, 1.13986, 1.13293, 1.11042, 1.08796, 1.06736", \
+                            "1.15423, 1.15873, 1.16596, 1.15887, 1.13352, 1.11403, 1.09342", \
+                            "1.19512, 1.19969, 1.20725, 1.20019, 1.17938, 1.15534, 1.13423", \
+                            "1.22751, 1.23275, 1.23949, 1.23281, 1.21202, 1.18773, 1.16712", \
+                            "1.25914, 1.26437, 1.2716, 1.26454, 1.24407, 1.21969, 1.19908" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "1.13756, 1.1351, 1.12487, 1.09985, 1.04788, 0.984896, 0.914221", \
+                            "1.14258, 1.14027, 1.1299, 1.10504, 1.05299, 0.990011, 0.919325", \
+                            "1.15742, 1.15507, 1.14483, 1.1196, 1.06829, 1.00617, 0.934164", \
+                            "1.18342, 1.18085, 1.17088, 1.14595, 1.09434, 1.03223, 0.960245", \
+                            "1.22498, 1.22245, 1.21237, 1.18727, 1.13523, 1.07117, 1.00156", \
+                            "1.25728, 1.25484, 1.2445, 1.21937, 1.16761, 1.10462, 1.03393", \
+                            "1.28909, 1.28678, 1.27644, 1.25135, 1.19959, 1.13792, 1.06709" \
+                          )
+                          }
+                 }
+          }
+          pin(GWEN)   {
+                  direction            : input;
+                  capacitance          : 0.0496735;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.592042, 0.596134, 0.607849, 0.633974, 0.678634, 0.734019, 0.79035", \
+                            "0.588511, 0.593076, 0.604516, 0.632159, 0.675312, 0.732347, 0.788689", \
+                            "0.577082, 0.580602, 0.592064, 0.618673, 0.662651, 0.719697, 0.775181", \
+                            "0.550737, 0.554224, 0.566555, 0.594418, 0.63855, 0.69355, 0.751388", \
+                            "0.506374, 0.511027, 0.522511, 0.548757, 0.59345, 0.64988, 0.706541", \
+                            "0.467984, 0.472417, 0.483505, 0.511676, 0.554763, 0.611578, 0.667018", \
+                            "0.431024, 0.435644, 0.446578, 0.473286, 0.517968, 0.57475, 0.630058" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.62997, 0.633622, 0.652201, 0.691196, 0.757251, 0.849068, 0.953876", \
+                            "0.626736, 0.630311, 0.648736, 0.687929, 0.753907, 0.8448, 0.951016", \
+                            "0.614295, 0.617551, 0.636174, 0.675598, 0.74327, 0.832788, 0.938091", \
+                            "0.588643, 0.592691, 0.611017, 0.649902, 0.717761, 0.807741, 0.912593", \
+                            "0.544797, 0.548471, 0.566357, 0.605869, 0.67188, 0.763708, 0.868538", \
+                            "0.506297, 0.509674, 0.528077, 0.567215, 0.633611, 0.72512, 0.829917", \
+                            "0.469106, 0.472538, 0.491249, 0.529045, 0.596442, 0.688226, 0.793628" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.828894, 0.827673, 0.816904, 0.790383, 0.759693, 0.734019, 0.714857", \
+                            "0.831897, 0.831094, 0.820182, 0.793463, 0.762894, 0.737099, 0.718916", \
+                            "0.834702, 0.833569, 0.822734, 0.797049, 0.765666, 0.739904, 0.721116", \
+                            "0.836913, 0.836066, 0.824901, 0.798457, 0.767261, 0.741906, 0.723382", \
+                            "0.846626, 0.845449, 0.834537, 0.807807, 0.777348, 0.751421, 0.733865", \
+                            "0.848045, 0.846978, 0.836308, 0.809578, 0.778899, 0.753313, 0.734536", \
+                            "0.84161, 0.840576, 0.829697, 0.802978, 0.772398, 0.746867, 0.72809" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.456016, 0.453904, 0.433037, 0.39457, 0.328977, 0.245101, 0.140307", \
+                            "0.459844, 0.457347, 0.43846, 0.396341, 0.332717, 0.251548, 0.145013", \
+                            "0.462099, 0.458964, 0.440902, 0.399014, 0.33308, 0.250841, 0.146285", \
+                            "0.466895, 0.462473, 0.443267, 0.402996, 0.335995, 0.252954, 0.148587", \
+                            "0.474551, 0.470998, 0.453057, 0.41239, 0.347039, 0.261555, 0.157792", \
+                            "0.519288, 0.516373, 0.49731, 0.458469, 0.389279, 0.299871, 0.200858", \
+                            "0.575641, 0.572044, 0.55363, 0.514789, 0.445841, 0.356235, 0.256696" \
+                          )
+                          }
+                 }
+          }
+          bus(WEN)   {
+                  bus_type             : Q_BUS;
+                  direction            : input;
+                  capacitance          : 0.00784667;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0, 0, 0, 0, 0, 0, 0.01738", \
+                            "0, 0, 0, 0, 0, 0, 0.012826", \
+                            "0, 0, 0, 0, 0, 0, 0.010505", \
+                            "0, 0, 0, 0, 0, 0, 0.007733", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0", \
+                            "0, 0, 0, 0, 0, 0, 0.002772" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.271942, 0.275088, 0.296549, 0.334895, 0.400345, 0.484352, 0.589402", \
+                            "0.270437, 0.272291, 0.291665, 0.333311, 0.396935, 0.478698, 0.584463", \
+                            "0.267154, 0.269927, 0.288508, 0.329538, 0.395285, 0.477752, 0.582153", \
+                            "0.261292, 0.266269, 0.285681, 0.326007, 0.392029, 0.47575, 0.580107", \
+                            "0.253979, 0.257474, 0.275627, 0.316096, 0.381205, 0.466147, 0.570471", \
+                            "0.252503, 0.255201, 0.274164, 0.314765, 0.38005, 0.464827, 0.568524", \
+                            "0.25903, 0.26091, 0.280896, 0.322564, 0.385748, 0.469502, 0.574024" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.828894, 0.827992, 0.81719, 0.791362, 0.760573, 0.734019, 0.71511", \
+                            "0.831897, 0.831248, 0.820435, 0.794607, 0.763818, 0.737099, 0.718916", \
+                            "0.834702, 0.833767, 0.822954, 0.797126, 0.766337, 0.739904, 0.721116", \
+                            "0.836913, 0.836066, 0.825253, 0.799425, 0.768647, 0.741906, 0.723382", \
+                            "0.846626, 0.845548, 0.834735, 0.808907, 0.778118, 0.751421, 0.733865", \
+                            "0.848045, 0.847385, 0.836572, 0.810744, 0.779955, 0.753313, 0.734536", \
+                            "0.84161, 0.840719, 0.829906, 0.804078, 0.773289, 0.746867, 0.72809" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.456104, 0.453959, 0.433125, 0.394625, 0.328977, 0.24522, 0.140454", \
+                            "0.459844, 0.457347, 0.43846, 0.396341, 0.332717, 0.251548, 0.145013", \
+                            "0.462154, 0.458964, 0.440902, 0.399014, 0.33308, 0.251258, 0.146285", \
+                            "0.466895, 0.462473, 0.443267, 0.402996, 0.335995, 0.253002, 0.148587", \
+                            "0.474573, 0.470998, 0.453057, 0.41239, 0.347039, 0.261907, 0.157792", \
+                            "0.477466, 0.473374, 0.454113, 0.414172, 0.348711, 0.264317, 0.159833", \
+                            "0.468523, 0.467434, 0.447909, 0.405878, 0.341924, 0.258012, 0.153553" \
+                          )
+                          }
+                 }
+          }
+          bus(A)   {
+                  bus_type             : A_BUS;
+                  direction            : input;
+                  capacitance          : 0.0345188;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.536998, 0.531641, 0.529408, 0.535942, 0.568601, 0.602668, 0.630817", \
+                            "0.533819, 0.529551, 0.525547, 0.534523, 0.565763, 0.594495, 0.624844", \
+                            "0.530101, 0.524557, 0.522522, 0.531212, 0.559823, 0.592856, 0.627308", \
+                            "0.527065, 0.522467, 0.520179, 0.528913, 0.557007, 0.58982, 0.625284", \
+                            "0.518342, 0.514239, 0.510972, 0.518221, 0.549615, 0.578336, 0.615109", \
+                            "0.515471, 0.512072, 0.508354, 0.516065, 0.542927, 0.575938, 0.613602", \
+                            "0.523457, 0.519189, 0.515119, 0.524172, 0.553696, 0.584969, 0.619773" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.702658, 0.704154, 0.71632, 0.74536, 0.796521, 0.863599, 0.949454", \
+                            "0.699743, 0.700689, 0.713097, 0.742511, 0.79343, 0.85888, 0.946726", \
+                            "0.69597, 0.697565, 0.709588, 0.739211, 0.788799, 0.85503, 0.943162", \
+                            "0.693605, 0.695035, 0.706937, 0.736043, 0.78782, 0.852115, 0.940467", \
+                            "0.684123, 0.685795, 0.697389, 0.726451, 0.777832, 0.843062, 0.930578", \
+                            "0.681659, 0.68288, 0.696003, 0.724075, 0.776017, 0.840675, 0.928576", \
+                            "0.68871, 0.690129, 0.701877, 0.731214, 0.781869, 0.847264, 0.932481" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.527241, 0.530761, 0.533709, 0.531751, 0.531762, 0.530871, 0.529892", \
+                            "0.52932, 0.532895, 0.535854, 0.532455, 0.533907, 0.533203, 0.532565", \
+                            "0.541849, 0.545413, 0.548361, 0.546425, 0.546414, 0.545732, 0.544544", \
+                            "0.568029, 0.571516, 0.574508, 0.571109, 0.57277, 0.571857, 0.570636", \
+                            "0.609785, 0.613338, 0.616297, 0.612953, 0.614361, 0.613657, 0.613019", \
+                            "0.650617, 0.65417, 0.65714, 0.653741, 0.655193, 0.654489, 0.653312", \
+                            "0.686301, 0.689865, 0.692824, 0.689425, 0.690877, 0.690173, 0.688952" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.541574, 0.539275, 0.527175, 0.509927, 0.506176, 0.51249, 0.520707", \
+                            "0.543708, 0.541618, 0.529309, 0.512072, 0.508321, 0.514195, 0.522841", \
+                            "0.556413, 0.553916, 0.541827, 0.524579, 0.521422, 0.526042, 0.535359", \
+                            "0.582362, 0.579975, 0.567952, 0.550715, 0.547558, 0.552178, 0.561495", \
+                            "0.624151, 0.621852, 0.609752, 0.592515, 0.588764, 0.593978, 0.603284", \
+                            "0.664994, 0.662629, 0.650584, 0.633347, 0.629596, 0.635899, 0.644127", \
+                            "0.700678, 0.698368, 0.686268, 0.669031, 0.665291, 0.670956, 0.679811" \
+                          )
+                          }
+                 }
+          }
+          bus(D)   {
+                  bus_type             : Q_BUS;
+                  memory_write() {
+                          address      : A;
+                          clocked_on   : "CLK";
+                  }
+                  direction            : input;
+                  capacitance          : 0.0165528;
+                  timing() {
+                          related_pin  : CLK;
+                          timing_type  : setup_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.489214, 0.494032, 0.504383, 0.514767, 0.510873, 0.492613, 0.455279", \
+                            "0.486453, 0.487784, 0.5005, 0.510851, 0.506, 0.488213, 0.450659", \
+                            "0.48367, 0.487949, 0.4972, 0.507496, 0.504955, 0.485562, 0.447942", \
+                            "0.478401, 0.484198, 0.495451, 0.506506, 0.501413, 0.48312, 0.445016", \
+                            "0.470976, 0.475574, 0.485287, 0.495792, 0.490633, 0.473187, 0.435963", \
+                            "0.468105, 0.472758, 0.484682, 0.493141, 0.489566, 0.471592, 0.433235", \
+                            "0.476036, 0.47971, 0.488708, 0.500764, 0.496331, 0.477785, 0.440814" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.123371, 0.122927, 0.140974, 0.191029, 0.269281, 0.370645, 0.500654", \
+                            "0.120003, 0.120086, 0.137804, 0.18863, 0.265797, 0.368005, 0.497574", \
+                            "0.11758, 0.116816, 0.13451, 0.18531, 0.262939, 0.36498, 0.494208", \
+                            "0.113442, 0.113651, 0.132216, 0.1804, 0.259961, 0.361724, 0.491678", \
+                            "0.106263, 0.10471, 0.122416, 0.172471, 0.25038, 0.352858, 0.48235", \
+                            "0.10365, 0.101247, 0.120039, 0.168459, 0.248034, 0.3498, 0.479765", \
+                            "0.110651, 0.108219, 0.126817, 0.176068, 0.254785, 0.356554, 0.486728" \
+                          )
+                          }
+                  }
+                  timing() {
+                          related_pin     : CLK;
+                          timing_type     : hold_rising;
+                          rise_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.451759, 0.449251, 0.438988, 0.42812, 0.431882, 0.449933, 0.48741", \
+                            "0.456445, 0.453178, 0.440638, 0.430386, 0.435182, 0.454047, 0.490204", \
+                            "0.459536, 0.456005, 0.446622, 0.435446, 0.439648, 0.458711, 0.491656", \
+                            "0.462198, 0.457358, 0.448294, 0.436832, 0.440352, 0.46112, 0.495638", \
+                            "0.469788, 0.468853, 0.456577, 0.446644, 0.451627, 0.46937, 0.506825", \
+                            "0.473121, 0.469898, 0.459349, 0.449493, 0.454267, 0.471449, 0.50897", \
+                            "0.466587, 0.463573, 0.451825, 0.441892, 0.44759, 0.465894, 0.502348" \
+                          )
+                          }
+                          fall_constraint(constraint_template) {
+                          index_1 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          index_2 ("0.02, 0.04747, 0.1439, 0.328, 0.6149, 1.017, 1.547");
+                          values  ( \
+                            "0.643247, 0.643577, 0.625592, 0.575245, 0.497189, 0.396198, 0.267705", \
+                            "0.646371, 0.646591, 0.628529, 0.578798, 0.50061, 0.398706, 0.270644", \
+                            "0.649836, 0.651002, 0.632929, 0.583209, 0.504537, 0.403117, 0.275055", \
+                            "0.652696, 0.652894, 0.634832, 0.585112, 0.506924, 0.405449, 0.276958", \
+                            "0.660759, 0.662662, 0.644611, 0.594264, 0.516692, 0.414348, 0.286594", \
+                            "0.663575, 0.665236, 0.647174, 0.597454, 0.519266, 0.417791, 0.289289", \
+                            "0.656513, 0.658449, 0.640123, 0.590403, 0.512204, 0.410729, 0.282106" \
+                          )
+                          }
+                 }
+        }
+          cell_leakage_power : 0.00013216;
+}
+}