| # Copyright (c) Efabless Corporation. All rights reserved. |
| # See LICENSE file in the project root for full license information. |
| set IO_PCT 0.3 |
| |
| read_liberty -min $::env(LIB_MIN) |
| read_liberty -max $::env(LIB_MAX) |
| read_verilog $::env(yosys_result_file_tag).v |
| link_design $::env(DESIGN_NAME) |
| |
| set_units -time ns |
| #set_units -capacitance ff |
| read_sdc -echo ./scripts/base.sdc |
| #report_checks |
| report_tns |
| report_tns >> $::env(opensta_report_file_tag)_tns.rpt |
| report_wns |
| report_wns >> $::env(opensta_report_file_tag)_wns.rpt |
| report_checks -group_count 100 -slack_max -0.01 |
| report_checks -group_count 100 -slack_max -0.01 >> $::env(opensta_report_file_tag).rpt |
| exit |