Finish PRBS generator layout, add to top level

* LVS passed against schematic
* Clock control pins connected to gpio 9 to 11
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index ae30b80..8c73baf 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/mag/prbs_gen.mag b/mag/prbs_gen.mag
index 2cdc932..4f22b4c 100644
--- a/mag/prbs_gen.mag
+++ b/mag/prbs_gen.mag
@@ -1,11 +1,31 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1646981735
-<< poly >>
-rect 2301 221 2331 289
+timestamp 1647028378
+<< nwell >>
+rect 9929 3882 9945 4127
+rect 9929 2794 9945 3039
+rect 9929 1706 9945 1951
+<< psubdiff >>
+rect 9880 4404 9931 4438
+rect 9965 4404 10011 4438
+rect 7081 -14 7132 20
+rect 7166 -14 7212 20
+rect 11900 -14 11951 20
+rect 11985 -14 12031 20
+<< psubdiffcont >>
+rect 9931 4404 9965 4438
+rect 7132 -14 7166 20
+rect 11951 -14 11985 20
 << locali >>
+rect 9880 4404 9931 4438
+rect 9965 4404 10011 4438
+rect 9891 4371 9983 4404
+rect 14322 2923 14356 2960
+rect 3749 2311 3790 2336
 rect 3910 2004 3957 2031
+rect 6873 1784 6907 1869
+rect 14322 1835 14356 1872
 rect 2481 872 2637 913
 rect 4228 861 4340 895
 rect 5976 861 6088 895
@@ -61,12 +81,115 @@
 rect 14428 203 14429 247
 rect 14473 203 14475 247
 rect 14428 202 14475 203
+rect 7081 -14 7132 20
+rect 7166 -14 7212 20
+rect 11900 -14 11951 20
+rect 11985 -14 12031 20
 rect 532 -222 722 -116
 rect 809 -222 998 -116
 << viali >>
+rect 14317 4620 14357 4660
+rect 14070 4571 14110 4611
+rect 12980 4484 13014 4518
+rect 5749 4210 5805 4244
+rect 6900 4210 6934 4244
+rect 7237 4210 7271 4244
+rect 8372 4210 8406 4244
+rect 8709 4210 8743 4244
+rect 9844 4210 9878 4244
+rect 10273 4210 10307 4244
+rect 11408 4210 11442 4244
+rect 11745 4210 11779 4244
+rect 12880 4210 12914 4244
+rect 13217 4210 13251 4244
+rect 5508 4130 5556 4178
+rect 6994 4137 7028 4171
+rect 8464 4137 8498 4171
+rect 10026 4137 10060 4171
+rect 11491 4137 11525 4171
+rect 12963 4137 12997 4171
+rect 14317 4011 14351 4045
+rect 6877 3517 6911 3551
+rect 8349 3517 8383 3551
+rect 9814 3517 9848 3551
+rect 11376 3517 11410 3551
+rect 12846 3517 12880 3551
+rect 14318 3510 14366 3558
+rect 5515 3413 5559 3457
+rect 6623 3444 6657 3478
+rect 6960 3444 6994 3478
+rect 8095 3444 8129 3478
+rect 8432 3444 8466 3478
+rect 9567 3444 9601 3478
+rect 11131 3444 11165 3478
+rect 11468 3444 11502 3478
+rect 12603 3444 12637 3478
+rect 12940 3444 12974 3478
+rect 14071 3445 14114 3479
+rect 10029 3406 10063 3440
+rect 5749 3122 5805 3156
+rect 6900 3122 6934 3156
+rect 7237 3122 7271 3156
+rect 8372 3122 8406 3156
+rect 8709 3122 8743 3156
+rect 9844 3122 9878 3156
+rect 10273 3122 10307 3156
+rect 11408 3122 11442 3156
+rect 11745 3122 11779 3156
+rect 12880 3122 12914 3156
+rect 13217 3122 13251 3156
+rect 5508 3042 5556 3090
+rect 6994 3049 7028 3083
+rect 8464 3049 8498 3083
+rect 10026 3049 10060 3083
+rect 11491 3049 11525 3083
+rect 12963 3049 12997 3083
+rect 14322 2960 14356 2994
+rect 5135 2501 5169 2535
+rect 4138 2427 4172 2461
+rect 5256 2438 5296 2472
+rect 6877 2429 6911 2463
+rect 8349 2429 8383 2463
+rect 9814 2429 9848 2463
+rect 11376 2429 11410 2463
+rect 12846 2429 12880 2463
+rect 14318 2422 14366 2470
+rect 3749 2336 3790 2377
+rect 5515 2325 5559 2369
+rect 6623 2356 6657 2390
+rect 6960 2356 6994 2390
+rect 8095 2356 8129 2390
+rect 8432 2356 8466 2390
+rect 9567 2356 9601 2390
+rect 10029 2325 10063 2359
+rect 11131 2356 11165 2390
+rect 11468 2356 11502 2390
+rect 12603 2356 12637 2390
+rect 12940 2356 12974 2390
+rect 14071 2357 14114 2391
+rect 5754 2034 5788 2068
+rect 6900 2034 6934 2068
+rect 7236 2034 7270 2068
+rect 8372 2034 8406 2068
+rect 8709 2034 8743 2068
+rect 9844 2034 9878 2068
+rect 10273 2034 10307 2068
+rect 11408 2034 11442 2068
+rect 11745 2034 11779 2068
+rect 12880 2034 12914 2068
+rect 13217 2034 13251 2068
 rect 3731 1978 3787 2034
 rect 3910 1957 3957 2004
 rect 4119 1963 4154 1998
+rect 4570 1948 4630 2008
+rect 5508 1954 5556 2002
+rect 6994 1961 7028 1995
+rect 8464 1961 8498 1995
+rect 10026 1961 10060 1995
+rect 11491 1961 11525 1995
+rect 12963 1961 12997 1995
+rect 14322 1872 14356 1906
+rect 6873 1750 6907 1784
 rect 3288 1549 3322 1583
 rect 4313 1549 4347 1583
 rect 6057 1549 6091 1583
@@ -150,15 +273,529 @@
 rect 344 -222 403 -116
 rect 1118 -221 1158 -181
 << metal1 >>
+rect 4123 4566 4129 4618
+rect 4181 4602 4187 4618
+rect 11387 4602 11393 4614
+rect 4181 4574 11393 4602
+rect 4181 4566 4187 4574
+rect 11387 4562 11393 4574
+rect 11445 4562 11451 4614
+rect 14058 4565 14064 4617
+rect 14116 4565 14122 4617
+rect 14305 4614 14311 4666
+rect 14363 4614 14369 4666
+rect 5120 4472 5126 4524
+rect 5178 4518 5184 4524
+rect 12974 4518 13026 4524
+rect 5178 4484 12980 4518
+rect 13014 4484 13026 4518
+rect 5178 4472 5184 4484
+rect 12974 4478 13026 4484
+rect 9891 4340 9983 4436
+rect 11393 4279 11445 4285
+rect 5743 4250 5749 4260
+rect 5737 4204 5749 4250
+rect 5805 4250 5811 4260
+rect 5805 4204 5817 4250
+rect 6888 4244 6946 4250
+rect 6888 4210 6900 4244
+rect 6934 4241 6946 4244
+rect 7225 4244 7283 4250
+rect 7225 4241 7237 4244
+rect 6934 4213 7237 4241
+rect 6934 4210 6946 4213
+rect 6888 4204 6946 4210
+rect 7225 4210 7237 4213
+rect 7271 4210 7283 4244
+rect 7225 4204 7283 4210
+rect 8360 4244 8418 4250
+rect 8360 4210 8372 4244
+rect 8406 4241 8418 4244
+rect 8697 4244 8755 4250
+rect 8697 4241 8709 4244
+rect 8406 4213 8709 4241
+rect 8406 4210 8418 4213
+rect 8360 4204 8418 4210
+rect 8697 4210 8709 4213
+rect 8743 4210 8755 4244
+rect 8697 4204 8755 4210
+rect 9832 4244 9890 4250
+rect 9832 4210 9844 4244
+rect 9878 4241 9890 4244
+rect 10261 4244 10319 4250
+rect 10261 4241 10273 4244
+rect 9878 4213 10273 4241
+rect 9878 4210 9890 4213
+rect 9832 4204 9890 4210
+rect 10261 4210 10273 4213
+rect 10307 4210 10319 4244
+rect 11445 4241 11454 4250
+rect 11733 4244 11791 4250
+rect 11733 4241 11745 4244
+rect 11445 4227 11745 4241
+rect 11393 4221 11408 4227
+rect 10261 4204 10319 4210
+rect 11396 4210 11408 4221
+rect 11442 4213 11745 4227
+rect 11442 4210 11454 4213
+rect 11396 4204 11454 4210
+rect 11733 4210 11745 4213
+rect 11779 4210 11791 4244
+rect 11733 4204 11791 4210
+rect 12868 4244 12926 4250
+rect 12868 4210 12880 4244
+rect 12914 4241 12926 4244
+rect 13205 4244 13263 4250
+rect 13205 4241 13217 4244
+rect 12914 4213 13217 4241
+rect 12914 4210 12926 4213
+rect 12868 4204 12926 4210
+rect 13205 4210 13217 4213
+rect 13251 4210 13263 4244
+rect 13205 4204 13263 4210
+rect 5495 4184 5501 4188
+rect 5437 4136 5501 4184
+rect 5553 4184 5559 4188
+rect 5553 4178 5568 4184
+rect 5556 4175 5568 4178
+rect 6982 4175 7040 4177
+rect 8458 4175 8504 4183
+rect 10014 4175 10072 4177
+rect 11479 4175 11537 4177
+rect 12957 4175 13003 4183
+rect 14305 4175 14311 4180
+rect 5556 4171 14311 4175
+rect 5556 4137 6994 4171
+rect 7028 4137 8464 4171
+rect 8498 4137 10026 4171
+rect 10060 4137 11491 4171
+rect 11525 4137 12963 4171
+rect 12997 4137 14311 4171
+rect 5437 4130 5508 4136
+rect 5556 4133 14311 4137
+rect 5556 4130 5568 4133
+rect 6982 4131 7040 4133
+rect 5437 4124 5568 4130
+rect 8458 4125 8504 4133
+rect 10014 4131 10072 4133
+rect 11479 4131 11537 4133
+rect 12957 4125 13003 4133
+rect 14305 4128 14311 4133
+rect 14363 4128 14369 4180
+rect 14058 4002 14064 4054
+rect 14116 4045 14122 4054
+rect 14305 4045 14363 4051
+rect 14116 4011 14317 4045
+rect 14351 4011 14363 4045
+rect 14116 4002 14122 4011
+rect 14305 4005 14363 4011
+rect 5495 3508 5501 3560
+rect 5553 3555 5559 3560
+rect 6871 3555 6917 3563
+rect 8337 3555 8395 3557
+rect 9802 3555 9860 3557
+rect 11370 3555 11416 3563
+rect 12834 3555 12892 3557
+rect 14302 3555 14308 3567
+rect 14360 3564 14366 3567
+rect 14360 3558 14437 3564
+rect 5553 3551 14308 3555
+rect 5553 3517 6877 3551
+rect 6911 3517 8349 3551
+rect 8383 3517 9814 3551
+rect 9848 3517 11376 3551
+rect 11410 3517 12846 3551
+rect 12880 3517 14308 3551
+rect 5553 3515 14308 3517
+rect 5553 3513 14318 3515
+rect 5553 3508 5559 3513
+rect 6871 3505 6917 3513
+rect 8337 3511 8395 3513
+rect 9802 3511 9860 3513
+rect 11370 3505 11416 3513
+rect 12834 3511 12892 3513
+rect 14306 3510 14318 3513
+rect 14366 3510 14437 3558
+rect 14306 3504 14437 3510
+rect 6611 3478 6669 3484
+rect 5503 3457 5749 3463
+rect 5503 3413 5515 3457
+rect 5559 3413 5749 3457
+rect 5503 3407 5749 3413
+rect 5805 3407 5811 3463
+rect 6611 3444 6623 3478
+rect 6657 3475 6669 3478
+rect 6948 3478 7006 3484
+rect 6948 3475 6960 3478
+rect 6657 3447 6960 3475
+rect 6657 3444 6669 3447
+rect 6611 3438 6669 3444
+rect 6948 3444 6960 3447
+rect 6994 3444 7006 3478
+rect 6948 3438 7006 3444
+rect 8083 3478 8141 3484
+rect 8083 3444 8095 3478
+rect 8129 3475 8141 3478
+rect 8420 3478 8478 3484
+rect 8420 3475 8432 3478
+rect 8129 3447 8432 3475
+rect 8129 3444 8141 3447
+rect 8083 3438 8141 3444
+rect 8420 3444 8432 3447
+rect 8466 3444 8478 3478
+rect 8420 3438 8478 3444
+rect 9555 3478 9613 3484
+rect 9555 3444 9567 3478
+rect 9601 3475 9613 3478
+rect 11119 3478 11177 3484
+rect 9601 3452 10060 3475
+rect 9601 3447 10069 3452
+rect 9601 3444 9613 3447
+rect 9555 3438 9613 3444
+rect 10023 3440 10069 3447
+rect 10023 3406 10029 3440
+rect 10063 3406 10069 3440
+rect 11119 3444 11131 3478
+rect 11165 3475 11177 3478
+rect 11456 3478 11514 3484
+rect 11456 3475 11468 3478
+rect 11165 3447 11468 3475
+rect 11165 3444 11177 3447
+rect 11119 3438 11177 3444
+rect 11456 3444 11468 3447
+rect 11502 3444 11514 3478
+rect 11456 3438 11514 3444
+rect 12591 3478 12649 3484
+rect 12591 3444 12603 3478
+rect 12637 3475 12649 3478
+rect 12928 3478 12986 3484
+rect 12928 3475 12940 3478
+rect 12637 3447 12940 3475
+rect 12637 3444 12649 3447
+rect 12591 3438 12649 3444
+rect 12928 3444 12940 3447
+rect 12974 3444 12986 3478
+rect 12928 3438 12986 3444
+rect 14059 3433 14068 3485
+rect 14120 3433 14126 3485
+rect 10023 3394 10069 3406
+rect 5743 3162 5749 3172
+rect 5737 3116 5749 3162
+rect 5805 3162 5811 3172
+rect 5805 3116 5817 3162
+rect 6888 3156 6946 3162
+rect 6888 3122 6900 3156
+rect 6934 3153 6946 3156
+rect 7225 3156 7283 3162
+rect 7225 3153 7237 3156
+rect 6934 3125 7237 3153
+rect 6934 3122 6946 3125
+rect 6888 3116 6946 3122
+rect 7225 3122 7237 3125
+rect 7271 3122 7283 3156
+rect 7225 3116 7283 3122
+rect 8360 3156 8418 3162
+rect 8360 3122 8372 3156
+rect 8406 3153 8418 3156
+rect 8697 3156 8755 3162
+rect 8697 3153 8709 3156
+rect 8406 3125 8709 3153
+rect 8406 3122 8418 3125
+rect 8360 3116 8418 3122
+rect 8697 3122 8709 3125
+rect 8743 3122 8755 3156
+rect 8697 3116 8755 3122
+rect 9832 3156 9890 3162
+rect 9832 3122 9844 3156
+rect 9878 3153 9890 3156
+rect 10261 3156 10319 3162
+rect 10261 3153 10273 3156
+rect 9878 3125 10273 3153
+rect 9878 3122 9890 3125
+rect 9832 3116 9890 3122
+rect 10261 3122 10273 3125
+rect 10307 3122 10319 3156
+rect 10261 3116 10319 3122
+rect 11396 3156 11454 3162
+rect 11396 3122 11408 3156
+rect 11442 3153 11454 3156
+rect 11733 3156 11791 3162
+rect 11733 3153 11745 3156
+rect 11442 3125 11745 3153
+rect 11442 3122 11454 3125
+rect 11396 3116 11454 3122
+rect 11733 3122 11745 3125
+rect 11779 3122 11791 3156
+rect 11733 3116 11791 3122
+rect 12868 3156 12926 3162
+rect 12868 3122 12880 3156
+rect 12914 3153 12926 3156
+rect 13205 3156 13263 3162
+rect 13205 3153 13217 3156
+rect 12914 3125 13217 3153
+rect 12914 3122 12926 3125
+rect 12868 3116 12926 3122
+rect 13205 3122 13217 3125
+rect 13251 3122 13263 3156
+rect 13205 3116 13263 3122
+rect 5504 3096 5510 3100
+rect 5437 3090 5510 3096
+rect 5437 3042 5508 3090
+rect 5562 3087 5568 3100
+rect 6982 3087 7040 3089
+rect 8458 3087 8504 3095
+rect 10014 3087 10072 3089
+rect 11479 3087 11537 3089
+rect 12957 3087 13003 3095
+rect 14302 3087 14308 3092
+rect 5562 3083 14308 3087
+rect 5562 3049 6994 3083
+rect 7028 3049 8464 3083
+rect 8498 3049 10026 3083
+rect 10060 3049 11491 3083
+rect 11525 3049 12963 3083
+rect 12997 3049 14308 3083
+rect 5562 3048 14308 3049
+rect 5556 3045 14308 3048
+rect 5556 3042 5568 3045
+rect 6982 3043 7040 3045
+rect 5437 3036 5568 3042
+rect 8458 3037 8504 3045
+rect 10014 3043 10072 3045
+rect 11479 3043 11537 3045
+rect 12957 3037 13003 3045
+rect 14302 3040 14308 3045
+rect 14360 3040 14366 3092
+rect 14062 2951 14068 3003
+rect 14120 2994 14126 3003
+rect 14310 2994 14368 3000
+rect 14120 2960 14322 2994
+rect 14356 2960 14368 2994
+rect 14120 2951 14126 2960
+rect 14310 2954 14368 2960
+rect 5120 2492 5126 2544
+rect 5178 2492 5184 2544
+rect 4123 2470 4187 2476
+rect 4123 2418 4129 2470
+rect 4181 2418 4187 2470
+rect 5244 2432 5250 2484
+rect 5302 2432 5308 2484
+rect 14316 2476 14322 2478
+rect 5250 2426 5302 2432
+rect 5504 2420 5510 2472
+rect 5562 2467 5568 2472
+rect 6871 2467 6917 2475
+rect 8337 2467 8395 2469
+rect 9802 2467 9860 2469
+rect 11370 2467 11416 2475
+rect 14306 2470 14322 2476
+rect 14374 2476 14380 2478
+rect 12834 2467 12892 2469
+rect 14306 2467 14318 2470
+rect 5562 2463 14318 2467
+rect 5562 2429 6877 2463
+rect 6911 2429 8349 2463
+rect 8383 2429 9814 2463
+rect 9848 2429 11376 2463
+rect 11410 2429 12846 2463
+rect 12880 2429 14318 2463
+rect 5562 2425 14318 2429
+rect 14374 2426 14437 2476
+rect 5562 2420 5568 2425
+rect 4123 2412 4187 2418
+rect 6871 2417 6917 2425
+rect 8337 2423 8395 2425
+rect 9802 2423 9860 2425
+rect 11370 2417 11416 2425
+rect 12834 2423 12892 2425
+rect 14306 2422 14318 2425
+rect 14366 2422 14437 2426
+rect 14306 2416 14437 2422
+rect 6611 2390 6669 2396
+rect 3737 2377 3802 2383
+rect 4464 2377 4470 2383
+rect 3586 2336 3749 2377
+rect 3790 2336 4470 2377
+rect 3737 2330 3802 2336
+rect 4464 2331 4470 2336
+rect 4522 2331 4528 2383
+rect 5503 2369 5749 2375
+rect 5503 2325 5515 2369
+rect 5559 2325 5749 2369
+rect 5503 2319 5749 2325
+rect 5805 2319 5811 2375
+rect 6611 2356 6623 2390
+rect 6657 2387 6669 2390
+rect 6948 2390 7006 2396
+rect 6948 2387 6960 2390
+rect 6657 2359 6960 2387
+rect 6657 2356 6669 2359
+rect 6611 2350 6669 2356
+rect 6948 2356 6960 2359
+rect 6994 2356 7006 2390
+rect 6948 2350 7006 2356
+rect 8083 2390 8141 2396
+rect 8083 2356 8095 2390
+rect 8129 2387 8141 2390
+rect 8420 2390 8478 2396
+rect 8420 2387 8432 2390
+rect 8129 2359 8432 2387
+rect 8129 2356 8141 2359
+rect 8083 2350 8141 2356
+rect 8420 2356 8432 2359
+rect 8466 2356 8478 2390
+rect 8420 2350 8478 2356
+rect 9555 2390 9613 2396
+rect 9555 2356 9567 2390
+rect 9601 2387 9613 2390
+rect 11119 2390 11177 2396
+rect 9601 2371 10060 2387
+rect 9601 2359 10069 2371
+rect 9601 2356 9613 2359
+rect 9555 2350 9613 2356
+rect 10023 2325 10029 2359
+rect 10063 2325 10069 2359
+rect 11119 2356 11131 2390
+rect 11165 2387 11177 2390
+rect 11456 2390 11514 2396
+rect 11456 2387 11468 2390
+rect 11165 2359 11468 2387
+rect 11165 2356 11177 2359
+rect 11119 2350 11177 2356
+rect 11456 2356 11468 2359
+rect 11502 2356 11514 2390
+rect 11456 2350 11514 2356
+rect 12591 2390 12649 2396
+rect 12591 2356 12603 2390
+rect 12637 2387 12649 2390
+rect 12928 2390 12986 2396
+rect 12928 2387 12940 2390
+rect 12637 2359 12940 2387
+rect 12637 2356 12649 2359
+rect 12591 2350 12649 2356
+rect 12928 2356 12940 2359
+rect 12974 2356 12986 2390
+rect 12928 2350 12986 2356
+rect 14059 2345 14068 2397
+rect 14120 2345 14126 2397
+rect 10023 2313 10069 2325
+rect 4465 2082 4471 2134
+rect 4523 2129 4529 2134
+rect 4523 2088 5791 2129
+rect 4523 2082 4529 2088
+rect 5742 2080 5791 2088
+rect 5742 2069 5794 2080
+rect 5742 2068 5800 2069
 rect 3719 1972 3725 2040
 rect 3793 1972 3799 2040
+rect 5742 2034 5754 2068
+rect 5788 2034 5800 2068
+rect 5742 2027 5800 2034
+rect 6888 2068 6946 2074
+rect 6888 2034 6900 2068
+rect 6934 2065 6946 2068
+rect 7224 2068 7283 2074
+rect 7224 2065 7236 2068
+rect 6934 2037 7236 2065
+rect 6934 2034 6946 2037
+rect 6888 2028 6946 2034
+rect 7224 2034 7236 2037
+rect 7270 2034 7283 2068
+rect 7224 2028 7283 2034
+rect 8360 2068 8418 2074
+rect 8360 2034 8372 2068
+rect 8406 2065 8418 2068
+rect 8697 2068 8755 2074
+rect 8697 2065 8709 2068
+rect 8406 2037 8709 2065
+rect 8406 2034 8418 2037
+rect 8360 2028 8418 2034
+rect 8697 2034 8709 2037
+rect 8743 2034 8755 2068
+rect 8697 2028 8755 2034
+rect 9832 2068 9890 2074
+rect 9832 2034 9844 2068
+rect 9878 2065 9890 2068
+rect 10261 2068 10319 2074
+rect 10261 2065 10273 2068
+rect 9878 2037 10273 2065
+rect 9878 2034 9890 2037
+rect 9832 2028 9890 2034
+rect 10261 2034 10273 2037
+rect 10307 2034 10319 2068
+rect 10261 2028 10319 2034
+rect 11396 2068 11454 2074
+rect 11396 2034 11408 2068
+rect 11442 2065 11454 2068
+rect 11733 2068 11791 2074
+rect 11733 2065 11745 2068
+rect 11442 2037 11745 2065
+rect 11442 2034 11454 2037
+rect 11396 2028 11454 2034
+rect 11733 2034 11745 2037
+rect 11779 2034 11791 2068
+rect 11733 2028 11791 2034
+rect 12868 2068 12926 2074
+rect 12868 2034 12880 2068
+rect 12914 2065 12926 2068
+rect 13205 2068 13263 2074
+rect 13205 2065 13217 2068
+rect 12914 2037 13217 2065
+rect 12914 2034 12926 2037
+rect 12868 2028 12926 2034
+rect 13205 2034 13217 2037
+rect 13251 2034 13263 2068
+rect 13205 2028 13263 2034
 rect 3898 2004 3969 2010
+rect 4564 2008 4636 2020
 rect 3898 1957 3910 2004
 rect 3957 1998 4166 2004
 rect 3957 1963 4119 1998
 rect 4154 1963 4166 1998
 rect 3957 1957 4166 1963
 rect 3898 1951 3969 1957
+rect 4564 1948 4570 2008
+rect 4630 2002 5568 2008
+rect 4630 1954 5508 2002
+rect 5556 1999 5568 2002
+rect 6982 1999 7040 2001
+rect 8458 1999 8504 2007
+rect 10014 1999 10072 2001
+rect 11479 1999 11537 2001
+rect 12957 1999 13003 2007
+rect 14316 1999 14322 2004
+rect 5556 1995 14322 1999
+rect 5556 1961 6994 1995
+rect 7028 1961 8464 1995
+rect 8498 1961 10026 1995
+rect 10060 1961 11491 1995
+rect 11525 1961 12963 1995
+rect 12997 1961 14322 1995
+rect 5556 1957 14322 1961
+rect 5556 1954 5568 1957
+rect 6982 1955 7040 1957
+rect 4630 1948 5568 1954
+rect 8458 1949 8504 1957
+rect 10014 1955 10072 1957
+rect 11479 1955 11537 1957
+rect 12957 1949 13003 1957
+rect 14316 1952 14322 1957
+rect 14374 1952 14380 2004
+rect 4564 1936 4636 1948
+rect 14062 1863 14068 1915
+rect 14120 1906 14126 1915
+rect 14310 1906 14368 1912
+rect 14120 1872 14322 1906
+rect 14356 1872 14368 1906
+rect 14120 1863 14126 1872
+rect 14310 1866 14368 1872
+rect 5251 1851 5303 1857
+rect 5251 1793 5303 1799
+rect 5260 1784 5303 1793
+rect 6861 1784 6919 1790
+rect 5260 1750 6873 1784
+rect 6907 1750 6919 1784
+rect 6861 1744 6919 1750
 rect 3276 1583 3334 1589
 rect 4108 1583 4114 1592
 rect 47 1552 3288 1583
@@ -510,11 +1147,102 @@
 rect 1106 -227 1112 -175
 rect 1164 -227 1170 -175
 << via1 >>
+rect 13532 4884 13992 4980
+rect 4129 4566 4181 4618
+rect 11393 4562 11445 4614
+rect 14064 4611 14116 4617
+rect 14064 4571 14070 4611
+rect 14070 4571 14110 4611
+rect 14110 4571 14116 4611
+rect 14064 4565 14116 4571
+rect 14311 4660 14363 4666
+rect 14311 4620 14317 4660
+rect 14317 4620 14357 4660
+rect 14357 4620 14363 4660
+rect 14311 4614 14363 4620
+rect 5126 4472 5178 4524
+rect 8317 4340 9083 4436
+rect 11792 4340 12558 4436
+rect 5749 4244 5805 4260
+rect 5749 4210 5805 4244
+rect 5749 4204 5805 4210
+rect 11393 4244 11445 4279
+rect 11393 4227 11408 4244
+rect 11408 4227 11442 4244
+rect 11442 4227 11445 4244
+rect 5501 4178 5553 4188
+rect 5501 4136 5508 4178
+rect 5508 4136 5553 4178
+rect 14311 4128 14363 4180
+rect 14064 4002 14116 4054
+rect 6542 3796 7308 3892
+rect 10037 3796 10803 3892
+rect 13532 3796 13992 3892
+rect 5501 3508 5553 3560
+rect 14308 3558 14360 3567
+rect 14308 3515 14318 3558
+rect 14318 3515 14360 3558
+rect 5749 3407 5805 3463
+rect 14068 3479 14120 3485
+rect 14068 3445 14071 3479
+rect 14071 3445 14114 3479
+rect 14114 3445 14120 3479
+rect 14068 3433 14120 3445
+rect 8317 3252 9083 3348
+rect 11792 3252 12558 3348
+rect 5749 3156 5805 3172
+rect 5749 3122 5805 3156
+rect 5749 3116 5805 3122
+rect 5510 3090 5562 3100
+rect 5510 3048 5556 3090
+rect 5556 3048 5562 3090
+rect 14308 3040 14360 3092
+rect 14068 2951 14120 3003
+rect 6542 2708 7308 2804
+rect 10037 2708 10803 2804
+rect 13532 2708 13992 2804
+rect 5126 2535 5178 2544
+rect 5126 2501 5135 2535
+rect 5135 2501 5169 2535
+rect 5169 2501 5178 2535
+rect 5126 2492 5178 2501
+rect 4129 2461 4181 2470
+rect 4129 2427 4138 2461
+rect 4138 2427 4172 2461
+rect 4172 2427 4181 2461
+rect 4129 2418 4181 2427
+rect 5250 2472 5302 2484
+rect 5250 2438 5256 2472
+rect 5256 2438 5296 2472
+rect 5296 2438 5302 2472
+rect 5250 2432 5302 2438
+rect 5510 2420 5562 2472
+rect 14322 2470 14374 2478
+rect 14322 2426 14366 2470
+rect 14366 2426 14374 2470
+rect 4470 2331 4522 2383
+rect 5749 2319 5805 2375
+rect 14068 2391 14120 2397
+rect 14068 2357 14071 2391
+rect 14071 2357 14114 2391
+rect 14114 2357 14120 2391
+rect 14068 2345 14120 2357
+rect 8317 2164 9083 2260
+rect 11792 2164 12558 2260
+rect 4471 2082 4523 2134
 rect 3725 2034 3793 2040
 rect 3725 1978 3731 2034
 rect 3731 1978 3787 2034
 rect 3787 1978 3793 2034
 rect 3725 1972 3793 1978
+rect 14322 1952 14374 2004
+rect 14068 1863 14120 1915
+rect 5251 1799 5303 1851
+rect 262 1620 939 1716
+rect 2434 1620 3000 1716
+rect 6542 1620 7308 1716
+rect 10037 1620 10803 1716
+rect 13532 1620 13992 1716
 rect 4114 1540 4166 1592
 rect 3109 1472 3161 1524
 rect 4491 1540 4543 1592
@@ -629,6 +1357,10 @@
 rect 15155 1217 15189 1251
 rect 15189 1217 15198 1251
 rect 15146 1208 15198 1217
+rect 1272 1076 2134 1172
+rect 4798 1076 5695 1172
+rect 8317 1076 9083 1172
+rect 11792 1076 12558 1172
 rect 2273 925 2343 931
 rect 2273 867 2279 925
 rect 2279 867 2337 925
@@ -710,6 +1442,11 @@
 rect 15192 822 15198 862
 rect 15146 816 15198 822
 rect 3725 699 3793 767
+rect 262 532 939 628
+rect 2434 532 3000 628
+rect 6542 532 7308 628
+rect 10037 532 10803 628
+rect 13532 532 13992 628
 rect 60 301 119 307
 rect 60 254 66 301
 rect 66 254 113 301
@@ -749,14 +1486,65 @@
 rect 14514 265 14520 311
 rect 14520 265 14569 311
 rect 14569 265 14575 311
+rect 1272 -12 2134 84
+rect 4798 -12 5695 84
+rect 8317 -12 9083 84
+rect 11792 -12 12558 84
 rect 60 -198 119 -139
 rect 1112 -181 1164 -175
 rect 1112 -221 1118 -181
 rect 1118 -221 1158 -181
 rect 1158 -221 1164 -181
 rect 1112 -227 1164 -221
+rect 262 -556 939 -460
 << metal2 >>
+rect 13532 4980 13992 4986
+rect 4129 4618 4181 4624
+rect 4129 4560 4181 4566
+rect 11393 4614 11445 4620
+rect 4138 2476 4172 4560
+rect 11393 4556 11445 4562
+rect 5126 4524 5178 4530
+rect 5126 4466 5178 4472
+rect 5135 2550 5169 4466
+rect 8317 4436 9083 4442
+rect 5749 4260 5805 4266
+rect 5501 4188 5553 4194
+rect 5501 4130 5553 4136
+rect 5506 3566 5548 4130
+rect 5501 3560 5553 3566
+rect 5501 3502 5553 3508
+rect 5749 3463 5805 4204
+rect 5749 3401 5805 3407
+rect 6542 3892 7308 4436
+rect 5749 3172 5805 3178
+rect 5510 3100 5562 3106
+rect 5510 3042 5562 3048
+rect 5126 2544 5178 2550
+rect 5126 2486 5178 2492
+rect 5250 2484 5302 2490
+rect 4123 2470 4187 2476
+rect 4123 2418 4129 2470
+rect 4181 2418 4187 2470
+rect 5515 2478 5557 3042
+rect 5250 2426 5302 2432
+rect 5510 2472 5562 2478
+rect 4123 2412 4187 2418
+rect 4470 2383 4522 2389
+rect 4470 2325 4522 2331
+rect 4476 2140 4517 2325
+rect 4471 2134 4523 2140
+rect 4471 2076 4523 2082
 rect 3725 2040 3793 2046
+rect 262 1716 939 1722
+rect 2434 1716 3000 1722
+rect 262 628 939 1081
+rect 60 307 119 313
+rect 60 -139 119 248
+rect 60 -204 119 -198
+rect 262 -460 939 532
+rect 1272 1172 2134 1716
+rect 1272 623 2134 1076
 rect 3103 1472 3109 1524
 rect 3161 1472 3167 1524
 rect 3118 1376 3152 1472
@@ -764,178 +1552,331 @@
 rect 3109 1312 3161 1318
 rect 3482 1304 3534 1310
 rect 3482 1246 3534 1252
+rect 1112 279 1164 285
+rect 1112 221 1164 227
+rect 1112 -169 1163 221
 rect 2273 931 2343 937
+rect 2273 320 2343 861
+rect 2434 628 3000 1081
 rect 3491 928 3525 1246
 rect 3482 922 3534 928
 rect 3482 864 3534 870
-rect 2273 320 2343 861
 rect 3491 858 3525 864
 rect 3725 767 3793 1972
+rect 5259 1851 5293 2426
+rect 5510 2414 5562 2420
+rect 5749 2375 5805 3116
+rect 5749 2313 5805 2319
+rect 6542 2804 7308 3257
+rect 5245 1799 5251 1851
+rect 5303 1799 5309 1851
+rect 6542 1716 7308 2708
 rect 4114 1592 4166 1598
 rect 4114 1534 4166 1540
 rect 4491 1592 4543 1598
 rect 4491 1534 4543 1540
-rect 7976 1592 8028 1598
-rect 11466 1540 11472 1592
-rect 11524 1540 11530 1592
-rect 13030 1540 13036 1592
-rect 13088 1540 13094 1592
-rect 15153 1540 15159 1592
-rect 15211 1540 15217 1592
-rect 7976 1534 8028 1540
 rect 4123 1394 4157 1534
 rect 4114 1388 4166 1394
 rect 4500 1391 4534 1534
-rect 5872 1524 5924 1530
-rect 7866 1472 7872 1524
-rect 7924 1472 7930 1524
-rect 5872 1466 5924 1472
-rect 5881 1394 5915 1466
-rect 7881 1394 7915 1472
-rect 7985 1394 8019 1534
-rect 11290 1524 11342 1530
-rect 9639 1472 9645 1524
-rect 9697 1472 9703 1524
-rect 9654 1394 9688 1472
-rect 11290 1466 11342 1472
-rect 11299 1394 11333 1466
-rect 11481 1394 11515 1540
-rect 13045 1394 13079 1540
-rect 13217 1472 13223 1524
-rect 13275 1472 13281 1524
-rect 14874 1472 14880 1524
-rect 14932 1472 14938 1524
-rect 13232 1394 13266 1472
-rect 14889 1394 14923 1472
-rect 15168 1394 15202 1540
 rect 4114 1327 4166 1333
 rect 4491 1385 4543 1391
 rect 4491 1327 4543 1333
-rect 5872 1388 5924 1394
-rect 5872 1330 5924 1336
-rect 7872 1388 7924 1394
-rect 7872 1330 7924 1336
-rect 7976 1388 8028 1394
-rect 7976 1330 8028 1336
-rect 9645 1388 9697 1394
-rect 9645 1330 9697 1336
-rect 11290 1388 11342 1394
-rect 11290 1330 11342 1336
-rect 11472 1388 11524 1394
-rect 11472 1330 11524 1336
-rect 13036 1388 13088 1394
-rect 13036 1330 13088 1336
-rect 13223 1388 13275 1394
-rect 13223 1330 13275 1336
-rect 14880 1388 14932 1394
-rect 14880 1330 14932 1336
-rect 15159 1388 15211 1394
-rect 15159 1330 15211 1336
 rect 4673 1310 4737 1316
 rect 4673 1258 4679 1310
 rect 4731 1258 4737 1310
 rect 4673 1252 4737 1258
+rect 4031 928 4083 934
+rect 4680 912 4730 1252
+rect 4798 1172 5695 1716
+rect 5872 1524 5924 1530
+rect 5872 1466 5924 1472
+rect 5881 1394 5915 1466
+rect 5872 1388 5924 1394
+rect 5872 1330 5924 1336
 rect 6421 1310 6485 1316
 rect 6421 1258 6427 1310
 rect 6479 1258 6485 1310
-rect 9917 1310 9981 1316
 rect 6421 1252 6485 1258
-rect 8148 1293 8230 1299
-rect 4031 928 4083 934
-rect 4680 912 4730 1252
-rect 5779 928 5831 934
 rect 4031 870 4083 876
 rect 4679 906 4731 912
 rect 3719 699 3725 767
 rect 3793 699 3799 767
 rect 2261 314 2355 320
+rect 2261 232 2267 314
+rect 2349 232 2355 314
+rect 2261 226 2355 232
+rect 2434 -12 3000 532
 rect 4032 317 4081 870
+rect 4679 848 4731 854
+rect 4798 623 5695 1076
+rect 5779 928 5831 934
 rect 6428 912 6478 1252
+rect 8317 3348 9083 4340
+rect 8317 2799 9083 3252
+rect 7976 1592 8028 1598
+rect 7976 1534 8028 1540
+rect 7866 1472 7872 1524
+rect 7924 1472 7930 1524
+rect 7881 1394 7915 1472
+rect 7985 1394 8019 1534
+rect 7872 1388 7924 1394
+rect 7872 1330 7924 1336
+rect 7976 1388 8028 1394
+rect 7976 1330 8028 1336
+rect 8148 1293 8230 1299
 rect 8148 1223 8154 1293
 rect 8224 1223 8230 1293
+rect 8148 1217 8230 1223
+rect 5779 870 5831 876
+rect 6427 906 6479 912
+rect 4020 265 4026 317
+rect 4087 265 4093 317
+rect 5780 317 5829 870
+rect 6427 848 6479 854
+rect 6542 628 7308 1081
+rect 7527 928 7579 934
+rect 7527 870 7579 876
+rect 8160 876 8218 1217
+rect 5768 265 5774 317
+rect 5835 265 5841 317
+rect 6542 -12 7308 532
+rect 7528 317 7577 870
+rect 8160 812 8218 818
+rect 8317 1172 9083 2164
+rect 10037 3892 10803 4436
+rect 11405 4279 11433 4556
+rect 11792 4436 12558 4442
+rect 11387 4227 11393 4279
+rect 11445 4227 11451 4279
+rect 10037 2804 10803 3257
+rect 10037 1716 10803 2708
+rect 9639 1472 9645 1524
+rect 9697 1472 9703 1524
+rect 9654 1394 9688 1472
+rect 9645 1388 9697 1394
+rect 9645 1330 9697 1336
+rect 9917 1310 9981 1316
 rect 9917 1258 9923 1310
 rect 9975 1258 9981 1310
 rect 9917 1252 9981 1258
-rect 11644 1293 11726 1299
-rect 8148 1217 8230 1223
-rect 7527 928 7579 934
-rect 5779 870 5831 876
-rect 6427 906 6479 912
-rect 4679 848 4731 854
-rect 5780 317 5829 870
-rect 7527 870 7579 876
-rect 8160 876 8218 1217
-rect 6427 848 6479 854
-rect 7528 317 7577 870
+rect 8317 623 9083 1076
 rect 9275 928 9327 934
 rect 9924 912 9974 1252
+rect 11792 3348 12558 4340
+rect 11792 2799 12558 3252
+rect 11466 1540 11472 1592
+rect 11524 1540 11530 1592
+rect 11290 1524 11342 1530
+rect 11290 1466 11342 1472
+rect 11299 1394 11333 1466
+rect 11481 1394 11515 1540
+rect 11290 1388 11342 1394
+rect 11290 1330 11342 1336
+rect 11472 1388 11524 1394
+rect 11472 1330 11524 1336
+rect 11644 1293 11726 1299
 rect 11644 1223 11650 1293
 rect 11720 1223 11726 1293
 rect 11644 1217 11726 1223
+rect 9275 870 9327 876
+rect 9923 906 9975 912
+rect 7516 265 7522 317
+rect 7583 265 7589 317
+rect 9276 317 9325 870
+rect 9923 848 9975 854
+rect 10037 628 10803 1081
+rect 11023 928 11075 934
+rect 11023 870 11075 876
+rect 11656 876 11714 1217
+rect 9264 265 9270 317
+rect 9331 265 9337 317
+rect 10037 -12 10803 532
+rect 11024 317 11073 870
+rect 11656 812 11714 818
+rect 11792 1172 12558 2164
+rect 13532 3892 13992 4884
+rect 14316 4672 14358 4705
+rect 14311 4666 14363 4672
+rect 14064 4617 14116 4623
+rect 14311 4608 14363 4614
+rect 14064 4559 14116 4565
+rect 14073 4060 14107 4559
+rect 14316 4186 14358 4608
+rect 14311 4180 14363 4186
+rect 14311 4122 14363 4128
+rect 14064 4054 14116 4060
+rect 14064 3996 14116 4002
+rect 14308 3567 14360 3573
+rect 14308 3509 14360 3515
+rect 14068 3485 14120 3491
+rect 14068 3427 14120 3433
+rect 13532 2804 13992 3257
+rect 14077 3009 14111 3427
+rect 14313 3098 14355 3509
+rect 14308 3092 14360 3098
+rect 14308 3034 14360 3040
+rect 14068 3003 14120 3009
+rect 14068 2945 14120 2951
+rect 13532 1716 13992 2708
+rect 14322 2478 14374 2484
+rect 14322 2420 14374 2426
+rect 14068 2397 14120 2403
+rect 14068 2339 14120 2345
+rect 14077 1921 14111 2339
+rect 14327 2010 14369 2420
+rect 14322 2004 14374 2010
+rect 14322 1946 14374 1952
+rect 14068 1915 14120 1921
+rect 14068 1857 14120 1863
+rect 13030 1540 13036 1592
+rect 13088 1540 13094 1592
+rect 13045 1394 13079 1540
+rect 13217 1472 13223 1524
+rect 13275 1472 13281 1524
+rect 13232 1394 13266 1472
+rect 13036 1388 13088 1394
+rect 13036 1330 13088 1336
+rect 13223 1388 13275 1394
+rect 13223 1330 13275 1336
 rect 13392 1293 13474 1299
 rect 13392 1223 13398 1293
 rect 13468 1223 13474 1293
 rect 13392 1217 13474 1223
-rect 11023 928 11075 934
-rect 9275 870 9327 876
-rect 9923 906 9975 912
-rect 8160 812 8218 818
-rect 9276 317 9325 870
-rect 11023 870 11075 876
-rect 11656 876 11714 1217
-rect 9923 848 9975 854
-rect 11024 317 11073 870
+rect 11792 623 12558 1076
 rect 12771 928 12823 934
 rect 12771 870 12823 876
 rect 13404 876 13462 1217
+rect 11012 265 11018 317
+rect 11079 265 11085 317
+rect 12772 317 12821 870
+rect 13404 812 13462 818
+rect 15153 1540 15159 1592
+rect 15211 1540 15217 1592
+rect 14874 1472 14880 1524
+rect 14932 1472 14938 1524
+rect 14889 1394 14923 1472
+rect 15168 1394 15202 1540
+rect 14880 1388 14932 1394
+rect 14880 1330 14932 1336
+rect 15159 1388 15211 1394
+rect 15159 1330 15211 1336
 rect 15140 1208 15146 1260
 rect 15198 1208 15204 1260
-rect 11656 812 11714 818
-rect 12772 317 12821 870
+rect 13532 628 13992 1081
 rect 14519 928 14571 934
 rect 14519 870 14571 876
 rect 15147 874 15197 1208
-rect 13404 812 13462 818
+rect 12760 265 12766 317
+rect 12827 265 12833 317
+rect 13532 -12 13992 532
 rect 14520 317 14569 870
 rect 15146 868 15198 874
 rect 15146 810 15198 816
-rect 60 307 119 313
-rect 60 -139 119 248
-rect 60 -204 119 -198
-rect 1112 279 1164 285
-rect 1112 221 1164 227
-rect 2261 232 2267 314
-rect 2349 232 2355 314
-rect 4020 265 4026 317
-rect 4087 265 4093 317
-rect 5768 265 5774 317
-rect 5835 265 5841 317
-rect 7516 265 7522 317
-rect 7583 265 7589 317
-rect 9264 265 9270 317
-rect 9331 265 9337 317
-rect 11012 265 11018 317
-rect 11079 265 11085 317
-rect 12760 265 12766 317
-rect 12827 265 12833 317
 rect 14508 265 14514 317
 rect 14575 265 14581 317
-rect 2261 226 2355 232
-rect 1112 -169 1163 221
+rect 1272 -18 2134 -12
+rect 4798 -18 5695 -12
+rect 8317 -18 9083 -12
+rect 11792 -18 12558 -12
 rect 1112 -175 1164 -169
 rect 1112 -233 1164 -227
+rect 262 -562 939 -556
+<< via2 >>
+rect 6542 3796 7308 3887
+rect 6542 3257 7308 3796
+rect 262 1620 939 1711
+rect 262 1081 939 1620
+rect 2434 1620 3000 1711
+rect 2434 1081 3000 1620
+rect 1272 84 2134 623
+rect 6542 1620 7308 1711
+rect 1272 -7 2134 84
+rect 6542 1081 7308 1620
+rect 8317 2260 9083 2799
+rect 8317 2169 9083 2260
+rect 4798 84 5695 623
+rect 4798 -7 5695 84
+rect 10037 3796 10803 3887
+rect 10037 3257 10803 3796
+rect 10037 1620 10803 1711
+rect 10037 1081 10803 1620
+rect 11792 2260 12558 2799
+rect 11792 2169 12558 2260
+rect 8317 84 9083 623
+rect 8317 -7 9083 84
+rect 13532 3796 13992 3887
+rect 13532 3257 13992 3796
+rect 13532 1620 13992 1711
+rect 11792 84 12558 623
+rect 13532 1081 13992 1620
+rect 11792 -7 12558 84
+<< metal3 >>
+rect 5383 3887 8074 3892
+rect 5383 3257 6542 3887
+rect 7308 3257 8074 3887
+rect 5383 3252 8074 3257
+rect 14485 3252 14491 3892
+rect 3727 2164 3734 2804
+rect 7825 2799 14491 2804
+rect 7825 2169 8317 2799
+rect 9083 2169 11792 2799
+rect 12558 2169 14491 2799
+rect 7825 2164 14491 2169
+rect 47 1711 8074 1716
+rect 47 1081 262 1711
+rect 939 1081 2434 1711
+rect 3000 1081 6542 1711
+rect 7308 1081 8074 1711
+rect 47 1076 8074 1081
+rect 15221 1076 15227 1716
+rect 47 -12 53 628
+rect 7825 623 15227 628
+rect 7825 -7 8317 623
+rect 9083 -7 11792 623
+rect 12558 -7 15227 623
+rect 7825 -12 15227 -7
+<< via3 >>
+rect 8074 3887 14485 3892
+rect 8074 3257 10037 3887
+rect 10037 3257 10803 3887
+rect 10803 3257 13532 3887
+rect 13532 3257 13992 3887
+rect 13992 3257 14485 3887
+rect 8074 3252 14485 3257
+rect 3734 2164 7825 2804
+rect 8074 1711 15221 1716
+rect 8074 1081 10037 1711
+rect 10037 1081 10803 1711
+rect 10803 1081 13532 1711
+rect 13532 1081 13992 1711
+rect 13992 1081 15221 1711
+rect 8074 1076 15221 1081
+rect 53 623 7825 628
+rect 53 -7 1272 623
+rect 1272 -7 2134 623
+rect 2134 -7 4798 623
+rect 4798 -7 5695 623
+rect 5695 -7 7825 623
+rect 53 -12 7825 -7
+<< metal4 >>
+rect 47 2804 7831 4436
+rect 47 2164 3734 2804
+rect 7825 2164 7831 2804
+rect 47 628 7831 2164
+rect 47 -12 53 628
+rect 7825 -12 7831 628
+rect 47 -556 7831 -12
+rect 8068 3892 15852 4436
+rect 8068 3252 8074 3892
+rect 14485 3252 15852 3892
+rect 8068 1716 15852 3252
+rect 8068 1076 8074 1716
+rect 15221 1076 15852 1716
+rect 8068 -556 15852 1076
 use sky130_ef_sc_hd__fill_4  sky130_ef_sc_hd__fill_4_0 ~/proj/caravan-project/pdk/sky130A/libs.ref/sky130_fd_sc_hd/mag
 timestamp 28801
 transform 1 0 47 0 -1 36
 box -38 -48 406 592
-use sky130_ef_sc_hd__fill_12  sky130_ef_sc_hd__fill_12_0 ~/proj/caravan-project/pdk/sky130A/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1646787781
-transform 1 0 47 0 -1 1124
-box -38 -48 1142 592
-use sky130_ef_sc_hd__fill_12  sky130_ef_sc_hd__fill_12_1
-timestamp 1646787781
-transform 1 0 1151 0 -1 1124
-box -38 -48 1142 592
 use sky130_fd_sc_hd__clkbuf_1  sky130_fd_sc_hd__clkbuf_1_0 ~/proj/caravan-project/pdk/sky130A/libs.ref/sky130_fd_sc_hd/mag
 timestamp 1646787781
 transform -1 0 2255 0 1 36
@@ -1048,6 +1989,130 @@
 timestamp 1646787781
 transform 1 0 12743 0 1 36
 box -38 -48 1786 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_0 ~/proj/caravan-project/pdk/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646787781
+transform 1 0 5475 0 -1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_1
+timestamp 1646787781
+transform 1 0 6947 0 -1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_2
+timestamp 1646787781
+transform 1 0 8419 0 -1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_3
+timestamp 1646787781
+transform 1 0 9983 0 -1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_4
+timestamp 1646787781
+transform 1 0 11455 0 -1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_5
+timestamp 1646787781
+transform 1 0 12927 0 -1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_6
+timestamp 1646787781
+transform -1 0 14399 0 1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_7
+timestamp 1646787781
+transform -1 0 12927 0 1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_8
+timestamp 1646787781
+transform -1 0 11455 0 1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_9
+timestamp 1646787781
+transform -1 0 9891 0 1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_10
+timestamp 1646787781
+transform -1 0 8419 0 1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_11
+timestamp 1646787781
+transform -1 0 6947 0 1 2212
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_12
+timestamp 1646787781
+transform 1 0 5475 0 -1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_13
+timestamp 1646787781
+transform 1 0 6947 0 -1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_14
+timestamp 1646787781
+transform 1 0 8419 0 -1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_15
+timestamp 1646787781
+transform 1 0 9983 0 -1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_16
+timestamp 1646787781
+transform 1 0 11455 0 -1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_17
+timestamp 1646787781
+transform 1 0 12927 0 -1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_18
+timestamp 1646787781
+transform -1 0 14399 0 1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_19
+timestamp 1646787781
+transform -1 0 12927 0 1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_20
+timestamp 1646787781
+transform -1 0 11455 0 1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_21
+timestamp 1646787781
+transform -1 0 9891 0 1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_22
+timestamp 1646787781
+transform -1 0 8419 0 1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_23
+timestamp 1646787781
+transform -1 0 6947 0 1 3300
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_24
+timestamp 1646787781
+transform 1 0 5475 0 -1 4388
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_25
+timestamp 1646787781
+transform 1 0 6947 0 -1 4388
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_26
+timestamp 1646787781
+transform 1 0 8419 0 -1 4388
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_27
+timestamp 1646787781
+transform 1 0 9983 0 -1 4388
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_28
+timestamp 1646787781
+transform 1 0 11455 0 -1 4388
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_29
+timestamp 1646787781
+transform 1 0 12927 0 -1 4388
+box -38 -48 1510 592
+use sky130_fd_sc_hd__dfxtp_1  sky130_fd_sc_hd__dfxtp_1_30
+timestamp 1646787781
+transform -1 0 14399 0 1 4388
+box -38 -48 1510 592
 use sky130_fd_sc_hd__einvn_1  sky130_fd_sc_hd__einvn_1_0 ~/proj/caravan-project/pdk/sky130A/libs.ref/sky130_fd_sc_hd/mag
 timestamp 1646787781
 transform -1 0 4739 0 -1 1124
@@ -1229,19 +2294,92 @@
 transform 1 0 3083 0 1 1124
 box -38 -48 498 592
 use sky130_fd_sc_hd__or3b_1  sky130_fd_sc_hd__or3b_1_0 ~/proj/caravan-project/pdk/sky130A/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1646787781
+timestamp 1647018321
 transform 1 0 4095 0 1 1124
 box -38 -48 682 592
 use sky130_fd_sc_hd__or3b_1  sky130_fd_sc_hd__or3b_1_1
-timestamp 1646787781
+timestamp 1647018321
 transform 1 0 5843 0 1 1124
 box -38 -48 682 592
 use sky130_fd_sc_hd__or3b_1  sky130_fd_sc_hd__or3b_1_2
-timestamp 1646787781
+timestamp 1647018321
 transform 1 0 9339 0 1 1124
 box -38 -48 682 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_0 ~/proj/caravan-project/pdk/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646787781
+transform 1 0 1059 0 -1 1124
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_1
+timestamp 1646787781
+transform 1 0 1151 0 -1 36
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_2
+timestamp 1646787781
+transform 1 0 6487 0 -1 1124
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_3
+timestamp 1646787781
+transform 1 0 11731 0 -1 1124
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_4
+timestamp 1646787781
+transform 1 0 14215 0 -1 1124
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_5
+timestamp 1646787781
+transform 1 0 5383 0 -1 2212
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_6
+timestamp 1646787781
+transform 1 0 5383 0 -1 3300
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_7
+timestamp 1646787781
+transform 1 0 5383 0 -1 4388
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_8
+timestamp 1646787781
+transform 1 0 12835 0 1 1124
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_9
+timestamp 1646787781
+transform 1 0 9983 0 1 1124
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_10
+timestamp 1646787781
+transform 1 0 14399 0 1 4388
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_11
+timestamp 1646787781
+transform 1 0 14399 0 1 3300
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_12
+timestamp 1646787781
+transform 1 0 14399 0 1 2212
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_13
+timestamp 1646787781
+transform 1 0 9891 0 1 2212
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  sky130_fd_sc_hd__tapvpwrvgnd_1_14
+timestamp 1646787781
+transform 1 0 9891 0 1 3300
+box -38 -48 130 592
+use sky130_fd_sc_hd__xor3_1  sky130_fd_sc_hd__xor3_1_0 ~/proj/caravan-project/pdk/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646787781
+transform 1 0 3727 0 1 2212
+box -38 -48 1786 592
 << labels >>
 flabel metal1 s 47 1554 76 1583 1 FreeSerif 320 0 0 0 cfg_in[0]
+port 1 n
 flabel metal1 s 47 1486 76 1515 1 FreeSerif 320 0 0 0 cfg_in[1]
+port 2 n
 flabel metal1 s 47 1418 76 1447 1 FreeSerif 320 0 0 0 cfg_in[2]
+port 3 n
+flabel metal1 3586 2336 3627 2377 1 FreeSerif 320 0 0 0 dout
+port 4 n
+flabel metal4 47 -556 7831 4436 1 FreeSerif 3200 0 0 0 GND
+port 5 n
+flabel metal4 8068 -556 15852 4436 1 FreeSerif 3200 0 0 0 VDD
+port 6 n
 << end >>
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index d5cd7fd..1018c8b 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,12 +1,16 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1646892135
+timestamp 1647032025
 << checkpaint >>
-rect 5740 641398 8428 644558
-rect 14245 644248 50495 686919
-rect 14669 644232 17190 644248
-rect 52936 641398 55624 644558
+rect 11573 647230 53167 689591
+rect 3068 645581 11100 647230
+rect 11573 645581 58296 647230
+rect 3068 641576 58296 645581
+rect 3068 638726 29220 641576
+rect 50264 638726 58296 641576
+rect 5504 632731 29220 638726
+rect 5513 632169 29220 632731
 << metal1 >>
 rect 7241 643202 7251 643298
 rect 7469 643202 7479 643298
@@ -140,6 +144,23 @@
 rect 51629 642658 51639 642754
 rect 52873 642658 52883 642754
 rect 53101 642658 53111 642754
+rect 12881 641849 12887 641975
+rect 13013 641849 25640 641975
+rect 25766 641849 25772 641975
+rect 12881 638956 12887 639082
+rect 13013 638956 13105 639082
+rect 8603 638203 8609 638255
+rect 8661 638246 8667 638255
+rect 8661 638212 9631 638246
+rect 8661 638203 8667 638212
+rect 8883 638168 9643 638178
+rect 8874 638162 9643 638168
+rect 8926 638144 9643 638162
+rect 8874 638104 8926 638110
+rect 9056 638098 9576 638110
+rect 9047 638092 9576 638098
+rect 9099 638076 9576 638092
+rect 9047 638034 9099 638040
 << via1 >>
 rect 7251 643202 7469 643298
 rect 8723 643202 8941 643298
@@ -206,6 +227,12 @@
 rect 49939 642658 50157 642754
 rect 51411 642658 51629 642754
 rect 52883 642658 53101 642754
+rect 12887 641849 13013 641975
+rect 25640 641849 25766 641975
+rect 12887 638956 13013 639082
+rect 8609 638203 8661 638255
+rect 8874 638110 8926 638162
+rect 9047 638040 9099 638092
 << metal2 >>
 rect 45991 687785 46650 687794
 rect 31964 687750 32623 687759
@@ -266,24 +293,23 @@
 rect 26429 644998 26629 645284
 rect 26138 644993 26629 644998
 rect 26143 644989 26429 644993
-rect 26555 644971 26629 644993
-rect 26670 644853 26702 645540
+rect 26670 644960 26702 645540
 rect 26740 645324 26789 645557
 rect 26740 645226 26937 645324
 rect 26789 645146 26937 645226
 rect 26789 644989 26937 644998
-rect 26349 644819 26702 644853
-rect 26349 644696 26370 644819
-rect 26350 644507 26370 644635
-rect 26682 644507 26702 644819
-rect 26350 644490 26702 644507
-rect 26670 644481 26702 644490
+rect 26575 644864 26702 644960
+rect 26575 644531 26701 644864
+rect 26575 644415 26580 644531
+rect 26696 644415 26701 644531
 rect 27034 644499 27094 645568
 rect 27592 644600 27652 645600
 rect 33996 645431 34054 645576
 rect 33577 645373 34054 645431
 rect 27592 644540 32250 644600
 rect 27034 644439 30707 644499
+rect 26575 644410 26701 644415
+rect 26580 644406 26696 644410
 rect 20272 644244 29228 644302
 rect 20152 644144 27767 644202
 rect 20032 644029 26341 644087
@@ -307,11 +333,44 @@
 rect 23443 643298 23661 643308
 rect 21971 643192 22189 643202
 rect 24746 643236 24786 643934
+rect 25640 643858 25766 643867
 rect 24915 643298 25133 643308
 rect 23443 643192 23661 643202
+rect 24915 643192 25133 643202
+rect 7251 642754 7469 642764
+rect 7082 642601 7122 642699
+rect 7251 642648 7469 642658
+rect 8723 642754 8941 642764
+rect 8723 642648 8941 642658
+rect 10195 642754 10413 642764
+rect 10195 642648 10413 642658
+rect 11667 642754 11885 642764
+rect 11667 642648 11885 642658
+rect 13139 642754 13357 642764
+rect 13139 642648 13357 642658
+rect 14611 642754 14829 642764
+rect 14611 642648 14829 642658
+rect 16083 642754 16301 642764
+rect 16083 642648 16301 642658
+rect 17555 642754 17773 642764
+rect 17555 642648 17773 642658
+rect 19027 642754 19245 642764
+rect 19027 642648 19245 642658
+rect 20499 642754 20717 642764
+rect 20499 642648 20717 642658
+rect 21971 642754 22189 642764
+rect 21971 642648 22189 642658
+rect 23443 642754 23661 642764
+rect 23443 642648 23661 642658
+rect 24915 642754 25133 642764
+rect 24915 642648 25133 642658
+rect 6999 641447 7206 642601
+rect 6999 641231 7206 641240
+rect 12887 641975 13013 641981
+rect 12887 639082 13013 641849
+rect 25640 641975 25766 643732
 rect 26218 643236 26258 644029
 rect 26387 643298 26605 643308
-rect 24915 643192 25133 643202
 rect 27690 643236 27730 644144
 rect 27859 643298 28077 643308
 rect 26387 643192 26605 643202
@@ -398,33 +457,6 @@
 rect 52883 643192 53101 643202
 rect 54186 643102 54226 644961
 rect 57514 643052 57739 643069
-rect 7251 642754 7469 642764
-rect 7082 642601 7122 642699
-rect 7251 642648 7469 642658
-rect 8723 642754 8941 642764
-rect 8723 642648 8941 642658
-rect 10195 642754 10413 642764
-rect 10195 642648 10413 642658
-rect 11667 642754 11885 642764
-rect 11667 642648 11885 642658
-rect 13139 642754 13357 642764
-rect 13139 642648 13357 642658
-rect 14611 642754 14829 642764
-rect 14611 642648 14829 642658
-rect 16083 642754 16301 642764
-rect 16083 642648 16301 642658
-rect 17555 642754 17773 642764
-rect 17555 642648 17773 642658
-rect 19027 642754 19245 642764
-rect 19027 642648 19245 642658
-rect 20499 642754 20717 642764
-rect 20499 642648 20717 642658
-rect 21971 642754 22189 642764
-rect 21971 642648 22189 642658
-rect 23443 642754 23661 642764
-rect 23443 642648 23661 642658
-rect 24915 642754 25133 642764
-rect 24915 642648 25133 642658
 rect 26387 642754 26605 642764
 rect 26387 642648 26605 642658
 rect 27859 642754 28077 642764
@@ -463,10 +495,31 @@
 rect 51411 642648 51629 642658
 rect 52883 642754 53101 642764
 rect 52883 642648 53101 642658
-rect 6999 641447 7206 642601
+rect 25640 641841 25766 641849
 rect 57514 641802 57739 642827
 rect 57514 641568 57739 641577
-rect 6999 641231 7206 641240
+rect 12887 638950 13013 638956
+rect 8609 638255 8661 638261
+rect 8609 638197 8661 638203
+rect 8618 635487 8652 638197
+rect 8868 638110 8874 638162
+rect 8926 638110 8932 638162
+rect 8594 635476 8676 635487
+rect 8883 635480 8917 638110
+rect 9041 638040 9047 638092
+rect 9099 638040 9105 638092
+rect 8594 635416 8605 635476
+rect 8665 635416 8676 635476
+rect 8594 635405 8676 635416
+rect 8859 635469 8941 635480
+rect 8859 635409 8870 635469
+rect 8930 635409 8941 635469
+rect 9056 635468 9090 638040
+rect 8859 635398 8941 635409
+rect 9032 635457 9114 635468
+rect 9032 635397 9043 635457
+rect 9103 635397 9114 635457
+rect 9032 635386 9114 635397
 rect 125497 3554 126202 4191
 rect 125497 3530 126253 3554
 rect 125497 2835 125549 3530
@@ -980,13 +1033,28 @@
 rect 16083 643202 16301 643298
 rect 26143 644998 26429 645284
 rect 26789 644998 26937 645146
-rect 26370 644507 26682 644819
+rect 26580 644415 26696 644531
 rect 17555 643202 17773 643298
 rect 19027 643202 19245 643298
 rect 20499 643202 20717 643298
 rect 21971 643202 22189 643298
 rect 23443 643202 23661 643298
+rect 25640 643732 25766 643858
 rect 24915 643202 25133 643298
+rect 7251 642658 7469 642754
+rect 8723 642658 8941 642754
+rect 10195 642658 10413 642754
+rect 11667 642658 11885 642754
+rect 13139 642658 13357 642754
+rect 14611 642658 14829 642754
+rect 16083 642658 16301 642754
+rect 17555 642658 17773 642754
+rect 19027 642658 19245 642754
+rect 20499 642658 20717 642754
+rect 21971 642658 22189 642754
+rect 23443 642658 23661 642754
+rect 24915 642658 25133 642754
+rect 6999 641240 7206 641447
 rect 26387 643202 26605 643298
 rect 27859 643202 28077 643298
 rect 29331 643202 29549 643298
@@ -1006,19 +1074,6 @@
 rect 49939 643202 50157 643298
 rect 51411 643202 51629 643298
 rect 52883 643202 53101 643298
-rect 7251 642658 7469 642754
-rect 8723 642658 8941 642754
-rect 10195 642658 10413 642754
-rect 11667 642658 11885 642754
-rect 13139 642658 13357 642754
-rect 14611 642658 14829 642754
-rect 16083 642658 16301 642754
-rect 17555 642658 17773 642754
-rect 19027 642658 19245 642754
-rect 20499 642658 20717 642754
-rect 21971 642658 22189 642754
-rect 23443 642658 23661 642754
-rect 24915 642658 25133 642754
 rect 26387 642658 26605 642754
 rect 27859 642658 28077 642754
 rect 29331 642658 29549 642754
@@ -1039,7 +1094,9 @@
 rect 51411 642658 51629 642754
 rect 52883 642658 53101 642754
 rect 57514 641577 57739 641802
-rect 6999 641240 7206 641447
+rect 8605 635416 8665 635476
+rect 8870 635409 8930 635469
+rect 9043 635397 9103 635457
 rect 125549 2835 126244 3530
 << metal3 >>
 rect 16194 702300 21194 704800
@@ -1113,17 +1170,19 @@
 rect 9779 669307 15560 669979
 rect 326068 657980 328568 697085
 rect 329294 697047 331794 697085
-rect 49676 656363 331553 657980
+rect 49676 656363 71154 657980
 rect 48111 655930 49833 656363
-rect 50266 655930 331553 656363
-rect 49676 655928 331553 655930
+rect 50266 655930 71154 656363
+rect 49676 655928 71154 655930
+rect 73206 655928 331553 657980
 rect 413394 655832 415894 699889
 rect 582300 677984 584800 682984
-rect 47359 655405 419024 655832
-rect 49671 654203 419024 655405
+rect 47359 655405 73696 655832
+rect 49671 654203 73696 655405
 rect 49671 653776 50443 654203
-rect 50870 653776 419024 654203
-rect 49671 653743 419024 653776
+rect 50870 653776 73696 654203
+rect 49671 653743 73696 653776
+rect 75842 653743 419024 655832
 rect -800 643842 1660 648642
 rect 24904 645288 26434 645289
 rect 24899 644994 24905 645288
@@ -1136,10 +1195,15 @@
 rect 26778 644993 26784 645151
 rect 26942 644993 26948 645151
 rect 26778 644987 26948 644993
-rect 26365 644823 26687 644824
-rect 26360 644503 26366 644823
-rect 26686 644503 26692 644823
-rect 26365 644502 26687 644503
+rect 25640 644531 26701 644536
+rect 25640 644415 26580 644531
+rect 26696 644415 26701 644531
+rect 25640 644410 26701 644415
+rect 25640 643863 25766 644410
+rect 25635 643858 25771 643863
+rect 25635 643732 25640 643858
+rect 25766 643732 25771 643858
+rect 25635 643727 25771 643732
 rect 49828 643594 49834 643631
 rect 7129 643298 24904 643594
 rect 25200 643298 49834 643594
@@ -1214,9 +1278,8 @@
 rect 47213 642658 48467 642754
 rect 48685 642658 49939 642754
 rect 50157 642658 50444 642754
-rect 7231 642618 26365 642658
-rect 7243 642336 26365 642618
-rect 26687 642336 50444 642658
+rect 7231 642618 50444 642658
+rect 7243 642336 50444 642618
 rect 50438 642330 50444 642336
 rect 50869 642754 54254 642755
 rect 50869 642658 51411 642754
@@ -1234,6 +1297,32 @@
 rect -800 559442 1660 564242
 rect -800 549442 1660 554242
 rect 5974 513753 8474 641240
+rect 8594 635481 8676 635556
+rect 8594 635411 8600 635481
+rect 8670 635411 8676 635481
+rect 8594 635405 8676 635411
+rect 8859 635474 8941 635541
+rect 8859 635404 8865 635474
+rect 8935 635404 8941 635474
+rect 8859 635398 8941 635404
+rect 9032 635462 9114 635543
+rect 9032 635392 9038 635462
+rect 9108 635392 9114 635462
+rect 9032 635386 9114 635392
+rect 28557 635297 30607 635302
+rect 22687 633245 22693 635297
+rect 24745 635296 30608 635297
+rect 24745 633246 28557 635296
+rect 30607 633246 30608 635296
+rect 24745 633245 30608 633246
+rect 28557 633240 30607 633245
+rect 28559 632678 30646 632683
+rect 14567 630589 14573 632678
+rect 16662 632677 30647 632678
+rect 16662 630590 28559 632677
+rect 30646 630590 30647 632677
+rect 16662 630589 30647 630590
+rect 28559 630584 30646 630589
 rect 96 511642 8474 513753
 rect -800 511530 8474 511642
 rect 96 511253 8474 511530
@@ -1274,7 +1363,12 @@
 rect 583520 452082 584800 452194
 rect 583520 450900 584800 451012
 rect 583520 449718 584800 449830
-rect -800 425086 480 425198
+rect 8597 425247 8603 425249
+rect 840 425198 8603 425247
+rect -800 425185 8603 425198
+rect 8667 425198 8673 425249
+rect 8667 425185 8692 425198
+rect -800 425086 8692 425185
 rect -800 423904 480 424016
 rect -800 422722 480 422834
 rect -800 421540 480 421652
@@ -1286,7 +1380,8 @@
 rect 583520 407660 584800 407772
 rect 583520 406478 584800 406590
 rect 583520 405296 584800 405408
-rect -800 381864 480 381976
+rect -800 381864 8837 381976
+rect 8949 381864 8955 381976
 rect -800 380682 480 380794
 rect -800 379500 480 379612
 rect -800 378318 480 378430
@@ -1298,7 +1393,8 @@
 rect 583520 361238 584800 361350
 rect 583520 360056 584800 360168
 rect 583520 358874 584800 358986
-rect -800 338642 480 338754
+rect -800 338642 9034 338754
+rect 9146 338642 9152 338754
 rect -800 337460 480 337572
 rect -800 336278 480 336390
 rect -800 335096 480 335208
@@ -1412,26 +1508,44 @@
 rect 413837 699895 416035 702093
 rect 172231 691258 174731 693758
 rect 49833 655930 50266 656363
+rect 71154 655928 73206 657980
 rect 50443 653776 50870 654203
+rect 73696 653743 75842 655832
 rect 24905 644994 25199 645288
 rect 26784 645146 26942 645151
 rect 26784 644998 26789 645146
 rect 26789 644998 26937 645146
 rect 26937 644998 26942 645146
 rect 26784 644993 26942 644998
-rect 26366 644819 26686 644823
-rect 26366 644507 26370 644819
-rect 26370 644507 26682 644819
-rect 26682 644507 26686 644819
-rect 26366 644503 26686 644507
 rect 24904 643298 25200 643594
 rect 49834 643298 50265 643631
 rect 49834 643202 49939 643298
 rect 49939 643202 50157 643298
 rect 50157 643202 50265 643298
 rect 49834 643200 50265 643202
-rect 26365 642336 26687 642658
 rect 50444 642330 50869 642755
+rect 8600 635476 8670 635481
+rect 8600 635416 8605 635476
+rect 8605 635416 8665 635476
+rect 8665 635416 8670 635476
+rect 8600 635411 8670 635416
+rect 8865 635469 8935 635474
+rect 8865 635409 8870 635469
+rect 8870 635409 8930 635469
+rect 8930 635409 8935 635469
+rect 8865 635404 8935 635409
+rect 9038 635457 9108 635462
+rect 9038 635397 9043 635457
+rect 9043 635397 9103 635457
+rect 9103 635397 9108 635457
+rect 9038 635392 9108 635397
+rect 22693 633245 24745 635297
+rect 28557 633246 30607 635296
+rect 14573 630589 16662 632678
+rect 28559 630590 30646 632677
+rect 8603 425185 8667 425249
+rect 8837 381864 8949 381976
+rect 9034 338642 9146 338754
 rect 125545 3530 126248 3534
 rect 125545 2835 125549 3530
 rect 125549 2835 126244 3530
@@ -1467,6 +1581,7 @@
 rect 50678 679904 53178 691258
 rect 172230 691257 174732 691258
 rect 45260 677404 53178 679904
+rect 71153 657980 73207 657981
 rect 49832 656363 50267 656364
 rect 49832 655930 49833 656363
 rect 50266 655930 50267 656363
@@ -1479,20 +1594,15 @@
 rect 26783 644993 26784 645151
 rect 26942 644993 26943 645151
 rect 26783 644992 26943 644993
-rect 26365 644823 26687 644824
-rect 26365 644503 26366 644823
-rect 26686 644503 26687 644823
 rect 24903 643594 25201 643595
 rect 24903 643298 24904 643594
 rect 25200 643298 25201 643594
 rect 24903 643297 25201 643298
-rect 26365 642659 26687 644503
-rect 26364 642658 26688 642659
-rect 26364 642336 26365 642658
-rect 26687 642336 26688 642658
-rect 26364 642335 26688 642336
 rect 26789 642084 26937 644992
 rect 49833 643631 50266 655929
+rect 71153 655928 71154 657980
+rect 73206 655928 73207 657980
+rect 71153 655927 73207 655928
 rect 50442 654203 50871 654204
 rect 50442 653776 50443 654203
 rect 50870 653776 50871 654203
@@ -1505,7 +1615,60 @@
 rect 50869 642330 50870 642755
 rect 50443 642329 50870 642330
 rect 26789 642076 27418 642084
+rect 8599 635481 8671 635482
+rect 8599 635411 8600 635481
+rect 8670 635411 8671 635481
+rect 8599 635410 8671 635411
+rect 8864 635474 8936 635475
+rect 8605 425250 8665 635410
+rect 8864 635404 8865 635474
+rect 8935 635404 8936 635474
+rect 8864 635403 8936 635404
+rect 9037 635462 9109 635463
+rect 8602 425249 8668 425250
+rect 8602 425185 8603 425249
+rect 8667 425185 8668 425249
+rect 8602 425184 8668 425185
+rect 8870 381977 8930 635403
+rect 9037 635392 9038 635462
+rect 9108 635392 9109 635462
+rect 9037 635391 9109 635392
+rect 8836 381976 8950 381977
+rect 8836 381864 8837 381976
+rect 8949 381864 8950 381976
+rect 8836 381863 8950 381864
+rect 8870 381754 8930 381863
+rect 9043 338755 9103 635391
+rect 14573 632679 16662 636497
+rect 22693 635298 24745 636496
+rect 22692 635297 24746 635298
+rect 22692 633245 22693 635297
+rect 24745 633245 24746 635297
+rect 22692 633244 24746 633245
+rect 14572 632678 16663 632679
+rect 14572 630589 14573 632678
+rect 16662 630589 16663 632678
+rect 14572 630588 16663 630589
+rect 9033 338754 9147 338755
+rect 9033 338642 9034 338754
+rect 9146 338642 9147 338754
+rect 9033 338641 9147 338642
+rect 9043 338573 9103 338641
 rect 26713 3535 27418 642076
+rect 71154 635297 73206 655927
+rect 73695 655832 75843 655833
+rect 73695 653743 73696 655832
+rect 75842 653743 75843 655832
+rect 73695 653742 75843 653743
+rect 28556 635296 73206 635297
+rect 28556 633246 28557 635296
+rect 30607 633246 73206 635296
+rect 28556 633245 73206 633246
+rect 73725 632678 75814 653742
+rect 28558 632677 75814 632678
+rect 28558 630590 28559 632677
+rect 30646 630590 75814 632677
+rect 28558 630589 75814 630590
 rect 26713 3534 126493 3535
 rect 26713 2831 125545 3534
 rect 126248 2831 126493 3534
@@ -1538,6 +1701,10 @@
 timestamp 1646875120
 transform 1 0 7142 0 1 642694
 box -72 -183 11802 839
+use prbs_gen  prbs_gen_0
+timestamp 1647028378
+transform 1 0 9436 0 1 636663
+box 9 -562 15852 4986
 use proj_sstl_test  proj_sstl_test_0
 timestamp 1646875120
 transform 1 0 15929 0 1 645492
diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice
index 278e520..cca662d 100644
--- a/netgen/user_analog_project_wrapper.spice
+++ b/netgen/user_analog_project_wrapper.spice
@@ -1,6 +1,6 @@
 * NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
 
-.subckt sky130_fd_sc_hd__and2_1 VPWR VGND X B A VNB VPB
+.subckt sky130_fd_sc_hd__and2_1 A B VGND VPWR X VNB VPB
 X0 VPWR B a_59_75# VPB sky130_fd_pr__pfet_01v8_hvt ad=4.507e+11p pd=4.18e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
 X1 X a_59_75# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=4.75e+11p pd=2.95e+06u as=0p ps=0u w=1e+06u l=150000u
 X2 VGND B a_145_75# VNB sky130_fd_pr__nfet_01v8 ad=2.236e+11p pd=2.08e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
@@ -9,7 +9,15 @@
 X5 a_145_75# A a_59_75# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
 .ends
 
-.subckt sky130_fd_sc_hd__clkbuf_2 A X VGND VPWR VNB VPB
+.subckt sky130_fd_sc_hd__clkinv_2 A VGND VPWR Y VNB VPB
+X0 Y A VGND VNB sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=2.205e+11p ps=2.73e+06u w=420000u l=150000u
+X1 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=5.45e+11p pd=5.09e+06u as=5.45e+11p ps=5.09e+06u w=1e+06u l=150000u
+X2 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 VGND A Y VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X4 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__clkbuf_2 A VGND VPWR X VNB VPB
 X0 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=5.85e+11p pd=5.17e+06u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
 X1 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
 X2 VGND A a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=2.457e+11p pd=2.85e+06u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
@@ -18,15 +26,7 @@
 X5 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
 .ends
 
-.subckt sky130_fd_sc_hd__clkinv_2 Y A VPWR VGND VNB VPB
-X0 Y A VGND VNB sky130_fd_pr__nfet_01v8 ad=1.176e+11p pd=1.4e+06u as=2.205e+11p ps=2.73e+06u w=420000u l=150000u
-X1 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=5.45e+11p pd=5.09e+06u as=5.45e+11p ps=5.09e+06u w=1e+06u l=150000u
-X2 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X3 VGND A Y VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
-X4 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-.ends
-
-.subckt sky130_fd_sc_hd__mux4_1 S0 A1 X S1 A2 A0 A3 VGND VPWR VNB VPB
+.subckt sky130_fd_sc_hd__mux4_1 A0 A1 A2 A3 S0 S1 VGND VPWR X VNB VPB
 X0 a_277_47# a_247_21# a_27_413# VPB sky130_fd_pr__pfet_01v8_hvt ad=2.226e+11p pd=2.74e+06u as=2.184e+11p ps=2.72e+06u w=420000u l=150000u
 X1 VGND S0 a_247_21# VNB sky130_fd_pr__nfet_01v8 ad=6.142e+11p pd=7.3e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
 X2 a_834_97# a_247_21# a_750_97# VNB sky130_fd_pr__nfet_01v8 ad=2.1715e+11p pd=2.72e+06u as=2.226e+11p ps=2.74e+06u w=420000u l=150000u
@@ -122,7 +122,7 @@
 Xn-leg_ctrl_fet_3_0 DQ a_1976_47# cal_ctrl[3] GND n-leg_ctrl_fet_3
 Xn-leg_ctrl_fet_1_0 cal_ctrl[1] a_1976_47# DQ GND n-leg_ctrl_fet_1
 Xn-leg_polyres_0 a_1976_47# DQ n-leg_polyres
-X0 GND pd_ctrl a_1976_47# GND sky130_fd_pr__nfet_01v8 ad=1.12916e+13p pd=1.8425e+07u as=9.6387e+12p ps=9.0425e+07u w=650000u l=150000u
+X0 GND pd_ctrl a_1976_47# GND sky130_fd_pr__nfet_01v8 ad=1.20215e+13p pd=2.595e+06u as=9.6163e+12p ps=9.0425e+07u w=650000u l=150000u
 X1 GND pd_ctrl a_1976_47# GND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
 X2 GND pd_ctrl a_1976_47# GND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
 X3 GND pd_ctrl a_1976_47# GND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
@@ -206,32 +206,31 @@
 X5 a_n541_n64# a_n995_n161# a_n925_n64# w_n1089_n100# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=350000u
 .ends
 
-.subckt p-leg v_pullup DQ n_cal_ctrl[0] n_cal_ctrl[1] n_cal_ctrl[2] n_cal_ctrl[3]
-+ n_pu_ctrl li_4_2169# VSUBS sky130_fd_sc_hd__fill_8_9/VGND sky130_fd_sc_hd__fill_8_5/VGND
-+ VDD
+.subckt p-leg DQ n_cal_ctrl[0] n_cal_ctrl[1] n_cal_ctrl[2] n_cal_ctrl[3] n_pu_ctrl
++ li_4_2169# VSUBS sky130_fd_sc_hd__fill_8_9/VGND sky130_fd_sc_hd__fill_8_8/VGND VDD
 Xp-leg_fet_16_4 n_pu_ctrl VDD VDD VDD VDD VDD VDD VDD v_pullup VDD VDD VDD p-leg_fet_16
 Xp-leg_fet_16_5 n_pu_ctrl VDD VDD VDD VDD VDD VDD VDD v_pullup VDD VDD VDD p-leg_fet_16
-Xp-leg_fet_16_6 n_pu_ctrl VDD VDD VDD VDD VDD VDD VDD v_pullup VDD VDD VDD p-leg_fet_16
+Xp-leg_fet_16_6 n_cal_ctrl[0] v_pullup v_pullup v_pullup v_pullup v_pullup v_pullup
++ v_pullup DQ v_pullup VDD v_pullup p-leg_fet_16
+Xp-leg_fet_16_7 n_cal_ctrl[0] v_pullup v_pullup v_pullup v_pullup v_pullup v_pullup
++ v_pullup DQ v_pullup VDD v_pullup p-leg_fet_16
 Xp-leg_polyres_0 DQ v_pullup p-leg_polyres
-Xp-leg_fet_16_7 n_pu_ctrl VDD VDD VDD VDD VDD VDD VDD v_pullup VDD VDD VDD p-leg_fet_16
-Xp-leg_fet_16_8 n_pu_ctrl VDD VDD VDD VDD VDD VDD VDD v_pullup VDD VDD VDD p-leg_fet_16
-Xp-leg_6_0 v_pullup v_pullup v_pullup n_cal_ctrl[3] DQ VDD v_pullup p-leg_6
-Xp-leg_6_1 DQ DQ DQ n_cal_ctrl[2] v_pullup VDD DQ p-leg_6
+Xp-leg_fet_16_8 n_cal_ctrl[0] v_pullup v_pullup v_pullup v_pullup v_pullup v_pullup
++ v_pullup DQ v_pullup VDD v_pullup p-leg_fet_16
+Xp-leg_6_0 DQ DQ DQ n_cal_ctrl[1] v_pullup VDD DQ p-leg_6
+Xp-leg_6_1 DQ DQ DQ n_cal_ctrl[1] v_pullup VDD DQ p-leg_6
 Xp-leg_6_2 DQ DQ DQ n_cal_ctrl[1] v_pullup VDD DQ p-leg_6
-Xp-leg_6_3 DQ DQ DQ n_cal_ctrl[2] v_pullup VDD DQ p-leg_6
-Xp-leg_6_4 DQ DQ DQ n_cal_ctrl[1] v_pullup VDD DQ p-leg_6
-Xp-leg_6_5 DQ DQ DQ n_cal_ctrl[1] v_pullup VDD DQ p-leg_6
-Xp-leg_6_6 DQ DQ DQ n_cal_ctrl[1] v_pullup VDD DQ p-leg_6
-Xp-leg_fet_16_0 n_cal_ctrl[0] v_pullup v_pullup v_pullup v_pullup v_pullup v_pullup
-+ v_pullup DQ v_pullup VDD v_pullup p-leg_fet_16
-Xp-leg_fet_16_1 n_cal_ctrl[0] v_pullup v_pullup v_pullup v_pullup v_pullup v_pullup
-+ v_pullup DQ v_pullup VDD v_pullup p-leg_fet_16
-Xp-leg_fet_16_2 n_cal_ctrl[0] v_pullup v_pullup v_pullup v_pullup v_pullup v_pullup
-+ v_pullup DQ v_pullup VDD v_pullup p-leg_fet_16
+Xp-leg_6_3 DQ DQ DQ n_cal_ctrl[1] v_pullup VDD DQ p-leg_6
+Xp-leg_6_4 DQ DQ DQ n_cal_ctrl[2] v_pullup VDD DQ p-leg_6
+Xp-leg_6_6 v_pullup v_pullup v_pullup n_cal_ctrl[3] DQ VDD v_pullup p-leg_6
+Xp-leg_6_5 DQ DQ DQ n_cal_ctrl[2] v_pullup VDD DQ p-leg_6
+Xp-leg_fet_16_0 n_pu_ctrl VDD VDD VDD VDD VDD VDD VDD v_pullup VDD VDD VDD p-leg_fet_16
+Xp-leg_fet_16_1 n_pu_ctrl VDD VDD VDD VDD VDD VDD VDD v_pullup VDD VDD VDD p-leg_fet_16
+Xp-leg_fet_16_2 n_pu_ctrl VDD VDD VDD VDD VDD VDD VDD v_pullup VDD VDD VDD p-leg_fet_16
 Xp-leg_fet_16_3 n_pu_ctrl VDD VDD VDD VDD VDD VDD VDD v_pullup VDD VDD VDD p-leg_fet_16
 .ends
 
-.subckt sky130_fd_sc_hd__clkbuf_8 X A VGND VPWR VNB VPB
+.subckt sky130_fd_sc_hd__clkbuf_8 A VGND VPWR X VNB VPB
 X0 VPWR A a_110_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=1.65e+12p pd=1.53e+07u as=2.8e+11p ps=2.56e+06u w=1e+06u l=150000u
 X1 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.12e+12p ps=1.024e+07u w=1e+06u l=150000u
 X2 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
@@ -254,7 +253,7 @@
 X19 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
 .ends
 
-.subckt sky130_fd_sc_hd__clkinv_4 A Y VPWR VGND VNB VPB
+.subckt sky130_fd_sc_hd__clkinv_4 A VGND VPWR Y VNB VPB
 X0 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=8.4e+11p pd=7.68e+06u as=1.21e+12p ps=1.042e+07u w=1e+06u l=150000u
 X1 VGND A Y VNB sky130_fd_pr__nfet_01v8 ad=4.221e+11p pd=4.53e+06u as=2.352e+11p ps=2.8e+06u w=420000u l=150000u
 X2 VGND A Y VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
@@ -267,7 +266,7 @@
 X9 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
 .ends
 
-.subckt sky130_fd_sc_hd__clkinv_16 Y A VGND VPWR VNB VPB
+.subckt sky130_fd_sc_hd__clkinv_16 A VGND VPWR Y VNB VPB
 X0 VGND A Y VNB sky130_fd_pr__nfet_01v8 ad=1.0605e+12p pd=1.261e+07u as=1.0059e+12p ps=1.151e+07u w=420000u l=150000u
 X1 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=3.515e+12p pd=3.103e+07u as=3.655e+12p ps=3.331e+07u w=1e+06u l=150000u
 X2 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
@@ -310,7 +309,7 @@
 X39 VGND A Y VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
 .ends
 
-.subckt sky130_fd_sc_hd__clkbuf_16 X A VGND VPWR VNB VPB
+.subckt sky130_fd_sc_hd__clkbuf_16 A VGND VPWR X VNB VPB
 X0 VPWR A a_110_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=3.045e+12p pd=2.809e+07u as=5.6e+11p ps=5.12e+06u w=1e+06u l=150000u
 X1 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.24e+12p ps=2.048e+07u w=1e+06u l=150000u
 X2 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
@@ -353,208 +352,209 @@
 X39 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
 .ends
 
-.subckt sky130_fd_sc_hd__inv_1 Y A VGND VPWR VNB VPB
+.subckt sky130_fd_sc_hd__inv_1 A VGND VPWR Y VNB VPB
 X0 Y A VGND VNB sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
 X1 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
 .ends
 
-.subckt SSTL DQ pd_cal_ctrl[0] pd_cal_ctrl[1] pd_cal_ctrl[2] pd_cal_ctrl[3] pd_ctrl[0]
+.subckt SSTL DQ GND pd_cal_ctrl[0] pd_cal_ctrl[1] pd_cal_ctrl[2] pd_cal_ctrl[3] pd_ctrl[0]
 + pd_ctrl[1] pd_ctrl[2] pd_ctrl[3] pd_ctrl[4] pd_ctrl[5] pd_ctrl[6] pu_cal_ctrl[0]
 + pu_cal_ctrl[1] pu_cal_ctrl[2] pu_cal_ctrl[3] pu_ctrl[1] pu_ctrl[2] pu_ctrl[3] pu_ctrl[4]
-+ pu_ctrl[5] pu_ctrl[6] pu_ctrl[0] VDD GND
++ pu_ctrl[5] pu_ctrl[6] pu_ctrl[0] VDD
 Xn-leg_0 n-leg_0/pd_ctrl DQ pd_cal_ctrl[0] pd_cal_ctrl[1] pd_cal_ctrl[2] pd_cal_ctrl[3]
 + VDD GND n-leg
-Xp-leg_3 p-leg_3/v_pullup DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2]
-+ p-leg_6/n_cal_ctrl[3] p-leg_3/n_pu_ctrl GND GND GND GND VDD p-leg
-Xsky130_fd_sc_hd__clkbuf_8_1 n-leg_1/pd_ctrl sky130_fd_sc_hd__clkinv_4_3/Y GND VDD
+Xp-leg_3 DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2] p-leg_6/n_cal_ctrl[3]
++ p-leg_3/n_pu_ctrl GND GND GND GND VDD p-leg
+Xsky130_fd_sc_hd__clkbuf_8_1 sky130_fd_sc_hd__clkinv_4_2/Y GND VDD n-leg_1/pd_ctrl
 + GND VDD sky130_fd_sc_hd__clkbuf_8
 Xn-leg_1 n-leg_1/pd_ctrl DQ pd_cal_ctrl[0] pd_cal_ctrl[1] pd_cal_ctrl[2] pd_cal_ctrl[3]
 + VDD GND n-leg
-Xp-leg_4 p-leg_4/v_pullup DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2]
-+ p-leg_6/n_cal_ctrl[3] p-leg_4/n_pu_ctrl GND GND GND GND VDD p-leg
-Xsky130_fd_sc_hd__clkbuf_8_2 n-leg_2/pd_ctrl sky130_fd_sc_hd__clkinv_4_5/Y GND VDD
+Xp-leg_4 DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2] p-leg_6/n_cal_ctrl[3]
++ p-leg_4/n_pu_ctrl GND GND GND GND VDD p-leg
+Xsky130_fd_sc_hd__clkbuf_8_2 sky130_fd_sc_hd__clkinv_4_4/Y GND VDD n-leg_2/pd_ctrl
 + GND VDD sky130_fd_sc_hd__clkbuf_8
 Xn-leg_2 n-leg_2/pd_ctrl DQ pd_cal_ctrl[0] pd_cal_ctrl[1] pd_cal_ctrl[2] pd_cal_ctrl[3]
 + VDD GND n-leg
-Xp-leg_5 p-leg_5/v_pullup DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2]
-+ p-leg_6/n_cal_ctrl[3] p-leg_5/n_pu_ctrl GND GND GND GND VDD p-leg
-Xsky130_fd_sc_hd__clkbuf_8_3 n-leg_3/pd_ctrl sky130_fd_sc_hd__clkinv_4_7/Y GND VDD
+Xp-leg_5 DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2] p-leg_6/n_cal_ctrl[3]
++ p-leg_5/n_pu_ctrl GND GND GND GND VDD p-leg
+Xsky130_fd_sc_hd__clkbuf_8_3 sky130_fd_sc_hd__clkinv_4_6/Y GND VDD n-leg_3/pd_ctrl
 + GND VDD sky130_fd_sc_hd__clkbuf_8
-Xsky130_fd_sc_hd__clkinv_4_10 pd_ctrl[5] sky130_fd_sc_hd__clkinv_4_11/A VDD GND GND
-+ VDD sky130_fd_sc_hd__clkinv_4
+Xsky130_fd_sc_hd__clkinv_4_10 sky130_fd_sc_hd__clkinv_4_11/Y GND VDD sky130_fd_sc_hd__clkbuf_8_5/A
++ GND VDD sky130_fd_sc_hd__clkinv_4
 Xn-leg_3 n-leg_3/pd_ctrl DQ pd_cal_ctrl[0] pd_cal_ctrl[1] pd_cal_ctrl[2] pd_cal_ctrl[3]
 + VDD GND n-leg
-Xp-leg_6 p-leg_6/v_pullup DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2]
-+ p-leg_6/n_cal_ctrl[3] p-leg_6/n_pu_ctrl GND GND GND GND VDD p-leg
-Xsky130_fd_sc_hd__clkinv_16_0 p-leg_0/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_2/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkbuf_8_4 n-leg_4/pd_ctrl sky130_fd_sc_hd__clkinv_4_9/Y GND VDD
+Xp-leg_6 DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2] p-leg_6/n_cal_ctrl[3]
++ p-leg_6/n_pu_ctrl GND GND GND GND VDD p-leg
+Xsky130_fd_sc_hd__clkinv_16_0 sky130_fd_sc_hd__clkinv_16_2/A GND VDD p-leg_0/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkbuf_8_4 sky130_fd_sc_hd__clkinv_4_8/Y GND VDD n-leg_4/pd_ctrl
 + GND VDD sky130_fd_sc_hd__clkbuf_8
-Xsky130_fd_sc_hd__clkinv_4_11 sky130_fd_sc_hd__clkinv_4_11/A sky130_fd_sc_hd__clkbuf_8_5/A
-+ VDD GND GND VDD sky130_fd_sc_hd__clkinv_4
+Xsky130_fd_sc_hd__clkinv_4_11 pd_ctrl[5] GND VDD sky130_fd_sc_hd__clkinv_4_11/Y GND
++ VDD sky130_fd_sc_hd__clkinv_4
 Xn-leg_4 n-leg_4/pd_ctrl DQ pd_cal_ctrl[0] pd_cal_ctrl[1] pd_cal_ctrl[2] pd_cal_ctrl[3]
 + VDD GND n-leg
-Xsky130_fd_sc_hd__clkinv_16_1 p-leg_0/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_2/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkbuf_8_5 n-leg_5/pd_ctrl sky130_fd_sc_hd__clkbuf_8_5/A GND VDD
+Xsky130_fd_sc_hd__clkinv_16_1 sky130_fd_sc_hd__clkinv_16_2/A GND VDD p-leg_0/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkbuf_8_5 sky130_fd_sc_hd__clkbuf_8_5/A GND VDD n-leg_5/pd_ctrl
 + GND VDD sky130_fd_sc_hd__clkbuf_8
-Xsky130_fd_sc_hd__clkinv_4_12 pd_ctrl[6] sky130_fd_sc_hd__clkinv_4_13/A VDD GND GND
-+ VDD sky130_fd_sc_hd__clkinv_4
+Xsky130_fd_sc_hd__clkinv_4_12 sky130_fd_sc_hd__clkinv_4_13/Y GND VDD sky130_fd_sc_hd__clkbuf_8_6/A
++ GND VDD sky130_fd_sc_hd__clkinv_4
 Xn-leg_5 n-leg_5/pd_ctrl DQ pd_cal_ctrl[0] pd_cal_ctrl[1] pd_cal_ctrl[2] pd_cal_ctrl[3]
 + VDD GND n-leg
-Xsky130_fd_sc_hd__clkinv_16_2 p-leg_0/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_2/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkbuf_8_6 n-leg_6/pd_ctrl sky130_fd_sc_hd__clkbuf_8_6/A GND VDD
+Xsky130_fd_sc_hd__clkinv_16_2 sky130_fd_sc_hd__clkinv_16_2/A GND VDD p-leg_0/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkbuf_8_6 sky130_fd_sc_hd__clkbuf_8_6/A GND VDD n-leg_6/pd_ctrl
 + GND VDD sky130_fd_sc_hd__clkbuf_8
-Xsky130_fd_sc_hd__clkinv_4_13 sky130_fd_sc_hd__clkinv_4_13/A sky130_fd_sc_hd__clkbuf_8_6/A
-+ VDD GND GND VDD sky130_fd_sc_hd__clkinv_4
+Xsky130_fd_sc_hd__clkinv_4_13 pd_ctrl[6] GND VDD sky130_fd_sc_hd__clkinv_4_13/Y GND
++ VDD sky130_fd_sc_hd__clkinv_4
 Xn-leg_6 n-leg_6/pd_ctrl DQ pd_cal_ctrl[0] pd_cal_ctrl[1] pd_cal_ctrl[2] pd_cal_ctrl[3]
 + VDD GND n-leg
-Xsky130_fd_sc_hd__clkinv_4_0 pd_ctrl[0] sky130_fd_sc_hd__clkinv_4_1/A VDD GND GND
+Xsky130_fd_sc_hd__clkinv_4_0 sky130_fd_sc_hd__clkinv_4_1/Y GND VDD sky130_fd_sc_hd__clkinv_4_0/Y
++ GND VDD sky130_fd_sc_hd__clkinv_4
+Xsky130_fd_sc_hd__clkinv_16_3 sky130_fd_sc_hd__clkinv_16_5/A GND VDD p-leg_1/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_4_1 pd_ctrl[0] GND VDD sky130_fd_sc_hd__clkinv_4_1/Y GND
 + VDD sky130_fd_sc_hd__clkinv_4
-Xsky130_fd_sc_hd__clkinv_16_3 p-leg_1/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_5/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_4_1 sky130_fd_sc_hd__clkinv_4_1/A sky130_fd_sc_hd__clkinv_4_1/Y
-+ VDD GND GND VDD sky130_fd_sc_hd__clkinv_4
-Xsky130_fd_sc_hd__clkinv_16_4 p-leg_1/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_5/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_4_2 pd_ctrl[1] sky130_fd_sc_hd__clkinv_4_3/A VDD GND GND
+Xsky130_fd_sc_hd__clkinv_16_4 sky130_fd_sc_hd__clkinv_16_5/A GND VDD p-leg_1/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_4_2 sky130_fd_sc_hd__clkinv_4_3/Y GND VDD sky130_fd_sc_hd__clkinv_4_2/Y
++ GND VDD sky130_fd_sc_hd__clkinv_4
+Xsky130_fd_sc_hd__clkinv_16_5 sky130_fd_sc_hd__clkinv_16_5/A GND VDD p-leg_1/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_4_3 pd_ctrl[1] GND VDD sky130_fd_sc_hd__clkinv_4_3/Y GND
 + VDD sky130_fd_sc_hd__clkinv_4
-Xsky130_fd_sc_hd__clkinv_16_5 p-leg_1/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_5/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_4_3 sky130_fd_sc_hd__clkinv_4_3/A sky130_fd_sc_hd__clkinv_4_3/Y
-+ VDD GND GND VDD sky130_fd_sc_hd__clkinv_4
-Xsky130_fd_sc_hd__clkinv_16_6 p-leg_2/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_8/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_4_4 pd_ctrl[2] sky130_fd_sc_hd__clkinv_4_5/A VDD GND GND
+Xsky130_fd_sc_hd__clkinv_16_6 sky130_fd_sc_hd__clkinv_16_8/A GND VDD p-leg_2/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_4_4 sky130_fd_sc_hd__clkinv_4_5/Y GND VDD sky130_fd_sc_hd__clkinv_4_4/Y
++ GND VDD sky130_fd_sc_hd__clkinv_4
+Xsky130_fd_sc_hd__clkinv_16_7 sky130_fd_sc_hd__clkinv_16_8/A GND VDD p-leg_2/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_4_5 pd_ctrl[2] GND VDD sky130_fd_sc_hd__clkinv_4_5/Y GND
 + VDD sky130_fd_sc_hd__clkinv_4
-Xsky130_fd_sc_hd__clkinv_16_7 p-leg_2/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_8/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_4_5 sky130_fd_sc_hd__clkinv_4_5/A sky130_fd_sc_hd__clkinv_4_5/Y
-+ VDD GND GND VDD sky130_fd_sc_hd__clkinv_4
-Xsky130_fd_sc_hd__clkinv_16_8 p-leg_2/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_8/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_16_20 p-leg_6/n_pu_ctrl sky130_fd_sc_hd__clkbuf_16_6/X GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_4_6 pd_ctrl[3] sky130_fd_sc_hd__clkinv_4_7/A VDD GND GND
+Xsky130_fd_sc_hd__clkinv_16_8 sky130_fd_sc_hd__clkinv_16_8/A GND VDD p-leg_2/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_16_20 sky130_fd_sc_hd__clkbuf_16_6/X GND VDD p-leg_6/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_4_6 sky130_fd_sc_hd__clkinv_4_7/Y GND VDD sky130_fd_sc_hd__clkinv_4_6/Y
++ GND VDD sky130_fd_sc_hd__clkinv_4
+Xsky130_fd_sc_hd__clkinv_16_9 sky130_fd_sc_hd__clkinv_16_9/A GND VDD p-leg_3/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_16_10 sky130_fd_sc_hd__clkinv_16_9/A GND VDD p-leg_3/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_4_7 pd_ctrl[3] GND VDD sky130_fd_sc_hd__clkinv_4_7/Y GND
 + VDD sky130_fd_sc_hd__clkinv_4
-Xsky130_fd_sc_hd__clkinv_16_9 p-leg_3/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_9/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_16_10 p-leg_3/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_9/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_4_7 sky130_fd_sc_hd__clkinv_4_7/A sky130_fd_sc_hd__clkinv_4_7/Y
-+ VDD GND GND VDD sky130_fd_sc_hd__clkinv_4
-Xsky130_fd_sc_hd__clkinv_4_8 pd_ctrl[4] sky130_fd_sc_hd__clkinv_4_9/A VDD GND GND
+Xsky130_fd_sc_hd__clkinv_4_8 sky130_fd_sc_hd__clkinv_4_9/Y GND VDD sky130_fd_sc_hd__clkinv_4_8/Y
++ GND VDD sky130_fd_sc_hd__clkinv_4
+Xsky130_fd_sc_hd__clkinv_16_11 sky130_fd_sc_hd__clkinv_16_9/A GND VDD p-leg_3/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_16_12 sky130_fd_sc_hd__clkbuf_16_4/X GND VDD p-leg_4/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_4_9 pd_ctrl[4] GND VDD sky130_fd_sc_hd__clkinv_4_9/Y GND
 + VDD sky130_fd_sc_hd__clkinv_4
-Xsky130_fd_sc_hd__clkinv_16_11 p-leg_3/n_pu_ctrl sky130_fd_sc_hd__clkinv_16_9/A GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_16_12 p-leg_4/n_pu_ctrl sky130_fd_sc_hd__clkbuf_16_4/X GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_4_9 sky130_fd_sc_hd__clkinv_4_9/A sky130_fd_sc_hd__clkinv_4_9/Y
-+ VDD GND GND VDD sky130_fd_sc_hd__clkinv_4
-Xsky130_fd_sc_hd__clkinv_16_13 p-leg_4/n_pu_ctrl sky130_fd_sc_hd__clkbuf_16_4/X GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_16_14 p-leg_4/n_pu_ctrl sky130_fd_sc_hd__clkbuf_16_4/X GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_16_15 p-leg_5/n_pu_ctrl sky130_fd_sc_hd__clkbuf_16_5/X GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkinv_16_16 p-leg_5/n_pu_ctrl sky130_fd_sc_hd__clkbuf_16_5/X GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkbuf_16_0 sky130_fd_sc_hd__clkinv_16_2/A pu_ctrl[0] GND VDD GND
+Xsky130_fd_sc_hd__clkinv_16_13 sky130_fd_sc_hd__clkbuf_16_4/X GND VDD p-leg_4/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_16_14 sky130_fd_sc_hd__clkbuf_16_4/X GND VDD p-leg_4/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_16_15 sky130_fd_sc_hd__clkbuf_16_5/X GND VDD p-leg_5/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkinv_16_16 sky130_fd_sc_hd__clkbuf_16_5/X GND VDD p-leg_5/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkbuf_16_0 pu_ctrl[0] GND VDD sky130_fd_sc_hd__clkinv_16_2/A GND
 + VDD sky130_fd_sc_hd__clkbuf_16
-Xsky130_fd_sc_hd__clkinv_16_17 p-leg_5/n_pu_ctrl sky130_fd_sc_hd__clkbuf_16_5/X GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkbuf_16_1 sky130_fd_sc_hd__clkinv_16_5/A pu_ctrl[1] GND VDD GND
+Xsky130_fd_sc_hd__clkinv_16_17 sky130_fd_sc_hd__clkbuf_16_5/X GND VDD p-leg_5/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkbuf_16_1 pu_ctrl[1] GND VDD sky130_fd_sc_hd__clkinv_16_5/A GND
 + VDD sky130_fd_sc_hd__clkbuf_16
-Xsky130_fd_sc_hd__clkinv_16_18 p-leg_6/n_pu_ctrl sky130_fd_sc_hd__clkbuf_16_6/X GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkbuf_16_2 sky130_fd_sc_hd__clkinv_16_8/A pu_ctrl[2] GND VDD GND
+Xsky130_fd_sc_hd__clkinv_16_18 sky130_fd_sc_hd__clkbuf_16_6/X GND VDD p-leg_6/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkbuf_16_2 pu_ctrl[2] GND VDD sky130_fd_sc_hd__clkinv_16_8/A GND
 + VDD sky130_fd_sc_hd__clkbuf_16
-Xsky130_fd_sc_hd__clkinv_16_19 p-leg_6/n_pu_ctrl sky130_fd_sc_hd__clkbuf_16_6/X GND
-+ VDD GND VDD sky130_fd_sc_hd__clkinv_16
-Xsky130_fd_sc_hd__clkbuf_16_3 sky130_fd_sc_hd__clkinv_16_9/A pu_ctrl[3] GND VDD GND
+Xsky130_fd_sc_hd__clkinv_16_19 sky130_fd_sc_hd__clkbuf_16_6/X GND VDD p-leg_6/n_pu_ctrl
++ GND VDD sky130_fd_sc_hd__clkinv_16
+Xsky130_fd_sc_hd__clkbuf_16_3 pu_ctrl[3] GND VDD sky130_fd_sc_hd__clkinv_16_9/A GND
 + VDD sky130_fd_sc_hd__clkbuf_16
-Xsky130_fd_sc_hd__clkbuf_16_4 sky130_fd_sc_hd__clkbuf_16_4/X pu_ctrl[4] GND VDD GND
+Xsky130_fd_sc_hd__clkbuf_16_4 pu_ctrl[4] GND VDD sky130_fd_sc_hd__clkbuf_16_4/X GND
 + VDD sky130_fd_sc_hd__clkbuf_16
-Xsky130_fd_sc_hd__clkbuf_16_5 sky130_fd_sc_hd__clkbuf_16_5/X pu_ctrl[5] GND VDD GND
+Xsky130_fd_sc_hd__clkbuf_16_5 pu_ctrl[5] GND VDD sky130_fd_sc_hd__clkbuf_16_5/X GND
 + VDD sky130_fd_sc_hd__clkbuf_16
-Xsky130_fd_sc_hd__clkbuf_16_6 sky130_fd_sc_hd__clkbuf_16_6/X pu_ctrl[6] GND VDD GND
+Xsky130_fd_sc_hd__clkbuf_16_6 pu_ctrl[6] GND VDD sky130_fd_sc_hd__clkbuf_16_6/X GND
 + VDD sky130_fd_sc_hd__clkbuf_16
-Xsky130_fd_sc_hd__inv_1_0 p-leg_6/n_cal_ctrl[3] pu_cal_ctrl[3] GND VDD GND VDD sky130_fd_sc_hd__inv_1
-Xsky130_fd_sc_hd__inv_1_1 p-leg_6/n_cal_ctrl[2] pu_cal_ctrl[2] GND VDD GND VDD sky130_fd_sc_hd__inv_1
-Xp-leg_0 p-leg_0/v_pullup DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2]
-+ p-leg_6/n_cal_ctrl[3] p-leg_0/n_pu_ctrl GND GND GND GND VDD p-leg
-Xp-leg_1 p-leg_1/v_pullup DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2]
-+ p-leg_6/n_cal_ctrl[3] p-leg_1/n_pu_ctrl GND GND GND GND VDD p-leg
-Xsky130_fd_sc_hd__inv_1_2 p-leg_6/n_cal_ctrl[1] pu_cal_ctrl[1] GND VDD GND VDD sky130_fd_sc_hd__inv_1
-Xsky130_fd_sc_hd__inv_1_3 p-leg_6/n_cal_ctrl[0] pu_cal_ctrl[0] GND VDD GND VDD sky130_fd_sc_hd__inv_1
-Xp-leg_2 p-leg_2/v_pullup DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2]
-+ p-leg_6/n_cal_ctrl[3] p-leg_2/n_pu_ctrl GND GND GND GND VDD p-leg
-Xsky130_fd_sc_hd__clkbuf_8_0 n-leg_0/pd_ctrl sky130_fd_sc_hd__clkinv_4_1/Y GND VDD
+Xsky130_fd_sc_hd__inv_1_0 pu_cal_ctrl[0] GND VDD p-leg_6/n_cal_ctrl[0] GND VDD sky130_fd_sc_hd__inv_1
+Xsky130_fd_sc_hd__inv_1_1 pu_cal_ctrl[1] GND VDD p-leg_6/n_cal_ctrl[1] GND VDD sky130_fd_sc_hd__inv_1
+Xp-leg_0 DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2] p-leg_6/n_cal_ctrl[3]
++ p-leg_0/n_pu_ctrl GND GND GND GND VDD p-leg
+Xp-leg_1 DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2] p-leg_6/n_cal_ctrl[3]
++ p-leg_1/n_pu_ctrl GND GND GND GND VDD p-leg
+Xsky130_fd_sc_hd__inv_1_2 pu_cal_ctrl[2] GND VDD p-leg_6/n_cal_ctrl[2] GND VDD sky130_fd_sc_hd__inv_1
+Xsky130_fd_sc_hd__inv_1_3 pu_cal_ctrl[3] GND VDD p-leg_6/n_cal_ctrl[3] GND VDD sky130_fd_sc_hd__inv_1
+Xp-leg_2 DQ p-leg_6/n_cal_ctrl[0] p-leg_6/n_cal_ctrl[1] p-leg_6/n_cal_ctrl[2] p-leg_6/n_cal_ctrl[3]
++ p-leg_2/n_pu_ctrl GND GND GND GND VDD p-leg
+Xsky130_fd_sc_hd__clkbuf_8_0 sky130_fd_sc_hd__clkinv_4_0/Y GND VDD n-leg_0/pd_ctrl
 + GND VDD sky130_fd_sc_hd__clkbuf_8
 .ends
 
 .subckt proj_sstl_test d_sel_0 d_sel_1 data_0 data_2 data_3 IO_VDD rx_leg_ctrl[0]
-+ rx_leg_ctrl[1] rx_leg_ctrl[2] rx_leg_ctrl[3] rx_leg_ctrl[4] rx_leg_ctrl[5] rx_leg_ctrl[6]
-+ rx_pd_cal[0] rx_pd_cal[3] rx_pu_cal[0] rx_pu_cal[1] rx_pu_cal[2] rx_pu_cal[3] tx_leg_ctrl[0]
-+ tx_leg_ctrl[1] tx_leg_ctrl[2] tx_leg_ctrl[3] tx_leg_ctrl[4] tx_leg_ctrl[5] tx_leg_ctrl[6]
-+ tx_pd_cal[0] tx_pd_cal[1] tx_pd_cal[2] tx_pd_cal[3] tx_pu_cal[0] tx_pu_cal[1] tx_pu_cal[2]
-+ tx_pu_cal[3] dig_VDD SSTL_2/DQ rx_pd_cal[1] SSTL_1/DQ rx_pd_cal[2] SSTL_0/DQ VSUBS
-Xsky130_fd_sc_hd__and2_1_10 dig_VDD VSUBS SSTL_1/pu_ctrl[2] tx_leg_ctrl[4] sky130_fd_sc_hd__and2_1_8/A
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__and2_1_11 dig_VDD VSUBS SSTL_1/pu_ctrl[0] tx_leg_ctrl[6] sky130_fd_sc_hd__and2_1_8/A
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__and2_1_13 dig_VDD VSUBS SSTL_1/pu_ctrl[1] tx_leg_ctrl[5] sky130_fd_sc_hd__and2_1_8/A
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__and2_1_12 dig_VDD VSUBS SSTL_1/pd_ctrl[0] tx_leg_ctrl[6] sky130_fd_sc_hd__mux4_1_0/X
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__and2_1_0 dig_VDD VSUBS SSTL_1/pd_ctrl[6] tx_leg_ctrl[0] sky130_fd_sc_hd__mux4_1_0/X
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__and2_1_1 dig_VDD VSUBS SSTL_1/pd_ctrl[5] tx_leg_ctrl[1] sky130_fd_sc_hd__mux4_1_0/X
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__and2_1_2 dig_VDD VSUBS SSTL_1/pu_ctrl[6] tx_leg_ctrl[0] sky130_fd_sc_hd__and2_1_8/A
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__and2_1_3 dig_VDD VSUBS SSTL_1/pu_ctrl[5] tx_leg_ctrl[1] sky130_fd_sc_hd__and2_1_8/A
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__clkbuf_2_0 sky130_fd_sc_hd__clkbuf_2_0/A sky130_fd_sc_hd__clkbuf_2_1/A
-+ VSUBS dig_VDD VSUBS dig_VDD sky130_fd_sc_hd__clkbuf_2
-Xsky130_fd_sc_hd__clkinv_2_0 sky130_fd_sc_hd__and2_1_8/A sky130_fd_sc_hd__mux4_1_0/X
-+ dig_VDD VSUBS VSUBS dig_VDD sky130_fd_sc_hd__clkinv_2
-Xsky130_fd_sc_hd__and2_1_4 dig_VDD VSUBS SSTL_1/pd_ctrl[4] tx_leg_ctrl[2] sky130_fd_sc_hd__mux4_1_0/X
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__clkbuf_2_1 sky130_fd_sc_hd__clkbuf_2_1/A sky130_fd_sc_hd__mux4_1_0/A1
-+ VSUBS dig_VDD VSUBS dig_VDD sky130_fd_sc_hd__clkbuf_2
-Xsky130_fd_sc_hd__mux4_1_0 d_sel_0 sky130_fd_sc_hd__mux4_1_0/A1 sky130_fd_sc_hd__mux4_1_0/X
-+ d_sel_1 data_2 data_0 data_3 VSUBS dig_VDD VSUBS dig_VDD sky130_fd_sc_hd__mux4_1
-Xsky130_fd_sc_hd__and2_1_5 dig_VDD VSUBS SSTL_1/pd_ctrl[3] tx_leg_ctrl[3] sky130_fd_sc_hd__mux4_1_0/X
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__and2_1_6 dig_VDD VSUBS SSTL_1/pu_ctrl[4] tx_leg_ctrl[2] sky130_fd_sc_hd__and2_1_8/A
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__and2_1_7 dig_VDD VSUBS SSTL_1/pd_ctrl[2] tx_leg_ctrl[4] sky130_fd_sc_hd__mux4_1_0/X
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__and2_1_8 dig_VDD VSUBS SSTL_1/pu_ctrl[3] tx_leg_ctrl[3] sky130_fd_sc_hd__and2_1_8/A
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-Xsky130_fd_sc_hd__and2_1_9 dig_VDD VSUBS SSTL_1/pd_ctrl[1] tx_leg_ctrl[5] sky130_fd_sc_hd__mux4_1_0/X
-+ VSUBS dig_VDD sky130_fd_sc_hd__and2_1
-XSSTL_0 SSTL_0/DQ tx_pd_cal[0] tx_pd_cal[1] tx_pd_cal[2] tx_pd_cal[3] SSTL_1/pu_ctrl[0]
-+ SSTL_1/pu_ctrl[1] SSTL_1/pu_ctrl[2] SSTL_1/pu_ctrl[3] SSTL_1/pu_ctrl[4] SSTL_1/pu_ctrl[5]
-+ SSTL_1/pu_ctrl[6] tx_pu_cal[0] tx_pu_cal[1] tx_pu_cal[2] tx_pu_cal[3] SSTL_1/pd_ctrl[1]
-+ SSTL_1/pd_ctrl[2] SSTL_1/pd_ctrl[3] SSTL_1/pd_ctrl[4] SSTL_1/pd_ctrl[5] SSTL_1/pd_ctrl[6]
-+ SSTL_1/pd_ctrl[0] IO_VDD VSUBS SSTL
-XSSTL_1 SSTL_1/DQ tx_pd_cal[0] tx_pd_cal[1] tx_pd_cal[2] tx_pd_cal[3] SSTL_1/pd_ctrl[0]
-+ SSTL_1/pd_ctrl[1] SSTL_1/pd_ctrl[2] SSTL_1/pd_ctrl[3] SSTL_1/pd_ctrl[4] SSTL_1/pd_ctrl[5]
-+ SSTL_1/pd_ctrl[6] tx_pu_cal[0] tx_pu_cal[1] tx_pu_cal[2] tx_pu_cal[3] SSTL_1/pu_ctrl[1]
-+ SSTL_1/pu_ctrl[2] SSTL_1/pu_ctrl[3] SSTL_1/pu_ctrl[4] SSTL_1/pu_ctrl[5] SSTL_1/pu_ctrl[6]
-+ SSTL_1/pu_ctrl[0] IO_VDD VSUBS SSTL
-XSSTL_2 SSTL_2/DQ rx_pd_cal[0] rx_pd_cal[1] rx_pd_cal[2] rx_pd_cal[3] rx_leg_ctrl[6]
++ rx_leg_ctrl[1] rx_leg_ctrl[2] rx_leg_ctrl[3] rx_leg_ctrl[4] rx_leg_ctrl[5] rx_pd_cal[0]
++ rx_pd_cal[1] rx_pd_cal[2] rx_pd_cal[3] rx_pu_cal[0] rx_pu_cal[1] rx_pu_cal[2] rx_pu_cal[3]
++ tx_leg_ctrl[0] tx_leg_ctrl[1] tx_leg_ctrl[2] tx_leg_ctrl[3] tx_leg_ctrl[4] tx_leg_ctrl[5]
++ tx_leg_ctrl[6] tx_pd_cal[0] tx_pd_cal[1] tx_pd_cal[2] tx_pd_cal[3] tx_pu_cal[0]
++ tx_pu_cal[1] tx_pu_cal[2] tx_pu_cal[3] dig_VDD dig_GND rx_leg_ctrl[6] SSTL_0/DQ
++ SSTL_2/DQ SSTL_1/DQ VSUBS
+Xsky130_fd_sc_hd__and2_1_10 sky130_fd_sc_hd__mux4_1_0/X tx_leg_ctrl[1] dig_GND dig_VDD
++ SSTL_2/pu_ctrl[5] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__and2_1_11 sky130_fd_sc_hd__and2_1_9/A tx_leg_ctrl[1] dig_GND dig_VDD
++ SSTL_2/pd_ctrl[5] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__and2_1_12 sky130_fd_sc_hd__mux4_1_0/X tx_leg_ctrl[0] dig_GND dig_VDD
++ SSTL_2/pu_ctrl[6] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__and2_1_13 sky130_fd_sc_hd__and2_1_9/A tx_leg_ctrl[0] dig_GND dig_VDD
++ SSTL_2/pd_ctrl[6] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__and2_1_0 sky130_fd_sc_hd__and2_1_9/A tx_leg_ctrl[6] dig_GND dig_VDD
++ SSTL_2/pd_ctrl[0] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__and2_1_1 sky130_fd_sc_hd__mux4_1_0/X tx_leg_ctrl[6] dig_GND dig_VDD
++ SSTL_2/pu_ctrl[0] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__and2_1_2 sky130_fd_sc_hd__mux4_1_0/X tx_leg_ctrl[5] dig_GND dig_VDD
++ SSTL_2/pu_ctrl[1] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__and2_1_3 sky130_fd_sc_hd__and2_1_9/A tx_leg_ctrl[5] dig_GND dig_VDD
++ SSTL_2/pd_ctrl[1] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__clkinv_2_0 sky130_fd_sc_hd__mux4_1_0/X dig_GND dig_VDD sky130_fd_sc_hd__and2_1_9/A
++ VSUBS dig_VDD sky130_fd_sc_hd__clkinv_2
+Xsky130_fd_sc_hd__clkbuf_2_0 sky130_fd_sc_hd__clkbuf_2_0/A dig_GND dig_VDD sky130_fd_sc_hd__clkbuf_2_1/A
++ VSUBS dig_VDD sky130_fd_sc_hd__clkbuf_2
+Xsky130_fd_sc_hd__and2_1_4 sky130_fd_sc_hd__mux4_1_0/X tx_leg_ctrl[4] dig_GND dig_VDD
++ SSTL_2/pu_ctrl[2] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__mux4_1_0 data_0 sky130_fd_sc_hd__mux4_1_0/A1 data_2 data_3 d_sel_0
++ d_sel_1 dig_GND dig_VDD sky130_fd_sc_hd__mux4_1_0/X VSUBS dig_VDD sky130_fd_sc_hd__mux4_1
+Xsky130_fd_sc_hd__clkbuf_2_1 sky130_fd_sc_hd__clkbuf_2_1/A dig_GND dig_VDD sky130_fd_sc_hd__mux4_1_0/A1
++ VSUBS dig_VDD sky130_fd_sc_hd__clkbuf_2
+Xsky130_fd_sc_hd__and2_1_5 sky130_fd_sc_hd__and2_1_9/A tx_leg_ctrl[4] dig_GND dig_VDD
++ SSTL_2/pd_ctrl[2] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__and2_1_6 sky130_fd_sc_hd__mux4_1_0/X tx_leg_ctrl[3] dig_GND dig_VDD
++ SSTL_2/pu_ctrl[3] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__and2_1_7 sky130_fd_sc_hd__and2_1_9/A tx_leg_ctrl[3] dig_GND dig_VDD
++ SSTL_2/pd_ctrl[3] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__and2_1_8 sky130_fd_sc_hd__mux4_1_0/X tx_leg_ctrl[2] dig_GND dig_VDD
++ SSTL_2/pu_ctrl[4] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+Xsky130_fd_sc_hd__and2_1_9 sky130_fd_sc_hd__and2_1_9/A tx_leg_ctrl[2] dig_GND dig_VDD
++ SSTL_2/pd_ctrl[4] VSUBS dig_VDD sky130_fd_sc_hd__and2_1
+XSSTL_0 SSTL_0/DQ VSUBS rx_pd_cal[0] rx_pd_cal[1] rx_pd_cal[2] rx_pd_cal[3] rx_leg_ctrl[6]
 + rx_leg_ctrl[5] rx_leg_ctrl[4] rx_leg_ctrl[3] rx_leg_ctrl[2] rx_leg_ctrl[1] rx_leg_ctrl[0]
 + rx_pu_cal[0] rx_pu_cal[1] rx_pu_cal[2] rx_pu_cal[3] rx_leg_ctrl[5] rx_leg_ctrl[4]
 + rx_leg_ctrl[3] rx_leg_ctrl[2] rx_leg_ctrl[1] rx_leg_ctrl[0] rx_leg_ctrl[6] IO_VDD
-+ VSUBS SSTL
-R0 SSTL_2/DQ sky130_fd_sc_hd__clkbuf_2_0/A sky130_fd_pr__res_generic_m1 w=535000u l=6.525e+06u
++ SSTL
+XSSTL_2 SSTL_2/DQ VSUBS tx_pd_cal[0] tx_pd_cal[1] tx_pd_cal[2] tx_pd_cal[3] SSTL_2/pd_ctrl[0]
++ SSTL_2/pd_ctrl[1] SSTL_2/pd_ctrl[2] SSTL_2/pd_ctrl[3] SSTL_2/pd_ctrl[4] SSTL_2/pd_ctrl[5]
++ SSTL_2/pd_ctrl[6] tx_pu_cal[0] tx_pu_cal[1] tx_pu_cal[2] tx_pu_cal[3] SSTL_2/pu_ctrl[1]
++ SSTL_2/pu_ctrl[2] SSTL_2/pu_ctrl[3] SSTL_2/pu_ctrl[4] SSTL_2/pu_ctrl[5] SSTL_2/pu_ctrl[6]
++ SSTL_2/pu_ctrl[0] IO_VDD SSTL
+XSSTL_1 SSTL_1/DQ VSUBS tx_pd_cal[0] tx_pd_cal[1] tx_pd_cal[2] tx_pd_cal[3] SSTL_2/pu_ctrl[0]
++ SSTL_2/pu_ctrl[1] SSTL_2/pu_ctrl[2] SSTL_2/pu_ctrl[3] SSTL_2/pu_ctrl[4] SSTL_2/pu_ctrl[5]
++ SSTL_2/pu_ctrl[6] tx_pu_cal[0] tx_pu_cal[1] tx_pu_cal[2] tx_pu_cal[3] SSTL_2/pd_ctrl[1]
++ SSTL_2/pd_ctrl[2] SSTL_2/pd_ctrl[3] SSTL_2/pd_ctrl[4] SSTL_2/pd_ctrl[5] SSTL_2/pd_ctrl[6]
++ SSTL_2/pd_ctrl[0] IO_VDD SSTL
+R0 SSTL_0/DQ sky130_fd_sc_hd__clkbuf_2_0/A sky130_fd_pr__res_generic_m1 w=535000u l=6.525e+06u
 .ends
 
-.subckt sky130_fd_sc_hd__dfxtp_1 Q CLK D VPWR VGND VNB VPB
+.subckt sky130_fd_sc_hd__dfxtp_1 CLK D VGND VPWR Q VNB VPB
 X0 Q a_1059_315# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=7.492e+11p ps=8.11e+06u w=650000u l=150000u
 X1 a_891_413# a_193_47# a_634_159# VNB sky130_fd_pr__nfet_01v8 ad=1.368e+11p pd=1.48e+06u as=1.978e+11p ps=1.99e+06u w=360000u l=150000u
 X2 a_561_413# a_27_47# a_466_413# VPB sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=1.365e+11p ps=1.49e+06u w=420000u l=150000u
@@ -581,15 +581,313 @@
 X23 VGND CLK a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
 .ends
 
-.subckt cfg_shift_register clk d_in q[0] q[1] q[2] q[3] q[4] q[5] q[6] q[7] GND VDD
-Xsky130_fd_sc_hd__dfxtp_1_4 q[4] clk q[3] VDD GND GND VDD sky130_fd_sc_hd__dfxtp_1
-Xsky130_fd_sc_hd__dfxtp_1_5 q[5] clk q[4] VDD GND GND VDD sky130_fd_sc_hd__dfxtp_1
-Xsky130_fd_sc_hd__dfxtp_1_6 q[6] clk q[5] VDD GND GND VDD sky130_fd_sc_hd__dfxtp_1
-Xsky130_fd_sc_hd__dfxtp_1_7 q[7] clk q[6] VDD GND GND VDD sky130_fd_sc_hd__dfxtp_1
-Xsky130_fd_sc_hd__dfxtp_1_0 q[0] clk d_in VDD GND GND VDD sky130_fd_sc_hd__dfxtp_1
-Xsky130_fd_sc_hd__dfxtp_1_1 q[1] clk q[0] VDD GND GND VDD sky130_fd_sc_hd__dfxtp_1
-Xsky130_fd_sc_hd__dfxtp_1_2 q[2] clk q[1] VDD GND GND VDD sky130_fd_sc_hd__dfxtp_1
-Xsky130_fd_sc_hd__dfxtp_1_3 q[3] clk q[2] VDD GND GND VDD sky130_fd_sc_hd__dfxtp_1
+.subckt cfg_shift_register clk d_in q[0] q[1] q[2] q[3] q[4] q[5] q[6] q[7] VDD GND
+Xsky130_fd_sc_hd__dfxtp_1_4 clk q[3] GND VDD q[4] GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_5 clk q[4] GND VDD q[5] GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_6 clk q[5] GND VDD q[6] GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_7 clk q[6] GND VDD q[7] GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_0 clk d_in GND VDD q[0] GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_1 clk q[0] GND VDD q[1] GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_2 clk q[1] GND VDD q[2] GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_3 clk q[2] GND VDD q[3] GND VDD sky130_fd_sc_hd__dfxtp_1
+.ends
+
+.subckt sky130_fd_sc_hd__clkbuf_1 A VGND VPWR X VNB VPB
+X0 VPWR a_75_212# X VPB sky130_fd_pr__pfet_01v8_hvt ad=2.291e+11p pd=2.16e+06u as=2.054e+11p ps=2.1e+06u w=790000u l=150000u
+X1 a_75_212# A VGND VNB sky130_fd_pr__nfet_01v8 ad=1.352e+11p pd=1.56e+06u as=1.508e+11p ps=1.62e+06u w=520000u l=150000u
+X2 a_75_212# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.054e+11p pd=2.1e+06u as=0p ps=0u w=790000u l=150000u
+X3 VGND a_75_212# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.352e+11p ps=1.56e+06u w=520000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__clkinv_1 A VGND VPWR Y VNB VPB
+X0 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.268e+11p pd=2.22e+06u as=4.536e+11p ps=4.44e+06u w=840000u l=150000u
+X1 VGND A Y VNB sky130_fd_pr__nfet_01v8 ad=1.197e+11p pd=1.41e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__or3_1 A B C VGND VPWR X VNB VPB
+X0 X a_29_53# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.8e+11p pd=2.56e+06u as=2.965e+11p ps=2.68e+06u w=1e+06u l=150000u
+X1 a_111_297# C a_29_53# VPB sky130_fd_pr__pfet_01v8_hvt ad=8.82e+10p pd=1.26e+06u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X2 X a_29_53# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=3.1715e+11p ps=3.36e+06u w=650000u l=150000u
+X3 a_183_297# B a_111_297# VPB sky130_fd_pr__pfet_01v8_hvt ad=1.386e+11p pd=1.5e+06u as=0p ps=0u w=420000u l=150000u
+X4 VPWR A a_183_297# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X5 a_29_53# B VGND VNB sky130_fd_pr__nfet_01v8 ad=2.226e+11p pd=2.74e+06u as=0p ps=0u w=420000u l=150000u
+X6 VGND C a_29_53# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X7 VGND A a_29_53# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__nand3b_1 A_N B C VGND VPWR Y VNB VPB
+X0 Y a_53_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=5.5e+11p pd=5.1e+06u as=6.765e+11p ps=5.44e+06u w=1e+06u l=150000u
+X1 a_232_47# C VGND VNB sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=2.005e+11p ps=1.97e+06u w=650000u l=150000u
+X2 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 VPWR A_N a_53_93# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X4 VGND A_N a_53_93# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X5 a_316_47# B a_232_47# VNB sky130_fd_pr__nfet_01v8 ad=2.5025e+11p pd=2.07e+06u as=0p ps=0u w=650000u l=150000u
+X6 Y a_53_93# a_316_47# VNB sky130_fd_pr__nfet_01v8 ad=1.82e+11p pd=1.86e+06u as=0p ps=0u w=650000u l=150000u
+X7 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__dfxbp_1 CLK D VGND VPWR Q Q_N VNB VPB
+X0 Q a_1059_315# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=9.432e+11p ps=1.006e+07u w=650000u l=150000u
+X1 a_891_413# a_193_47# a_634_159# VNB sky130_fd_pr__nfet_01v8 ad=1.368e+11p pd=1.48e+06u as=1.978e+11p ps=1.99e+06u w=360000u l=150000u
+X2 a_561_413# a_27_47# a_466_413# VPB sky130_fd_pr__pfet_01v8_hvt ad=1.533e+11p pd=1.57e+06u as=1.365e+11p ps=1.49e+06u w=420000u l=150000u
+X3 VPWR CLK a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=1.32905e+12p pd=1.228e+07u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X4 a_381_47# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=1.155e+11p pd=1.39e+06u as=0p ps=0u w=420000u l=150000u
+X5 VGND a_634_159# a_592_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.392e+11p ps=1.53e+06u w=420000u l=150000u
+X6 a_466_413# a_193_47# a_381_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X7 VPWR a_634_159# a_561_413# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X8 a_634_159# a_466_413# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X9 Q a_1059_315# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X10 VGND a_1059_315# a_1490_369# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X11 a_634_159# a_466_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.19e+11p pd=2.15e+06u as=0p ps=0u w=750000u l=150000u
+X12 a_975_413# a_193_47# a_891_413# VPB sky130_fd_pr__pfet_01v8_hvt ad=1.764e+11p pd=1.68e+06u as=1.134e+11p ps=1.38e+06u w=420000u l=150000u
+X13 VGND a_1059_315# a_1017_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.32e+11p ps=1.49e+06u w=420000u l=150000u
+X14 a_193_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X15 a_891_413# a_27_47# a_634_159# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X16 a_592_47# a_193_47# a_466_413# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.242e+11p ps=1.41e+06u w=360000u l=150000u
+X17 VPWR a_891_413# a_1059_315# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X18 a_1017_47# a_27_47# a_891_413# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=360000u l=150000u
+X19 VPWR a_1059_315# a_975_413# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X20 a_466_413# a_27_47# a_381_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.626e+11p ps=1.66e+06u w=360000u l=150000u
+X21 a_193_47# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=1.664e+11p pd=1.8e+06u as=0p ps=0u w=640000u l=150000u
+X22 VGND a_891_413# a_1059_315# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X23 Q_N a_1490_369# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X24 a_381_47# D VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X25 Q_N a_1490_369# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X26 VGND CLK a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X27 VPWR a_1059_315# a_1490_369# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__nand3_1 A B C VGND VPWR Y VNB VPB
+X0 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt ad=5.9e+11p pd=5.18e+06u as=5.3e+11p ps=5.06e+06u w=1e+06u l=150000u
+X1 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2 a_193_47# B a_109_47# VNB sky130_fd_pr__nfet_01v8 ad=2.145e+11p pd=1.96e+06u as=1.755e+11p ps=1.84e+06u w=650000u l=150000u
+X3 Y A a_193_47# VNB sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X4 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X5 a_109_47# C VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__einvn_1 A TE_B VGND VPWR Z VNB VPB
+X0 VPWR TE_B a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=2.98e+11p pd=2.65e+06u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X1 a_204_297# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=7.35e+11p pd=3.47e+06u as=0p ps=0u w=1e+06u l=150000u
+X2 Z A a_204_297# VPB sky130_fd_pr__pfet_01v8_hvt ad=2.6e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X3 Z A a_286_47# VNB sky130_fd_pr__nfet_01v8 ad=1.69e+11p pd=1.82e+06u as=2.1125e+11p ps=1.95e+06u w=650000u l=150000u
+X4 a_286_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=4.605e+11p ps=2.77e+06u w=650000u l=150000u
+X5 VGND TE_B a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__xor3_1 A B C VGND VPWR X VNB VPB
+X0 a_112_21# C a_404_49# VNB sky130_fd_pr__nfet_01v8 ad=2.56e+11p pd=2.08e+06u as=5.401e+11p ps=4.32e+06u w=640000u l=150000u
+X1 a_1198_49# a_931_365# VGND VNB sky130_fd_pr__nfet_01v8 ad=5.677e+11p pd=4.42e+06u as=6.5605e+11p ps=5.96e+06u w=640000u l=150000u
+X2 a_386_325# B a_1198_49# VNB sky130_fd_pr__nfet_01v8 ad=5.9845e+11p pd=4.47e+06u as=0p ps=0u w=640000u l=150000u
+X3 a_404_49# a_266_93# a_112_21# VPB sky130_fd_pr__pfet_01v8_hvt ad=7.326e+11p pd=5.14e+06u as=3.192e+11p ps=2.44e+06u w=840000u l=150000u
+X4 a_931_365# a_827_297# a_404_49# VPB sky130_fd_pr__pfet_01v8_hvt ad=6.966e+11p pd=5.24e+06u as=0p ps=0u w=840000u l=150000u
+X5 VPWR a_112_21# X VPB sky130_fd_pr__pfet_01v8_hvt ad=9.53e+11p pd=7.96e+06u as=2.8e+11p ps=2.56e+06u w=1e+06u l=150000u
+X6 a_827_297# B VGND VNB sky130_fd_pr__nfet_01v8 ad=1.653e+11p pd=1.82e+06u as=0p ps=0u w=650000u l=150000u
+X7 a_1198_49# a_827_297# a_404_49# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X8 VGND A a_931_365# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.828e+11p ps=3.78e+06u w=640000u l=150000u
+X9 a_112_21# C a_386_325# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=7.592e+11p ps=5.22e+06u w=840000u l=150000u
+X10 a_1198_49# a_827_297# a_386_325# VPB sky130_fd_pr__pfet_01v8_hvt ad=7.77e+11p pd=5.62e+06u as=0p ps=0u w=640000u l=150000u
+X11 a_266_93# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=1.792e+11p pd=1.84e+06u as=0p ps=0u w=640000u l=150000u
+X12 a_1198_49# a_931_365# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X13 a_931_365# a_827_297# a_386_325# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=600000u l=150000u
+X14 VPWR A a_931_365# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X15 a_827_297# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.526e+11p pd=2.52e+06u as=0p ps=0u w=1e+06u l=150000u
+X16 VGND a_112_21# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+X17 a_386_325# B a_931_365# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X18 a_266_93# C VGND VNB sky130_fd_pr__nfet_01v8 ad=1.764e+11p pd=1.68e+06u as=0p ps=0u w=420000u l=150000u
+X19 a_404_49# B a_931_365# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X20 a_386_325# a_266_93# a_112_21# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X21 a_404_49# B a_1198_49# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__einvn_4 A TE_B VGND VPWR Z VNB VPB
+X0 VGND a_27_47# a_215_47# VNB sky130_fd_pr__nfet_01v8 ad=5.2e+11p pd=5.5e+06u as=9.1325e+11p ps=9.31e+06u w=650000u l=150000u
+X1 a_204_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt ad=1.2976e+12p pd=1.242e+07u as=5.4e+11p ps=5.08e+06u w=1e+06u l=150000u
+X2 VGND a_27_47# a_215_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X3 VPWR TE_B a_204_309# VPB sky130_fd_pr__pfet_01v8_hvt ad=8.187e+11p pd=7.47e+06u as=0p ps=0u w=940000u l=150000u
+X4 Z A a_215_47# VNB sky130_fd_pr__nfet_01v8 ad=3.51e+11p pd=3.68e+06u as=0p ps=0u w=650000u l=150000u
+X5 a_204_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=940000u l=150000u
+X6 a_215_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X7 Z A a_215_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X8 Z A a_204_309# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X9 VPWR TE_B a_204_309# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=940000u l=150000u
+X10 a_204_309# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=940000u l=150000u
+X11 a_215_47# A Z VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X12 a_215_47# A Z VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X13 a_215_47# a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X14 a_204_309# A Z VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X15 VPWR TE_B a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.6e+11p ps=2.52e+06u w=1e+06u l=150000u
+X16 Z A a_204_309# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X17 VGND TE_B a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.69e+11p ps=1.82e+06u w=650000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__or3b_1 A B C_N VGND VPWR X VNB VPB
+X0 a_109_93# C_N VGND VNB sky130_fd_pr__nfet_01v8 ad=1.092e+11p pd=1.36e+06u as=4.231e+11p ps=4.71e+06u w=420000u l=150000u
+X1 a_215_53# B VGND VNB sky130_fd_pr__nfet_01v8 ad=2.226e+11p pd=2.74e+06u as=0p ps=0u w=420000u l=150000u
+X2 VGND a_109_93# a_215_53# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3 VGND A a_215_53# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X4 VPWR A a_369_297# VPB sky130_fd_pr__pfet_01v8_hvt ad=4.057e+11p pd=4.04e+06u as=1.365e+11p ps=1.49e+06u w=420000u l=150000u
+X5 a_369_297# B a_297_297# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=8.82e+10p ps=1.26e+06u w=420000u l=150000u
+X6 X a_215_53# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.75e+11p pd=2.55e+06u as=0p ps=0u w=1e+06u l=150000u
+X7 a_297_297# a_109_93# a_215_53# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+X8 a_109_93# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=1.092e+11p pd=1.36e+06u as=0p ps=0u w=420000u l=150000u
+X9 X a_215_53# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.7875e+11p pd=1.85e+06u as=0p ps=0u w=650000u l=150000u
+.ends
+
+.subckt prbs_gen cfg_in[0] cfg_in[1] cfg_in[2] dout GND VDD
+Xsky130_fd_sc_hd__dfxtp_1_20 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_20/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_21/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__clkbuf_1_7 sky130_fd_sc_hd__dfxbp_1_2/D GND VDD sky130_fd_sc_hd__einvn_1_2/A
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__clkinv_1_7 sky130_fd_sc_hd__einvn_4_0/Z GND VDD sky130_fd_sc_hd__clkinv_4_0/A
++ GND VDD sky130_fd_sc_hd__clkinv_1
+Xsky130_fd_sc_hd__dfxtp_1_4 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_4/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_5/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__or3_1_0 cfg_in[2] cfg_in[0] cfg_in[1] GND VDD sky130_fd_sc_hd__or3_1_0/X
++ GND VDD sky130_fd_sc_hd__or3_1
+Xsky130_fd_sc_hd__clkbuf_1_8 sky130_fd_sc_hd__dfxbp_1_3/D GND VDD sky130_fd_sc_hd__einvn_1_3/A
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__dfxtp_1_21 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_21/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_22/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_5 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_5/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_6/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_10 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_9/Q
++ GND VDD sky130_fd_sc_hd__dfxtp_1_11/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_22 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_22/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_23/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__clkbuf_1_9 sky130_fd_sc_hd__dfxbp_1_4/D GND VDD sky130_fd_sc_hd__einvn_1_4/A
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__dfxtp_1_11 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_11/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_12/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_6 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_6/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_7/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_23 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_23/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_24/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_12 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_12/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_13/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_7 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_7/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_8/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_24 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_24/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_25/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_13 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_13/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_14/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_8 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_8/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_9/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_25 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_25/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_26/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_14 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_14/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_15/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_9 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_9/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_9/Q GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_26 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_26/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_27/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_15 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_15/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_16/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__clkinv_4_0 sky130_fd_sc_hd__clkinv_4_0/A GND VDD sky130_fd_sc_hd__clkinv_4_0/Y
++ GND VDD sky130_fd_sc_hd__clkinv_4
+Xsky130_fd_sc_hd__dfxtp_1_27 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_27/D
++ GND VDD sky130_fd_sc_hd__xor3_1_0/C GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_16 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_16/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_17/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_28 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__xor3_1_0/C
++ GND VDD sky130_fd_sc_hd__dfxtp_1_29/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_17 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_17/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_18/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_29 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_29/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_30/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_18 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_18/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_19/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_19 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_19/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_20/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__nand3b_1_0 cfg_in[2] cfg_in[0] cfg_in[1] GND VDD sky130_fd_sc_hd__nand3b_1_0/Y
++ GND VDD sky130_fd_sc_hd__nand3b_1
+Xsky130_fd_sc_hd__nand3b_1_1 cfg_in[1] cfg_in[0] cfg_in[2] GND VDD sky130_fd_sc_hd__nand3b_1_1/Y
++ GND VDD sky130_fd_sc_hd__nand3b_1
+Xsky130_fd_sc_hd__nand3b_1_2 cfg_in[0] cfg_in[1] cfg_in[2] GND VDD sky130_fd_sc_hd__nand3b_1_2/Y
++ GND VDD sky130_fd_sc_hd__nand3b_1
+Xsky130_fd_sc_hd__dfxbp_1_0 sky130_fd_sc_hd__clkbuf_1_4/A sky130_fd_sc_hd__dfxbp_1_0/D
++ GND VDD sky130_fd_sc_hd__dfxbp_1_0/Q sky130_fd_sc_hd__dfxbp_1_0/D GND VDD sky130_fd_sc_hd__dfxbp_1
+Xsky130_fd_sc_hd__nand3_1_0 cfg_in[0] cfg_in[2] cfg_in[1] GND VDD sky130_fd_sc_hd__nand3_1_0/Y
++ GND VDD sky130_fd_sc_hd__nand3_1
+Xsky130_fd_sc_hd__dfxbp_1_1 sky130_fd_sc_hd__dfxbp_1_0/D sky130_fd_sc_hd__dfxbp_1_1/D
++ GND VDD sky130_fd_sc_hd__dfxbp_1_1/Q sky130_fd_sc_hd__dfxbp_1_1/D GND VDD sky130_fd_sc_hd__dfxbp_1
+Xsky130_fd_sc_hd__clkbuf_1_10 sky130_fd_sc_hd__dfxbp_1_5/D GND VDD sky130_fd_sc_hd__einvn_1_5/A
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__dfxbp_1_2 sky130_fd_sc_hd__dfxbp_1_1/D sky130_fd_sc_hd__dfxbp_1_2/D
++ GND VDD sky130_fd_sc_hd__dfxbp_1_2/Q sky130_fd_sc_hd__dfxbp_1_2/D GND VDD sky130_fd_sc_hd__dfxbp_1
+Xsky130_fd_sc_hd__dfxbp_1_3 sky130_fd_sc_hd__dfxbp_1_2/D sky130_fd_sc_hd__dfxbp_1_3/D
++ GND VDD sky130_fd_sc_hd__dfxbp_1_3/Q sky130_fd_sc_hd__dfxbp_1_3/D GND VDD sky130_fd_sc_hd__dfxbp_1
+Xsky130_fd_sc_hd__einvn_1_0 sky130_fd_sc_hd__einvn_1_0/A sky130_fd_sc_hd__or3b_1_0/X
++ GND VDD sky130_fd_sc_hd__einvn_4_0/Z GND VDD sky130_fd_sc_hd__einvn_1
+Xsky130_fd_sc_hd__clkbuf_1_11 sky130_fd_sc_hd__dfxbp_1_6/D GND VDD sky130_fd_sc_hd__einvn_1_6/A
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__einvn_1_1 sky130_fd_sc_hd__einvn_1_1/A sky130_fd_sc_hd__or3b_1_1/X
++ GND VDD sky130_fd_sc_hd__einvn_4_0/Z GND VDD sky130_fd_sc_hd__einvn_1
+Xsky130_fd_sc_hd__dfxbp_1_4 sky130_fd_sc_hd__dfxbp_1_3/D sky130_fd_sc_hd__dfxbp_1_4/D
++ GND VDD sky130_fd_sc_hd__dfxbp_1_4/Q sky130_fd_sc_hd__dfxbp_1_4/D GND VDD sky130_fd_sc_hd__dfxbp_1
+Xsky130_fd_sc_hd__dfxbp_1_5 sky130_fd_sc_hd__dfxbp_1_4/D sky130_fd_sc_hd__dfxbp_1_5/D
++ GND VDD sky130_fd_sc_hd__dfxbp_1_5/Q sky130_fd_sc_hd__dfxbp_1_5/D GND VDD sky130_fd_sc_hd__dfxbp_1
+Xsky130_fd_sc_hd__einvn_1_2 sky130_fd_sc_hd__einvn_1_2/A sky130_fd_sc_hd__nand3b_1_0/Y
++ GND VDD sky130_fd_sc_hd__einvn_4_0/Z GND VDD sky130_fd_sc_hd__einvn_1
+Xsky130_fd_sc_hd__einvn_1_3 sky130_fd_sc_hd__einvn_1_3/A sky130_fd_sc_hd__or3b_1_2/X
++ GND VDD sky130_fd_sc_hd__einvn_4_0/Z GND VDD sky130_fd_sc_hd__einvn_1
+Xsky130_fd_sc_hd__dfxbp_1_6 sky130_fd_sc_hd__dfxbp_1_5/D sky130_fd_sc_hd__dfxbp_1_6/D
++ GND VDD sky130_fd_sc_hd__dfxbp_1_6/Q sky130_fd_sc_hd__dfxbp_1_6/D GND VDD sky130_fd_sc_hd__dfxbp_1
+Xsky130_fd_sc_hd__xor3_1_0 sky130_fd_sc_hd__xor3_1_0/A sky130_fd_sc_hd__xor3_1_0/B
++ sky130_fd_sc_hd__xor3_1_0/C GND VDD dout GND VDD sky130_fd_sc_hd__xor3_1
+Xsky130_fd_sc_hd__einvn_1_4 sky130_fd_sc_hd__einvn_1_4/A sky130_fd_sc_hd__nand3b_1_1/Y
++ GND VDD sky130_fd_sc_hd__einvn_4_0/Z GND VDD sky130_fd_sc_hd__einvn_1
+Xsky130_fd_sc_hd__einvn_1_5 sky130_fd_sc_hd__einvn_1_5/A sky130_fd_sc_hd__nand3b_1_2/Y
++ GND VDD sky130_fd_sc_hd__einvn_4_0/Z GND VDD sky130_fd_sc_hd__einvn_1
+Xsky130_fd_sc_hd__einvn_1_6 sky130_fd_sc_hd__einvn_1_6/A sky130_fd_sc_hd__nand3_1_0/Y
++ GND VDD sky130_fd_sc_hd__einvn_4_0/Z GND VDD sky130_fd_sc_hd__einvn_1
+Xsky130_fd_sc_hd__clkinv_1_0 sky130_fd_sc_hd__clkinv_1_6/Y GND VDD sky130_fd_sc_hd__clkinv_1_1/A
++ GND VDD sky130_fd_sc_hd__clkinv_1
+Xsky130_fd_sc_hd__clkbuf_1_0 sky130_fd_sc_hd__clkbuf_1_1/X GND VDD sky130_fd_sc_hd__clkbuf_1_4/A
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__clkinv_1_1 sky130_fd_sc_hd__clkinv_1_1/A GND VDD sky130_fd_sc_hd__clkinv_1_2/A
++ GND VDD sky130_fd_sc_hd__clkinv_1
+Xsky130_fd_sc_hd__clkbuf_1_1 sky130_fd_sc_hd__clkbuf_1_2/X GND VDD sky130_fd_sc_hd__clkbuf_1_1/X
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__clkinv_1_2 sky130_fd_sc_hd__clkinv_1_2/A GND VDD sky130_fd_sc_hd__clkinv_1_3/A
++ GND VDD sky130_fd_sc_hd__clkinv_1
+Xsky130_fd_sc_hd__clkbuf_1_2 sky130_fd_sc_hd__clkbuf_1_3/X GND VDD sky130_fd_sc_hd__clkbuf_1_2/X
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__clkbuf_1_3 sky130_fd_sc_hd__clkinv_1_4/A GND VDD sky130_fd_sc_hd__clkbuf_1_3/X
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__clkinv_1_3 sky130_fd_sc_hd__clkinv_1_3/A GND VDD sky130_fd_sc_hd__clkinv_1_4/A
++ GND VDD sky130_fd_sc_hd__clkinv_1
+Xsky130_fd_sc_hd__einvn_4_0 sky130_fd_sc_hd__einvn_4_0/A sky130_fd_sc_hd__or3_1_0/X
++ GND VDD sky130_fd_sc_hd__einvn_4_0/Z GND VDD sky130_fd_sc_hd__einvn_4
+Xsky130_fd_sc_hd__dfxtp_1_0 sky130_fd_sc_hd__clkinv_4_0/Y dout GND VDD sky130_fd_sc_hd__xor3_1_0/A
++ GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__clkinv_1_4 sky130_fd_sc_hd__clkinv_1_4/A GND VDD sky130_fd_sc_hd__clkinv_1_5/A
++ GND VDD sky130_fd_sc_hd__clkinv_1
+Xsky130_fd_sc_hd__clkbuf_1_4 sky130_fd_sc_hd__clkbuf_1_4/A GND VDD sky130_fd_sc_hd__einvn_4_0/A
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__dfxtp_1_1 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__xor3_1_0/A
++ GND VDD sky130_fd_sc_hd__dfxtp_1_2/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__or3b_1_0 cfg_in[2] cfg_in[1] cfg_in[0] GND VDD sky130_fd_sc_hd__or3b_1_0/X
++ GND VDD sky130_fd_sc_hd__or3b_1
+Xsky130_fd_sc_hd__clkinv_1_5 sky130_fd_sc_hd__clkinv_1_5/A GND VDD sky130_fd_sc_hd__clkinv_1_6/A
++ GND VDD sky130_fd_sc_hd__clkinv_1
+Xsky130_fd_sc_hd__clkbuf_1_5 sky130_fd_sc_hd__dfxbp_1_0/D GND VDD sky130_fd_sc_hd__einvn_1_0/A
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__dfxtp_1_2 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_2/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_3/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__clkinv_1_6 sky130_fd_sc_hd__clkinv_1_6/A GND VDD sky130_fd_sc_hd__clkinv_1_6/Y
++ GND VDD sky130_fd_sc_hd__clkinv_1
+Xsky130_fd_sc_hd__clkbuf_1_6 sky130_fd_sc_hd__dfxbp_1_1/D GND VDD sky130_fd_sc_hd__einvn_1_1/A
++ GND VDD sky130_fd_sc_hd__clkbuf_1
+Xsky130_fd_sc_hd__or3b_1_1 cfg_in[2] cfg_in[0] cfg_in[1] GND VDD sky130_fd_sc_hd__or3b_1_1/X
++ GND VDD sky130_fd_sc_hd__or3b_1
+Xsky130_fd_sc_hd__dfxtp_1_30 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_30/D
++ GND VDD sky130_fd_sc_hd__xor3_1_0/B GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__dfxtp_1_3 sky130_fd_sc_hd__clkinv_4_0/Y sky130_fd_sc_hd__dfxtp_1_3/D
++ GND VDD sky130_fd_sc_hd__dfxtp_1_4/D GND VDD sky130_fd_sc_hd__dfxtp_1
+Xsky130_fd_sc_hd__or3b_1_2 cfg_in[1] cfg_in[0] cfg_in[2] GND VDD sky130_fd_sc_hd__or3b_1_2/X
++ GND VDD sky130_fd_sc_hd__or3b_1
 .ends
 
 .subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
@@ -599,10 +897,8 @@
 + gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
 + gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
 + gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
-+ io_analog[1] io_analog[2] 
-+ io_analog[3] io_analog[4] io_analog[5] io_analog[6] io_clamp_high[1] io_clamp_low[1] io_clamp_low[0]
-+ io_analog[7] io_analog[8] io_analog[9] io_clamp_high[0]
-+ io_clamp_high[2] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[4] io_analog[5] io_analog[6] io_analog[7] io_analog[8] io_analog[9] io_clamp_high[0] io_clamp_high[1]
++ io_clamp_high[2] io_clamp_low[0] io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
 + io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21]
 + io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5]
 + io_in[6] io_in[7] io_in[8] io_in[9] io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12]
@@ -700,31 +996,33 @@
 + wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
 + wbs_stb_i wbs_we_i
 Xproj_sstl_test_0 proj_sstl_test_0/d_sel_0 proj_sstl_test_0/d_sel_1 io_clamp_high[0]
-+ io_clamp_low[2] la_data_in[0] io_clamp_high[2] cfg_shift_register_3/q[0] cfg_shift_register_3/q[1]
++ prbs_gen_0/dout la_data_in[0] io_clamp_high[2] cfg_shift_register_3/q[0] cfg_shift_register_3/q[1]
 + cfg_shift_register_3/q[2] cfg_shift_register_3/q[3] cfg_shift_register_3/q[4] cfg_shift_register_3/q[5]
-+ cfg_shift_register_3/q[6] cfg_shift_register_2/q[3] cfg_shift_register_2/q[6] cfg_shift_register_3/q[7]
-+ cfg_shift_register_2/q[0] cfg_shift_register_2/q[1] cfg_shift_register_2/q[2] cfg_shift_register_0/q[1]
-+ cfg_shift_register_0/q[2] cfg_shift_register_0/q[3] cfg_shift_register_0/q[4] cfg_shift_register_0/q[5]
-+ cfg_shift_register_0/q[6] cfg_shift_register_0/q[7] cfg_shift_register_1/q[4] cfg_shift_register_1/q[3]
-+ cfg_shift_register_1/q[2] cfg_shift_register_1/q[1] cfg_shift_register_0/q[0] cfg_shift_register_1/q[7]
-+ cfg_shift_register_1/q[6] cfg_shift_register_1/q[5] io_clamp_high[0] io_analog[10]
-+ cfg_shift_register_2/q[4] io_analog[9] cfg_shift_register_2/q[5] io_analog[8] io_clamp_low[2]
-+ proj_sstl_test
++ cfg_shift_register_2/q[3] cfg_shift_register_2/q[4] cfg_shift_register_2/q[5] cfg_shift_register_2/q[6]
++ cfg_shift_register_3/q[7] cfg_shift_register_2/q[0] cfg_shift_register_2/q[1] cfg_shift_register_2/q[2]
++ cfg_shift_register_0/q[1] cfg_shift_register_0/q[2] cfg_shift_register_0/q[3] cfg_shift_register_0/q[4]
++ cfg_shift_register_0/q[5] cfg_shift_register_0/q[6] cfg_shift_register_0/q[7] cfg_shift_register_1/q[4]
++ cfg_shift_register_1/q[3] cfg_shift_register_1/q[2] cfg_shift_register_1/q[1] cfg_shift_register_0/q[0]
++ cfg_shift_register_1/q[7] cfg_shift_register_1/q[6] cfg_shift_register_1/q[5] io_clamp_high[0]
++ io_clamp_low[2] cfg_shift_register_3/q[6] io_analog[10] io_analog[8] io_analog[9]
++ io_clamp_low[2] proj_sstl_test
 Xcfg_shift_register_0 gpio_analog[8] cfg_shift_register_1/q[7] cfg_shift_register_0/q[0]
 + cfg_shift_register_0/q[1] cfg_shift_register_0/q[2] cfg_shift_register_0/q[3] cfg_shift_register_0/q[4]
-+ cfg_shift_register_0/q[5] cfg_shift_register_0/q[6] cfg_shift_register_0/q[7] io_clamp_low[2]
-+ io_clamp_high[0] cfg_shift_register
++ cfg_shift_register_0/q[5] cfg_shift_register_0/q[6] cfg_shift_register_0/q[7] io_clamp_high[0]
++ io_clamp_low[2] cfg_shift_register
 Xcfg_shift_register_1 gpio_analog[8] proj_sstl_test_0/d_sel_0 proj_sstl_test_0/d_sel_1
 + cfg_shift_register_1/q[1] cfg_shift_register_1/q[2] cfg_shift_register_1/q[3] cfg_shift_register_1/q[4]
-+ cfg_shift_register_1/q[5] cfg_shift_register_1/q[6] cfg_shift_register_1/q[7] io_clamp_low[2]
-+ io_clamp_high[0] cfg_shift_register
++ cfg_shift_register_1/q[5] cfg_shift_register_1/q[6] cfg_shift_register_1/q[7] io_clamp_high[0]
++ io_clamp_low[2] cfg_shift_register
 Xcfg_shift_register_2 gpio_analog[8] cfg_shift_register_3/q[7] cfg_shift_register_2/q[0]
 + cfg_shift_register_2/q[1] cfg_shift_register_2/q[2] cfg_shift_register_2/q[3] cfg_shift_register_2/q[4]
-+ cfg_shift_register_2/q[5] cfg_shift_register_2/q[6] proj_sstl_test_0/d_sel_0 io_clamp_low[2]
-+ io_clamp_high[0] cfg_shift_register
++ cfg_shift_register_2/q[5] cfg_shift_register_2/q[6] proj_sstl_test_0/d_sel_0 io_clamp_high[0]
++ io_clamp_low[2] cfg_shift_register
 Xcfg_shift_register_3 gpio_analog[8] gpio_analog[7] cfg_shift_register_3/q[0] cfg_shift_register_3/q[1]
 + cfg_shift_register_3/q[2] cfg_shift_register_3/q[3] cfg_shift_register_3/q[4] cfg_shift_register_3/q[5]
-+ cfg_shift_register_3/q[6] cfg_shift_register_3/q[7] io_clamp_low[2] io_clamp_high[0]
++ cfg_shift_register_3/q[6] cfg_shift_register_3/q[7] io_clamp_high[0] io_clamp_low[2]
 + cfg_shift_register
+Xprbs_gen_0 gpio_analog[9] gpio_analog[10] gpio_analog[11] prbs_gen_0/dout io_clamp_low[2]
++ io_clamp_high[0] prbs_gen
 .ends
 
diff --git a/xschem/prbs_gen.sch b/xschem/prbs_gen.sch
index dce31d9..671a2c2 100644
--- a/xschem/prbs_gen.sch
+++ b/xschem/prbs_gen.sch
@@ -65,8 +65,8 @@
 N 120 -80 140 -80 { lab=#net5}
 N 220 -80 240 -80 { lab=#net5}
 N 120 -520 200 -520 { lab=clken_0}
-N -260 -480 0 -480 { lab=cfg_in[0]}
-N -180 -520 -0 -520 { lab=cfg_in[1]}
+N -260 -390 0 -390 { lab=cfg_in[0]}
+N -180 -430 0 -430 { lab=cfg_in[1]}
 N -20 -600 0 -600 { lab=cfg_in[0]}
 N -20 -600 -20 -480 { lab=cfg_in[0]}
 N -40 -640 -0 -640 { lab=cfg_in[1]}
@@ -102,17 +102,13 @@
 N -60 -800 -60 -680 { lab=cfg_in[2]}
 N -60 -800 -0 -800 { lab=cfg_in[2]}
 N -20 -840 -20 -760 { lab=cfg_in[0]}
-N -20 -840 0 -840 { lab=cfg_in[0]}
 N -40 -880 -40 -720 { lab=cfg_in[1]}
-N -40 -880 -0 -880 { lab=cfg_in[1]}
 N -60 -920 -60 -800 { lab=cfg_in[2]}
 N -60 -920 0 -920 { lab=cfg_in[2]}
 N -60 -960 -60 -920 { lab=cfg_in[2]}
 N -60 -960 0 -960 { lab=cfg_in[2]}
 N -40 -1000 -40 -880 { lab=cfg_in[1]}
-N -40 -1000 0 -1000 { lab=cfg_in[1]}
 N -20 -1040 -20 -840 { lab=cfg_in[0]}
-N -20 -1040 0 -1040 { lab=cfg_in[0]}
 N -40 -1160 -40 -1000 { lab=cfg_in[1]}
 N -40 -1160 0 -1160 { lab=cfg_in[1]}
 N -20 -1120 -20 -1040 { lab=cfg_in[0]}
@@ -122,15 +118,8 @@
 N -20 -1280 -20 -1120 { lab=cfg_in[0]}
 N -20 -1280 -0 -1280 { lab=cfg_in[0]}
 N -40 -1200 -40 -1160 { lab=cfg_in[1]}
-N -40 -1200 0 -1200 { lab=cfg_in[1]}
 N -60 -1240 -60 -1080 { lab=cfg_in[2]}
-N -60 -1240 -0 -1240 { lab=cfg_in[2]}
-N -20 -1320 -20 -1280 { lab=cfg_in[0]}
-N -20 -1320 0 -1320 { lab=cfg_in[0]}
-N -40 -1360 -40 -1200 { lab=cfg_in[1]}
-N -40 -1360 0 -1360 { lab=cfg_in[1]}
 N -60 -1400 -60 -1240 { lab=cfg_in[2]}
-N -60 -1400 0 -1400 { lab=cfg_in[2]}
 N 120 -760 620 -760 { lab=clken_2}
 N 120 -880 840 -880 { lab=clken_3}
 N 660 -760 660 -520 { lab=clk_2}
@@ -164,10 +153,10 @@
 N 660 -1460 660 -1420 { lab=clk_sel}
 N 440 -1460 440 -1420 { lab=clk_sel}
 N 240 -1460 240 -1420 { lab=clk_sel}
-N -260 -520 -180 -520 { lab=cfg_in[1]}
-N -260 -560 -60 -560 { lab=cfg_in[2]}
-N -270 -580 -270 -490 { lab=cfg_in[2:0]}
-N -60 -560 -0 -560 { lab=cfg_in[2]}
+N -260 -430 -180 -430 { lab=cfg_in[1]}
+N -260 -470 -60 -470 { lab=cfg_in[2]}
+N -270 -490 -270 -400 { lab=cfg_in[2:0]}
+N -60 -470 0 -470 { lab=cfg_in[2]}
 N 240 -1460 1780 -1460 { lab=clk_sel}
 N 40 -80 240 -80 { lab=#net5}
 N 2200 -1500 2400 -1500 { lab=clk_out}
@@ -325,6 +314,28 @@
 N 2980 -1040 3180 -1040 { lab=lfsr_28}
 N 1860 -1460 1900 -1460 { lab=#net47}
 N 1980 -1460 2200 -1460 { lab=clk_out}
+N -60 -560 -60 -470 { lab=cfg_in[2]}
+N -60 -560 0 -560 { lab=cfg_in[2]}
+N -40 -520 -40 -430 { lab=cfg_in[1]}
+N -20 -480 -20 -390 { lab=cfg_in[0]}
+N -20 -520 -0 -520 { lab=cfg_in[0]}
+N -40 -490 -10 -490 { lab=cfg_in[1]}
+N -10 -490 -10 -480 { lab=cfg_in[1]}
+N -10 -480 0 -480 { lab=cfg_in[1]}
+N -20 -880 0 -880 { lab=cfg_in[0]}
+N 0 -850 0 -840 { lab=cfg_in[1]}
+N -40 -850 0 -850 { lab=cfg_in[1]}
+N -20 -1000 0 -1000 { lab=cfg_in[0]}
+N -0 -1040 0 -1030 { lab=cfg_in[1]}
+N -40 -1030 0 -1030 { lab=cfg_in[1]}
+N -40 -1240 -0 -1240 { lab=cfg_in[1]}
+N -0 -1210 -0 -1200 { lab=cfg_in[2]}
+N -60 -1210 -0 -1210 { lab=cfg_in[2]}
+N -20 -1400 0 -1400 { lab=cfg_in[0]}
+N -40 -1320 -0 -1320 { lab=cfg_in[1]}
+N -20 -1400 -20 -1280 { lab=cfg_in[0]}
+N -40 -1320 -40 -1200 { lab=cfg_in[1]}
+N -60 -1360 0 -1360 { lab=cfg_in[2]}
 C {sky130/sky130_stdcells/dfxbp_1.sym} 330 -290 0 0 {name=x8 VGND=GND VNB=GND VPB=VDD VPWR=VDD prefix=sky130_fd_sc_hd__ }
 C {sky130/sky130_stdcells/clkbuf_1.sym} 840 -140 0 1 {name=x16 VGND=GND VNB=GND VPB=VDD VPWR=VDD prefix=sky130_fd_sc_hd__ }
 C {devices/code_shown.sym} 995 -115 0 0 {name=MODELS
@@ -350,9 +361,9 @@
 C {devices/lab_wire.sym} 240 -410 0 0 {name=l1 sig_type=std_logic lab=clk_0}
 C {sky130/sky130_stdcells/clkinv_1.sym} 580 -80 0 0 {name=x3 VGND=GND VNB=GND VPB=VDD VPWR=VDD prefix=sky130_fd_sc_hd__ }
 C {sky130/sky130_stdcells/clkinv_1.sym} 480 -80 0 0 {name=x4 VGND=GND VNB=GND VPB=VDD VPWR=VDD prefix=sky130_fd_sc_hd__ }
-C {devices/bus_connect.sym} -270 -490 1 0 {name=l2 lab=cfg_in[0]}
-C {devices/bus_connect.sym} -270 -530 1 0 {name=l3 lab=cfg_in[1]}
-C {devices/bus_connect.sym} -270 -570 1 0 {name=l4 lab=cfg_in[2]}
+C {devices/bus_connect.sym} -270 -400 1 0 {name=l2 lab=cfg_in[0]}
+C {devices/bus_connect.sym} -270 -440 1 0 {name=l3 lab=cfg_in[1]}
+C {devices/bus_connect.sym} -270 -480 1 0 {name=l4 lab=cfg_in[2]}
 C {devices/lab_wire.sym} 440 -410 0 0 {name=l5 sig_type=std_logic lab=clk_1}
 C {devices/lab_wire.sym} 660 -410 0 0 {name=l6 sig_type=std_logic lab=clk_2}
 C {devices/lab_wire.sym} 880 -410 0 0 {name=l7 sig_type=std_logic lab=clk_3}
@@ -397,7 +408,7 @@
 C {sky130/sky130_stdcells/einvn_1.sym} 1320 -1160 1 1 {name=x38 VGND=GND VNB=GND VPB=VDD VPWR=VDD prefix=sky130_fd_sc_hd__ }
 C {sky130/sky130_stdcells/einvn_1.sym} 1540 -1280 1 1 {name=x39 VGND=GND VNB=GND VPB=VDD VPWR=VDD prefix=sky130_fd_sc_hd__ }
 C {sky130/sky130_stdcells/einvn_1.sym} 1760 -1400 1 1 {name=x40 VGND=GND VNB=GND VPB=VDD VPWR=VDD prefix=sky130_fd_sc_hd__ }
-C {devices/ipin.sym} -270 -580 0 0 {name=p1 lab=cfg_in[2:0]}
+C {devices/ipin.sym} -270 -490 0 0 {name=p1 lab=cfg_in[2:0]}
 C {sky130/sky130_stdcells/clkinv_1.sym} 1820 -1460 0 0 {name=x41 VGND=GND VNB=GND VPB=VDD VPWR=VDD prefix=sky130_fd_sc_hd__ }
 C {devices/lab_wire.sym} 1730 -1460 0 0 {name=l20 sig_type=std_logic lab=clk_sel}
 C {devices/lab_wire.sym} 2150 -1460 0 0 {name=l21 sig_type=std_logic lab=clk_out}