blob: e34fca10d0bb07cf755a5f0bdc66b57000f919d1 [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO user_proj_example
CLASS BLOCK ;
FOREIGN user_proj_example ;
ORIGIN 0.000 0.000 ;
SIZE 1900.000 BY 1800.000 ;
PIN io_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 13.640 1900.000 14.240 ;
END
END io_in[0]
PIN io_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 843.920 1900.000 844.520 ;
END
END io_in[10]
PIN io_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 926.880 1900.000 927.480 ;
END
END io_in[11]
PIN io_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1010.520 1900.000 1011.120 ;
END
END io_in[12]
PIN io_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1093.480 1900.000 1094.080 ;
END
END io_in[13]
PIN io_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1176.440 1900.000 1177.040 ;
END
END io_in[14]
PIN io_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1882.410 1796.000 1882.690 1800.000 ;
END
END io_in[15]
PIN io_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1780.750 1796.000 1781.030 1800.000 ;
END
END io_in[16]
PIN io_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1678.630 1796.000 1678.910 1800.000 ;
END
END io_in[17]
PIN io_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1576.970 1796.000 1577.250 1800.000 ;
END
END io_in[18]
PIN io_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1475.310 1796.000 1475.590 1800.000 ;
END
END io_in[19]
PIN io_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 96.600 1900.000 97.200 ;
END
END io_in[1]
PIN io_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1373.650 1796.000 1373.930 1800.000 ;
END
END io_in[20]
PIN io_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1271.530 1796.000 1271.810 1800.000 ;
END
END io_in[21]
PIN io_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1169.870 1796.000 1170.150 1800.000 ;
END
END io_in[22]
PIN io_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1068.210 1796.000 1068.490 1800.000 ;
END
END io_in[23]
PIN io_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1787.080 4.000 1787.680 ;
END
END io_in[24]
PIN io_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1713.640 4.000 1714.240 ;
END
END io_in[25]
PIN io_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1640.880 4.000 1641.480 ;
END
END io_in[26]
PIN io_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1568.120 4.000 1568.720 ;
END
END io_in[27]
PIN io_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1494.680 4.000 1495.280 ;
END
END io_in[28]
PIN io_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1421.920 4.000 1422.520 ;
END
END io_in[29]
PIN io_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 179.560 1900.000 180.160 ;
END
END io_in[2]
PIN io_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1349.160 4.000 1349.760 ;
END
END io_in[30]
PIN io_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1276.400 4.000 1277.000 ;
END
END io_in[31]
PIN io_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1202.960 4.000 1203.560 ;
END
END io_in[32]
PIN io_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1130.200 4.000 1130.800 ;
END
END io_in[33]
PIN io_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1057.440 4.000 1058.040 ;
END
END io_in[34]
PIN io_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 984.000 4.000 984.600 ;
END
END io_in[35]
PIN io_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 911.240 4.000 911.840 ;
END
END io_in[36]
PIN io_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 838.480 4.000 839.080 ;
END
END io_in[37]
PIN io_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 262.520 1900.000 263.120 ;
END
END io_in[3]
PIN io_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 345.480 1900.000 346.080 ;
END
END io_in[4]
PIN io_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 428.440 1900.000 429.040 ;
END
END io_in[5]
PIN io_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 512.080 1900.000 512.680 ;
END
END io_in[6]
PIN io_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 595.040 1900.000 595.640 ;
END
END io_in[7]
PIN io_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 678.000 1900.000 678.600 ;
END
END io_in[8]
PIN io_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 760.960 1900.000 761.560 ;
END
END io_in[9]
PIN io_oeb[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 68.720 1900.000 69.320 ;
END
END io_oeb[0]
PIN io_oeb[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 899.680 1900.000 900.280 ;
END
END io_oeb[10]
PIN io_oeb[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 982.640 1900.000 983.240 ;
END
END io_oeb[11]
PIN io_oeb[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1065.600 1900.000 1066.200 ;
END
END io_oeb[12]
PIN io_oeb[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1148.560 1900.000 1149.160 ;
END
END io_oeb[13]
PIN io_oeb[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1231.520 1900.000 1232.120 ;
END
END io_oeb[14]
PIN io_oeb[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1814.330 1796.000 1814.610 1800.000 ;
END
END io_oeb[15]
PIN io_oeb[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1712.670 1796.000 1712.950 1800.000 ;
END
END io_oeb[16]
PIN io_oeb[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1611.010 1796.000 1611.290 1800.000 ;
END
END io_oeb[17]
PIN io_oeb[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1509.350 1796.000 1509.630 1800.000 ;
END
END io_oeb[18]
PIN io_oeb[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1407.230 1796.000 1407.510 1800.000 ;
END
END io_oeb[19]
PIN io_oeb[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 151.680 1900.000 152.280 ;
END
END io_oeb[1]
PIN io_oeb[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1305.570 1796.000 1305.850 1800.000 ;
END
END io_oeb[20]
PIN io_oeb[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1203.910 1796.000 1204.190 1800.000 ;
END
END io_oeb[21]
PIN io_oeb[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1102.250 1796.000 1102.530 1800.000 ;
END
END io_oeb[22]
PIN io_oeb[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1000.130 1796.000 1000.410 1800.000 ;
END
END io_oeb[23]
PIN io_oeb[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1738.120 4.000 1738.720 ;
END
END io_oeb[24]
PIN io_oeb[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1665.360 4.000 1665.960 ;
END
END io_oeb[25]
PIN io_oeb[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1592.600 4.000 1593.200 ;
END
END io_oeb[26]
PIN io_oeb[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1519.160 4.000 1519.760 ;
END
END io_oeb[27]
PIN io_oeb[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1446.400 4.000 1447.000 ;
END
END io_oeb[28]
PIN io_oeb[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1373.640 4.000 1374.240 ;
END
END io_oeb[29]
PIN io_oeb[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 234.640 1900.000 235.240 ;
END
END io_oeb[2]
PIN io_oeb[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1300.200 4.000 1300.800 ;
END
END io_oeb[30]
PIN io_oeb[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1227.440 4.000 1228.040 ;
END
END io_oeb[31]
PIN io_oeb[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1154.680 4.000 1155.280 ;
END
END io_oeb[32]
PIN io_oeb[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1081.240 4.000 1081.840 ;
END
END io_oeb[33]
PIN io_oeb[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1008.480 4.000 1009.080 ;
END
END io_oeb[34]
PIN io_oeb[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 935.720 4.000 936.320 ;
END
END io_oeb[35]
PIN io_oeb[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 862.280 4.000 862.880 ;
END
END io_oeb[36]
PIN io_oeb[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 789.520 4.000 790.120 ;
END
END io_oeb[37]
PIN io_oeb[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 317.600 1900.000 318.200 ;
END
END io_oeb[3]
PIN io_oeb[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 401.240 1900.000 401.840 ;
END
END io_oeb[4]
PIN io_oeb[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 484.200 1900.000 484.800 ;
END
END io_oeb[5]
PIN io_oeb[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 567.160 1900.000 567.760 ;
END
END io_oeb[6]
PIN io_oeb[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 650.120 1900.000 650.720 ;
END
END io_oeb[7]
PIN io_oeb[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 733.080 1900.000 733.680 ;
END
END io_oeb[8]
PIN io_oeb[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 816.040 1900.000 816.640 ;
END
END io_oeb[9]
PIN io_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 40.840 1900.000 41.440 ;
END
END io_out[0]
PIN io_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 871.800 1900.000 872.400 ;
END
END io_out[10]
PIN io_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 954.760 1900.000 955.360 ;
END
END io_out[11]
PIN io_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1037.720 1900.000 1038.320 ;
END
END io_out[12]
PIN io_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1120.680 1900.000 1121.280 ;
END
END io_out[13]
PIN io_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1204.320 1900.000 1204.920 ;
END
END io_out[14]
PIN io_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1848.370 1796.000 1848.650 1800.000 ;
END
END io_out[15]
PIN io_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1746.710 1796.000 1746.990 1800.000 ;
END
END io_out[16]
PIN io_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1645.050 1796.000 1645.330 1800.000 ;
END
END io_out[17]
PIN io_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1542.930 1796.000 1543.210 1800.000 ;
END
END io_out[18]
PIN io_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1441.270 1796.000 1441.550 1800.000 ;
END
END io_out[19]
PIN io_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 123.800 1900.000 124.400 ;
END
END io_out[1]
PIN io_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1339.610 1796.000 1339.890 1800.000 ;
END
END io_out[20]
PIN io_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1237.950 1796.000 1238.230 1800.000 ;
END
END io_out[21]
PIN io_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1135.830 1796.000 1136.110 1800.000 ;
END
END io_out[22]
PIN io_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1034.170 1796.000 1034.450 1800.000 ;
END
END io_out[23]
PIN io_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1762.600 4.000 1763.200 ;
END
END io_out[24]
PIN io_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1689.840 4.000 1690.440 ;
END
END io_out[25]
PIN io_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1616.400 4.000 1617.000 ;
END
END io_out[26]
PIN io_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1543.640 4.000 1544.240 ;
END
END io_out[27]
PIN io_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1470.880 4.000 1471.480 ;
END
END io_out[28]
PIN io_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1397.440 4.000 1398.040 ;
END
END io_out[29]
PIN io_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 207.440 1900.000 208.040 ;
END
END io_out[2]
PIN io_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1324.680 4.000 1325.280 ;
END
END io_out[30]
PIN io_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1251.920 4.000 1252.520 ;
END
END io_out[31]
PIN io_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1178.480 4.000 1179.080 ;
END
END io_out[32]
PIN io_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1105.720 4.000 1106.320 ;
END
END io_out[33]
PIN io_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1032.960 4.000 1033.560 ;
END
END io_out[34]
PIN io_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 960.200 4.000 960.800 ;
END
END io_out[35]
PIN io_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 886.760 4.000 887.360 ;
END
END io_out[36]
PIN io_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 814.000 4.000 814.600 ;
END
END io_out[37]
PIN io_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 290.400 1900.000 291.000 ;
END
END io_out[3]
PIN io_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 373.360 1900.000 373.960 ;
END
END io_out[4]
PIN io_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 456.320 1900.000 456.920 ;
END
END io_out[5]
PIN io_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 539.280 1900.000 539.880 ;
END
END io_out[6]
PIN io_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 622.240 1900.000 622.840 ;
END
END io_out[7]
PIN io_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 705.880 1900.000 706.480 ;
END
END io_out[8]
PIN io_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 788.840 1900.000 789.440 ;
END
END io_out[9]
PIN irq[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 741.240 4.000 741.840 ;
END
END irq[0]
PIN irq[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 644.000 4.000 644.600 ;
END
END irq[1]
PIN irq[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 619.520 4.000 620.120 ;
END
END irq[2]
PIN la_data_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 11.590 0.000 11.870 4.000 ;
END
END la_data_in[0]
PIN la_data_in[100]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1394.810 0.000 1395.090 4.000 ;
END
END la_data_in[100]
PIN la_data_in[101]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1408.610 0.000 1408.890 4.000 ;
END
END la_data_in[101]
PIN la_data_in[102]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1422.410 0.000 1422.690 4.000 ;
END
END la_data_in[102]
PIN la_data_in[103]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1436.210 0.000 1436.490 4.000 ;
END
END la_data_in[103]
PIN la_data_in[104]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1450.010 0.000 1450.290 4.000 ;
END
END la_data_in[104]
PIN la_data_in[105]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1463.810 0.000 1464.090 4.000 ;
END
END la_data_in[105]
PIN la_data_in[106]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1477.610 0.000 1477.890 4.000 ;
END
END la_data_in[106]
PIN la_data_in[107]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1491.410 0.000 1491.690 4.000 ;
END
END la_data_in[107]
PIN la_data_in[108]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1505.210 0.000 1505.490 4.000 ;
END
END la_data_in[108]
PIN la_data_in[109]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1519.010 0.000 1519.290 4.000 ;
END
END la_data_in[109]
PIN la_data_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 149.590 0.000 149.870 4.000 ;
END
END la_data_in[10]
PIN la_data_in[110]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1533.270 0.000 1533.550 4.000 ;
END
END la_data_in[110]
PIN la_data_in[111]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1547.070 0.000 1547.350 4.000 ;
END
END la_data_in[111]
PIN la_data_in[112]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1560.870 0.000 1561.150 4.000 ;
END
END la_data_in[112]
PIN la_data_in[113]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1574.670 0.000 1574.950 4.000 ;
END
END la_data_in[113]
PIN la_data_in[114]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1588.470 0.000 1588.750 4.000 ;
END
END la_data_in[114]
PIN la_data_in[115]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1602.270 0.000 1602.550 4.000 ;
END
END la_data_in[115]
PIN la_data_in[116]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1616.070 0.000 1616.350 4.000 ;
END
END la_data_in[116]
PIN la_data_in[117]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1629.870 0.000 1630.150 4.000 ;
END
END la_data_in[117]
PIN la_data_in[118]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1643.670 0.000 1643.950 4.000 ;
END
END la_data_in[118]
PIN la_data_in[119]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1657.470 0.000 1657.750 4.000 ;
END
END la_data_in[119]
PIN la_data_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 163.390 0.000 163.670 4.000 ;
END
END la_data_in[11]
PIN la_data_in[120]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1671.270 0.000 1671.550 4.000 ;
END
END la_data_in[120]
PIN la_data_in[121]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1685.070 0.000 1685.350 4.000 ;
END
END la_data_in[121]
PIN la_data_in[122]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1698.870 0.000 1699.150 4.000 ;
END
END la_data_in[122]
PIN la_data_in[123]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1713.130 0.000 1713.410 4.000 ;
END
END la_data_in[123]
PIN la_data_in[124]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1726.930 0.000 1727.210 4.000 ;
END
END la_data_in[124]
PIN la_data_in[125]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1740.730 0.000 1741.010 4.000 ;
END
END la_data_in[125]
PIN la_data_in[126]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1754.530 0.000 1754.810 4.000 ;
END
END la_data_in[126]
PIN la_data_in[127]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1768.330 0.000 1768.610 4.000 ;
END
END la_data_in[127]
PIN la_data_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 177.190 0.000 177.470 4.000 ;
END
END la_data_in[12]
PIN la_data_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 190.990 0.000 191.270 4.000 ;
END
END la_data_in[13]
PIN la_data_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 205.250 0.000 205.530 4.000 ;
END
END la_data_in[14]
PIN la_data_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 219.050 0.000 219.330 4.000 ;
END
END la_data_in[15]
PIN la_data_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 232.850 0.000 233.130 4.000 ;
END
END la_data_in[16]
PIN la_data_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 246.650 0.000 246.930 4.000 ;
END
END la_data_in[17]
PIN la_data_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 260.450 0.000 260.730 4.000 ;
END
END la_data_in[18]
PIN la_data_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 274.250 0.000 274.530 4.000 ;
END
END la_data_in[19]
PIN la_data_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 25.390 0.000 25.670 4.000 ;
END
END la_data_in[1]
PIN la_data_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 288.050 0.000 288.330 4.000 ;
END
END la_data_in[20]
PIN la_data_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 301.850 0.000 302.130 4.000 ;
END
END la_data_in[21]
PIN la_data_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 315.650 0.000 315.930 4.000 ;
END
END la_data_in[22]
PIN la_data_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 329.450 0.000 329.730 4.000 ;
END
END la_data_in[23]
PIN la_data_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 343.250 0.000 343.530 4.000 ;
END
END la_data_in[24]
PIN la_data_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 357.050 0.000 357.330 4.000 ;
END
END la_data_in[25]
PIN la_data_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 370.850 0.000 371.130 4.000 ;
END
END la_data_in[26]
PIN la_data_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 385.110 0.000 385.390 4.000 ;
END
END la_data_in[27]
PIN la_data_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 398.910 0.000 399.190 4.000 ;
END
END la_data_in[28]
PIN la_data_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 412.710 0.000 412.990 4.000 ;
END
END la_data_in[29]
PIN la_data_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 39.190 0.000 39.470 4.000 ;
END
END la_data_in[2]
PIN la_data_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 426.510 0.000 426.790 4.000 ;
END
END la_data_in[30]
PIN la_data_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 440.310 0.000 440.590 4.000 ;
END
END la_data_in[31]
PIN la_data_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 454.110 0.000 454.390 4.000 ;
END
END la_data_in[32]
PIN la_data_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 467.910 0.000 468.190 4.000 ;
END
END la_data_in[33]
PIN la_data_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 481.710 0.000 481.990 4.000 ;
END
END la_data_in[34]
PIN la_data_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 495.510 0.000 495.790 4.000 ;
END
END la_data_in[35]
PIN la_data_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 509.310 0.000 509.590 4.000 ;
END
END la_data_in[36]
PIN la_data_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 523.110 0.000 523.390 4.000 ;
END
END la_data_in[37]
PIN la_data_in[38]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 536.910 0.000 537.190 4.000 ;
END
END la_data_in[38]
PIN la_data_in[39]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 550.710 0.000 550.990 4.000 ;
END
END la_data_in[39]
PIN la_data_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 52.990 0.000 53.270 4.000 ;
END
END la_data_in[3]
PIN la_data_in[40]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 564.510 0.000 564.790 4.000 ;
END
END la_data_in[40]
PIN la_data_in[41]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 578.770 0.000 579.050 4.000 ;
END
END la_data_in[41]
PIN la_data_in[42]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 592.570 0.000 592.850 4.000 ;
END
END la_data_in[42]
PIN la_data_in[43]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 606.370 0.000 606.650 4.000 ;
END
END la_data_in[43]
PIN la_data_in[44]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 620.170 0.000 620.450 4.000 ;
END
END la_data_in[44]
PIN la_data_in[45]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 633.970 0.000 634.250 4.000 ;
END
END la_data_in[45]
PIN la_data_in[46]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 647.770 0.000 648.050 4.000 ;
END
END la_data_in[46]
PIN la_data_in[47]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 661.570 0.000 661.850 4.000 ;
END
END la_data_in[47]
PIN la_data_in[48]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 675.370 0.000 675.650 4.000 ;
END
END la_data_in[48]
PIN la_data_in[49]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 689.170 0.000 689.450 4.000 ;
END
END la_data_in[49]
PIN la_data_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 66.790 0.000 67.070 4.000 ;
END
END la_data_in[4]
PIN la_data_in[50]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 702.970 0.000 703.250 4.000 ;
END
END la_data_in[50]
PIN la_data_in[51]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 716.770 0.000 717.050 4.000 ;
END
END la_data_in[51]
PIN la_data_in[52]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 730.570 0.000 730.850 4.000 ;
END
END la_data_in[52]
PIN la_data_in[53]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 744.370 0.000 744.650 4.000 ;
END
END la_data_in[53]
PIN la_data_in[54]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 758.170 0.000 758.450 4.000 ;
END
END la_data_in[54]
PIN la_data_in[55]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 772.430 0.000 772.710 4.000 ;
END
END la_data_in[55]
PIN la_data_in[56]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 786.230 0.000 786.510 4.000 ;
END
END la_data_in[56]
PIN la_data_in[57]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 800.030 0.000 800.310 4.000 ;
END
END la_data_in[57]
PIN la_data_in[58]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 813.830 0.000 814.110 4.000 ;
END
END la_data_in[58]
PIN la_data_in[59]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 827.630 0.000 827.910 4.000 ;
END
END la_data_in[59]
PIN la_data_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 80.590 0.000 80.870 4.000 ;
END
END la_data_in[5]
PIN la_data_in[60]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 841.430 0.000 841.710 4.000 ;
END
END la_data_in[60]
PIN la_data_in[61]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 855.230 0.000 855.510 4.000 ;
END
END la_data_in[61]
PIN la_data_in[62]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 869.030 0.000 869.310 4.000 ;
END
END la_data_in[62]
PIN la_data_in[63]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 882.830 0.000 883.110 4.000 ;
END
END la_data_in[63]
PIN la_data_in[64]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 896.630 0.000 896.910 4.000 ;
END
END la_data_in[64]
PIN la_data_in[65]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 910.430 0.000 910.710 4.000 ;
END
END la_data_in[65]
PIN la_data_in[66]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 924.230 0.000 924.510 4.000 ;
END
END la_data_in[66]
PIN la_data_in[67]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 938.030 0.000 938.310 4.000 ;
END
END la_data_in[67]
PIN la_data_in[68]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 952.290 0.000 952.570 4.000 ;
END
END la_data_in[68]
PIN la_data_in[69]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 966.090 0.000 966.370 4.000 ;
END
END la_data_in[69]
PIN la_data_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 94.390 0.000 94.670 4.000 ;
END
END la_data_in[6]
PIN la_data_in[70]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 979.890 0.000 980.170 4.000 ;
END
END la_data_in[70]
PIN la_data_in[71]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 993.690 0.000 993.970 4.000 ;
END
END la_data_in[71]
PIN la_data_in[72]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1007.490 0.000 1007.770 4.000 ;
END
END la_data_in[72]
PIN la_data_in[73]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1021.290 0.000 1021.570 4.000 ;
END
END la_data_in[73]
PIN la_data_in[74]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1035.090 0.000 1035.370 4.000 ;
END
END la_data_in[74]
PIN la_data_in[75]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1048.890 0.000 1049.170 4.000 ;
END
END la_data_in[75]
PIN la_data_in[76]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1062.690 0.000 1062.970 4.000 ;
END
END la_data_in[76]
PIN la_data_in[77]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1076.490 0.000 1076.770 4.000 ;
END
END la_data_in[77]
PIN la_data_in[78]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1090.290 0.000 1090.570 4.000 ;
END
END la_data_in[78]
PIN la_data_in[79]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1104.090 0.000 1104.370 4.000 ;
END
END la_data_in[79]
PIN la_data_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 108.190 0.000 108.470 4.000 ;
END
END la_data_in[7]
PIN la_data_in[80]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1117.890 0.000 1118.170 4.000 ;
END
END la_data_in[80]
PIN la_data_in[81]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1131.690 0.000 1131.970 4.000 ;
END
END la_data_in[81]
PIN la_data_in[82]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1145.950 0.000 1146.230 4.000 ;
END
END la_data_in[82]
PIN la_data_in[83]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1159.750 0.000 1160.030 4.000 ;
END
END la_data_in[83]
PIN la_data_in[84]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1173.550 0.000 1173.830 4.000 ;
END
END la_data_in[84]
PIN la_data_in[85]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1187.350 0.000 1187.630 4.000 ;
END
END la_data_in[85]
PIN la_data_in[86]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1201.150 0.000 1201.430 4.000 ;
END
END la_data_in[86]
PIN la_data_in[87]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1214.950 0.000 1215.230 4.000 ;
END
END la_data_in[87]
PIN la_data_in[88]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1228.750 0.000 1229.030 4.000 ;
END
END la_data_in[88]
PIN la_data_in[89]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1242.550 0.000 1242.830 4.000 ;
END
END la_data_in[89]
PIN la_data_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 121.990 0.000 122.270 4.000 ;
END
END la_data_in[8]
PIN la_data_in[90]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1256.350 0.000 1256.630 4.000 ;
END
END la_data_in[90]
PIN la_data_in[91]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1270.150 0.000 1270.430 4.000 ;
END
END la_data_in[91]
PIN la_data_in[92]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1283.950 0.000 1284.230 4.000 ;
END
END la_data_in[92]
PIN la_data_in[93]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1297.750 0.000 1298.030 4.000 ;
END
END la_data_in[93]
PIN la_data_in[94]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1311.550 0.000 1311.830 4.000 ;
END
END la_data_in[94]
PIN la_data_in[95]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1325.350 0.000 1325.630 4.000 ;
END
END la_data_in[95]
PIN la_data_in[96]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1339.610 0.000 1339.890 4.000 ;
END
END la_data_in[96]
PIN la_data_in[97]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1353.410 0.000 1353.690 4.000 ;
END
END la_data_in[97]
PIN la_data_in[98]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1367.210 0.000 1367.490 4.000 ;
END
END la_data_in[98]
PIN la_data_in[99]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1381.010 0.000 1381.290 4.000 ;
END
END la_data_in[99]
PIN la_data_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 135.790 0.000 136.070 4.000 ;
END
END la_data_in[9]
PIN la_data_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 16.190 0.000 16.470 4.000 ;
END
END la_data_out[0]
PIN la_data_out[100]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1399.410 0.000 1399.690 4.000 ;
END
END la_data_out[100]
PIN la_data_out[101]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1413.210 0.000 1413.490 4.000 ;
END
END la_data_out[101]
PIN la_data_out[102]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1427.010 0.000 1427.290 4.000 ;
END
END la_data_out[102]
PIN la_data_out[103]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1440.810 0.000 1441.090 4.000 ;
END
END la_data_out[103]
PIN la_data_out[104]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1454.610 0.000 1454.890 4.000 ;
END
END la_data_out[104]
PIN la_data_out[105]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1468.410 0.000 1468.690 4.000 ;
END
END la_data_out[105]
PIN la_data_out[106]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1482.210 0.000 1482.490 4.000 ;
END
END la_data_out[106]
PIN la_data_out[107]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1496.010 0.000 1496.290 4.000 ;
END
END la_data_out[107]
PIN la_data_out[108]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1509.810 0.000 1510.090 4.000 ;
END
END la_data_out[108]
PIN la_data_out[109]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1524.070 0.000 1524.350 4.000 ;
END
END la_data_out[109]
PIN la_data_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 154.190 0.000 154.470 4.000 ;
END
END la_data_out[10]
PIN la_data_out[110]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1537.870 0.000 1538.150 4.000 ;
END
END la_data_out[110]
PIN la_data_out[111]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1551.670 0.000 1551.950 4.000 ;
END
END la_data_out[111]
PIN la_data_out[112]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1565.470 0.000 1565.750 4.000 ;
END
END la_data_out[112]
PIN la_data_out[113]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1579.270 0.000 1579.550 4.000 ;
END
END la_data_out[113]
PIN la_data_out[114]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1593.070 0.000 1593.350 4.000 ;
END
END la_data_out[114]
PIN la_data_out[115]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1606.870 0.000 1607.150 4.000 ;
END
END la_data_out[115]
PIN la_data_out[116]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1620.670 0.000 1620.950 4.000 ;
END
END la_data_out[116]
PIN la_data_out[117]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1634.470 0.000 1634.750 4.000 ;
END
END la_data_out[117]
PIN la_data_out[118]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1648.270 0.000 1648.550 4.000 ;
END
END la_data_out[118]
PIN la_data_out[119]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1662.070 0.000 1662.350 4.000 ;
END
END la_data_out[119]
PIN la_data_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 167.990 0.000 168.270 4.000 ;
END
END la_data_out[11]
PIN la_data_out[120]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1675.870 0.000 1676.150 4.000 ;
END
END la_data_out[120]
PIN la_data_out[121]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1689.670 0.000 1689.950 4.000 ;
END
END la_data_out[121]
PIN la_data_out[122]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1703.470 0.000 1703.750 4.000 ;
END
END la_data_out[122]
PIN la_data_out[123]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1717.730 0.000 1718.010 4.000 ;
END
END la_data_out[123]
PIN la_data_out[124]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1731.530 0.000 1731.810 4.000 ;
END
END la_data_out[124]
PIN la_data_out[125]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1745.330 0.000 1745.610 4.000 ;
END
END la_data_out[125]
PIN la_data_out[126]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1759.130 0.000 1759.410 4.000 ;
END
END la_data_out[126]
PIN la_data_out[127]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1772.930 0.000 1773.210 4.000 ;
END
END la_data_out[127]
PIN la_data_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 181.790 0.000 182.070 4.000 ;
END
END la_data_out[12]
PIN la_data_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 196.050 0.000 196.330 4.000 ;
END
END la_data_out[13]
PIN la_data_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 209.850 0.000 210.130 4.000 ;
END
END la_data_out[14]
PIN la_data_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 223.650 0.000 223.930 4.000 ;
END
END la_data_out[15]
PIN la_data_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 237.450 0.000 237.730 4.000 ;
END
END la_data_out[16]
PIN la_data_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 251.250 0.000 251.530 4.000 ;
END
END la_data_out[17]
PIN la_data_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 265.050 0.000 265.330 4.000 ;
END
END la_data_out[18]
PIN la_data_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 278.850 0.000 279.130 4.000 ;
END
END la_data_out[19]
PIN la_data_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 29.990 0.000 30.270 4.000 ;
END
END la_data_out[1]
PIN la_data_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 292.650 0.000 292.930 4.000 ;
END
END la_data_out[20]
PIN la_data_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 306.450 0.000 306.730 4.000 ;
END
END la_data_out[21]
PIN la_data_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 320.250 0.000 320.530 4.000 ;
END
END la_data_out[22]
PIN la_data_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 334.050 0.000 334.330 4.000 ;
END
END la_data_out[23]
PIN la_data_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 347.850 0.000 348.130 4.000 ;
END
END la_data_out[24]
PIN la_data_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 361.650 0.000 361.930 4.000 ;
END
END la_data_out[25]
PIN la_data_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 375.450 0.000 375.730 4.000 ;
END
END la_data_out[26]
PIN la_data_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 389.710 0.000 389.990 4.000 ;
END
END la_data_out[27]
PIN la_data_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 403.510 0.000 403.790 4.000 ;
END
END la_data_out[28]
PIN la_data_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 417.310 0.000 417.590 4.000 ;
END
END la_data_out[29]
PIN la_data_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 43.790 0.000 44.070 4.000 ;
END
END la_data_out[2]
PIN la_data_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 431.110 0.000 431.390 4.000 ;
END
END la_data_out[30]
PIN la_data_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 444.910 0.000 445.190 4.000 ;
END
END la_data_out[31]
PIN la_data_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 458.710 0.000 458.990 4.000 ;
END
END la_data_out[32]
PIN la_data_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 472.510 0.000 472.790 4.000 ;
END
END la_data_out[33]
PIN la_data_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 486.310 0.000 486.590 4.000 ;
END
END la_data_out[34]
PIN la_data_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 500.110 0.000 500.390 4.000 ;
END
END la_data_out[35]
PIN la_data_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 513.910 0.000 514.190 4.000 ;
END
END la_data_out[36]
PIN la_data_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 527.710 0.000 527.990 4.000 ;
END
END la_data_out[37]
PIN la_data_out[38]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 541.510 0.000 541.790 4.000 ;
END
END la_data_out[38]
PIN la_data_out[39]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 555.310 0.000 555.590 4.000 ;
END
END la_data_out[39]
PIN la_data_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 57.590 0.000 57.870 4.000 ;
END
END la_data_out[3]
PIN la_data_out[40]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 569.110 0.000 569.390 4.000 ;
END
END la_data_out[40]
PIN la_data_out[41]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 583.370 0.000 583.650 4.000 ;
END
END la_data_out[41]
PIN la_data_out[42]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 597.170 0.000 597.450 4.000 ;
END
END la_data_out[42]
PIN la_data_out[43]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 610.970 0.000 611.250 4.000 ;
END
END la_data_out[43]
PIN la_data_out[44]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 624.770 0.000 625.050 4.000 ;
END
END la_data_out[44]
PIN la_data_out[45]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 638.570 0.000 638.850 4.000 ;
END
END la_data_out[45]
PIN la_data_out[46]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 652.370 0.000 652.650 4.000 ;
END
END la_data_out[46]
PIN la_data_out[47]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 666.170 0.000 666.450 4.000 ;
END
END la_data_out[47]
PIN la_data_out[48]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 679.970 0.000 680.250 4.000 ;
END
END la_data_out[48]
PIN la_data_out[49]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 693.770 0.000 694.050 4.000 ;
END
END la_data_out[49]
PIN la_data_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 71.390 0.000 71.670 4.000 ;
END
END la_data_out[4]
PIN la_data_out[50]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 707.570 0.000 707.850 4.000 ;
END
END la_data_out[50]
PIN la_data_out[51]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 721.370 0.000 721.650 4.000 ;
END
END la_data_out[51]
PIN la_data_out[52]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 735.170 0.000 735.450 4.000 ;
END
END la_data_out[52]
PIN la_data_out[53]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 748.970 0.000 749.250 4.000 ;
END
END la_data_out[53]
PIN la_data_out[54]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 763.230 0.000 763.510 4.000 ;
END
END la_data_out[54]
PIN la_data_out[55]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 777.030 0.000 777.310 4.000 ;
END
END la_data_out[55]
PIN la_data_out[56]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 790.830 0.000 791.110 4.000 ;
END
END la_data_out[56]
PIN la_data_out[57]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 804.630 0.000 804.910 4.000 ;
END
END la_data_out[57]
PIN la_data_out[58]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 818.430 0.000 818.710 4.000 ;
END
END la_data_out[58]
PIN la_data_out[59]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 832.230 0.000 832.510 4.000 ;
END
END la_data_out[59]
PIN la_data_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 85.190 0.000 85.470 4.000 ;
END
END la_data_out[5]
PIN la_data_out[60]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 846.030 0.000 846.310 4.000 ;
END
END la_data_out[60]
PIN la_data_out[61]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 859.830 0.000 860.110 4.000 ;
END
END la_data_out[61]
PIN la_data_out[62]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 873.630 0.000 873.910 4.000 ;
END
END la_data_out[62]
PIN la_data_out[63]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 887.430 0.000 887.710 4.000 ;
END
END la_data_out[63]
PIN la_data_out[64]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 901.230 0.000 901.510 4.000 ;
END
END la_data_out[64]
PIN la_data_out[65]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 915.030 0.000 915.310 4.000 ;
END
END la_data_out[65]
PIN la_data_out[66]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 928.830 0.000 929.110 4.000 ;
END
END la_data_out[66]
PIN la_data_out[67]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 942.630 0.000 942.910 4.000 ;
END
END la_data_out[67]
PIN la_data_out[68]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 956.890 0.000 957.170 4.000 ;
END
END la_data_out[68]
PIN la_data_out[69]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 970.690 0.000 970.970 4.000 ;
END
END la_data_out[69]
PIN la_data_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 98.990 0.000 99.270 4.000 ;
END
END la_data_out[6]
PIN la_data_out[70]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 984.490 0.000 984.770 4.000 ;
END
END la_data_out[70]
PIN la_data_out[71]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 998.290 0.000 998.570 4.000 ;
END
END la_data_out[71]
PIN la_data_out[72]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1012.090 0.000 1012.370 4.000 ;
END
END la_data_out[72]
PIN la_data_out[73]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1025.890 0.000 1026.170 4.000 ;
END
END la_data_out[73]
PIN la_data_out[74]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1039.690 0.000 1039.970 4.000 ;
END
END la_data_out[74]
PIN la_data_out[75]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1053.490 0.000 1053.770 4.000 ;
END
END la_data_out[75]
PIN la_data_out[76]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1067.290 0.000 1067.570 4.000 ;
END
END la_data_out[76]
PIN la_data_out[77]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1081.090 0.000 1081.370 4.000 ;
END
END la_data_out[77]
PIN la_data_out[78]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1094.890 0.000 1095.170 4.000 ;
END
END la_data_out[78]
PIN la_data_out[79]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1108.690 0.000 1108.970 4.000 ;
END
END la_data_out[79]
PIN la_data_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 112.790 0.000 113.070 4.000 ;
END
END la_data_out[7]
PIN la_data_out[80]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1122.490 0.000 1122.770 4.000 ;
END
END la_data_out[80]
PIN la_data_out[81]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1136.290 0.000 1136.570 4.000 ;
END
END la_data_out[81]
PIN la_data_out[82]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1150.550 0.000 1150.830 4.000 ;
END
END la_data_out[82]
PIN la_data_out[83]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1164.350 0.000 1164.630 4.000 ;
END
END la_data_out[83]
PIN la_data_out[84]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1178.150 0.000 1178.430 4.000 ;
END
END la_data_out[84]
PIN la_data_out[85]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1191.950 0.000 1192.230 4.000 ;
END
END la_data_out[85]
PIN la_data_out[86]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1205.750 0.000 1206.030 4.000 ;
END
END la_data_out[86]
PIN la_data_out[87]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1219.550 0.000 1219.830 4.000 ;
END
END la_data_out[87]
PIN la_data_out[88]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1233.350 0.000 1233.630 4.000 ;
END
END la_data_out[88]
PIN la_data_out[89]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1247.150 0.000 1247.430 4.000 ;
END
END la_data_out[89]
PIN la_data_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 126.590 0.000 126.870 4.000 ;
END
END la_data_out[8]
PIN la_data_out[90]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1260.950 0.000 1261.230 4.000 ;
END
END la_data_out[90]
PIN la_data_out[91]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1274.750 0.000 1275.030 4.000 ;
END
END la_data_out[91]
PIN la_data_out[92]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1288.550 0.000 1288.830 4.000 ;
END
END la_data_out[92]
PIN la_data_out[93]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1302.350 0.000 1302.630 4.000 ;
END
END la_data_out[93]
PIN la_data_out[94]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1316.150 0.000 1316.430 4.000 ;
END
END la_data_out[94]
PIN la_data_out[95]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1329.950 0.000 1330.230 4.000 ;
END
END la_data_out[95]
PIN la_data_out[96]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1344.210 0.000 1344.490 4.000 ;
END
END la_data_out[96]
PIN la_data_out[97]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1358.010 0.000 1358.290 4.000 ;
END
END la_data_out[97]
PIN la_data_out[98]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1371.810 0.000 1372.090 4.000 ;
END
END la_data_out[98]
PIN la_data_out[99]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1385.610 0.000 1385.890 4.000 ;
END
END la_data_out[99]
PIN la_data_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 140.390 0.000 140.670 4.000 ;
END
END la_data_out[9]
PIN la_oenb[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 20.790 0.000 21.070 4.000 ;
END
END la_oenb[0]
PIN la_oenb[100]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1404.010 0.000 1404.290 4.000 ;
END
END la_oenb[100]
PIN la_oenb[101]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1417.810 0.000 1418.090 4.000 ;
END
END la_oenb[101]
PIN la_oenb[102]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1431.610 0.000 1431.890 4.000 ;
END
END la_oenb[102]
PIN la_oenb[103]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1445.410 0.000 1445.690 4.000 ;
END
END la_oenb[103]
PIN la_oenb[104]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1459.210 0.000 1459.490 4.000 ;
END
END la_oenb[104]
PIN la_oenb[105]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1473.010 0.000 1473.290 4.000 ;
END
END la_oenb[105]
PIN la_oenb[106]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1486.810 0.000 1487.090 4.000 ;
END
END la_oenb[106]
PIN la_oenb[107]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1500.610 0.000 1500.890 4.000 ;
END
END la_oenb[107]
PIN la_oenb[108]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1514.410 0.000 1514.690 4.000 ;
END
END la_oenb[108]
PIN la_oenb[109]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1528.670 0.000 1528.950 4.000 ;
END
END la_oenb[109]
PIN la_oenb[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 158.790 0.000 159.070 4.000 ;
END
END la_oenb[10]
PIN la_oenb[110]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1542.470 0.000 1542.750 4.000 ;
END
END la_oenb[110]
PIN la_oenb[111]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1556.270 0.000 1556.550 4.000 ;
END
END la_oenb[111]
PIN la_oenb[112]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1570.070 0.000 1570.350 4.000 ;
END
END la_oenb[112]
PIN la_oenb[113]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1583.870 0.000 1584.150 4.000 ;
END
END la_oenb[113]
PIN la_oenb[114]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1597.670 0.000 1597.950 4.000 ;
END
END la_oenb[114]
PIN la_oenb[115]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1611.470 0.000 1611.750 4.000 ;
END
END la_oenb[115]
PIN la_oenb[116]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1625.270 0.000 1625.550 4.000 ;
END
END la_oenb[116]
PIN la_oenb[117]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1639.070 0.000 1639.350 4.000 ;
END
END la_oenb[117]
PIN la_oenb[118]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1652.870 0.000 1653.150 4.000 ;
END
END la_oenb[118]
PIN la_oenb[119]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1666.670 0.000 1666.950 4.000 ;
END
END la_oenb[119]
PIN la_oenb[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 172.590 0.000 172.870 4.000 ;
END
END la_oenb[11]
PIN la_oenb[120]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1680.470 0.000 1680.750 4.000 ;
END
END la_oenb[120]
PIN la_oenb[121]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1694.270 0.000 1694.550 4.000 ;
END
END la_oenb[121]
PIN la_oenb[122]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1708.070 0.000 1708.350 4.000 ;
END
END la_oenb[122]
PIN la_oenb[123]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1722.330 0.000 1722.610 4.000 ;
END
END la_oenb[123]
PIN la_oenb[124]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1736.130 0.000 1736.410 4.000 ;
END
END la_oenb[124]
PIN la_oenb[125]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1749.930 0.000 1750.210 4.000 ;
END
END la_oenb[125]
PIN la_oenb[126]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1763.730 0.000 1764.010 4.000 ;
END
END la_oenb[126]
PIN la_oenb[127]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1777.530 0.000 1777.810 4.000 ;
END
END la_oenb[127]
PIN la_oenb[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 186.390 0.000 186.670 4.000 ;
END
END la_oenb[12]
PIN la_oenb[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 200.650 0.000 200.930 4.000 ;
END
END la_oenb[13]
PIN la_oenb[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 214.450 0.000 214.730 4.000 ;
END
END la_oenb[14]
PIN la_oenb[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 228.250 0.000 228.530 4.000 ;
END
END la_oenb[15]
PIN la_oenb[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 242.050 0.000 242.330 4.000 ;
END
END la_oenb[16]
PIN la_oenb[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 255.850 0.000 256.130 4.000 ;
END
END la_oenb[17]
PIN la_oenb[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 269.650 0.000 269.930 4.000 ;
END
END la_oenb[18]
PIN la_oenb[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 283.450 0.000 283.730 4.000 ;
END
END la_oenb[19]
PIN la_oenb[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 34.590 0.000 34.870 4.000 ;
END
END la_oenb[1]
PIN la_oenb[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 297.250 0.000 297.530 4.000 ;
END
END la_oenb[20]
PIN la_oenb[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 311.050 0.000 311.330 4.000 ;
END
END la_oenb[21]
PIN la_oenb[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 324.850 0.000 325.130 4.000 ;
END
END la_oenb[22]
PIN la_oenb[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 338.650 0.000 338.930 4.000 ;
END
END la_oenb[23]
PIN la_oenb[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 352.450 0.000 352.730 4.000 ;
END
END la_oenb[24]
PIN la_oenb[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 366.250 0.000 366.530 4.000 ;
END
END la_oenb[25]
PIN la_oenb[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 380.050 0.000 380.330 4.000 ;
END
END la_oenb[26]
PIN la_oenb[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 394.310 0.000 394.590 4.000 ;
END
END la_oenb[27]
PIN la_oenb[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 408.110 0.000 408.390 4.000 ;
END
END la_oenb[28]
PIN la_oenb[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 421.910 0.000 422.190 4.000 ;
END
END la_oenb[29]
PIN la_oenb[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 48.390 0.000 48.670 4.000 ;
END
END la_oenb[2]
PIN la_oenb[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 435.710 0.000 435.990 4.000 ;
END
END la_oenb[30]
PIN la_oenb[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 449.510 0.000 449.790 4.000 ;
END
END la_oenb[31]
PIN la_oenb[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 463.310 0.000 463.590 4.000 ;
END
END la_oenb[32]
PIN la_oenb[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 477.110 0.000 477.390 4.000 ;
END
END la_oenb[33]
PIN la_oenb[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 490.910 0.000 491.190 4.000 ;
END
END la_oenb[34]
PIN la_oenb[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 504.710 0.000 504.990 4.000 ;
END
END la_oenb[35]
PIN la_oenb[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 518.510 0.000 518.790 4.000 ;
END
END la_oenb[36]
PIN la_oenb[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 532.310 0.000 532.590 4.000 ;
END
END la_oenb[37]
PIN la_oenb[38]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 546.110 0.000 546.390 4.000 ;
END
END la_oenb[38]
PIN la_oenb[39]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 559.910 0.000 560.190 4.000 ;
END
END la_oenb[39]
PIN la_oenb[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 62.190 0.000 62.470 4.000 ;
END
END la_oenb[3]
PIN la_oenb[40]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 574.170 0.000 574.450 4.000 ;
END
END la_oenb[40]
PIN la_oenb[41]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 587.970 0.000 588.250 4.000 ;
END
END la_oenb[41]
PIN la_oenb[42]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 601.770 0.000 602.050 4.000 ;
END
END la_oenb[42]
PIN la_oenb[43]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 615.570 0.000 615.850 4.000 ;
END
END la_oenb[43]
PIN la_oenb[44]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 629.370 0.000 629.650 4.000 ;
END
END la_oenb[44]
PIN la_oenb[45]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 643.170 0.000 643.450 4.000 ;
END
END la_oenb[45]
PIN la_oenb[46]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 656.970 0.000 657.250 4.000 ;
END
END la_oenb[46]
PIN la_oenb[47]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 670.770 0.000 671.050 4.000 ;
END
END la_oenb[47]
PIN la_oenb[48]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 684.570 0.000 684.850 4.000 ;
END
END la_oenb[48]
PIN la_oenb[49]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 698.370 0.000 698.650 4.000 ;
END
END la_oenb[49]
PIN la_oenb[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 75.990 0.000 76.270 4.000 ;
END
END la_oenb[4]
PIN la_oenb[50]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 712.170 0.000 712.450 4.000 ;
END
END la_oenb[50]
PIN la_oenb[51]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 725.970 0.000 726.250 4.000 ;
END
END la_oenb[51]
PIN la_oenb[52]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 739.770 0.000 740.050 4.000 ;
END
END la_oenb[52]
PIN la_oenb[53]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 753.570 0.000 753.850 4.000 ;
END
END la_oenb[53]
PIN la_oenb[54]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 767.830 0.000 768.110 4.000 ;
END
END la_oenb[54]
PIN la_oenb[55]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 781.630 0.000 781.910 4.000 ;
END
END la_oenb[55]
PIN la_oenb[56]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 795.430 0.000 795.710 4.000 ;
END
END la_oenb[56]
PIN la_oenb[57]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 809.230 0.000 809.510 4.000 ;
END
END la_oenb[57]
PIN la_oenb[58]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 823.030 0.000 823.310 4.000 ;
END
END la_oenb[58]
PIN la_oenb[59]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 836.830 0.000 837.110 4.000 ;
END
END la_oenb[59]
PIN la_oenb[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 89.790 0.000 90.070 4.000 ;
END
END la_oenb[5]
PIN la_oenb[60]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 850.630 0.000 850.910 4.000 ;
END
END la_oenb[60]
PIN la_oenb[61]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 864.430 0.000 864.710 4.000 ;
END
END la_oenb[61]
PIN la_oenb[62]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 878.230 0.000 878.510 4.000 ;
END
END la_oenb[62]
PIN la_oenb[63]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 892.030 0.000 892.310 4.000 ;
END
END la_oenb[63]
PIN la_oenb[64]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 905.830 0.000 906.110 4.000 ;
END
END la_oenb[64]
PIN la_oenb[65]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 919.630 0.000 919.910 4.000 ;
END
END la_oenb[65]
PIN la_oenb[66]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 933.430 0.000 933.710 4.000 ;
END
END la_oenb[66]
PIN la_oenb[67]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 947.230 0.000 947.510 4.000 ;
END
END la_oenb[67]
PIN la_oenb[68]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 961.490 0.000 961.770 4.000 ;
END
END la_oenb[68]
PIN la_oenb[69]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 975.290 0.000 975.570 4.000 ;
END
END la_oenb[69]
PIN la_oenb[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 103.590 0.000 103.870 4.000 ;
END
END la_oenb[6]
PIN la_oenb[70]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 989.090 0.000 989.370 4.000 ;
END
END la_oenb[70]
PIN la_oenb[71]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1002.890 0.000 1003.170 4.000 ;
END
END la_oenb[71]
PIN la_oenb[72]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1016.690 0.000 1016.970 4.000 ;
END
END la_oenb[72]
PIN la_oenb[73]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1030.490 0.000 1030.770 4.000 ;
END
END la_oenb[73]
PIN la_oenb[74]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1044.290 0.000 1044.570 4.000 ;
END
END la_oenb[74]
PIN la_oenb[75]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1058.090 0.000 1058.370 4.000 ;
END
END la_oenb[75]
PIN la_oenb[76]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1071.890 0.000 1072.170 4.000 ;
END
END la_oenb[76]
PIN la_oenb[77]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1085.690 0.000 1085.970 4.000 ;
END
END la_oenb[77]
PIN la_oenb[78]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1099.490 0.000 1099.770 4.000 ;
END
END la_oenb[78]
PIN la_oenb[79]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1113.290 0.000 1113.570 4.000 ;
END
END la_oenb[79]
PIN la_oenb[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 117.390 0.000 117.670 4.000 ;
END
END la_oenb[7]
PIN la_oenb[80]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1127.090 0.000 1127.370 4.000 ;
END
END la_oenb[80]
PIN la_oenb[81]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1140.890 0.000 1141.170 4.000 ;
END
END la_oenb[81]
PIN la_oenb[82]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1155.150 0.000 1155.430 4.000 ;
END
END la_oenb[82]
PIN la_oenb[83]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1168.950 0.000 1169.230 4.000 ;
END
END la_oenb[83]
PIN la_oenb[84]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1182.750 0.000 1183.030 4.000 ;
END
END la_oenb[84]
PIN la_oenb[85]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1196.550 0.000 1196.830 4.000 ;
END
END la_oenb[85]
PIN la_oenb[86]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1210.350 0.000 1210.630 4.000 ;
END
END la_oenb[86]
PIN la_oenb[87]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1224.150 0.000 1224.430 4.000 ;
END
END la_oenb[87]
PIN la_oenb[88]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1237.950 0.000 1238.230 4.000 ;
END
END la_oenb[88]
PIN la_oenb[89]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1251.750 0.000 1252.030 4.000 ;
END
END la_oenb[89]
PIN la_oenb[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 131.190 0.000 131.470 4.000 ;
END
END la_oenb[8]
PIN la_oenb[90]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1265.550 0.000 1265.830 4.000 ;
END
END la_oenb[90]
PIN la_oenb[91]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1279.350 0.000 1279.630 4.000 ;
END
END la_oenb[91]
PIN la_oenb[92]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1293.150 0.000 1293.430 4.000 ;
END
END la_oenb[92]
PIN la_oenb[93]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1306.950 0.000 1307.230 4.000 ;
END
END la_oenb[93]
PIN la_oenb[94]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1320.750 0.000 1321.030 4.000 ;
END
END la_oenb[94]
PIN la_oenb[95]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1335.010 0.000 1335.290 4.000 ;
END
END la_oenb[95]
PIN la_oenb[96]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1348.810 0.000 1349.090 4.000 ;
END
END la_oenb[96]
PIN la_oenb[97]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1362.610 0.000 1362.890 4.000 ;
END
END la_oenb[97]
PIN la_oenb[98]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1376.410 0.000 1376.690 4.000 ;
END
END la_oenb[98]
PIN la_oenb[99]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1390.210 0.000 1390.490 4.000 ;
END
END la_oenb[99]
PIN la_oenb[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 144.990 0.000 145.270 4.000 ;
END
END la_oenb[9]
PIN vccd1
DIRECTION INPUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 21.040 10.640 22.640 1787.280 ;
END
PORT
LAYER met4 ;
RECT 174.640 10.640 176.240 1787.280 ;
END
PORT
LAYER met4 ;
RECT 328.240 10.640 329.840 1787.280 ;
END
PORT
LAYER met4 ;
RECT 481.840 10.640 483.440 1787.280 ;
END
PORT
LAYER met4 ;
RECT 635.440 10.640 637.040 1787.280 ;
END
PORT
LAYER met4 ;
RECT 789.040 10.640 790.640 1787.280 ;
END
PORT
LAYER met4 ;
RECT 942.640 10.640 944.240 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1096.240 10.640 1097.840 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1249.840 10.640 1251.440 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1403.440 10.640 1405.040 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1557.040 10.640 1558.640 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1710.640 10.640 1712.240 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1864.240 10.640 1865.840 1787.280 ;
END
END vccd1
PIN vssd1
DIRECTION INPUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 97.840 10.640 99.440 1787.280 ;
END
PORT
LAYER met4 ;
RECT 251.440 10.640 253.040 1787.280 ;
END
PORT
LAYER met4 ;
RECT 405.040 10.640 406.640 1787.280 ;
END
PORT
LAYER met4 ;
RECT 558.640 10.640 560.240 1787.280 ;
END
PORT
LAYER met4 ;
RECT 712.240 10.640 713.840 1787.280 ;
END
PORT
LAYER met4 ;
RECT 865.840 10.640 867.440 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1019.440 10.640 1021.040 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1173.040 10.640 1174.640 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1326.640 10.640 1328.240 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1480.240 10.640 1481.840 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1633.840 10.640 1635.440 1787.280 ;
END
PORT
LAYER met4 ;
RECT 1787.440 10.640 1789.040 1787.280 ;
END
END vssd1
PIN wb_clk_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2.390 0.000 2.670 4.000 ;
END
END wb_clk_i
PIN wb_rst_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 6.990 0.000 7.270 4.000 ;
END
END wb_rst_i
PIN wbs_ack_o
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1782.130 0.000 1782.410 4.000 ;
END
END wbs_ack_o
PIN wbs_adr_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 716.760 4.000 717.360 ;
END
END wbs_adr_i[0]
PIN wbs_adr_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1818.930 0.000 1819.210 4.000 ;
END
END wbs_adr_i[10]
PIN wbs_adr_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1508.960 1900.000 1509.560 ;
END
END wbs_adr_i[11]
PIN wbs_adr_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1536.160 1900.000 1536.760 ;
END
END wbs_adr_i[12]
PIN wbs_adr_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1564.040 1900.000 1564.640 ;
END
END wbs_adr_i[13]
PIN wbs_adr_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 376.080 4.000 376.680 ;
END
END wbs_adr_i[14]
PIN wbs_adr_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1832.730 0.000 1833.010 4.000 ;
END
END wbs_adr_i[15]
PIN wbs_adr_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 491.370 1796.000 491.650 1800.000 ;
END
END wbs_adr_i[16]
PIN wbs_adr_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 278.840 4.000 279.440 ;
END
END wbs_adr_i[17]
PIN wbs_adr_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 423.750 1796.000 424.030 1800.000 ;
END
END wbs_adr_i[18]
PIN wbs_adr_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 254.360 4.000 254.960 ;
END
END wbs_adr_i[19]
PIN wbs_adr_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 898.470 1796.000 898.750 1800.000 ;
END
END wbs_adr_i[1]
PIN wbs_adr_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1674.880 1900.000 1675.480 ;
END
END wbs_adr_i[20]
PIN wbs_adr_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 321.630 1796.000 321.910 1800.000 ;
END
END wbs_adr_i[21]
PIN wbs_adr_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 288.050 1796.000 288.330 1800.000 ;
END
END wbs_adr_i[22]
PIN wbs_adr_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 206.080 4.000 206.680 ;
END
END wbs_adr_i[23]
PIN wbs_adr_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 157.120 4.000 157.720 ;
END
END wbs_adr_i[24]
PIN wbs_adr_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 132.640 4.000 133.240 ;
END
END wbs_adr_i[25]
PIN wbs_adr_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 108.840 4.000 109.440 ;
END
END wbs_adr_i[26]
PIN wbs_adr_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 84.360 4.000 84.960 ;
END
END wbs_adr_i[27]
PIN wbs_adr_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 35.400 4.000 36.000 ;
END
END wbs_adr_i[28]
PIN wbs_adr_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1878.730 0.000 1879.010 4.000 ;
END
END wbs_adr_i[29]
PIN wbs_adr_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 595.040 4.000 595.640 ;
END
END wbs_adr_i[2]
PIN wbs_adr_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 50.230 1796.000 50.510 1800.000 ;
END
END wbs_adr_i[30]
PIN wbs_adr_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 11.600 4.000 12.200 ;
END
END wbs_adr_i[31]
PIN wbs_adr_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 522.280 4.000 522.880 ;
END
END wbs_adr_i[3]
PIN wbs_adr_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1795.930 0.000 1796.210 4.000 ;
END
END wbs_adr_i[4]
PIN wbs_adr_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1342.360 1900.000 1342.960 ;
END
END wbs_adr_i[5]
PIN wbs_adr_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 695.150 1796.000 695.430 1800.000 ;
END
END wbs_adr_i[6]
PIN wbs_adr_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1805.130 0.000 1805.410 4.000 ;
END
END wbs_adr_i[7]
PIN wbs_adr_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 497.800 4.000 498.400 ;
END
END wbs_adr_i[8]
PIN wbs_adr_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1453.200 1900.000 1453.800 ;
END
END wbs_adr_i[9]
PIN wbs_cyc_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 765.040 4.000 765.640 ;
END
END wbs_cyc_i
PIN wbs_dat_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 692.280 4.000 692.880 ;
END
END wbs_dat_i[0]
PIN wbs_dat_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1481.080 1900.000 1481.680 ;
END
END wbs_dat_i[10]
PIN wbs_dat_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 400.560 4.000 401.160 ;
END
END wbs_dat_i[11]
PIN wbs_dat_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 559.450 1796.000 559.730 1800.000 ;
END
END wbs_dat_i[12]
PIN wbs_dat_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 525.410 1796.000 525.690 1800.000 ;
END
END wbs_dat_i[13]
PIN wbs_dat_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 351.600 4.000 352.200 ;
END
END wbs_dat_i[14]
PIN wbs_dat_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 327.800 4.000 328.400 ;
END
END wbs_dat_i[15]
PIN wbs_dat_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1837.330 0.000 1837.610 4.000 ;
END
END wbs_dat_i[16]
PIN wbs_dat_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1841.930 0.000 1842.210 4.000 ;
END
END wbs_dat_i[17]
PIN wbs_dat_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 389.710 1796.000 389.990 1800.000 ;
END
END wbs_dat_i[18]
PIN wbs_dat_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1846.530 0.000 1846.810 4.000 ;
END
END wbs_dat_i[19]
PIN wbs_dat_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 864.430 1796.000 864.710 1800.000 ;
END
END wbs_dat_i[1]
PIN wbs_dat_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1855.730 0.000 1856.010 4.000 ;
END
END wbs_dat_i[20]
PIN wbs_dat_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1860.330 0.000 1860.610 4.000 ;
END
END wbs_dat_i[21]
PIN wbs_dat_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 254.010 1796.000 254.290 1800.000 ;
END
END wbs_dat_i[22]
PIN wbs_dat_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 181.600 4.000 182.200 ;
END
END wbs_dat_i[23]
PIN wbs_dat_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1702.760 1900.000 1703.360 ;
END
END wbs_dat_i[24]
PIN wbs_dat_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 185.930 1796.000 186.210 1800.000 ;
END
END wbs_dat_i[25]
PIN wbs_dat_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 152.350 1796.000 152.630 1800.000 ;
END
END wbs_dat_i[26]
PIN wbs_dat_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1757.840 1900.000 1758.440 ;
END
END wbs_dat_i[27]
PIN wbs_dat_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 118.310 1796.000 118.590 1800.000 ;
END
END wbs_dat_i[28]
PIN wbs_dat_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1883.330 0.000 1883.610 4.000 ;
END
END wbs_dat_i[29]
PIN wbs_dat_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 570.560 4.000 571.160 ;
END
END wbs_dat_i[2]
PIN wbs_dat_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 16.650 1796.000 16.930 1800.000 ;
END
END wbs_dat_i[30]
PIN wbs_dat_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1785.720 1900.000 1786.320 ;
END
END wbs_dat_i[31]
PIN wbs_dat_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1259.400 1900.000 1260.000 ;
END
END wbs_dat_i[3]
PIN wbs_dat_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 728.730 1796.000 729.010 1800.000 ;
END
END wbs_dat_i[4]
PIN wbs_dat_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1800.530 0.000 1800.810 4.000 ;
END
END wbs_dat_i[5]
PIN wbs_dat_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1398.120 1900.000 1398.720 ;
END
END wbs_dat_i[6]
PIN wbs_dat_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1809.730 0.000 1810.010 4.000 ;
END
END wbs_dat_i[7]
PIN wbs_dat_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 473.320 4.000 473.920 ;
END
END wbs_dat_i[8]
PIN wbs_dat_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 425.040 4.000 425.640 ;
END
END wbs_dat_i[9]
PIN wbs_dat_o[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 667.800 4.000 668.400 ;
END
END wbs_dat_o[0]
PIN wbs_dat_o[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 627.070 1796.000 627.350 1800.000 ;
END
END wbs_dat_o[10]
PIN wbs_dat_o[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 593.030 1796.000 593.310 1800.000 ;
END
END wbs_dat_o[11]
PIN wbs_dat_o[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1823.530 0.000 1823.810 4.000 ;
END
END wbs_dat_o[12]
PIN wbs_dat_o[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1591.920 1900.000 1592.520 ;
END
END wbs_dat_o[13]
PIN wbs_dat_o[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1828.130 0.000 1828.410 4.000 ;
END
END wbs_dat_o[14]
PIN wbs_dat_o[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1619.120 1900.000 1619.720 ;
END
END wbs_dat_o[15]
PIN wbs_dat_o[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 303.320 4.000 303.920 ;
END
END wbs_dat_o[16]
PIN wbs_dat_o[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 457.330 1796.000 457.610 1800.000 ;
END
END wbs_dat_o[17]
PIN wbs_dat_o[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1647.000 1900.000 1647.600 ;
END
END wbs_dat_o[18]
PIN wbs_dat_o[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1851.130 0.000 1851.410 4.000 ;
END
END wbs_dat_o[19]
PIN wbs_dat_o[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 830.850 1796.000 831.130 1800.000 ;
END
END wbs_dat_o[1]
PIN wbs_dat_o[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 355.670 1796.000 355.950 1800.000 ;
END
END wbs_dat_o[20]
PIN wbs_dat_o[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1864.930 0.000 1865.210 4.000 ;
END
END wbs_dat_o[21]
PIN wbs_dat_o[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 229.880 4.000 230.480 ;
END
END wbs_dat_o[22]
PIN wbs_dat_o[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1869.530 0.000 1869.810 4.000 ;
END
END wbs_dat_o[23]
PIN wbs_dat_o[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 219.970 1796.000 220.250 1800.000 ;
END
END wbs_dat_o[24]
PIN wbs_dat_o[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1729.960 1900.000 1730.560 ;
END
END wbs_dat_o[25]
PIN wbs_dat_o[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1874.130 0.000 1874.410 4.000 ;
END
END wbs_dat_o[26]
PIN wbs_dat_o[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 59.880 4.000 60.480 ;
END
END wbs_dat_o[27]
PIN wbs_dat_o[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 84.270 1796.000 84.550 1800.000 ;
END
END wbs_dat_o[28]
PIN wbs_dat_o[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1887.930 0.000 1888.210 4.000 ;
END
END wbs_dat_o[29]
PIN wbs_dat_o[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 796.810 1796.000 797.090 1800.000 ;
END
END wbs_dat_o[2]
PIN wbs_dat_o[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1892.530 0.000 1892.810 4.000 ;
END
END wbs_dat_o[30]
PIN wbs_dat_o[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1897.130 0.000 1897.410 4.000 ;
END
END wbs_dat_o[31]
PIN wbs_dat_o[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1287.280 1900.000 1287.880 ;
END
END wbs_dat_o[3]
PIN wbs_dat_o[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1314.480 1900.000 1315.080 ;
END
END wbs_dat_o[4]
PIN wbs_dat_o[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1370.240 1900.000 1370.840 ;
END
END wbs_dat_o[5]
PIN wbs_dat_o[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 661.110 1796.000 661.390 1800.000 ;
END
END wbs_dat_o[6]
PIN wbs_dat_o[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 1896.000 1425.320 1900.000 1425.920 ;
END
END wbs_dat_o[7]
PIN wbs_dat_o[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 448.840 4.000 449.440 ;
END
END wbs_dat_o[8]
PIN wbs_dat_o[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1814.330 0.000 1814.610 4.000 ;
END
END wbs_dat_o[9]
PIN wbs_sel_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 932.510 1796.000 932.790 1800.000 ;
END
END wbs_sel_i[0]
PIN wbs_sel_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1791.330 0.000 1791.610 4.000 ;
END
END wbs_sel_i[1]
PIN wbs_sel_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 546.080 4.000 546.680 ;
END
END wbs_sel_i[2]
PIN wbs_sel_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 762.770 1796.000 763.050 1800.000 ;
END
END wbs_sel_i[3]
PIN wbs_stb_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 966.550 1796.000 966.830 1800.000 ;
END
END wbs_stb_i
PIN wbs_we_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1786.730 0.000 1787.010 4.000 ;
END
END wbs_we_i
OBS
LAYER li1 ;
RECT 5.520 9.265 1896.435 1787.125 ;
LAYER met1 ;
RECT 4.670 6.840 1897.430 1787.280 ;
LAYER met2 ;
RECT 0.090 1795.720 16.370 1796.290 ;
RECT 17.210 1795.720 49.950 1796.290 ;
RECT 50.790 1795.720 83.990 1796.290 ;
RECT 84.830 1795.720 118.030 1796.290 ;
RECT 118.870 1795.720 152.070 1796.290 ;
RECT 152.910 1795.720 185.650 1796.290 ;
RECT 186.490 1795.720 219.690 1796.290 ;
RECT 220.530 1795.720 253.730 1796.290 ;
RECT 254.570 1795.720 287.770 1796.290 ;
RECT 288.610 1795.720 321.350 1796.290 ;
RECT 322.190 1795.720 355.390 1796.290 ;
RECT 356.230 1795.720 389.430 1796.290 ;
RECT 390.270 1795.720 423.470 1796.290 ;
RECT 424.310 1795.720 457.050 1796.290 ;
RECT 457.890 1795.720 491.090 1796.290 ;
RECT 491.930 1795.720 525.130 1796.290 ;
RECT 525.970 1795.720 559.170 1796.290 ;
RECT 560.010 1795.720 592.750 1796.290 ;
RECT 593.590 1795.720 626.790 1796.290 ;
RECT 627.630 1795.720 660.830 1796.290 ;
RECT 661.670 1795.720 694.870 1796.290 ;
RECT 695.710 1795.720 728.450 1796.290 ;
RECT 729.290 1795.720 762.490 1796.290 ;
RECT 763.330 1795.720 796.530 1796.290 ;
RECT 797.370 1795.720 830.570 1796.290 ;
RECT 831.410 1795.720 864.150 1796.290 ;
RECT 864.990 1795.720 898.190 1796.290 ;
RECT 899.030 1795.720 932.230 1796.290 ;
RECT 933.070 1795.720 966.270 1796.290 ;
RECT 967.110 1795.720 999.850 1796.290 ;
RECT 1000.690 1795.720 1033.890 1796.290 ;
RECT 1034.730 1795.720 1067.930 1796.290 ;
RECT 1068.770 1795.720 1101.970 1796.290 ;
RECT 1102.810 1795.720 1135.550 1796.290 ;
RECT 1136.390 1795.720 1169.590 1796.290 ;
RECT 1170.430 1795.720 1203.630 1796.290 ;
RECT 1204.470 1795.720 1237.670 1796.290 ;
RECT 1238.510 1795.720 1271.250 1796.290 ;
RECT 1272.090 1795.720 1305.290 1796.290 ;
RECT 1306.130 1795.720 1339.330 1796.290 ;
RECT 1340.170 1795.720 1373.370 1796.290 ;
RECT 1374.210 1795.720 1406.950 1796.290 ;
RECT 1407.790 1795.720 1440.990 1796.290 ;
RECT 1441.830 1795.720 1475.030 1796.290 ;
RECT 1475.870 1795.720 1509.070 1796.290 ;
RECT 1509.910 1795.720 1542.650 1796.290 ;
RECT 1543.490 1795.720 1576.690 1796.290 ;
RECT 1577.530 1795.720 1610.730 1796.290 ;
RECT 1611.570 1795.720 1644.770 1796.290 ;
RECT 1645.610 1795.720 1678.350 1796.290 ;
RECT 1679.190 1795.720 1712.390 1796.290 ;
RECT 1713.230 1795.720 1746.430 1796.290 ;
RECT 1747.270 1795.720 1780.470 1796.290 ;
RECT 1781.310 1795.720 1814.050 1796.290 ;
RECT 1814.890 1795.720 1848.090 1796.290 ;
RECT 1848.930 1795.720 1882.130 1796.290 ;
RECT 1882.970 1795.720 1897.400 1796.290 ;
RECT 0.090 4.280 1897.400 1795.720 ;
RECT 0.090 3.670 2.110 4.280 ;
RECT 2.950 3.670 6.710 4.280 ;
RECT 7.550 3.670 11.310 4.280 ;
RECT 12.150 3.670 15.910 4.280 ;
RECT 16.750 3.670 20.510 4.280 ;
RECT 21.350 3.670 25.110 4.280 ;
RECT 25.950 3.670 29.710 4.280 ;
RECT 30.550 3.670 34.310 4.280 ;
RECT 35.150 3.670 38.910 4.280 ;
RECT 39.750 3.670 43.510 4.280 ;
RECT 44.350 3.670 48.110 4.280 ;
RECT 48.950 3.670 52.710 4.280 ;
RECT 53.550 3.670 57.310 4.280 ;
RECT 58.150 3.670 61.910 4.280 ;
RECT 62.750 3.670 66.510 4.280 ;
RECT 67.350 3.670 71.110 4.280 ;
RECT 71.950 3.670 75.710 4.280 ;
RECT 76.550 3.670 80.310 4.280 ;
RECT 81.150 3.670 84.910 4.280 ;
RECT 85.750 3.670 89.510 4.280 ;
RECT 90.350 3.670 94.110 4.280 ;
RECT 94.950 3.670 98.710 4.280 ;
RECT 99.550 3.670 103.310 4.280 ;
RECT 104.150 3.670 107.910 4.280 ;
RECT 108.750 3.670 112.510 4.280 ;
RECT 113.350 3.670 117.110 4.280 ;
RECT 117.950 3.670 121.710 4.280 ;
RECT 122.550 3.670 126.310 4.280 ;
RECT 127.150 3.670 130.910 4.280 ;
RECT 131.750 3.670 135.510 4.280 ;
RECT 136.350 3.670 140.110 4.280 ;
RECT 140.950 3.670 144.710 4.280 ;
RECT 145.550 3.670 149.310 4.280 ;
RECT 150.150 3.670 153.910 4.280 ;
RECT 154.750 3.670 158.510 4.280 ;
RECT 159.350 3.670 163.110 4.280 ;
RECT 163.950 3.670 167.710 4.280 ;
RECT 168.550 3.670 172.310 4.280 ;
RECT 173.150 3.670 176.910 4.280 ;
RECT 177.750 3.670 181.510 4.280 ;
RECT 182.350 3.670 186.110 4.280 ;
RECT 186.950 3.670 190.710 4.280 ;
RECT 191.550 3.670 195.770 4.280 ;
RECT 196.610 3.670 200.370 4.280 ;
RECT 201.210 3.670 204.970 4.280 ;
RECT 205.810 3.670 209.570 4.280 ;
RECT 210.410 3.670 214.170 4.280 ;
RECT 215.010 3.670 218.770 4.280 ;
RECT 219.610 3.670 223.370 4.280 ;
RECT 224.210 3.670 227.970 4.280 ;
RECT 228.810 3.670 232.570 4.280 ;
RECT 233.410 3.670 237.170 4.280 ;
RECT 238.010 3.670 241.770 4.280 ;
RECT 242.610 3.670 246.370 4.280 ;
RECT 247.210 3.670 250.970 4.280 ;
RECT 251.810 3.670 255.570 4.280 ;
RECT 256.410 3.670 260.170 4.280 ;
RECT 261.010 3.670 264.770 4.280 ;
RECT 265.610 3.670 269.370 4.280 ;
RECT 270.210 3.670 273.970 4.280 ;
RECT 274.810 3.670 278.570 4.280 ;
RECT 279.410 3.670 283.170 4.280 ;
RECT 284.010 3.670 287.770 4.280 ;
RECT 288.610 3.670 292.370 4.280 ;
RECT 293.210 3.670 296.970 4.280 ;
RECT 297.810 3.670 301.570 4.280 ;
RECT 302.410 3.670 306.170 4.280 ;
RECT 307.010 3.670 310.770 4.280 ;
RECT 311.610 3.670 315.370 4.280 ;
RECT 316.210 3.670 319.970 4.280 ;
RECT 320.810 3.670 324.570 4.280 ;
RECT 325.410 3.670 329.170 4.280 ;
RECT 330.010 3.670 333.770 4.280 ;
RECT 334.610 3.670 338.370 4.280 ;
RECT 339.210 3.670 342.970 4.280 ;
RECT 343.810 3.670 347.570 4.280 ;
RECT 348.410 3.670 352.170 4.280 ;
RECT 353.010 3.670 356.770 4.280 ;
RECT 357.610 3.670 361.370 4.280 ;
RECT 362.210 3.670 365.970 4.280 ;
RECT 366.810 3.670 370.570 4.280 ;
RECT 371.410 3.670 375.170 4.280 ;
RECT 376.010 3.670 379.770 4.280 ;
RECT 380.610 3.670 384.830 4.280 ;
RECT 385.670 3.670 389.430 4.280 ;
RECT 390.270 3.670 394.030 4.280 ;
RECT 394.870 3.670 398.630 4.280 ;
RECT 399.470 3.670 403.230 4.280 ;
RECT 404.070 3.670 407.830 4.280 ;
RECT 408.670 3.670 412.430 4.280 ;
RECT 413.270 3.670 417.030 4.280 ;
RECT 417.870 3.670 421.630 4.280 ;
RECT 422.470 3.670 426.230 4.280 ;
RECT 427.070 3.670 430.830 4.280 ;
RECT 431.670 3.670 435.430 4.280 ;
RECT 436.270 3.670 440.030 4.280 ;
RECT 440.870 3.670 444.630 4.280 ;
RECT 445.470 3.670 449.230 4.280 ;
RECT 450.070 3.670 453.830 4.280 ;
RECT 454.670 3.670 458.430 4.280 ;
RECT 459.270 3.670 463.030 4.280 ;
RECT 463.870 3.670 467.630 4.280 ;
RECT 468.470 3.670 472.230 4.280 ;
RECT 473.070 3.670 476.830 4.280 ;
RECT 477.670 3.670 481.430 4.280 ;
RECT 482.270 3.670 486.030 4.280 ;
RECT 486.870 3.670 490.630 4.280 ;
RECT 491.470 3.670 495.230 4.280 ;
RECT 496.070 3.670 499.830 4.280 ;
RECT 500.670 3.670 504.430 4.280 ;
RECT 505.270 3.670 509.030 4.280 ;
RECT 509.870 3.670 513.630 4.280 ;
RECT 514.470 3.670 518.230 4.280 ;
RECT 519.070 3.670 522.830 4.280 ;
RECT 523.670 3.670 527.430 4.280 ;
RECT 528.270 3.670 532.030 4.280 ;
RECT 532.870 3.670 536.630 4.280 ;
RECT 537.470 3.670 541.230 4.280 ;
RECT 542.070 3.670 545.830 4.280 ;
RECT 546.670 3.670 550.430 4.280 ;
RECT 551.270 3.670 555.030 4.280 ;
RECT 555.870 3.670 559.630 4.280 ;
RECT 560.470 3.670 564.230 4.280 ;
RECT 565.070 3.670 568.830 4.280 ;
RECT 569.670 3.670 573.890 4.280 ;
RECT 574.730 3.670 578.490 4.280 ;
RECT 579.330 3.670 583.090 4.280 ;
RECT 583.930 3.670 587.690 4.280 ;
RECT 588.530 3.670 592.290 4.280 ;
RECT 593.130 3.670 596.890 4.280 ;
RECT 597.730 3.670 601.490 4.280 ;
RECT 602.330 3.670 606.090 4.280 ;
RECT 606.930 3.670 610.690 4.280 ;
RECT 611.530 3.670 615.290 4.280 ;
RECT 616.130 3.670 619.890 4.280 ;
RECT 620.730 3.670 624.490 4.280 ;
RECT 625.330 3.670 629.090 4.280 ;
RECT 629.930 3.670 633.690 4.280 ;
RECT 634.530 3.670 638.290 4.280 ;
RECT 639.130 3.670 642.890 4.280 ;
RECT 643.730 3.670 647.490 4.280 ;
RECT 648.330 3.670 652.090 4.280 ;
RECT 652.930 3.670 656.690 4.280 ;
RECT 657.530 3.670 661.290 4.280 ;
RECT 662.130 3.670 665.890 4.280 ;
RECT 666.730 3.670 670.490 4.280 ;
RECT 671.330 3.670 675.090 4.280 ;
RECT 675.930 3.670 679.690 4.280 ;
RECT 680.530 3.670 684.290 4.280 ;
RECT 685.130 3.670 688.890 4.280 ;
RECT 689.730 3.670 693.490 4.280 ;
RECT 694.330 3.670 698.090 4.280 ;
RECT 698.930 3.670 702.690 4.280 ;
RECT 703.530 3.670 707.290 4.280 ;
RECT 708.130 3.670 711.890 4.280 ;
RECT 712.730 3.670 716.490 4.280 ;
RECT 717.330 3.670 721.090 4.280 ;
RECT 721.930 3.670 725.690 4.280 ;
RECT 726.530 3.670 730.290 4.280 ;
RECT 731.130 3.670 734.890 4.280 ;
RECT 735.730 3.670 739.490 4.280 ;
RECT 740.330 3.670 744.090 4.280 ;
RECT 744.930 3.670 748.690 4.280 ;
RECT 749.530 3.670 753.290 4.280 ;
RECT 754.130 3.670 757.890 4.280 ;
RECT 758.730 3.670 762.950 4.280 ;
RECT 763.790 3.670 767.550 4.280 ;
RECT 768.390 3.670 772.150 4.280 ;
RECT 772.990 3.670 776.750 4.280 ;
RECT 777.590 3.670 781.350 4.280 ;
RECT 782.190 3.670 785.950 4.280 ;
RECT 786.790 3.670 790.550 4.280 ;
RECT 791.390 3.670 795.150 4.280 ;
RECT 795.990 3.670 799.750 4.280 ;
RECT 800.590 3.670 804.350 4.280 ;
RECT 805.190 3.670 808.950 4.280 ;
RECT 809.790 3.670 813.550 4.280 ;
RECT 814.390 3.670 818.150 4.280 ;
RECT 818.990 3.670 822.750 4.280 ;
RECT 823.590 3.670 827.350 4.280 ;
RECT 828.190 3.670 831.950 4.280 ;
RECT 832.790 3.670 836.550 4.280 ;
RECT 837.390 3.670 841.150 4.280 ;
RECT 841.990 3.670 845.750 4.280 ;
RECT 846.590 3.670 850.350 4.280 ;
RECT 851.190 3.670 854.950 4.280 ;
RECT 855.790 3.670 859.550 4.280 ;
RECT 860.390 3.670 864.150 4.280 ;
RECT 864.990 3.670 868.750 4.280 ;
RECT 869.590 3.670 873.350 4.280 ;
RECT 874.190 3.670 877.950 4.280 ;
RECT 878.790 3.670 882.550 4.280 ;
RECT 883.390 3.670 887.150 4.280 ;
RECT 887.990 3.670 891.750 4.280 ;
RECT 892.590 3.670 896.350 4.280 ;
RECT 897.190 3.670 900.950 4.280 ;
RECT 901.790 3.670 905.550 4.280 ;
RECT 906.390 3.670 910.150 4.280 ;
RECT 910.990 3.670 914.750 4.280 ;
RECT 915.590 3.670 919.350 4.280 ;
RECT 920.190 3.670 923.950 4.280 ;
RECT 924.790 3.670 928.550 4.280 ;
RECT 929.390 3.670 933.150 4.280 ;
RECT 933.990 3.670 937.750 4.280 ;
RECT 938.590 3.670 942.350 4.280 ;
RECT 943.190 3.670 946.950 4.280 ;
RECT 947.790 3.670 952.010 4.280 ;
RECT 952.850 3.670 956.610 4.280 ;
RECT 957.450 3.670 961.210 4.280 ;
RECT 962.050 3.670 965.810 4.280 ;
RECT 966.650 3.670 970.410 4.280 ;
RECT 971.250 3.670 975.010 4.280 ;
RECT 975.850 3.670 979.610 4.280 ;
RECT 980.450 3.670 984.210 4.280 ;
RECT 985.050 3.670 988.810 4.280 ;
RECT 989.650 3.670 993.410 4.280 ;
RECT 994.250 3.670 998.010 4.280 ;
RECT 998.850 3.670 1002.610 4.280 ;
RECT 1003.450 3.670 1007.210 4.280 ;
RECT 1008.050 3.670 1011.810 4.280 ;
RECT 1012.650 3.670 1016.410 4.280 ;
RECT 1017.250 3.670 1021.010 4.280 ;
RECT 1021.850 3.670 1025.610 4.280 ;
RECT 1026.450 3.670 1030.210 4.280 ;
RECT 1031.050 3.670 1034.810 4.280 ;
RECT 1035.650 3.670 1039.410 4.280 ;
RECT 1040.250 3.670 1044.010 4.280 ;
RECT 1044.850 3.670 1048.610 4.280 ;
RECT 1049.450 3.670 1053.210 4.280 ;
RECT 1054.050 3.670 1057.810 4.280 ;
RECT 1058.650 3.670 1062.410 4.280 ;
RECT 1063.250 3.670 1067.010 4.280 ;
RECT 1067.850 3.670 1071.610 4.280 ;
RECT 1072.450 3.670 1076.210 4.280 ;
RECT 1077.050 3.670 1080.810 4.280 ;
RECT 1081.650 3.670 1085.410 4.280 ;
RECT 1086.250 3.670 1090.010 4.280 ;
RECT 1090.850 3.670 1094.610 4.280 ;
RECT 1095.450 3.670 1099.210 4.280 ;
RECT 1100.050 3.670 1103.810 4.280 ;
RECT 1104.650 3.670 1108.410 4.280 ;
RECT 1109.250 3.670 1113.010 4.280 ;
RECT 1113.850 3.670 1117.610 4.280 ;
RECT 1118.450 3.670 1122.210 4.280 ;
RECT 1123.050 3.670 1126.810 4.280 ;
RECT 1127.650 3.670 1131.410 4.280 ;
RECT 1132.250 3.670 1136.010 4.280 ;
RECT 1136.850 3.670 1140.610 4.280 ;
RECT 1141.450 3.670 1145.670 4.280 ;
RECT 1146.510 3.670 1150.270 4.280 ;
RECT 1151.110 3.670 1154.870 4.280 ;
RECT 1155.710 3.670 1159.470 4.280 ;
RECT 1160.310 3.670 1164.070 4.280 ;
RECT 1164.910 3.670 1168.670 4.280 ;
RECT 1169.510 3.670 1173.270 4.280 ;
RECT 1174.110 3.670 1177.870 4.280 ;
RECT 1178.710 3.670 1182.470 4.280 ;
RECT 1183.310 3.670 1187.070 4.280 ;
RECT 1187.910 3.670 1191.670 4.280 ;
RECT 1192.510 3.670 1196.270 4.280 ;
RECT 1197.110 3.670 1200.870 4.280 ;
RECT 1201.710 3.670 1205.470 4.280 ;
RECT 1206.310 3.670 1210.070 4.280 ;
RECT 1210.910 3.670 1214.670 4.280 ;
RECT 1215.510 3.670 1219.270 4.280 ;
RECT 1220.110 3.670 1223.870 4.280 ;
RECT 1224.710 3.670 1228.470 4.280 ;
RECT 1229.310 3.670 1233.070 4.280 ;
RECT 1233.910 3.670 1237.670 4.280 ;
RECT 1238.510 3.670 1242.270 4.280 ;
RECT 1243.110 3.670 1246.870 4.280 ;
RECT 1247.710 3.670 1251.470 4.280 ;
RECT 1252.310 3.670 1256.070 4.280 ;
RECT 1256.910 3.670 1260.670 4.280 ;
RECT 1261.510 3.670 1265.270 4.280 ;
RECT 1266.110 3.670 1269.870 4.280 ;
RECT 1270.710 3.670 1274.470 4.280 ;
RECT 1275.310 3.670 1279.070 4.280 ;
RECT 1279.910 3.670 1283.670 4.280 ;
RECT 1284.510 3.670 1288.270 4.280 ;
RECT 1289.110 3.670 1292.870 4.280 ;
RECT 1293.710 3.670 1297.470 4.280 ;
RECT 1298.310 3.670 1302.070 4.280 ;
RECT 1302.910 3.670 1306.670 4.280 ;
RECT 1307.510 3.670 1311.270 4.280 ;
RECT 1312.110 3.670 1315.870 4.280 ;
RECT 1316.710 3.670 1320.470 4.280 ;
RECT 1321.310 3.670 1325.070 4.280 ;
RECT 1325.910 3.670 1329.670 4.280 ;
RECT 1330.510 3.670 1334.730 4.280 ;
RECT 1335.570 3.670 1339.330 4.280 ;
RECT 1340.170 3.670 1343.930 4.280 ;
RECT 1344.770 3.670 1348.530 4.280 ;
RECT 1349.370 3.670 1353.130 4.280 ;
RECT 1353.970 3.670 1357.730 4.280 ;
RECT 1358.570 3.670 1362.330 4.280 ;
RECT 1363.170 3.670 1366.930 4.280 ;
RECT 1367.770 3.670 1371.530 4.280 ;
RECT 1372.370 3.670 1376.130 4.280 ;
RECT 1376.970 3.670 1380.730 4.280 ;
RECT 1381.570 3.670 1385.330 4.280 ;
RECT 1386.170 3.670 1389.930 4.280 ;
RECT 1390.770 3.670 1394.530 4.280 ;
RECT 1395.370 3.670 1399.130 4.280 ;
RECT 1399.970 3.670 1403.730 4.280 ;
RECT 1404.570 3.670 1408.330 4.280 ;
RECT 1409.170 3.670 1412.930 4.280 ;
RECT 1413.770 3.670 1417.530 4.280 ;
RECT 1418.370 3.670 1422.130 4.280 ;
RECT 1422.970 3.670 1426.730 4.280 ;
RECT 1427.570 3.670 1431.330 4.280 ;
RECT 1432.170 3.670 1435.930 4.280 ;
RECT 1436.770 3.670 1440.530 4.280 ;
RECT 1441.370 3.670 1445.130 4.280 ;
RECT 1445.970 3.670 1449.730 4.280 ;
RECT 1450.570 3.670 1454.330 4.280 ;
RECT 1455.170 3.670 1458.930 4.280 ;
RECT 1459.770 3.670 1463.530 4.280 ;
RECT 1464.370 3.670 1468.130 4.280 ;
RECT 1468.970 3.670 1472.730 4.280 ;
RECT 1473.570 3.670 1477.330 4.280 ;
RECT 1478.170 3.670 1481.930 4.280 ;
RECT 1482.770 3.670 1486.530 4.280 ;
RECT 1487.370 3.670 1491.130 4.280 ;
RECT 1491.970 3.670 1495.730 4.280 ;
RECT 1496.570 3.670 1500.330 4.280 ;
RECT 1501.170 3.670 1504.930 4.280 ;
RECT 1505.770 3.670 1509.530 4.280 ;
RECT 1510.370 3.670 1514.130 4.280 ;
RECT 1514.970 3.670 1518.730 4.280 ;
RECT 1519.570 3.670 1523.790 4.280 ;
RECT 1524.630 3.670 1528.390 4.280 ;
RECT 1529.230 3.670 1532.990 4.280 ;
RECT 1533.830 3.670 1537.590 4.280 ;
RECT 1538.430 3.670 1542.190 4.280 ;
RECT 1543.030 3.670 1546.790 4.280 ;
RECT 1547.630 3.670 1551.390 4.280 ;
RECT 1552.230 3.670 1555.990 4.280 ;
RECT 1556.830 3.670 1560.590 4.280 ;
RECT 1561.430 3.670 1565.190 4.280 ;
RECT 1566.030 3.670 1569.790 4.280 ;
RECT 1570.630 3.670 1574.390 4.280 ;
RECT 1575.230 3.670 1578.990 4.280 ;
RECT 1579.830 3.670 1583.590 4.280 ;
RECT 1584.430 3.670 1588.190 4.280 ;
RECT 1589.030 3.670 1592.790 4.280 ;
RECT 1593.630 3.670 1597.390 4.280 ;
RECT 1598.230 3.670 1601.990 4.280 ;
RECT 1602.830 3.670 1606.590 4.280 ;
RECT 1607.430 3.670 1611.190 4.280 ;
RECT 1612.030 3.670 1615.790 4.280 ;
RECT 1616.630 3.670 1620.390 4.280 ;
RECT 1621.230 3.670 1624.990 4.280 ;
RECT 1625.830 3.670 1629.590 4.280 ;
RECT 1630.430 3.670 1634.190 4.280 ;
RECT 1635.030 3.670 1638.790 4.280 ;
RECT 1639.630 3.670 1643.390 4.280 ;
RECT 1644.230 3.670 1647.990 4.280 ;
RECT 1648.830 3.670 1652.590 4.280 ;
RECT 1653.430 3.670 1657.190 4.280 ;
RECT 1658.030 3.670 1661.790 4.280 ;
RECT 1662.630 3.670 1666.390 4.280 ;
RECT 1667.230 3.670 1670.990 4.280 ;
RECT 1671.830 3.670 1675.590 4.280 ;
RECT 1676.430 3.670 1680.190 4.280 ;
RECT 1681.030 3.670 1684.790 4.280 ;
RECT 1685.630 3.670 1689.390 4.280 ;
RECT 1690.230 3.670 1693.990 4.280 ;
RECT 1694.830 3.670 1698.590 4.280 ;
RECT 1699.430 3.670 1703.190 4.280 ;
RECT 1704.030 3.670 1707.790 4.280 ;
RECT 1708.630 3.670 1712.850 4.280 ;
RECT 1713.690 3.670 1717.450 4.280 ;
RECT 1718.290 3.670 1722.050 4.280 ;
RECT 1722.890 3.670 1726.650 4.280 ;
RECT 1727.490 3.670 1731.250 4.280 ;
RECT 1732.090 3.670 1735.850 4.280 ;
RECT 1736.690 3.670 1740.450 4.280 ;
RECT 1741.290 3.670 1745.050 4.280 ;
RECT 1745.890 3.670 1749.650 4.280 ;
RECT 1750.490 3.670 1754.250 4.280 ;
RECT 1755.090 3.670 1758.850 4.280 ;
RECT 1759.690 3.670 1763.450 4.280 ;
RECT 1764.290 3.670 1768.050 4.280 ;
RECT 1768.890 3.670 1772.650 4.280 ;
RECT 1773.490 3.670 1777.250 4.280 ;
RECT 1778.090 3.670 1781.850 4.280 ;
RECT 1782.690 3.670 1786.450 4.280 ;
RECT 1787.290 3.670 1791.050 4.280 ;
RECT 1791.890 3.670 1795.650 4.280 ;
RECT 1796.490 3.670 1800.250 4.280 ;
RECT 1801.090 3.670 1804.850 4.280 ;
RECT 1805.690 3.670 1809.450 4.280 ;
RECT 1810.290 3.670 1814.050 4.280 ;
RECT 1814.890 3.670 1818.650 4.280 ;
RECT 1819.490 3.670 1823.250 4.280 ;
RECT 1824.090 3.670 1827.850 4.280 ;
RECT 1828.690 3.670 1832.450 4.280 ;
RECT 1833.290 3.670 1837.050 4.280 ;
RECT 1837.890 3.670 1841.650 4.280 ;
RECT 1842.490 3.670 1846.250 4.280 ;
RECT 1847.090 3.670 1850.850 4.280 ;
RECT 1851.690 3.670 1855.450 4.280 ;
RECT 1856.290 3.670 1860.050 4.280 ;
RECT 1860.890 3.670 1864.650 4.280 ;
RECT 1865.490 3.670 1869.250 4.280 ;
RECT 1870.090 3.670 1873.850 4.280 ;
RECT 1874.690 3.670 1878.450 4.280 ;
RECT 1879.290 3.670 1883.050 4.280 ;
RECT 1883.890 3.670 1887.650 4.280 ;
RECT 1888.490 3.670 1892.250 4.280 ;
RECT 1893.090 3.670 1896.850 4.280 ;
LAYER met3 ;
RECT 4.400 1786.720 1896.000 1787.205 ;
RECT 4.400 1786.680 1895.600 1786.720 ;
RECT 0.065 1785.320 1895.600 1786.680 ;
RECT 0.065 1763.600 1896.000 1785.320 ;
RECT 4.400 1762.200 1896.000 1763.600 ;
RECT 0.065 1758.840 1896.000 1762.200 ;
RECT 0.065 1757.440 1895.600 1758.840 ;
RECT 0.065 1739.120 1896.000 1757.440 ;
RECT 4.400 1737.720 1896.000 1739.120 ;
RECT 0.065 1730.960 1896.000 1737.720 ;
RECT 0.065 1729.560 1895.600 1730.960 ;
RECT 0.065 1714.640 1896.000 1729.560 ;
RECT 4.400 1713.240 1896.000 1714.640 ;
RECT 0.065 1703.760 1896.000 1713.240 ;
RECT 0.065 1702.360 1895.600 1703.760 ;
RECT 0.065 1690.840 1896.000 1702.360 ;
RECT 4.400 1689.440 1896.000 1690.840 ;
RECT 0.065 1675.880 1896.000 1689.440 ;
RECT 0.065 1674.480 1895.600 1675.880 ;
RECT 0.065 1666.360 1896.000 1674.480 ;
RECT 4.400 1664.960 1896.000 1666.360 ;
RECT 0.065 1648.000 1896.000 1664.960 ;
RECT 0.065 1646.600 1895.600 1648.000 ;
RECT 0.065 1641.880 1896.000 1646.600 ;
RECT 4.400 1640.480 1896.000 1641.880 ;
RECT 0.065 1620.120 1896.000 1640.480 ;
RECT 0.065 1618.720 1895.600 1620.120 ;
RECT 0.065 1617.400 1896.000 1618.720 ;
RECT 4.400 1616.000 1896.000 1617.400 ;
RECT 0.065 1593.600 1896.000 1616.000 ;
RECT 4.400 1592.920 1896.000 1593.600 ;
RECT 4.400 1592.200 1895.600 1592.920 ;
RECT 0.065 1591.520 1895.600 1592.200 ;
RECT 0.065 1569.120 1896.000 1591.520 ;
RECT 4.400 1567.720 1896.000 1569.120 ;
RECT 0.065 1565.040 1896.000 1567.720 ;
RECT 0.065 1563.640 1895.600 1565.040 ;
RECT 0.065 1544.640 1896.000 1563.640 ;
RECT 4.400 1543.240 1896.000 1544.640 ;
RECT 0.065 1537.160 1896.000 1543.240 ;
RECT 0.065 1535.760 1895.600 1537.160 ;
RECT 0.065 1520.160 1896.000 1535.760 ;
RECT 4.400 1518.760 1896.000 1520.160 ;
RECT 0.065 1509.960 1896.000 1518.760 ;
RECT 0.065 1508.560 1895.600 1509.960 ;
RECT 0.065 1495.680 1896.000 1508.560 ;
RECT 4.400 1494.280 1896.000 1495.680 ;
RECT 0.065 1482.080 1896.000 1494.280 ;
RECT 0.065 1480.680 1895.600 1482.080 ;
RECT 0.065 1471.880 1896.000 1480.680 ;
RECT 4.400 1470.480 1896.000 1471.880 ;
RECT 0.065 1454.200 1896.000 1470.480 ;
RECT 0.065 1452.800 1895.600 1454.200 ;
RECT 0.065 1447.400 1896.000 1452.800 ;
RECT 4.400 1446.000 1896.000 1447.400 ;
RECT 0.065 1426.320 1896.000 1446.000 ;
RECT 0.065 1424.920 1895.600 1426.320 ;
RECT 0.065 1422.920 1896.000 1424.920 ;
RECT 4.400 1421.520 1896.000 1422.920 ;
RECT 0.065 1399.120 1896.000 1421.520 ;
RECT 0.065 1398.440 1895.600 1399.120 ;
RECT 4.400 1397.720 1895.600 1398.440 ;
RECT 4.400 1397.040 1896.000 1397.720 ;
RECT 0.065 1374.640 1896.000 1397.040 ;
RECT 4.400 1373.240 1896.000 1374.640 ;
RECT 0.065 1371.240 1896.000 1373.240 ;
RECT 0.065 1369.840 1895.600 1371.240 ;
RECT 0.065 1350.160 1896.000 1369.840 ;
RECT 4.400 1348.760 1896.000 1350.160 ;
RECT 0.065 1343.360 1896.000 1348.760 ;
RECT 0.065 1341.960 1895.600 1343.360 ;
RECT 0.065 1325.680 1896.000 1341.960 ;
RECT 4.400 1324.280 1896.000 1325.680 ;
RECT 0.065 1315.480 1896.000 1324.280 ;
RECT 0.065 1314.080 1895.600 1315.480 ;
RECT 0.065 1301.200 1896.000 1314.080 ;
RECT 4.400 1299.800 1896.000 1301.200 ;
RECT 0.065 1288.280 1896.000 1299.800 ;
RECT 0.065 1286.880 1895.600 1288.280 ;
RECT 0.065 1277.400 1896.000 1286.880 ;
RECT 4.400 1276.000 1896.000 1277.400 ;
RECT 0.065 1260.400 1896.000 1276.000 ;
RECT 0.065 1259.000 1895.600 1260.400 ;
RECT 0.065 1252.920 1896.000 1259.000 ;
RECT 4.400 1251.520 1896.000 1252.920 ;
RECT 0.065 1232.520 1896.000 1251.520 ;
RECT 0.065 1231.120 1895.600 1232.520 ;
RECT 0.065 1228.440 1896.000 1231.120 ;
RECT 4.400 1227.040 1896.000 1228.440 ;
RECT 0.065 1205.320 1896.000 1227.040 ;
RECT 0.065 1203.960 1895.600 1205.320 ;
RECT 4.400 1203.920 1895.600 1203.960 ;
RECT 4.400 1202.560 1896.000 1203.920 ;
RECT 0.065 1179.480 1896.000 1202.560 ;
RECT 4.400 1178.080 1896.000 1179.480 ;
RECT 0.065 1177.440 1896.000 1178.080 ;
RECT 0.065 1176.040 1895.600 1177.440 ;
RECT 0.065 1155.680 1896.000 1176.040 ;
RECT 4.400 1154.280 1896.000 1155.680 ;
RECT 0.065 1149.560 1896.000 1154.280 ;
RECT 0.065 1148.160 1895.600 1149.560 ;
RECT 0.065 1131.200 1896.000 1148.160 ;
RECT 4.400 1129.800 1896.000 1131.200 ;
RECT 0.065 1121.680 1896.000 1129.800 ;
RECT 0.065 1120.280 1895.600 1121.680 ;
RECT 0.065 1106.720 1896.000 1120.280 ;
RECT 4.400 1105.320 1896.000 1106.720 ;
RECT 0.065 1094.480 1896.000 1105.320 ;
RECT 0.065 1093.080 1895.600 1094.480 ;
RECT 0.065 1082.240 1896.000 1093.080 ;
RECT 4.400 1080.840 1896.000 1082.240 ;
RECT 0.065 1066.600 1896.000 1080.840 ;
RECT 0.065 1065.200 1895.600 1066.600 ;
RECT 0.065 1058.440 1896.000 1065.200 ;
RECT 4.400 1057.040 1896.000 1058.440 ;
RECT 0.065 1038.720 1896.000 1057.040 ;
RECT 0.065 1037.320 1895.600 1038.720 ;
RECT 0.065 1033.960 1896.000 1037.320 ;
RECT 4.400 1032.560 1896.000 1033.960 ;
RECT 0.065 1011.520 1896.000 1032.560 ;
RECT 0.065 1010.120 1895.600 1011.520 ;
RECT 0.065 1009.480 1896.000 1010.120 ;
RECT 4.400 1008.080 1896.000 1009.480 ;
RECT 0.065 985.000 1896.000 1008.080 ;
RECT 4.400 983.640 1896.000 985.000 ;
RECT 4.400 983.600 1895.600 983.640 ;
RECT 0.065 982.240 1895.600 983.600 ;
RECT 0.065 961.200 1896.000 982.240 ;
RECT 4.400 959.800 1896.000 961.200 ;
RECT 0.065 955.760 1896.000 959.800 ;
RECT 0.065 954.360 1895.600 955.760 ;
RECT 0.065 936.720 1896.000 954.360 ;
RECT 4.400 935.320 1896.000 936.720 ;
RECT 0.065 927.880 1896.000 935.320 ;
RECT 0.065 926.480 1895.600 927.880 ;
RECT 0.065 912.240 1896.000 926.480 ;
RECT 4.400 910.840 1896.000 912.240 ;
RECT 0.065 900.680 1896.000 910.840 ;
RECT 0.065 899.280 1895.600 900.680 ;
RECT 0.065 887.760 1896.000 899.280 ;
RECT 4.400 886.360 1896.000 887.760 ;
RECT 0.065 872.800 1896.000 886.360 ;
RECT 0.065 871.400 1895.600 872.800 ;
RECT 0.065 863.280 1896.000 871.400 ;
RECT 4.400 861.880 1896.000 863.280 ;
RECT 0.065 844.920 1896.000 861.880 ;
RECT 0.065 843.520 1895.600 844.920 ;
RECT 0.065 839.480 1896.000 843.520 ;
RECT 4.400 838.080 1896.000 839.480 ;
RECT 0.065 817.040 1896.000 838.080 ;
RECT 0.065 815.640 1895.600 817.040 ;
RECT 0.065 815.000 1896.000 815.640 ;
RECT 4.400 813.600 1896.000 815.000 ;
RECT 0.065 790.520 1896.000 813.600 ;
RECT 4.400 789.840 1896.000 790.520 ;
RECT 4.400 789.120 1895.600 789.840 ;
RECT 0.065 788.440 1895.600 789.120 ;
RECT 0.065 766.040 1896.000 788.440 ;
RECT 4.400 764.640 1896.000 766.040 ;
RECT 0.065 761.960 1896.000 764.640 ;
RECT 0.065 760.560 1895.600 761.960 ;
RECT 0.065 742.240 1896.000 760.560 ;
RECT 4.400 740.840 1896.000 742.240 ;
RECT 0.065 734.080 1896.000 740.840 ;
RECT 0.065 732.680 1895.600 734.080 ;
RECT 0.065 717.760 1896.000 732.680 ;
RECT 4.400 716.360 1896.000 717.760 ;
RECT 0.065 706.880 1896.000 716.360 ;
RECT 0.065 705.480 1895.600 706.880 ;
RECT 0.065 693.280 1896.000 705.480 ;
RECT 4.400 691.880 1896.000 693.280 ;
RECT 0.065 679.000 1896.000 691.880 ;
RECT 0.065 677.600 1895.600 679.000 ;
RECT 0.065 668.800 1896.000 677.600 ;
RECT 4.400 667.400 1896.000 668.800 ;
RECT 0.065 651.120 1896.000 667.400 ;
RECT 0.065 649.720 1895.600 651.120 ;
RECT 0.065 645.000 1896.000 649.720 ;
RECT 4.400 643.600 1896.000 645.000 ;
RECT 0.065 623.240 1896.000 643.600 ;
RECT 0.065 621.840 1895.600 623.240 ;
RECT 0.065 620.520 1896.000 621.840 ;
RECT 4.400 619.120 1896.000 620.520 ;
RECT 0.065 596.040 1896.000 619.120 ;
RECT 4.400 594.640 1895.600 596.040 ;
RECT 0.065 571.560 1896.000 594.640 ;
RECT 4.400 570.160 1896.000 571.560 ;
RECT 0.065 568.160 1896.000 570.160 ;
RECT 0.065 566.760 1895.600 568.160 ;
RECT 0.065 547.080 1896.000 566.760 ;
RECT 4.400 545.680 1896.000 547.080 ;
RECT 0.065 540.280 1896.000 545.680 ;
RECT 0.065 538.880 1895.600 540.280 ;
RECT 0.065 523.280 1896.000 538.880 ;
RECT 4.400 521.880 1896.000 523.280 ;
RECT 0.065 513.080 1896.000 521.880 ;
RECT 0.065 511.680 1895.600 513.080 ;
RECT 0.065 498.800 1896.000 511.680 ;
RECT 4.400 497.400 1896.000 498.800 ;
RECT 0.065 485.200 1896.000 497.400 ;
RECT 0.065 483.800 1895.600 485.200 ;
RECT 0.065 474.320 1896.000 483.800 ;
RECT 4.400 472.920 1896.000 474.320 ;
RECT 0.065 457.320 1896.000 472.920 ;
RECT 0.065 455.920 1895.600 457.320 ;
RECT 0.065 449.840 1896.000 455.920 ;
RECT 4.400 448.440 1896.000 449.840 ;
RECT 0.065 429.440 1896.000 448.440 ;
RECT 0.065 428.040 1895.600 429.440 ;
RECT 0.065 426.040 1896.000 428.040 ;
RECT 4.400 424.640 1896.000 426.040 ;
RECT 0.065 402.240 1896.000 424.640 ;
RECT 0.065 401.560 1895.600 402.240 ;
RECT 4.400 400.840 1895.600 401.560 ;
RECT 4.400 400.160 1896.000 400.840 ;
RECT 0.065 377.080 1896.000 400.160 ;
RECT 4.400 375.680 1896.000 377.080 ;
RECT 0.065 374.360 1896.000 375.680 ;
RECT 0.065 372.960 1895.600 374.360 ;
RECT 0.065 352.600 1896.000 372.960 ;
RECT 4.400 351.200 1896.000 352.600 ;
RECT 0.065 346.480 1896.000 351.200 ;
RECT 0.065 345.080 1895.600 346.480 ;
RECT 0.065 328.800 1896.000 345.080 ;
RECT 4.400 327.400 1896.000 328.800 ;
RECT 0.065 318.600 1896.000 327.400 ;
RECT 0.065 317.200 1895.600 318.600 ;
RECT 0.065 304.320 1896.000 317.200 ;
RECT 4.400 302.920 1896.000 304.320 ;
RECT 0.065 291.400 1896.000 302.920 ;
RECT 0.065 290.000 1895.600 291.400 ;
RECT 0.065 279.840 1896.000 290.000 ;
RECT 4.400 278.440 1896.000 279.840 ;
RECT 0.065 263.520 1896.000 278.440 ;
RECT 0.065 262.120 1895.600 263.520 ;
RECT 0.065 255.360 1896.000 262.120 ;
RECT 4.400 253.960 1896.000 255.360 ;
RECT 0.065 235.640 1896.000 253.960 ;
RECT 0.065 234.240 1895.600 235.640 ;
RECT 0.065 230.880 1896.000 234.240 ;
RECT 4.400 229.480 1896.000 230.880 ;
RECT 0.065 208.440 1896.000 229.480 ;
RECT 0.065 207.080 1895.600 208.440 ;
RECT 4.400 207.040 1895.600 207.080 ;
RECT 4.400 205.680 1896.000 207.040 ;
RECT 0.065 182.600 1896.000 205.680 ;
RECT 4.400 181.200 1896.000 182.600 ;
RECT 0.065 180.560 1896.000 181.200 ;
RECT 0.065 179.160 1895.600 180.560 ;
RECT 0.065 158.120 1896.000 179.160 ;
RECT 4.400 156.720 1896.000 158.120 ;
RECT 0.065 152.680 1896.000 156.720 ;
RECT 0.065 151.280 1895.600 152.680 ;
RECT 0.065 133.640 1896.000 151.280 ;
RECT 4.400 132.240 1896.000 133.640 ;
RECT 0.065 124.800 1896.000 132.240 ;
RECT 0.065 123.400 1895.600 124.800 ;
RECT 0.065 109.840 1896.000 123.400 ;
RECT 4.400 108.440 1896.000 109.840 ;
RECT 0.065 97.600 1896.000 108.440 ;
RECT 0.065 96.200 1895.600 97.600 ;
RECT 0.065 85.360 1896.000 96.200 ;
RECT 4.400 83.960 1896.000 85.360 ;
RECT 0.065 69.720 1896.000 83.960 ;
RECT 0.065 68.320 1895.600 69.720 ;
RECT 0.065 60.880 1896.000 68.320 ;
RECT 4.400 59.480 1896.000 60.880 ;
RECT 0.065 41.840 1896.000 59.480 ;
RECT 0.065 40.440 1895.600 41.840 ;
RECT 0.065 36.400 1896.000 40.440 ;
RECT 4.400 35.000 1896.000 36.400 ;
RECT 0.065 14.640 1896.000 35.000 ;
RECT 0.065 13.240 1895.600 14.640 ;
RECT 0.065 12.600 1896.000 13.240 ;
RECT 4.400 11.200 1896.000 12.600 ;
RECT 0.065 10.715 1896.000 11.200 ;
LAYER met4 ;
RECT 95.975 92.655 97.440 1206.145 ;
RECT 99.840 92.655 174.240 1206.145 ;
RECT 176.640 92.655 251.040 1206.145 ;
RECT 253.440 92.655 327.840 1206.145 ;
RECT 330.240 92.655 404.640 1206.145 ;
RECT 407.040 92.655 481.440 1206.145 ;
RECT 483.840 92.655 558.240 1206.145 ;
RECT 560.640 92.655 635.040 1206.145 ;
RECT 637.440 92.655 711.840 1206.145 ;
RECT 714.240 92.655 788.640 1206.145 ;
RECT 791.040 92.655 865.440 1206.145 ;
RECT 867.840 92.655 942.240 1206.145 ;
RECT 944.640 92.655 1019.040 1206.145 ;
RECT 1021.440 92.655 1095.840 1206.145 ;
RECT 1098.240 92.655 1172.640 1206.145 ;
RECT 1175.040 92.655 1249.440 1206.145 ;
RECT 1251.840 92.655 1326.240 1206.145 ;
RECT 1328.640 92.655 1403.040 1206.145 ;
RECT 1405.440 92.655 1479.840 1206.145 ;
RECT 1482.240 92.655 1556.640 1206.145 ;
RECT 1559.040 92.655 1633.440 1206.145 ;
RECT 1635.840 92.655 1710.240 1206.145 ;
RECT 1712.640 92.655 1787.040 1206.145 ;
RECT 1789.440 92.655 1836.945 1206.145 ;
END
END user_proj_example
END LIBRARY