blob: a50e5449f8992d765db6b79292de74353f639e60 [file] [log] [blame]
// SPDX-License-Identifier: MIT
// SPDX-FileCopyrightText: 2021 Tamas Hubai
`default_nettype none
`define MAXDELAY 10'd600
`define STRINGS 4'd1
`default_nettype wire