blob: 7624612d7c122b19e07ff020dbe0a140ef073a4b [file] [log] [blame]
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
library ("sky130_fd_io__top_xres4v2_ss_ff_100C_1v40_5v50") {
define(three_state_pullup_res,library,string);
define(three_state_pulldn_res,library,string);
define(zstate_leak_threshold_pct,library,string);
define(clk_width,library,string);
define(driver_model,library,string);
define(def_sim_opt,library,string);
define(simulator,library,string);
define(signal_voltage_type,pin,string);
technology("cmos");
delay_model : "table_lookup";
revision : "1.0";
date : "localtime";
default_leakage_power_density : 0.000000;
default_cell_leakage_power : 0.000000;
default_fanout_load : 0.000000;
default_inout_pin_cap : 0.000000;
default_input_pin_cap : 0.000000;
default_output_pin_cap : 0.000000;
default_max_transition : 1.500000;
bus_naming_style : "%s[%d]";
in_place_swap_mode : "match_footprint";
library_features("report_delay_calculation");
voltage_unit : "1V";
current_unit : "1mA";
leakage_power_unit : "1nW";
pulling_resistance_unit : "1kohm";
time_unit : "1ns";
resistance_unit : "1ohm";
capacitive_load_unit(1.000000, \
"pf");
input_threshold_pct_rise : 50.000000;
input_threshold_pct_fall : 50.000000;
output_threshold_pct_rise : 50.000000;
output_threshold_pct_fall : 50.000000;
slew_lower_threshold_pct_fall : 20.000000;
slew_lower_threshold_pct_rise : 20.000000;
slew_upper_threshold_pct_fall : 80.000000;
slew_upper_threshold_pct_rise : 80.000000;
slew_derate_from_library : 1.000000;
three_state_pullup_res : "1";
three_state_pulldn_res : "1";
zstate_leak_threshold_pct : "0.1";
clk_width : "1.00E-05";
nom_process : 1.000000;
nom_temperature : 100.000000;
nom_voltage : 1.400000;
driver_model : "snps_predriver";
def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
voltage_map("VSS",0.000000);
voltage_map("VCCD",1.400000);
voltage_map("VCCHIB",1.400000);
voltage_map("VDDA",5.500000);
voltage_map("VDDIO",5.500000);
voltage_map("VDDIO_Q",5.500000);
voltage_map("VSSA",0.000000);
voltage_map("VSSD",0.000000);
voltage_map("VSSIO",0.000000);
voltage_map("VSSIO_Q",0.000000);
voltage_map("VSWITCH",5.500000);
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
}
output_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vol : 0.550;
voh : 4.950;
vomax : 5.775;
vomin : -0.275;
}
output_voltage (GENERAL_CORE_VOLTAGE) {
vol : 0.140;
voh : 1.260;
vomax : 1.470;
vomin : -0.070;
}
input_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vil : 1.375;
vih : 4.125;
vimax : 5.775;
vimin : -0.275;
}
input_voltage (GENERAL_CORE_VOLTAGE) {
vil : 0.420;
vih : 0.980;
vimax : 1.470;
vimin : -0.070;
}
operating_conditions ("ss_ff_1p40v_x_5p50v_100C") {
process : 1.000000;
temperature : 100.000000;
voltage : 1.400000;
tree_type : "balanced_tree";
}
lu_table_template ("del_1_7_7") {
variable_1 : "input_net_transition";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
cell ("sky130_fd_io__top_xres4v2") {
is_macro_cell : true;
dont_use : true;
interface_timing : true;
pad_cell : true;
dont_touch : true;
area : 15000.000000;
cell_leakage_power : 1.370580e+02;
pg_pin (VDDA) {
voltage_name : "VDDA";
pg_type : "primary_power";
}
pg_pin (VSWITCH) {
voltage_name : "VSWITCH";
pg_type : "primary_power";
}
pg_pin (VDDIO) {
voltage_name : "VDDIO";
pg_type : "primary_power";
}
pg_pin ("VDDIO_Q") {
voltage_name : "VDDIO_Q";
pg_type : "primary_power";
}
pg_pin (VCCD) {
voltage_name : "VCCD";
pg_type : "primary_power";
}
pg_pin (VCCHIB) {
voltage_name : "VCCHIB";
pg_type : "primary_power";
}
pg_pin (VSSD) {
voltage_name : "VSSD";
pg_type : "primary_ground";
}
pg_pin ("VSSIO_Q") {
voltage_name : "VSSIO_Q";
pg_type : "primary_ground";
}
pg_pin (VSSA) {
voltage_name : "VSSA";
pg_type : "primary_ground";
}
pg_pin (VSSIO) {
voltage_name : "VSSIO";
pg_type : "primary_ground";
}
leakage_power (lkgGroup2) {
when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
value : "99.0734000";
}
leakage_power (lkgGroup1) {
when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
value : "137.0580000";
}
pin ("DISABLE_PULLUP_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
rise_capacitance : 0.019340;
capacitance : 0.019041;
fall_capacitance : 0.018741;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("1.8346080, 6.8367121, 11.8388160, 16.6184150, 21.4556000, 26.2351990, 31.0147980");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.8014703, -2.0158571, -4.8331846, -7.2453536, -9.6865849, -12.0987540, -14.5109230");
}
}
}
pin ("INP_SEL_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
rise_capacitance : 0.025217;
capacitance : 0.025030;
fall_capacitance : 0.024843;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.1022116, 0.6598171, 1.4218457, 2.2889358, 3.1664727, 4.0335628, 4.9006528");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.7081049, 1.4969860, 2.2858670, 3.1495402, 4.0236192, 4.8872925, 5.7509657");
}
}
}
pin ("EN_VDDIO_SIG_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
rise_capacitance : 0.034127;
capacitance : 0.033594;
fall_capacitance : 0.033061;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("1.6397036, 2.0964006, 2.5530977, 3.3790513, 4.2149562, 5.0409098, 5.8668634");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("1.1961524, 1.8510260, 2.5058996, 3.3350394, 4.1741689, 5.0033088, 5.8324487");
}
}
}
pin ("ENABLE_VDDIO") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : true;
rise_capacitance : 0.017466;
capacitance : 0.017396;
fall_capacitance : 0.017326;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0160326, -0.0159139, -0.0157953, -0.0156070, -0.0154164, -0.0152282, -0.0150399");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0441824, 0.0436739, 0.0431654, 0.0431238, 0.0430817, 0.0430401, 0.0429984");
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_H";
timing_type : non_seq_hold_falling;
fall_constraint (scalar) {
values ("-35");
}
}
}
pin ("ENABLE_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
rise_capacitance : 0.029065;
capacitance : 0.028755;
fall_capacitance : 0.028446;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.4261788, 2.7517407, 5.0773027, 7.4551153, 9.8615763, 12.2393890, 14.6172020");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("2.4976258, 11.9924920, 21.4873590, 25.7978700, 30.1603160, 34.4708280, 38.7813390");
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_VDDIO";
timing_type : non_seq_hold_rising;
rise_constraint (scalar) {
values ("-35");
}
}
}
pin ("FILT_IN_H") {
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
max_transition : 3.750000;
rise_capacitance : 0.008911;
capacitance : 0.008926;
fall_capacitance : 0.008942;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.1076339, -0.1072002, -0.1067665, -0.1063778, -0.1059844, -0.1055957, -0.1052070");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.1069109, 0.1065576, 0.1062044, 0.1059736, 0.1057400, 0.1055093, 0.1052785");
}
}
}
pin ("XRES_H_N") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO_Q";
related_ground_pin : "VSSD";
always_on : true;
function : "(PAD)";
max_capacitance : 3.631790;
max_transition : 3.749458;
internal_power () {
related_pin : "PAD";
when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.07136537, 0.1906476, 0.5093016, 1.360564, 3.63465");
values("77.3606112, 77.3405372, 77.0606012, 76.7623332, 76.7388522, 77.1565522, 79.5015522", \
"66.1674571, 66.0731781, 65.8555121, 65.5453781, 65.5277741, 66.0960631, 68.3354871", \
"75.4472870, 75.3978820, 75.1740960, 74.7944410, 74.8833700, 75.3965080, 77.5433680", \
"75.7251550, 75.5812910, 75.3800220, 75.0992190, 75.0325630, 75.6557110, 77.6676150", \
"75.9316150, 75.8446920, 75.5928510, 75.2965950, 75.3022300, 75.8594780, 77.8694890", \
"76.2537950, 76.0162840, 75.8164090, 75.6208710, 75.4737180, 76.1790490, 78.2611270", \
"76.5448540, 76.3932530, 76.1985540, 75.9109030, 75.8522200, 76.4741400, 78.6410520");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.07136537, 0.1906476, 0.5093016, 1.360564, 3.63465");
values("60.9360411, 60.9306221, 60.8601091, 60.7709301, 60.7696111, 61.6909021, 64.3245661", \
"43.5825280, 43.4619300, 43.3019400, 43.3337480, 43.3416300, 44.2626160, 46.9166940", \
"63.6803250, 63.6206090, 63.4182220, 63.3258860, 63.5298130, 64.3627580, 67.0331290", \
"63.7834300, 63.6213720, 63.4549640, 63.4064470, 63.5202100, 64.4817740, 67.2619530", \
"63.8282620, 63.7165670, 63.5493670, 63.0749270, 63.6172430, 64.5366470, 67.1271090", \
"63.9830130, 63.8687920, 63.7057840, 63.6758980, 63.7675680, 64.6942500, 67.3497440", \
"64.1965440, 64.0978590, 63.9234430, 63.8410510, 63.9892440, 64.9192300, 67.5256590");
}
}
internal_power () {
related_pin : "PAD";
when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.07136537, 0.1906476, 0.5093016, 1.360564, 3.63465");
values("80.4315291, 80.3401791, 80.2662521, 80.2405641, 80.2615961, 81.2575701, 83.9948461", \
"67.0554950, 66.8462380, 66.6889340, 66.7040950, 66.8704760, 67.8244420, 70.5131450", \
"92.3879170, 92.2991200, 92.1268760, 92.0325830, 92.2141530, 93.1711860, 95.8842170", \
"96.0383650, 96.0751410, 95.7707250, 95.7179270, 95.8875760, 96.8348800, 99.6477620", \
"98.9525820, 98.8432530, 98.7794360, 98.6001140, 98.7923630, 99.8508430, 102.6602500", \
"101.1161600, 101.2492000, 100.8191400, 100.9125500, 100.9340500, 101.9255700, 104.7358200", \
"102.9772700, 102.8790900, 102.6978800, 102.6251200, 102.8009200, 103.7893500, 106.5365300");
}
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.07136537, 0.1906476, 0.5093016, 1.360564, 3.63465");
values("85.2506262, 85.1450232, 84.8356112, 84.6308862, 84.6282632, 85.2263202, 87.3978532", \
"74.0371551, 73.9249251, 73.6711841, 73.3954881, 73.3848711, 73.9159121, 76.1671941", \
"83.3579180, 83.2364390, 82.9896010, 82.7119620, 82.6921930, 83.2570540, 85.4695270", \
"83.8300540, 83.7312300, 83.4890700, 83.2054080, 83.1968660, 83.6516480, 85.8350400", \
"84.1791570, 84.1790530, 83.8465690, 83.6690720, 83.5397580, 84.2021660, 86.2076660", \
"84.6463590, 84.4780700, 84.3776090, 84.1148120, 83.9936040, 84.6007640, 86.8762980", \
"85.2453040, 85.0144540, 84.7288280, 84.4604460, 84.4287830, 85.0852440, 86.9555750");
}
}
internal_power () {
related_pin : "FILT_IN_H";
when : "( INP_SEL_H )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.02671079, 0.07134665, 0.1905726, 0.5090344, 1.359671, 3.63179");
values("27.3653009, 27.2587759, 27.0069519, 26.7156209, 26.6051829, 27.0357659, 28.8106789", \
"27.3338660, 26.8284960, 26.9648210, 26.6227460, 26.3491450, 27.0509850, 28.2958240", \
"27.3511920, 27.2542870, 27.5791280, 26.7825730, 26.6665670, 26.8559600, 28.4509430", \
"27.2407890, 27.1592250, 26.7871580, 26.7915070, 26.6429660, 26.9136030, 28.3972620", \
"27.2770728, 27.1595988, 26.9104788, 26.6181478, 26.5094568, 26.8691068, 28.2955808", \
"27.1712830, 27.0566710, 26.8044490, 26.5107480, 26.2582580, 26.7658240, 28.1900420", \
"27.0328640, 27.2044260, 27.2322550, 26.6246370, 26.5680320, 26.7841300, 28.4008930");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.02671079, 0.07134665, 0.1905726, 0.5090344, 1.359671, 3.63179");
values("54.6858391, 54.4381691, 54.4179421, 54.1789011, 54.3420311, 55.3863411, 58.0039491", \
"54.8210990, 54.5874800, 54.8267920, 54.3379860, 54.4747650, 55.5235610, 58.2534300", \
"54.8286030, 54.6833520, 54.0905030, 54.1620810, 54.6721970, 55.5490720, 57.8735150", \
"54.7739320, 54.6600130, 54.8228960, 54.4129430, 54.5506380, 55.4882360, 58.3035700", \
"54.5796924, 54.4824544, 54.7469054, 54.2285634, 54.5907804, 55.3695774, 57.8525884", \
"54.7896240, 54.6528240, 54.8044420, 53.8692630, 54.7612930, 55.4760300, 57.7704030", \
"54.7754860, 54.6743620, 54.6359140, 54.3850830, 54.6244270, 55.5517330, 58.3301050");
}
}
internal_power () {
related_pin : "PAD";
when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
values("60.6451290, 60.5496920, 60.4110460, 60.6207530, 60.6501040, 61.6671730, 63.4378740", \
"59.3718500, 59.2707710, 59.1019890, 59.0648870, 59.1643350, 60.1694830, 62.8320330", \
"91.5667060, 91.4682220, 91.3895000, 91.4689990, 91.4532820, 92.2944430, 94.8861410", \
"100.6722100, 100.5572400, 100.3489400, 100.3044100, 100.4600400, 101.3843200, 103.9777100", \
"109.9361200, 109.8373800, 109.4048300, 109.3120700, 109.4725100, 110.4388000, 113.0901400", \
"118.7966400, 118.6975900, 118.5862000, 118.3587500, 118.6222400, 119.4430800, 122.1914200", \
"127.6448500, 127.5414400, 127.3677400, 127.2989900, 127.3995100, 128.3297800, 130.9789100");
}
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
values("77.4341440, 77.2523030, 77.1070170, 76.8188790, 76.9276470, 77.3134490, 79.4011960", \
"77.5958316, 77.4291526, 77.2017166, 76.9318626, 76.9810876, 77.6380616, 79.5044506", \
"92.0126890, 91.9030200, 91.6488410, 91.3797720, 91.3613420, 91.8978780, 93.9979900", \
"102.8026300, 102.8229900, 102.4973400, 102.2278000, 102.1825400, 102.7372100, 104.6688700", \
"113.3985600, 113.3995300, 113.1027200, 112.8389300, 112.9578200, 113.4830600, 115.4679500", \
"123.9322700, 123.8372500, 123.5594700, 123.3082200, 123.2544600, 123.8766600, 125.9188900", \
"134.1597400, 134.0396800, 133.8260600, 133.5139500, 133.5006100, 134.0816600, 136.1405800");
}
}
internal_power () {
related_pin : "PAD";
when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
values("77.5148300, 77.3568660, 76.9771760, 76.8715730, 76.8353730, 77.3152390, 79.4159620", \
"77.7219596, 77.6148166, 77.1893726, 77.1359916, 77.0064356, 77.6493446, 79.6242506", \
"92.0562650, 91.7972990, 91.8810790, 91.7343560, 91.3469990, 92.0110790, 94.0354880", \
"102.8545000, 102.7603800, 102.4996100, 102.2495200, 102.2166800, 102.7763600, 104.7292900", \
"113.4219200, 113.4815400, 113.1518300, 112.8881300, 112.8620500, 113.5081500, 115.5001300", \
"123.8643200, 123.8245900, 123.6143100, 123.3285800, 123.3162500, 123.9049600, 125.9574300", \
"134.1704400, 134.0771200, 133.8170100, 133.5548800, 133.4931600, 134.0675300, 136.1757500");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
values("60.6059800, 60.6934860, 60.6974620, 59.9295770, 60.2537200, 61.7052120, 64.0328570", \
"59.5751460, 59.5748130, 59.3709900, 59.2776680, 59.3618300, 60.2876450, 62.8385840", \
"91.8699140, 91.7593150, 91.5936290, 91.4957480, 91.6553990, 92.5781190, 95.1848040", \
"101.0335100, 100.8664500, 100.7291800, 100.5523200, 100.8147700, 101.7214600, 104.3055900", \
"109.9398500, 109.8354300, 109.7238700, 109.5925000, 109.7315600, 110.6478200, 113.3297000", \
"119.0445800, 118.9945200, 118.8429200, 118.6326600, 118.8182700, 119.7418300, 122.4275800", \
"127.9151400, 127.8313600, 127.6714400, 127.7084100, 127.6864200, 128.6078300, 131.2671800");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
values("224.1502800, 224.1731800, 224.1949300, 224.3354900, 224.5198800, 224.9301900, 226.2347300", \
"226.8084800, 226.8583200, 226.9010800, 226.9783300, 227.2069300, 227.6591000, 228.9494300", \
"229.0703100, 229.0703202, 229.1181600, 229.2402100, 229.4182900, 229.9200200, 231.1667900", \
"230.9667600, 230.9667740, 231.0377000, 231.1352200, 231.3106100, 231.8161400, 233.0549800", \
"232.6579000, 232.6792800, 232.7295200, 232.8269500, 233.0274100, 233.5083500, 234.7745200", \
"234.6039200, 234.6122400, 234.6744500, 234.7732100, 234.9926500, 235.4542400, 236.6752900", \
"236.2872500, 236.3155000, 236.3590100, 236.4568600, 236.6643100, 237.1377000, 238.4086300");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
values("0.1399213, 0.1577555, 0.2005724, 0.2893152, 0.5255733, 1.2123346, 3.1340905", \
"0.1385835, 0.1587916, 0.2005543, 0.2884097, 0.5281130, 1.2110011, 3.1315730", \
"0.1395537, 0.1611442, 0.1999500, 0.2899147, 0.5255709, 1.2111878, 3.1396374", \
"0.1387473, 0.1586876, 0.2001791, 0.2882936, 0.5245287, 1.2136383, 3.1446548", \
"0.1384183, 0.1616295, 0.1998642, 0.2896844, 0.5261064, 1.2109533, 3.1413461", \
"0.1386193, 0.1594318, 0.2000715, 0.2895945, 0.5249953, 1.2115712, 3.1343148", \
"0.1389881, 0.1613170, 0.2000034, 0.2893551, 0.5255176, 1.2119591, 3.1336165");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
values("207.3545700, 207.3613200, 207.4253300, 207.5320000, 207.7683000, 208.4108100, 210.1364200", \
"208.6914900, 208.7423500, 208.7664400, 208.8742700, 209.1538100, 209.7959000, 211.5316300", \
"211.0725700, 211.0725785, 211.1465700, 211.2553800, 211.4361300, 212.0783100, 213.8110300", \
"213.4648200, 213.5454500, 213.5956000, 213.6491000, 213.9579200, 214.5997800, 216.3311600", \
"215.9027400, 215.9264900, 215.9765300, 216.0854500, 216.3354700, 216.9772300, 218.7085600", \
"218.1091400, 218.1322700, 218.1832200, 218.2924700, 218.5419000, 219.1841700, 220.9193500", \
"220.0720400, 220.0955600, 220.1458600, 220.2546200, 220.5046900, 221.1462900, 222.8780000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
values("0.1206732, 0.1391373, 0.1843744, 0.2917686, 0.5805314, 1.4172287, 3.7194621", \
"0.1204288, 0.1380843, 0.1843192, 0.2923992, 0.5826523, 1.4242206, 3.7483256", \
"0.1170804, 0.1387369, 0.1870725, 0.2919986, 0.5822346, 1.4262074, 3.7438195", \
"0.1189847, 0.1378398, 0.1853198, 0.2913268, 0.5820980, 1.4230135, 3.7636338", \
"0.1176684, 0.1376665, 0.1853052, 0.2915392, 0.5819929, 1.4230763, 3.7630494", \
"0.1184329, 0.1388650, 0.1842577, 0.2923687, 0.5826286, 1.4242245, 3.7473553", \
"0.1181820, 0.1379532, 0.1852007, 0.2912960, 0.5815852, 1.4237235, 3.7626293");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
values("234.3320500, 234.3349500, 234.3349534, 234.4981500, 234.6248400, 235.1770400, 236.4230200", \
"237.1598000, 237.1823800, 237.2308100, 237.3284900, 237.5309800, 238.0175900, 239.2743600", \
"240.2008500, 240.2322500, 240.2823000, 240.3788000, 240.5728000, 241.0791900, 242.3173000", \
"243.2460900, 243.2690600, 243.3175200, 243.4149700, 243.6177900, 244.1333600, 245.3610100", \
"246.3446300, 246.3857200, 246.4237500, 246.5212100, 246.7236000, 247.2125100, 248.4665300", \
"249.5182500, 249.5182572, 249.5182724, 249.6037400, 249.8891500, 250.3475400, 251.5506600", \
"252.6056700, 252.6291200, 252.7185100, 252.8165600, 252.9774900, 253.4561100, 254.7656700");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
values("0.1386406, 0.1578997, 0.1999553, 0.2904796, 0.5260003, 1.2114810, 3.1310188", \
"0.1386861, 0.1612238, 0.2000613, 0.2893349, 0.5254756, 1.2095525, 3.1398759", \
"0.1392316, 0.1576253, 0.2013755, 0.2875316, 0.5258712, 1.2128673, 3.1291023", \
"0.1390716, 0.1614466, 0.2004994, 0.2893210, 0.5253991, 1.2101654, 3.1387872", \
"0.1387397, 0.1614619, 0.2019985, 0.2892731, 0.5262535, 1.2137265, 3.1380309", \
"0.1384423, 0.1611229, 0.1993294, 0.2904713, 0.5259138, 1.2121266, 3.1369241", \
"0.1384700, 0.1611027, 0.2000941, 0.2898382, 0.5260436, 1.2108398, 3.1414082");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
values("198.2655700, 198.2736700, 198.3274700, 198.4322200, 198.6903300, 199.3379500, 201.0539200", \
"199.2802200, 199.3525100, 199.4029600, 199.4828000, 199.7276200, 200.3723400, 202.1002400", \
"200.4395900, 200.5058500, 200.5227300, 200.6314500, 200.8839000, 201.5256100, 203.2618800", \
"201.5341800, 201.5626400, 201.6030600, 201.7286500, 201.9807500, 202.6028900, 204.3455100", \
"202.4452800, 202.4638700, 202.5184500, 202.6282600, 202.8784000, 203.5199700, 205.2541100", \
"203.1446900, 203.1654600, 203.2231000, 203.3271200, 203.5777000, 204.2190900, 205.9511500", \
"203.7669000, 203.7895000, 203.8423000, 203.9506600, 204.2017000, 204.8428200, 206.5672900");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
values("0.1206079, 0.1384993, 0.1833905, 0.2918911, 0.5825804, 1.4175278, 3.7196055", \
"0.1178863, 0.1379941, 0.1840588, 0.2910268, 0.5820390, 1.4269085, 3.7513650", \
"0.1170768, 0.1388577, 0.1871644, 0.2920019, 0.5825850, 1.4264833, 3.7265302", \
"0.1180637, 0.1378501, 0.1852921, 0.2925022, 0.5831602, 1.4270811, 3.7463423", \
"0.1184374, 0.1389447, 0.1842703, 0.2923696, 0.5826341, 1.4242323, 3.7521612", \
"0.1184292, 0.1379701, 0.1838559, 0.2904957, 0.5826182, 1.4235293, 3.7449177", \
"0.1184576, 0.1391922, 0.1850791, 0.2913154, 0.5822475, 1.4231246, 3.7482564");
}
}
timing () {
related_pin : "FILT_IN_H";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( INP_SEL_H )";
sdf_cond : " INP_SEL_H==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.0267108, 0.0713466, 0.190573, 0.509034, 1.35967, 3.63179");
values("220.9282000, 220.9510500, 220.9996500, 221.0968500, 221.2985200, 221.7777000, 223.0416000", \
"220.9398700, 220.9627300, 221.0117900, 221.1091900, 221.3113100, 221.7889100, 223.0536900", \
"220.9835100, 221.0059600, 221.0545600, 221.1521800, 221.3547200, 221.8326800, 223.0963400", \
"221.0101400, 221.0332200, 221.0818300, 221.1796500, 221.3818500, 221.8600100, 223.1243500", \
"221.0738000, 221.0967600, 221.1458000, 221.2429300, 221.4454000, 221.9230600, 223.1869900", \
"221.1167800, 221.1397600, 221.1887100, 221.2857500, 221.4883200, 221.9658900, 223.2300000", \
"221.1383900, 221.1617700, 221.2102600, 221.3077300, 221.5103900, 221.9882000, 223.2525800");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.0267108, 0.0713466, 0.190573, 0.509034, 1.35967, 3.63179");
values("0.1391140, 0.1613583, 0.2005032, 0.2877371, 0.5246075, 1.2084947, 3.1358285", \
"0.1426681, 0.1589536, 0.2017334, 0.2893394, 0.5261417, 1.2120133, 3.1358607", \
"0.1387573, 0.1612506, 0.2001040, 0.2892453, 0.5252738, 1.2117637, 3.1373661", \
"0.1385798, 0.1611390, 0.1999026, 0.2895328, 0.5254358, 1.2105574, 3.1389054", \
"0.1396508, 0.1601579, 0.2019587, 0.2889529, 0.5258930, 1.2125039, 3.1344682", \
"0.1396785, 0.1601044, 0.2019633, 0.2889850, 0.5259086, 1.2124819, 3.1346818", \
"0.1388224, 0.1609270, 0.1999394, 0.2885492, 0.5253003, 1.2126873, 3.1376060");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.0267108, 0.0713466, 0.190573, 0.509034, 1.35967, 3.63179");
values("195.9572000, 196.0276000, 196.0300600, 196.1866600, 196.4192800, 197.0311600, 198.7588700", \
"195.9784700, 196.0065000, 196.0493600, 196.1659700, 196.4160000, 197.0529600, 198.7841900", \
"196.0293800, 196.0524800, 196.1025600, 196.2124400, 196.4643400, 197.1058900, 198.8391300", \
"196.0803500, 196.1037000, 196.1561900, 196.2633300, 196.5132100, 197.1543400, 198.8883300", \
"196.1280700, 196.1531200, 196.2033000, 196.3134700, 196.5632200, 197.2047700, 198.9380100", \
"196.1826000, 196.2052100, 196.2560800, 196.3655900, 196.6153500, 197.2568800, 198.9902500", \
"196.2363400, 196.2589100, 196.3095400, 196.4187700, 196.6707000, 197.3121600, 199.0443600");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.0267108, 0.0713466, 0.190573, 0.509034, 1.35967, 3.63179");
values("0.1197754, 0.1390830, 0.1842853, 0.2909107, 0.5826153, 1.4234485, 3.7395371", \
"0.1178786, 0.1388681, 0.1841957, 0.2916233, 0.5816609, 1.4222870, 3.7494114", \
"0.1170754, 0.1380505, 0.1865997, 0.2918877, 0.5823433, 1.4232751, 3.7443240", \
"0.1188423, 0.1391990, 0.1841412, 0.2919451, 0.5819008, 1.4235279, 3.7494394", \
"0.1170553, 0.1388302, 0.1841869, 0.2922740, 0.5824136, 1.4232985, 3.7492174", \
"0.1170269, 0.1388326, 0.1870202, 0.2922765, 0.5824112, 1.4232999, 3.7433834", \
"0.1190982, 0.1380438, 0.1842356, 0.2919006, 0.5823491, 1.4232712, 3.7494585");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
values("222.2782000, 222.2782059, 222.3520300, 222.4327500, 222.6106800, 223.0892400, 224.3763500", \
"222.9004500, 222.9158400, 222.9585900, 223.0565700, 223.2579600, 223.7361500, 225.0171500", \
"223.1678900, 223.1913100, 223.2396800, 223.3376800, 223.5401300, 224.0188200, 225.2855800", \
"223.3363900, 223.3617100, 223.4104500, 223.5086400, 223.7108700, 224.1922600, 225.4593500", \
"223.4454300, 223.4644100, 223.5159500, 223.6133700, 223.8193100, 224.2829000, 225.5626900", \
"223.5113800, 223.5383200, 223.5833800, 223.6836800, 223.8822200, 224.3620300, 225.6288100", \
"223.5555700, 223.5637700, 223.6309900, 223.7240600, 223.9236900, 224.4059400, 225.6733800");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
values("0.1420737, 0.1588359, 0.2009439, 0.2894683, 0.5267055, 1.2137703, 3.1404332", \
"0.1429988, 0.1587989, 0.2001253, 0.2898944, 0.5259520, 1.2144374, 3.1405147", \
"0.1383460, 0.1610985, 0.1998292, 0.2898638, 0.5259359, 1.2113678, 3.1439924", \
"0.1421857, 0.1614881, 0.2002262, 0.2897664, 0.5262411, 1.2134840, 3.1435305", \
"0.1395641, 0.1614130, 0.2005312, 0.2879362, 0.5263298, 1.2111033, 3.1431209", \
"0.1390051, 0.1613691, 0.1992785, 0.2879335, 0.5252588, 1.2162804, 3.1426151", \
"0.1386178, 0.1597762, 0.1993217, 0.2893672, 0.5257145, 1.2139615, 3.1449134");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
values("196.9421700, 196.9607700, 197.0118000, 197.1050100, 197.3528000, 198.0018600, 199.7473800", \
"197.9004900, 197.9242800, 197.9817100, 198.0907200, 198.3405300, 198.9610200, 200.7133400", \
"198.7916400, 198.8157400, 198.8629900, 198.9605500, 199.2225000, 199.8671000, 201.5941900", \
"199.6335300, 199.6572100, 199.7087900, 199.8173800, 200.0669200, 200.7098500, 202.4468100", \
"200.4434900, 200.4671300, 200.5270100, 200.6358100, 200.8837600, 201.5235500, 203.2594600", \
"201.2651100, 201.2887300, 201.3380700, 201.4529500, 201.6967500, 202.3439000, 204.0781300", \
"202.0839100, 202.1075900, 202.1577200, 202.2701400, 202.5163600, 203.1618500, 204.8976600");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
values("0.1217083, 0.1382643, 0.1844416, 0.2925037, 0.5824314, 1.4226987, 3.7311327", \
"0.1170151, 0.1380573, 0.1852760, 0.2924025, 0.5828371, 1.4236382, 3.7501939", \
"0.1188411, 0.1392128, 0.1842743, 0.2905244, 0.5823279, 1.4251189, 3.7678718", \
"0.1184556, 0.1388574, 0.1842712, 0.2924025, 0.5828150, 1.4248994, 3.7500567", \
"0.1170003, 0.1380498, 0.1865375, 0.2909214, 0.5817063, 1.4241953, 3.7503467", \
"0.1169175, 0.1380327, 0.1841683, 0.2905226, 0.5825434, 1.4246044, 3.7474774", \
"0.1170485, 0.1380636, 0.1871412, 0.2924065, 0.5816624, 1.4248904, 3.7499328");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
values("222.2889700, 222.2994500, 222.3335100, 222.4689400, 222.6315400, 223.1112600, 224.3793200", \
"222.9069800, 222.9298100, 222.9952800, 223.0758300, 223.2787800, 223.7570700, 225.0295500", \
"223.1852700, 223.2090700, 223.2570200, 223.3552900, 223.5573500, 224.0361300, 225.3044900", \
"223.3657700, 223.3889800, 223.4380900, 223.5298400, 223.7314600, 224.2161400, 225.4804500", \
"223.4631000, 223.4769600, 223.5434000, 223.6410100, 223.8441000, 224.3039700, 225.5889200", \
"223.5400700, 223.5574600, 223.6060100, 223.7033700, 223.9065800, 224.3842800, 225.6517600", \
"223.5766300, 223.5918700, 223.6481300, 223.7420900, 223.9448000, 224.4368200, 225.6904700");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
values("0.1424960, 0.1592215, 0.2014128, 0.2895184, 0.5267071, 1.2134308, 3.1319589", \
"0.1393432, 0.1612405, 0.2007929, 0.2888694, 0.5258202, 1.2144310, 3.1429559", \
"0.1386037, 0.1590729, 0.1993185, 0.2909390, 0.5263219, 1.2120871, 3.1449554", \
"0.1425581, 0.1588907, 0.2017223, 0.2898256, 0.5262656, 1.2133932, 3.1436400", \
"0.1395730, 0.1616445, 0.2002360, 0.2893142, 0.5257204, 1.2113991, 3.1419041", \
"0.1396316, 0.1613079, 0.2005283, 0.2880519, 0.5256215, 1.2127777, 3.1429548", \
"0.1393662, 0.1614321, 0.1992973, 0.2910387, 0.5256186, 1.2144514, 3.1445242");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
values("196.9598700, 196.9873300, 196.9873429, 197.1707500, 197.4016500, 198.0509300, 199.7725100", \
"197.9415300, 197.9455200, 198.0199900, 198.1305100, 198.3736800, 199.0163400, 200.7538900", \
"198.8237100, 198.8438500, 198.8941300, 199.0032100, 199.2553400, 199.8972600, 201.6432200", \
"199.6676800, 199.6954400, 199.7465200, 199.8629000, 200.0997200, 200.7421500, 202.4841300", \
"200.4898400, 200.5120200, 200.5585900, 200.6672600, 200.9213200, 201.5658400, 203.2975900", \
"201.3047900, 201.3244800, 201.3749200, 201.4889300, 201.7387100, 202.3816100, 204.1074700", \
"202.1215700, 202.1471800, 202.1976500, 202.3172400, 202.5555700, 203.1985700, 204.9464300");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
values("0.1211078, 0.1383069, 0.1844759, 0.2924586, 0.5826503, 1.4223284, 3.7376804", \
"0.1184557, 0.1408402, 0.1842636, 0.2924045, 0.5828279, 1.4248962, 3.7406878", \
"0.1189087, 0.1383658, 0.1863682, 0.2908939, 0.5814337, 1.4273076, 3.7596175", \
"0.1181141, 0.1386929, 0.1837929, 0.2917522, 0.5820175, 1.4245036, 3.7439611", \
"0.1192744, 0.1387283, 0.1842682, 0.2905320, 0.5817098, 1.4288228, 3.7507943", \
"0.1170660, 0.1379595, 0.1852031, 0.2901159, 0.5828023, 1.4248870, 3.7451051", \
"0.1170438, 0.1380542, 0.1860906, 0.2923846, 0.5828159, 1.4248903, 3.7577594");
}
}
}
pin (PAD) {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
is_pad : true;
rise_capacitance : 0.858168;
capacitance : 0.861570;
fall_capacitance : 0.864971;
max_transition : 25.0;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-9.8825340, -5.7621256, -1.6417170, -0.1779737, 1.3034051, 2.7671484, 4.2308917");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("14.7708220, 16.6091370, 18.4474520, 20.0321290, 21.6358990, 23.2205760, 24.8052530");
}
}
}
pin ("PULLUP_H") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.406307;
capacitance : 0.380188;
fall_capacitance : 0.354068;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.1723455, 3.5851721, 7.3426898, 11.0936700, 14.8898420, 18.6408220, 22.3918020");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.1726810, -3.5799884, -7.3326579, -11.0785400, -14.8695520, -18.6154340, -22.3613160");
}
}
}
pin ("TIE_WEAK_HI_H") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.377539;
capacitance : 0.382088;
fall_capacitance : 0.386638;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.9546003, 2.7811740, 6.5169484, 10.2485450, 14.0251000, 17.7566960, 21.4882920");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.9544745, -2.7812914, -6.5170573, -10.2487060, -14.0253150, -17.7569640, -21.4886130");
}
}
}
pin ("TIE_LO_ESD") {
function : "0";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("TIE_HI_ESD") {
function : "1";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("PAD_A_ESD_H") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 5.562539;
capacitance : 6.564222;
fall_capacitance : 7.565906;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0591892, -0.0587280, -0.0582667, -0.0580631, -0.0578570, -0.0576534, -0.0574497");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0592291, 0.0587959, 0.0583628, 0.0582878, 0.0582120, 0.0581370, 0.0580621");
}
}
}
pin ("AMUXBUS_A") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.050036;
capacitance : 0.050036;
fall_capacitance : 0.050036;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0306493, -0.0301305, -0.0296117, -0.0295143, -0.0294158, -0.0293184, -0.0292211");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0306585, 0.0301472, 0.0296360, 0.0296237, 0.0296114, 0.0295992, 0.0295870");
}
}
}
pin ("AMUXBUS_B") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.046012;
capacitance : 0.046017;
fall_capacitance : 0.046022;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0502204, -0.0494610, -0.0487016, -0.0485724, -0.0484417, -0.0483126, -0.0481834");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0501576, 0.0494857, 0.0488138, 0.0487681, 0.0487219, 0.0486762, 0.0486306");
}
}
}
}
default_operating_conditions : "ss_ff_1p40v_x_5p50v_100C";
}