blob: 2cd051558b29f4204d29d0a70cd498003857a655 [file] [log] [blame]
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
library ("sky130_fd_io__top_gpiov2_ff_ss_n40C_1v95_1v65") {
define(three_state_pullup_res,library,string);
define(three_state_pulldn_res,library,string);
define(zstate_leak_threshold_pct,library,string);
define(clk_width,library,string);
define(driver_model,library,string);
define(def_sim_opt,library,string);
define(simulator,library,string);
define(signal_voltage_type,pin,string);
technology("cmos");
delay_model : "table_lookup";
revision : "1.0";
date : "localtime";
default_leakage_power_density : 0.000000;
default_cell_leakage_power : 0.000000;
default_fanout_load : 0.000000;
default_inout_pin_cap : 0.000000;
default_input_pin_cap : 0.000000;
default_output_pin_cap : 0.000000;
default_max_transition : 1.500000;
bus_naming_style : "%s[%d]";
in_place_swap_mode : "match_footprint";
library_features("report_delay_calculation");
voltage_unit : "1V";
current_unit : "1mA";
leakage_power_unit : "1nW";
pulling_resistance_unit : "1kohm";
time_unit : "1ns";
resistance_unit : "1ohm";
capacitive_load_unit(1.000000, \
"pf");
input_threshold_pct_rise : 50.000000;
input_threshold_pct_fall : 50.000000;
output_threshold_pct_rise : 50.000000;
output_threshold_pct_fall : 50.000000;
slew_lower_threshold_pct_fall : 20.000000;
slew_lower_threshold_pct_rise : 20.000000;
slew_upper_threshold_pct_fall : 80.000000;
slew_upper_threshold_pct_rise : 80.000000;
slew_derate_from_library : 1.000000;
three_state_pullup_res : "1";
three_state_pulldn_res : "1";
zstate_leak_threshold_pct : "0.1";
clk_width : "1.00E-05";
nom_process : 1.000000;
nom_temperature : -40.000000;
nom_voltage : 1.950000;
driver_model : "snps_predriver";
def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
voltage_map("VDDA",1.650000);
voltage_map("VSS",0.000000);
voltage_map("VCCD",1.950000);
voltage_map("VCCHIB",1.950000);
voltage_map("VDDIO",1.650000);
voltage_map("VDDIO_Q",1.650000);
voltage_map("VSSA",0.000000);
voltage_map("VSSD",0.000000);
voltage_map("VSSIO",0.000000);
voltage_map("VSSIO_Q",0.000000);
voltage_map("VSWITCH",1.650000);
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
}
output_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vol : 0.165;
voh : 1.485;
vomax : 1.732;
vomin : -0.083;
}
output_voltage (GENERAL_CORE_VOLTAGE) {
vol : 0.195;
voh : 1.755;
vomax : 2.047;
vomin : -0.098;
}
input_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vil : 0.412;
vih : 1.237;
vimax : 1.732;
vimin : -0.083;
}
input_voltage (GENERAL_CORE_VOLTAGE) {
vil : 0.585;
vih : 1.365;
vimax : 2.047;
vimin : -0.098;
}
operating_conditions ("ff_ss_1p95v_x_1p65v_n40C") {
process : 1.000000;
temperature : -40.000000;
voltage : 1.950000;
tree_type : "balanced_tree";
}
lu_table_template ("vio_7_7_1") {
variable_1 : "related_pin_transition";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "constrained_pin_transition";
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_7_7") {
variable_1 : "input_net_transition";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_12_7") {
variable_1 : "input_net_transition";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
type (sky130_fd_io__top_gpiov2_dm_bus) {
base_type : array;
data_type : bit;
bit_width : 3 ;
bit_from : 2;
bit_to : 0;
downto : true;
}
cell ("sky130_fd_io__top_gpiov2") {
is_macro_cell : true
dont_use : true;
interface_timing : true;
pad_cell : true;
dont_touch : true;
area : 15000.000000;
cell_leakage_power : 2.839880e+00;
leakage_power (lkgGroup1) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.8239800";
}
leakage_power (lkgGroup2) {
when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.3931800";
}
leakage_power (lkgGroup3) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.7261200";
}
leakage_power (lkgGroup5) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.8361300";
}
leakage_power (lkgGroup10) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.6435200";
}
leakage_power (lkgGroup11) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.7251900";
}
leakage_power (lkgGroup9) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.8194800";
}
leakage_power (lkgGroup13) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.7298700";
}
leakage_power (lkgGroup6) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.7094600";
}
leakage_power (lkgGroup8) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.7139700";
}
leakage_power (lkgGroup15) {
when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.6404400";
}
leakage_power (lkgGroup12) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.7092300";
}
leakage_power (lkgGroup7) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.7094700";
}
leakage_power (lkgGroup14) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.6936800";
}
leakage_power (lkgGroup4) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.8194700";
}
leakage_power (lkgGroup16) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.8036900";
}
leakage_power (lkgGroup18) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.8192400";
}
leakage_power (lkgGroup17) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.8398800";
}
bus(DM) {
bus_type : sky130_fd_io__top_gpiov2_dm_bus;
direction : input;
related_power_pin : VCCD;
related_ground_pin : VSSD;
pin ("DM[2]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.006738;
capacitance : 0.006584;
fall_capacitance : 0.006430;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.3538127, 4.2773356, 4.2519022, 4.2361388, 4.2320714, 4.2396667, 4.2443176", \
"4.8114679, 4.7364568, 4.7183193, 4.6907507, 4.6818658, 4.6872584, 4.6851197", \
"5.2684717, 5.1904053, 5.1652325, 5.1462584, 5.1418428, 5.1497489, 5.1590868", \
"5.6835024, 5.6054453, 5.5937990, 5.6113947, 5.5575867, 5.5693559, 5.5525769", \
"6.1306869, 6.0526840, 6.0274437, 6.0069459, 6.0032448, 6.0003534, 6.0043391", \
"6.5104733, 6.4360848, 6.4000994, 6.3918087, 6.3799799, 6.3764219, 6.3999471", \
"6.8487014, 6.7706985, 6.7548623, 6.7249329, 6.7378168, 6.7411017, 6.7273731");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.0578130, 3.9427024, 3.8170265, 3.7431922, 3.7296968, 3.6589041, 3.5713368", \
"4.5154678, 4.3995117, 4.2907653, 4.1916921, 4.1888802, 4.0555246, 4.0244144", \
"4.9694203, 4.8364719, 4.7210047, 4.6822655, 4.6397751, 4.5599851, 4.4783664", \
"5.3859768, 5.2940237, 5.2449239, 5.0713560, 5.0570613, 4.9641796, 4.9452769", \
"5.8316354, 5.6784002, 5.5938687, 5.5093852, 5.4744492, 5.4109138, 5.3508125", \
"6.2150363, 6.1039914, 5.9614019, 5.8723868, 5.8680494, 5.8369272, 5.7341010", \
"6.5496500, 6.4337631, 6.3027604, 6.2396353, 6.2058512, 6.1507411, 6.0708032");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.8050738, -3.6802005, -3.6331790, -3.6355620, -3.6516918, -3.6473550, -3.6115874", \
"-4.2657808, -4.1454841, -4.1030296, -4.0924226, -4.1078227, -4.1125594, -4.0722942", \
"-4.7182069, -4.5974795, -4.5483663, -4.5409362, -4.5648265, -4.5536066, -4.5216686", \
"-5.1362893, -5.0156201, -4.9702919, -4.9576234, -4.9864350, -4.9800161, -4.9382251", \
"-5.5819484, -5.4612210, -5.4195592, -5.4046778, -5.4259599, -5.4088904, -5.3976172", \
"-5.9668752, -5.8415732, -5.8008777, -5.7881515, -5.8134943, -5.7953431, -5.7871216", \
"-6.3080343, -6.1784237, -6.1468166, -6.1339411, -6.1447218, -6.1548128, -6.1252289");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.8401901, -3.7316694, -3.6513099, -3.5835525, -3.5303724, -3.4107636, -3.3781280", \
"-4.3008967, -4.1969532, -4.1135497, -4.0493743, -3.9865015, -3.9325056, -3.8646550", \
"-4.7533232, -4.6628929, -4.4919941, -4.5172103, -4.4237007, -4.3528884, -4.2620647", \
"-5.1714057, -5.0613453, -4.9748696, -4.9025612, -4.8631176, -4.7648673, -4.7350943", \
"-5.6170643, -5.5070044, -5.3411828, -5.3451685, -5.3046191, -5.2311881, -5.1378339", \
"-6.0019911, -5.9118348, -5.8587603, -5.7484717, -5.6906477, -5.5832896, -5.5384255", \
"-6.3446761, -6.2346157, -6.1207210, -6.0928340, -6.0104443, -5.9808622, -5.8475186");
}
}
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0540690, 0.0534526, 0.0528361, 0.0533390, 0.0538218, 0.0543248, 0.0548277");
}
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0426428, 0.0419616, 0.0412803, 0.0415144, 0.0417391, 0.0419731, 0.0422072");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("DM[1]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.005789;
capacitance : 0.005923;
rise_capacitance : 0.006058;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.8966556, -3.8123675, -3.7352070, -3.7590288, -3.7246328, -3.7205170, -3.7260281", \
"-4.3573622, -4.2746000, -4.1898100, -4.2257586, -4.1805476, -4.1949113, -4.1852092", \
"-4.8195383, -4.7306725, -4.6593868, -4.6757027, -4.6259376, -4.6282242, -4.6260224", \
"-5.2783029, -5.1909629, -5.1288326, -5.1377686, -5.0984352, -5.1158559, -5.0786839", \
"-5.6547688, -5.5739264, -5.4933198, -5.5333908, -5.4749216, -5.4725266, -5.4795636", \
"-6.0380573, -5.9552951, -5.8689793, -5.9034037, -5.8612428, -5.8649705, -5.8689562", \
"-6.3915444, -6.3087821, -6.2407770, -6.2540135, -6.2205736, -6.2169316, -6.2148137");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.8081757, -3.7047460, -3.5597784, -3.5532266, -3.4373293, -3.3078062, -3.3018772", \
"-4.2688828, -4.1700338, -4.0395391, -4.0126295, -3.8693703, -3.8411565, -3.8160796", \
"-4.7213089, -4.6214665, -4.4987660, -4.4556640, -4.3276668, -4.3060868, -4.2454282", \
"-5.1393913, -5.0380231, -4.8818107, -4.8844317, -4.8280186, -4.6732882, -4.6752373", \
"-5.5850504, -5.4836817, -5.3294295, -5.3178796, -5.2080648, -5.1741218, -5.1104847", \
"-5.9699771, -5.8706384, -5.7048137, -5.7028068, -5.5807476, -5.5295517, -5.5146183", \
"-6.3126621, -6.2062472, -6.0597147, -6.0470253, -5.9281586, -5.8801543, -5.8523415");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.3599451, 4.2863382, 4.2569386, 4.2284219, 4.2181044, 4.2082624, 4.2045765", \
"4.8176004, 4.7439930, 4.7080311, 4.6830604, 4.6574565, 4.6674014, 4.6714137", \
"5.2730782, 5.1995033, 5.1693847, 5.1353591, 5.1356091, 5.1228797, 5.1161837", \
"5.6896348, 5.6148915, 5.5875183, 5.5552348, 5.5447760, 5.5364205, 5.5419916", \
"6.1352934, 6.0616865, 6.0264698, 5.9990797, 5.9874708, 5.9805172, 5.9768855", \
"6.5217465, 6.4262140, 6.4177697, 6.3871711, 6.3777883, 6.3670063, 6.3612371", \
"6.8548339, 6.7781752, 6.7406874, 6.7172128, 6.7113291, 6.7084452, 6.7055688");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.0364794, 3.9076247, 3.7869821, 3.7005441, 3.6294403, 3.5505668, 3.5292785", \
"4.4942053, 4.3820852, 4.2525190, 4.2163009, 4.0869768, 4.0578916, 3.9812680", \
"4.9463907, 4.8358675, 4.6984006, 4.6321265, 4.5393301, 4.4671287, 4.4781963", \
"5.3644931, 5.2328122, 5.1206029, 5.0592138, 4.9945482, 4.9152014, 4.8718849", \
"5.8116314, 5.6995820, 5.5905740, 5.5141436, 5.4682155, 5.3610874, 5.2972078", \
"6.1823531, 6.0677994, 5.9485304, 5.8968184, 5.7846952, 5.7518903, 5.6751744", \
"6.5281889, 6.4000519, 6.3186410, 6.2572643, 6.1213570, 6.0483456, 6.0889239");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0519360, 0.0512645, 0.0505930, 0.0507764, 0.0509524, 0.0511357, 0.0513191");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0640137, 0.0632885, 0.0625632, 0.0631655, 0.0637437, 0.0643461, 0.0649484");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("DM[0]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.008861;
capacitance : 0.009015;
rise_capacitance : 0.009168;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.3767019, 4.3017499, 4.2747906, 4.2558875, 4.2531497, 4.2625262, 4.2595081", \
"4.8343572, 4.7594051, 4.7324454, 4.7137328, 4.7099576, 4.7101263, 4.7144735", \
"5.2898351, 5.2148835, 5.1866030, 5.1660976, 5.1632243, 5.1726102, 5.1803675", \
"5.7063916, 5.6310119, 5.6014280, 5.5872301, 5.5819925, 5.5906891, 5.5754648", \
"6.1535761, 6.0770986, 6.0488159, 6.0298378, 6.0296548, 6.0247676, 6.0313543", \
"6.5385029, 6.4604995, 6.4304875, 6.4147232, 6.4127519, 6.4212744, 6.4075765", \
"6.8811879, 6.8031841, 6.7731725, 6.7557957, 6.7537369, 6.7639621, 6.7441579");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.0181234, 3.9183779, 3.8490676, 3.7446968, 3.6961616, 3.6420982, 3.5362205", \
"4.4756721, 4.3591431, 4.3081670, 4.1961424, 4.1537003, 4.0874400, 4.0380195", \
"4.9245992, 4.8065443, 4.7609954, 4.6252325, 4.5923144, 4.5562032, 4.4930502", \
"5.3348484, 5.2265364, 5.1318343, 5.0446370, 4.9960345, 4.9144349, 4.8849887", \
"5.7722030, 5.6541476, 5.5711083, 5.5064054, 5.4487200, 5.3687120, 5.3406535", \
"6.1733319, 6.0568024, 6.0058268, 5.9090606, 5.8498062, 5.8141432, 5.7204049", \
"6.5551993, 6.4587764, 6.3489203, 6.2866888, 6.2316555, 6.1791743, 6.0584451");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.8416960, -3.8033654, -3.7336811, -3.7330887, -3.7170935, -3.7242269, -3.7153575", \
"-4.3039289, -4.2640719, -4.1898100, -4.1939245, -4.1841039, -4.1827494, -4.1836834", \
"-4.7563550, -4.7165340, -4.6717085, -4.6455335, -4.6380590, -4.6321242, -4.6254287", \
"-5.1744375, -5.1361068, -5.0557413, -5.0743247, -5.0493123, -5.0584352, -5.0557178", \
"-5.6200961, -5.5649269, -5.5273397, -5.5229827, -5.5002746, -5.5119719, -5.4952729", \
"-6.0034974, -5.9651663, -5.8893785, -5.8934863, -5.8867268, -5.8731626, -5.8817260", \
"-6.3461820, -6.3078513, -6.2541067, -6.2475737, -6.2225413, -6.2299563, -6.2198328");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.8203540, -3.7020226, -3.5903728, -3.5209917, -3.4937512, -3.3955047, -3.3749767", \
"-4.2825865, -4.1655174, -4.0826970, -3.9786731, -3.9544578, -3.8363750, -3.8434977", \
"-4.7350130, -4.5944468, -4.4892549, -4.4158141, -4.3717893, -4.3177934, -4.2635812", \
"-5.1530955, -5.0142217, -4.9263400, -4.8583108, -4.8188633, -4.7480825, -4.6747212", \
"-5.5987545, -5.4566624, -5.3182949, -5.2978663, -5.2492634, -4.7234129, -5.1271149", \
"-5.9821554, -5.8432114, -5.7601650, -5.6614306, -5.6479232, -5.5818645, -5.5334761", \
"-6.3248399, -6.2008209, -6.0875169, -6.0300553, -5.9725315, -5.9564484, -5.8475186");
}
}
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0539399, 0.0532378, 0.0525357, 0.0531757, 0.0537901, 0.0544300, 0.0550700");
}
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0427132, 0.0420615, 0.0414099, 0.0415931, 0.0417691, 0.0419524, 0.0421357");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
}
pin ("PAD_A_NOESD_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pin ("PAD_A_ESD_1_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pin ("PAD_A_ESD_0_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pg_pin (VDDA) {
voltage_name : "VDDA";
pg_type : "primary_power";
}
pg_pin ("VDDIO_Q") {
voltage_name : "VDDIO_Q";
pg_type : "primary_power";
}
pg_pin (VSWITCH) {
voltage_name : "VSWITCH";
pg_type : "primary_power";
}
pg_pin (VDDIO) {
voltage_name : "VDDIO";
pg_type : "primary_power";
}
pg_pin (VCCD) {
voltage_name : "VCCD";
pg_type : "primary_power";
}
pg_pin (VCCHIB) {
voltage_name : "VCCHIB";
pg_type : "primary_power";
}
pg_pin (VSSD) {
voltage_name : "VSSD";
pg_type : "primary_ground";
}
pg_pin ("VSSIO_Q") {
voltage_name : "VSSIO_Q";
pg_type : "primary_ground";
}
pg_pin (VSSA) {
voltage_name : "VSSA";
pg_type : "primary_ground";
}
pg_pin (VSSIO) {
voltage_name : "VSSIO";
pg_type : "primary_ground";
}
pin ("ENABLE_VSWITCH_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VSWITCH";
related_ground_pin : "VSSA";
always_on : true;
rise_capacitance : 0.026213;
capacitance : 0.026307;
fall_capacitance : 0.026401;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0031052, -0.0033809, -0.0036566, -0.0037505, -0.0038407, -0.0039346, -0.0040286");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0251117, 0.0259016, 0.0266915, 0.0265538, 0.0264216, 0.0262839, 0.0261462");
}
}
}
pin ("ANALOG_EN") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.033353;
capacitance : 0.033659;
rise_capacitance : 0.033965;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1286520, 0.2005985, 0.2725450, 0.3191181, 0.3638283, 0.4104014, 0.4569746");
}
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1268428, 0.2069508, 0.2870589, 0.2912912, 0.2953542, 0.2995866, 0.3038189");
}
}
}
pin ("ENABLE_VDDIO") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : true;
fall_capacitance : 0.051376;
capacitance : 0.051909;
rise_capacitance : 0.052441;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0197277, -0.0199369, -0.0201462, -0.0201422, -0.0201384, -0.0201344, -0.0201304");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0201948, 0.0201180, 0.0200411, 0.0200702, 0.0200981, 0.0201272, 0.0201562");
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_H";
timing_type : non_seq_hold_falling;
fall_constraint (scalar) {
values ("-100.0");
}
}
}
pin ("ANALOG_SEL") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.021275;
capacitance : 0.021220;
fall_capacitance : 0.021166;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0297947, 0.0309193, 0.0320438, 0.0345902, 0.0370348, 0.0395812, 0.0421275");
}
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0254148, 0.0261497, 0.0268847, 0.0294092, 0.0318327, 0.0343572, 0.0368817");
}
}
}
pin (OUT) {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.037513;
capacitance : 0.037005;
fall_capacitance : 0.036496;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.8600054, -3.8076837, -3.7748797, -3.7712355, -3.7760388, -3.7723968, -3.7763825", \
"-4.3222379, -4.2683907, -4.2371122, -4.2426238, -4.2397976, -4.2346293, -4.2370891", \
"-4.7609314, -4.7055219, -4.6956423, -4.6843691, -4.6906978, -4.6870558, -4.6574719", \
"-5.1912210, -5.1388993, -5.1046178, -5.1024515, -5.1072544, -5.1036124, -5.1091240", \
"-5.6338282, -5.5707898, -5.5548061, -5.5603173, -5.5529134, -5.5492714, -5.5486790", \
"-6.0187550, -5.9664333, -5.9321798, -5.9299851, -5.9408920, -5.9357241, -5.9275022", \
"-6.3568619, -6.3045406, -6.2854692, -6.2757219, -6.2713698, -6.2738314, -6.2762908");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-2.6103099, -2.5257771, -2.4916155, -2.4147293, -2.3554456, -2.3197601, -2.2736699", \
"-3.0633875, -2.9803803, -2.9355375, -2.8662809, -2.8237818, -2.7713116, -2.7112101", \
"-4.8036554, -3.4373843, -3.3955933, -3.3217588, -3.2762081, -3.2389968, -3.1871862", \
"-3.9323404, -3.8508594, -3.7922840, -3.7413376, -4.8707133, -4.8112751, -4.7890991", \
"-4.3764776, -4.2980479, -4.2382020, -4.1686896, -4.0941474, -4.0950827, -4.0349814", \
"-4.7690254, -4.7179711, -4.6488051, -4.5795480, -4.5217902, -4.4967858, -4.3914127", \
"-5.1071318, -5.0546427, -4.9777562, -4.9191808, -4.8568453, -4.8440480, -4.7839463");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.2134316, 4.1290667, 4.1176247, 4.1002480, 4.0928441, 4.0937798, 4.0995379", \
"4.6802422, 4.5928259, 4.5783322, 4.5609550, 4.5535511, 4.5575386, 4.5630502", \
"5.0914684, 5.0269400, 5.0216016, 5.0103280, 4.9998728, 5.0084544, 5.0093714", \
"5.5538794, 5.4878251, 5.4856016, 5.4681617, 5.4760168, 5.4662713, 5.4702565", \
"5.9715440, 5.8919858, 5.8773971, 5.8629380, 5.8524823, 5.8549439, 5.8574037", \
"6.3578843, 6.2704680, 6.2575001, 6.2401234, 6.2327195, 6.2367065, 6.2406922", \
"6.7067936, 6.6193773, 6.6048836, 6.5875064, 6.5801025, 6.5840900, 6.5880752");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("3.9555575, 3.8328778, 3.7315775, 3.6836989, 3.6137180, 3.5276786, 3.4859052", \
"4.4193216, 4.2935898, 4.1983930, 4.1321882, 4.0729045, 3.9953874, 3.9393611", \
"4.8579960, 4.7490494, 4.6361464, 4.5788034, 4.5076057, 4.4539131, 4.3974933", \
"5.2867615, 5.1625555, 5.0612552, 5.0042058, 4.9418703, 4.8674218, 4.8081151", \
"5.7319747, 5.5994501, 5.5023331, 5.4483349, 5.3718246, 5.3297125, 5.2581480", \
"6.1158143, 5.9864782, 5.8887822, 5.8266021, 5.7520600, 5.6948675, 5.6385148", \
"6.4493409, 6.3251349, 6.2268868, 6.1602512, 6.0922425, 6.0367207, 5.9888266");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1848558, 0.1836698, 0.1824838, 0.1855398, 0.1884736, 0.1915297, 0.1945858");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.2171126, 0.2162310, 0.2153494, 0.2189722, 0.2224500, 0.2260727, 0.2296955");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("ENABLE_INP_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
fall_capacitance : 0.009489;
capacitance : 0.009740;
rise_capacitance : 0.009991;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0034472, 0.0177970, 0.0390412, 0.1384457, 0.2338740, 0.3332785, 0.4326830");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0352183, 0.0508381, 0.0664579, 0.1405230, 0.2116254, 0.2856904, 0.3597554");
}
}
}
pin ("VTRIP_SEL") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.006706;
capacitance : 0.006861;
rise_capacitance : 0.007016;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.4682536, 4.3963538, 4.3746804, 4.3536701, 4.3514932, 4.3571434, 4.3449575", \
"4.9259088, 4.8515674, 4.8323352, 4.8097951, 4.8091485, 4.8132798, 4.7934575", \
"5.3844385, 5.3110128, 5.2862877, 5.2636822, 5.2707299, 5.2657041, 5.2596166", \
"5.7948915, 5.7245176, 5.7287839, 5.6909893, 5.6811820, 5.7196184, 5.7127941", \
"6.2339963, 6.1605705, 6.1465768, 6.1070791, 6.1164600, 6.1213677, 6.1152774", \
"6.6081294, 6.5551034, 6.5115045, 6.5057522, 6.4898432, 6.4939741, 6.5006549", \
"6.9646682, 6.8977884, 6.8761146, 6.8470294, 6.8529274, 6.8585815, 6.8367945");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.0227180, 3.9129072, 3.7788797, 3.7203041, 3.6961235, 3.6558526, 3.5698109", \
"4.4803728, 4.3788598, 4.2488296, 4.1627002, 4.1553110, 4.0555664, 4.0228885", \
"4.9358511, 4.8059550, 4.6981164, 4.6227562, 4.6062006, 4.5079503, 4.4523303", \
"5.3524072, 5.2436338, 5.1357734, 5.0469724, 4.9987359, 4.9641796, 4.8796641", \
"5.8011176, 5.6696961, 5.5889354, 5.4956731, 5.4398382, 5.4098382, 5.3386054", \
"6.1814672, 6.0741090, 5.9376293, 5.8714241, 5.8533407, 5.7947654, 5.7129717", \
"6.5241517, 6.4168753, 6.2803139, 6.2312353, 6.1619370, 6.1572865, 6.0361501");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.9820757, -3.9330678, -3.8834204, -3.8911467, -3.8714180, -3.8701248, -3.8679350", \
"-4.4427828, -4.3953003, -4.3456525, -4.3419297, -4.3321246, -4.3392838, -4.3303785", \
"-4.8967348, -4.8492496, -4.7894286, -4.7928330, -4.7853265, -4.7917423, -4.7780164", \
"-5.3148172, -5.2654536, -5.2251139, -5.2132087, -5.2026327, -5.1951654, -5.2006765", \
"-5.7604763, -5.7129911, -5.6532127, -5.6580946, -5.6487634, -5.6347204, -5.6387061", \
"-6.1438771, -6.0975031, -6.0467433, -6.0430307, -6.0317029, -6.0404101, -6.0266847", \
"-6.4865621, -6.4388985, -6.3894340, -6.3826612, -6.3759049, -6.3653840, -6.3647920");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.8234053, -2.9188573, -2.9286968, -2.7979283, -2.9016793, -3.3939788, -3.3766021", \
"-3.6157770, -4.1742189, -4.0114034, -4.0275540, -3.9682210, -3.2236022, -3.8480083", \
"-4.0712551, -3.7994525, -3.8686158, -3.6482972, -3.7777738, -3.6791447, -3.6656318", \
"-5.1561468, -5.0354331, -4.8731612, -4.8781470, -4.8402257, -4.7454518, -3.9086309", \
"-5.6002800, -4.6799788, -4.7325501, -4.6846342, -4.4618253, -4.5134591, -4.4020194", \
"-5.9852067, -5.8881413, -5.7771225, -5.6843190, -4.8254909, -5.5818947, -4.7728419", \
"-5.6595566, -6.2148910, -6.1377154, -6.0529437, -6.0012894, -5.9503431, -5.8475191");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0426916, 0.0420072, 0.0413229, 0.0415570, 0.0417818, 0.0420160, 0.0422501");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0539625, 0.0533799, 0.0527974, 0.0532987, 0.0537799, 0.0542811, 0.0547824");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("ANALOG_POL") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.019009;
capacitance : 0.019065;
rise_capacitance : 0.019122;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1065826, 0.1071653, 0.1077480, 0.1102704, 0.1126919, 0.1152142, 0.1177366");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1075568, 0.1083965, 0.1092361, 0.1118367, 0.1143332, 0.1169338, 0.1195343");
}
}
}
pin ("IB_MODE_SEL") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.005346;
capacitance : 0.005485;
rise_capacitance : 0.005625;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.9805632, -3.9086207, -3.8765859, -3.8294210, -3.8264989, -3.8297096, -3.8282623", \
"-4.4412702, -4.3693273, -4.3372925, -4.3114807, -4.2931700, -4.2937033, -4.2904948", \
"-4.8952222, -4.8324893, -4.7773240, -4.7539534, -4.7411588, -4.7476607, -4.7231385", \
"-5.3117788, -5.2523658, -5.2078006, -5.1606383, -5.1592412, -5.1616300, -5.1594779", \
"-5.7574378, -5.6962308, -5.6441800, -5.6344842, -5.6049003, -5.6088158, -5.6127660", \
"-6.1423646, -6.0719582, -6.0360967, -5.9912241, -5.9913565, -5.9907210, -5.9976927", \
"-6.4850496, -6.4255660, -6.3810714, -6.3369529, -6.3325089, -6.3318148, -6.3281706");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.0375466, -3.0519948, -3.5856078, -2.9591364, -3.4830702, -3.3289799, -3.3535883", \
"-4.2856072, -4.1286745, -4.0771113, -4.0503358, -3.9468288, -3.8199521, -3.7831415", \
"-3.9405929, -3.9718257, -3.7670850, -3.9111787, -3.8889205, -4.2807919, -4.2247509", \
"-5.2034956, -5.0409416, -4.9933033, -4.9440120, -4.8326740, -4.7913435, -4.7722645", \
"-5.5814875, -4.8085817, -5.3882864, -5.3330253, -5.2431434, -5.1592519, -5.1293451", \
"-5.9663019, -5.8198563, -5.7590139, -5.6915470, -5.5771696, -5.4745330, -5.5030147", \
"-5.5324356, -6.1841694, -5.7158746, -6.0631826, -6.0266074, -5.8642195, -5.8024756");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.4621594, 4.3886795, 4.3563245, 4.3337638, 4.3272784, 4.3270754, 4.3113769", \
"4.9160017, 4.8470999, 4.8147444, 4.7906690, 4.7857019, 4.7854954, 4.7774263", \
"5.3723921, 5.3004386, 5.2644798, 5.2553280, 5.2344713, 5.2329832, 5.2292391", \
"5.7782617, 5.7078340, 5.6755030, 5.6540264, 5.6449137, 5.6462322, 5.6467491", \
"6.2204498, 6.1430224, 6.1140411, 6.0905834, 6.0830996, 6.0853618, 6.0666155", \
"6.6160644, 6.5467878, 6.5144328, 6.4812056, 6.4701314, 6.4714539, 6.4740624", \
"6.9522457, 6.8787658, 6.8506998, 6.8357997, 6.8201434, 6.8156328, 6.8167217");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.0440494, 3.9111468, 3.8063906, 3.7619048, 3.6655981, 3.5150616, 3.5682850", \
"4.5017042, 4.3741471, 4.2685782, 4.1893647, 4.1232531, 4.0710731, 4.0320439", \
"4.9556566, 4.8297236, 4.7149700, 4.6610594, 4.5741449, 4.4988105, 4.4377851", \
"5.3767904, 5.2582035, 5.1758480, 5.1233100, 4.9927349, 4.9245068, 4.8796641", \
"5.8178718, 5.6919387, 5.5923753, 5.5200669, 5.4191334, 5.3762690, 5.3491161", \
"6.2027985, 6.0786392, 5.9651402, 5.8679091, 5.8243475, 5.7657735, 5.7112420", \
"6.5358863, 6.4219135, 6.3108317, 6.2492041, 6.1624921, 6.0794665, 6.0143457");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0509372, 0.0501723, 0.0494073, 0.0496483, 0.0498796, 0.0501205, 0.0503615");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0611866, 0.0603654, 0.0595441, 0.0600483, 0.0605323, 0.0610364, 0.0615406");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("HLD_H_N") {
min_pulse_width_high : 15.5 ;
min_pulse_width_low : 15.5 ;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
rise_capacitance : 0.004805;
capacitance : 0.004632;
fall_capacitance : 0.004458;
max_transition : 3.750000;
timing() { /* As per CDT 150283 */
related_pin : "ENABLE_H";
timing_type : non_seq_setup_rising;
fall_constraint (scalar) {
values ("2");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0074599, -0.0073864, -0.0073129, -0.0073198, -0.0073264, -0.0073332, -0.0073401");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0074050, 0.0073771, 0.0073492, 0.0073538, 0.0073583, 0.0073629, 0.0073675");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[2] group */
timing () {
related_pin : "DM[2]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("4.3538127, 4.8114679, 5.2684717, 5.6835024, 6.1306869, 6.5104733, 6.8487014", \
"4.2773356, 4.7364568, 5.1904053, 5.6054453, 6.0526840, 6.4360848, 6.7706985", \
"4.2519022, 4.7183193, 5.1652325, 5.5937990, 6.0274437, 6.4000994, 6.7548623", \
"4.2361388, 4.6907507, 5.1462584, 5.6113947, 6.0069459, 6.3918087, 6.7249329", \
"4.2320714, 4.6818658, 5.1418428, 5.5575867, 6.0032448, 6.3799799, 6.7378168", \
"4.2396667, 4.6872584, 5.1497489, 5.5693559, 6.0003534, 6.3764219, 6.7411017", \
"4.2443176, 4.6851197, 5.1590868, 5.5525769, 6.0043391, 6.3999471, 6.7273731");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[2] group */
timing () {
related_pin : "DM[2]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.8050738, -4.2657808, -4.7182069, -5.1362893, -5.5819484, -5.9668752, -6.3080343", \
"-3.6802005, -4.1454841, -4.5974795, -5.0156201, -5.4612210, -5.8415732, -6.1784237", \
"-3.6331790, -4.1030296, -4.5483663, -4.9702919, -5.4195592, -5.8008777, -6.1468166", \
"-3.6355620, -4.0924226, -4.5409362, -4.9576234, -5.4046778, -5.7881515, -6.1339411", \
"-3.6516918, -4.1078227, -4.5648265, -4.9864350, -5.4259599, -5.8134943, -6.1447218", \
"-3.6473550, -4.1125594, -4.5536066, -4.9800161, -5.4088904, -5.7953431, -6.1548128", \
"-3.6115874, -4.0722942, -4.5216686, -4.9382251, -5.3976172, -5.7871216, -6.1252289");
}
}
/* Copied from non_seq_setup_falling arc in pin out group */
timing () {
related_pin : "OUT";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.8600054, -4.3222379, -4.7609314, -5.1912210, -5.6338282, -6.0187550, -6.3568619", \
"-3.8076837, -4.2683907, -4.7055219, -5.1388993, -5.5707898, -5.9664333, -6.3045406", \
"-3.7748797, -4.2371122, -4.6956423, -5.1046178, -5.5548061, -5.9321798, -6.2854692", \
"-3.7712355, -4.2426238, -4.6843691, -5.1024515, -5.5603173, -5.9299851, -6.2757219", \
"-3.7760388, -4.2397976, -4.6906978, -5.1072544, -5.5529134, -5.9408920, -6.2713698", \
"-3.7723968, -4.2346293, -4.6870558, -5.1036124, -5.5492714, -5.9357241, -6.2738314", \
"-3.7763825, -4.2370891, -4.6574719, -5.1091240, -5.5486790, -5.9275022, -6.2762908");
}
}
/* Copied from non_seq_hold_falling arc in pin out group */
timing () {
related_pin : "OUT";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("4.2134316, 4.6802422, 5.0914684, 5.5538794, 5.9715440, 6.3578843, 6.7067936", \
"4.1290667, 4.5928259, 5.0269400, 5.4878251, 5.8919858, 6.2704680, 6.6193773", \
"4.1176247, 4.5783322, 5.0216016, 5.4856016, 5.8773971, 6.2575001, 6.6048836", \
"4.1002480, 4.5609550, 5.0103280, 5.4681617, 5.8629380, 6.2401234, 6.5875064", \
"4.0928441, 4.5535511, 4.9998728, 5.4760168, 5.8524823, 6.2327195, 6.5801025", \
"4.0937798, 4.5575386, 5.0084544, 5.4662713, 5.8549439, 6.2367065, 6.5840900", \
"4.0995379, 4.5630502, 5.0093714, 5.4702565, 5.8574037, 6.2406922, 6.5880752");
}
}
/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
timing () {
related_pin : "VTRIP_SEL";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("4.4682536, 4.9259088, 5.3844385, 5.7948915, 6.2339963, 6.6081294, 6.9646682", \
"4.3963538, 4.8515674, 5.3110128, 5.7245176, 6.1605705, 6.5551034, 6.8977884", \
"4.3746804, 4.8323352, 5.2862877, 5.7287839, 6.1465768, 6.5115045, 6.8761146", \
"4.3536701, 4.8097951, 5.2636822, 5.6909893, 6.1070791, 6.5057522, 6.8470294", \
"4.3514932, 4.8091485, 5.2707299, 5.6811820, 6.1164600, 6.4898432, 6.8529274", \
"4.3571434, 4.8132798, 5.2657041, 5.7196184, 6.1213677, 6.4939741, 6.8585815", \
"4.3449575, 4.7934575, 5.2596166, 5.7127941, 6.1152774, 6.5006549, 6.8367945");
}
}
/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
timing () {
related_pin : "VTRIP_SEL";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.9820757, -4.4427828, -4.8967348, -5.3148172, -5.7604763, -6.1438771, -6.4865621", \
"-3.9330678, -4.3953003, -4.8492496, -5.2654536, -5.7129911, -6.0975031, -6.4388985", \
"-3.8834204, -4.3456525, -4.7894286, -5.2251139, -5.6532127, -6.0467433, -6.3894340", \
"-3.8911467, -4.3419297, -4.7928330, -5.2132087, -5.6580946, -6.0430307, -6.3826612", \
"-3.8714180, -4.3321246, -4.7853265, -5.2026327, -5.6487634, -6.0317029, -6.3759049", \
"-3.8701248, -4.3392838, -4.7917423, -5.1951654, -5.6347204, -6.0404101, -6.3653840", \
"-3.8679350, -4.3303785, -4.7780164, -5.2006765, -5.6387061, -6.0266847, -6.3647920");
}
}
/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
timing () {
related_pin : "IB_MODE_SEL";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.9805632, -4.4412702, -4.8952222, -5.3117788, -5.7574378, -6.1423646, -6.4850496", \
"-3.9086207, -4.3693273, -4.8324893, -5.2523658, -5.6962308, -6.0719582, -6.4255660", \
"-3.8765859, -4.3372925, -4.7773240, -5.2078006, -5.6441800, -6.0360967, -6.3810714", \
"-3.8294210, -4.3114807, -4.7539534, -5.1606383, -5.6344842, -5.9912241, -6.3369529", \
"-3.8264989, -4.2931700, -4.7411588, -5.1592412, -5.6049003, -5.9913565, -6.3325089", \
"-3.8297096, -4.2937033, -4.7476607, -5.1616300, -5.6088158, -5.9907210, -6.3318148", \
"-3.8282623, -4.2904948, -4.7231385, -5.1594779, -5.6127660, -5.9976927, -6.3281706");
}
}
/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
timing () {
related_pin : "IB_MODE_SEL";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("4.4621594, 4.9160017, 5.3723921, 5.7782617, 6.2204498, 6.6160644, 6.9522457", \
"4.3886795, 4.8470999, 5.3004386, 5.7078340, 6.1430224, 6.5467878, 6.8787658", \
"4.3563245, 4.8147444, 5.2644798, 5.6755030, 6.1140411, 6.5144328, 6.8506998", \
"4.3337638, 4.7906690, 5.2553280, 5.6540264, 6.0905834, 6.4812056, 6.8357997", \
"4.3272784, 4.7857019, 5.2344713, 5.6449137, 6.0830996, 6.4701314, 6.8201434", \
"4.3270754, 4.7854954, 5.2329832, 5.6462322, 6.0853618, 6.4714539, 6.8156328", \
"4.3113769, 4.7774263, 5.2292391, 5.6467491, 6.0666155, 6.4740624, 6.8167217");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[1] group */
timing () {
related_pin : "DM[1]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.8966556, -4.3573622, -4.8195383, -5.2783029, -5.6547688, -6.0380573, -6.3915444", \
"-3.8123675, -4.2746000, -4.7306725, -5.1909629, -5.5739264, -5.9552951, -6.3087821", \
"-3.7352070, -4.1898100, -4.6593868, -5.1288326, -5.4933198, -5.8689793, -6.2407770", \
"-3.7590288, -4.2257586, -4.6757027, -5.1377686, -5.5333908, -5.9034037, -6.2540135", \
"-3.7246328, -4.1805476, -4.6259376, -5.0984352, -5.4749216, -5.8612428, -6.2205736", \
"-3.7205170, -4.1949113, -4.6282242, -5.1158559, -5.4725266, -5.8649705, -6.2169316", \
"-3.7260281, -4.1852092, -4.6260224, -5.0786839, -5.4795636, -5.8689562, -6.2148137");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[1] group */
timing () {
related_pin : "DM[1]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("4.3599451, 4.8176004, 5.2730782, 5.6896348, 6.1352934, 6.5217465, 6.8548339", \
"4.2863382, 4.7439930, 5.1995033, 5.6148915, 6.0616865, 6.4262140, 6.7781752", \
"4.2569386, 4.7080311, 5.1693847, 5.5875183, 6.0264698, 6.4177697, 6.7406874", \
"4.2284219, 4.6830604, 5.1353591, 5.5552348, 5.9990797, 6.3871711, 6.7172128", \
"4.2181044, 4.6574565, 5.1356091, 5.5447760, 5.9874708, 6.3777883, 6.7113291", \
"4.2082624, 4.6674014, 5.1228797, 5.5364205, 5.9805172, 6.3670063, 6.7084452", \
"4.2045765, 4.6714137, 5.1161837, 5.5419916, 5.9768855, 6.3612371, 6.7055688");
}
}
/* Copied from non_seq_setup_falling arc in pin oe_n group */
timing () {
related_pin : "OE_N";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.9149694, -4.3772019, -4.8143695, -5.2461850, -5.6933700, -6.0752449, -6.4133518", \
"-3.8552909, -4.3175238, -4.7623205, -5.1880324, -5.6290050, -6.0155664, -6.3536733", \
"-3.8315104, -4.2882015, -4.7431101, -5.1642883, -5.6084281, -5.9932963, -6.3298950", \
"-3.8185381, -4.2822965, -4.7428099, -5.1497537, -5.6018885, -5.9772873, -6.3184464", \
"-3.8263927, -4.2901515, -4.7257933, -5.1591342, -5.6047933, -5.9912459, -6.3263014", \
"-3.8258025, -4.2880354, -4.7313062, -5.1570181, -5.5980995, -5.9876039, -6.3274037", \
"-3.8267364, -4.2874430, -4.7047741, -5.1594779, -5.6066624, -5.9931151, -6.3266447");
}
}
/* Copied from non_seq_hold_falling arc in pin oe_n group */
timing () {
related_pin : "OE_N";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("4.2348261, 4.7001108, 5.1342266, 5.5675676, 6.0376404, 6.3975903, 6.7419220", \
"4.1644664, 4.6282252, 5.0623410, 5.5063627, 5.9317474, 6.3058685, 6.6628492", \
"4.1514661, 4.6121256, 5.0583891, 5.4994301, 5.9115688, 6.2897836, 6.6483035", \
"4.1383948, 4.5991018, 5.0456895, 5.5078349, 5.9071892, 6.2797965, 6.6241286", \
"4.1355686, 4.5962756, 5.0425982, 5.4652583, 5.9078656, 6.2754444, 6.6228283", \
"4.1319266, 4.5956850, 5.0420080, 5.4646681, 5.8930916, 6.2748542, 6.6222377", \
"4.1404896, 4.5935671, 5.0374325, 5.5068002, 5.8990531, 6.2727364, 6.6277493");
}
}
/* Copied from non_seq_hold_falling arc in pin inp_dis group */
timing () {
related_pin : "INP_DIS";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("3.9906441, 4.4482993, 4.9037772, 5.3203338, 5.7594385, 6.1289940, 6.4920783", \
"3.9347257, 4.3778450, 4.8517193, 5.2678247, 5.7154604, 6.0570192, 6.4120276", \
"3.8889028, 4.3465616, 4.7948963, 5.2245362, 5.6490313, 6.0410004, 6.3807429", \
"3.8852018, 4.3443607, 4.7967957, 5.2254697, 5.6589887, 6.0357437, 6.3785531", \
"3.8792923, 4.3365296, 4.7902343, 5.2081755, 5.6476786, 6.0187529, 6.3722514", \
"3.8725275, 4.3301823, 4.7826088, 5.2006908, 5.6245367, 6.0108774, 6.3674162", \
"3.8750423, 4.3326416, 4.7820164, 5.2092542, 5.6376777, 6.0102850, 6.3622461");
}
}
/* Copied from non_seq_setup_falling arc in pin inp_dis group */
timing () {
related_pin : "INP_DIS";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.8294787, -4.2901853, -4.7441377, -5.1622202, -5.6063533, -5.9912801, -5.7190356", \
"-3.0953526, -4.2304979, -4.6779240, -5.1010065, -5.5466655, -5.9315923, -5.6134943", \
"-3.7275775, -4.1898100, -3.9440342, -5.0648967, -4.8185253, -5.8954821, -6.2434122", \
"-3.7025809, -4.1603109, -4.6021371, -5.0438056, -5.4796049, -5.8446729, -5.6363787", \
"-3.0375135, -4.1749748, -4.6278019, -5.0447497, -5.4900051, -5.8749443, -6.2165239", \
"-3.7197667, -4.1599406, -4.6269159, -5.0509496, -5.4821327, -5.8655336, -6.2158787", \
"-3.6971998, -4.1487353, -4.5933850, -5.0192354, -5.4709705, -5.8574285, -6.2091627");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[0] group */
timing () {
related_pin : "DM[0]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("4.3767019, 4.8343572, 5.2898351, 5.7063916, 6.1535761, 6.5385029, 6.8811879", \
"4.3017499, 4.7594051, 5.2148835, 5.6310119, 6.0770986, 6.4604995, 6.8031841", \
"4.2747906, 4.7324454, 5.1866030, 5.6014280, 6.0488159, 6.4304875, 6.7731725", \
"4.2558875, 4.7137328, 5.1660976, 5.5872301, 6.0298378, 6.4147232, 6.7557957", \
"4.2531497, 4.7099576, 5.1632243, 5.5819925, 6.0296548, 6.4127519, 6.7537369", \
"4.2625262, 4.7101263, 5.1726102, 5.5906891, 6.0247676, 6.4212744, 6.7639621", \
"4.2595081, 4.7144735, 5.1803675, 5.5754648, 6.0313543, 6.4075765, 6.7441579");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[0] group */
timing () {
related_pin : "DM[0]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.8416960, -4.3039289, -4.7563550, -5.1744375, -5.6200961, -6.0034974, -6.3461820", \
"-3.8033654, -4.2640719, -4.7165340, -5.1361068, -5.5649269, -5.9651663, -6.3078513", \
"-3.7336811, -4.1898100, -4.6717085, -5.0557413, -5.5273397, -5.8893785, -6.2541067", \
"-3.7330887, -4.1939245, -4.6455335, -5.0743247, -5.5229827, -5.8934863, -6.2475737", \
"-3.7170935, -4.1841039, -4.6380590, -5.0493123, -5.5002746, -5.8867268, -6.2225413", \
"-3.7242269, -4.1827494, -4.6321242, -5.0584352, -5.5119719, -5.8731626, -6.2299563", \
"-3.7153575, -4.1836834, -4.6254287, -5.0557178, -5.4952729, -5.8817260, -6.2198328");
}
}
/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
timing () {
related_pin : "HLD_OVR";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.2568186, 0.7022666, 1.1531671, 1.5743012, 2.0001234, 2.3753322, 2.7379746", \
"0.1817375, 0.6291293, 1.0806373, 1.5113516, 1.9262530, 2.3034382, 2.6645546", \
"0.1504900, 0.6028123, 1.0515674, 1.4894034, 1.8959184, 2.2734310, 2.6273182", \
"0.1359789, 0.5957729, 1.0345372, 1.4673077, 1.8578604, 2.2621576, 2.6152094", \
"0.1285750, 0.5836653, 1.0517309, 1.4698682, 1.8439992, 2.1894291, 2.6112926", \
"0.1310365, 0.5791252, 1.0518348, 1.4733675, 1.8601936, 2.2175455, 2.5496765", \
"0.1380737, 0.5809298, 1.0505044, 1.4888329, 1.8738829, 2.2352607, 2.5811183");
}
}
/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
timing () {
related_pin : "HLD_OVR";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.1439512, -0.2942910, -0.7162913, -1.1454195, -1.5386930, -1.9238759, -2.2908499", \
"0.2175188, -0.2350171, -0.6745026, -1.0847881, -1.4505606, -1.8205245, -2.2271422", \
"0.2427951, -0.2046445, -0.6456243, -1.0521095, -1.4394050, -1.8368976, -2.1453872", \
"0.2692006, -0.1793064, -0.6370793, -1.0533884, -1.4400702, -1.8097952, -2.1387438", \
"0.2624465, -0.1890184, -0.6465292, -1.0576233, -1.4415646, -1.8199098, -2.1722763", \
"0.2666363, -0.1777469, -0.6381610, -1.0627746, -1.4521546, -1.8203559, -2.1761539", \
"0.2641808, -0.1808415, -0.6371984, -1.0620699, -1.4623500, -1.8316350, -2.1750570");
}
}
/* Copied from non_seq_setup_falling arc in pin slow group */
timing () {
related_pin : "SLOW";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.9790186, -4.4397252, -4.8936776, -5.3102342, -5.7558933, -6.1408200, -6.4835050", \
"-3.9024648, -4.3631700, -4.8370407, -5.2546977, -5.7007818, -6.0642755, -6.4279681", \
"-3.8818741, -4.3441057, -4.7878703, -5.2174844, -5.6470994, -6.0436693, -6.3878882", \
"-3.8629062, -4.3235954, -4.7760300, -5.1956494, -5.6396631, -6.0247076, -6.3673850", \
"-3.8622760, -4.3229710, -4.7758927, -5.1934934, -5.6411313, -6.0260492, -6.3667589", \
"-3.8664460, -4.3139751, -4.7664017, -5.1814324, -5.6317259, -6.0150695, -6.3623846", \
"-3.8558514, -4.3196093, -4.7658093, -5.1900064, -5.6249731, -6.0114258, -6.3571625");
}
}
/* Copied from non_seq_hold_falling arc in pin slow group */
timing () {
related_pin : "SLOW";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("4.4041613, 4.8633420, 5.3209404, 5.7338510, 6.1825614, 6.5440371, 6.9101727", \
"4.3322655, 4.7914462, 5.2453986, 5.6635947, 6.0903782, 6.4910147, 6.8336992", \
"4.3090547, 4.7614373, 5.2155793, 5.6372363, 6.0651207, 6.4611505, 6.8089888", \
"4.2864981, 4.7457059, 5.1935539, 5.6620284, 6.0370018, 6.4464530, 6.7878394", \
"4.2843576, 4.7420142, 5.1922265, 5.6125267, 6.0574366, 6.4431063, 6.7761959", \
"4.2799371, 4.7375919, 5.1900185, 5.6081005, 6.0552854, 6.4182871, 6.7813713", \
"4.2854483, 4.7400513, 5.2109941, 5.6105603, 6.0252508, 6.4426716, 6.7762012");
}
}
}
pin ("OE_N") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.024294;
capacitance : 0.025021;
rise_capacitance : 0.025274;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.9149694, -3.8552909, -3.8315104, -3.8185381, -3.8263927, -3.8258025, -3.8267364", \
"-4.3772019, -4.3175238, -4.2882015, -4.2822965, -4.2901515, -4.2880354, -4.2874430", \
"-4.8143695, -4.7623205, -4.7431101, -4.7428099, -4.7257933, -4.7313062, -4.7047741", \
"-5.2461850, -5.1880324, -5.1642883, -5.1497537, -5.1591342, -5.1570181, -5.1594779", \
"-5.6933700, -5.6290050, -5.6084281, -5.6018885, -5.6047933, -5.5980995, -5.6066624", \
"-6.0752449, -6.0155664, -5.9932963, -5.9772873, -5.9912459, -5.9876039, -5.9931151", \
"-6.4133518, -6.3536733, -6.3298950, -6.3184464, -6.3263014, -6.3274037, -6.3266447");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-2.6118471, -2.4738602, -2.4778827, -2.4793336, -2.3890149, -2.2388886, -2.2165991", \
"-3.0710281, -2.9360929, -2.9370637, -2.8525479, -2.8329370, -2.7667339, -2.6349671", \
"-4.8082446, -3.3930969, -3.3910159, -3.3034486, -3.2853635, -3.2206863, -3.1222839", \
"-3.9445884, -3.8081273, -3.8136758, -3.7036276, -4.9319959, -4.7984365, -4.8653838", \
"-4.3669083, -4.2350938, -4.1813887, -4.2323515, -4.1394987, -4.1016570, -4.0376082", \
"-4.7563009, -4.6380386, -4.5796115, -4.5210997, -4.5227872, -4.5115158, -4.3995347", \
"-5.0685888, -4.9779045, -4.9804007, -4.8638420, -4.8854296, -4.8299078, -4.7789614");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.2348261, 4.1644664, 4.1514661, 4.1383948, 4.1355686, 4.1319266, 4.1404896", \
"4.7001108, 4.6282252, 4.6121256, 4.5991018, 4.5962756, 4.5956850, 4.5935671", \
"5.1342266, 5.0623410, 5.0583891, 5.0456895, 5.0425982, 5.0420080, 5.0374325", \
"5.5675676, 5.5063627, 5.4994301, 5.5078349, 5.4652583, 5.4646681, 5.5068002", \
"6.0376404, 5.9317474, 5.9115688, 5.9071892, 5.9078656, 5.8930916, 5.8990531", \
"6.3975903, 6.3058685, 6.2897836, 6.2797965, 6.2754444, 6.2748542, 6.2727364", \
"6.7419220, 6.6628492, 6.6483035, 6.6241286, 6.6228283, 6.6222377, 6.6277493");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("3.9693016, 3.8648838, 3.7483623, 3.7050452, 3.6505002, 3.5206456, 3.4937431", \
"4.4330604, 4.3255908, 4.2147524, 4.1489672, 4.1188748, 4.0015833, 3.9749824", \
"4.8641245, 4.7703875, 4.6548332, 4.6020639, 4.5186919, 4.4471038, 4.4118218", \
"5.3250091, 5.2199407, 5.1024658, 5.0545713, 4.9739251, 4.9351881, 4.9163631", \
"5.7426737, 5.6373078, 5.5344825, 5.4811123, 5.4104288, 5.3070644, 5.2827230", \
"6.1168069, 6.0236330, 5.9071115, 5.8296624, 5.8031262, 5.6790162, 5.6803578", \
"6.4596131, 6.3506176, 6.2432511, 6.1846753, 6.0986032, 6.0825188, 5.9807766");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("INP_DIS") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.006947;
capacitance : 0.006793;
fall_capacitance : 0.006638;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("3.9906441, 3.9347257, 3.8889028, 3.8852018, 3.8792923, 3.8725275, 3.8750423", \
"4.4482993, 4.3778450, 4.3465616, 4.3443607, 4.3365296, 4.3301823, 4.3326416", \
"4.9037772, 4.8517193, 4.7948963, 4.7967957, 4.7902343, 4.7826088, 4.7820164", \
"5.3203338, 5.2678247, 5.2245362, 5.2254697, 5.2081755, 5.2006908, 5.2092542", \
"5.7594385, 5.7154604, 5.6490313, 5.6589887, 5.6476786, 5.6245367, 5.6376777", \
"6.1289940, 6.0570192, 6.0410004, 6.0357437, 6.0187529, 6.0108774, 6.0102850", \
"6.4920783, 6.4120276, 6.3807429, 6.3785531, 6.3722514, 6.3674162, 6.3622461");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.5094691, 4.3932349, 4.2915749, 4.2467321, 4.1668232, 4.1174706, 4.0328743", \
"4.9671243, 4.8508902, 4.7477043, 4.7028597, 4.6245384, 4.5844020, 4.5180955", \
"5.4287058, 5.3048422, 5.2114578, 5.1476586, 5.0694043, 5.0458183, 4.9277878", \
"5.8345811, 5.7229246, 5.6166869, 5.5454888, 5.4953356, 5.4459058, 5.3844727", \
"6.2909209, 6.1670574, 6.0812504, 6.0129251, 5.9390686, 5.9142258, 5.8327710", \
"6.6676556, 6.5519841, 6.4253476, 6.4163864, 6.3186898, 6.3065156, 6.1784340", \
"7.0074100, 6.8850723, 6.7818864, 6.7371764, 6.6481250, 6.6109596, 6.5469615");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.8294787, -3.0953526, -3.7275775, -3.7025809, -3.0375135, -3.7197667, -3.6971998", \
"-4.2901853, -4.2304979, -4.1898100, -4.1603109, -4.1749748, -4.1599406, -4.1487353", \
"-4.7441377, -4.6779240, -3.9440342, -4.6021371, -4.6278019, -4.6269159, -4.5933850", \
"-5.1622202, -5.1010065, -5.0648967, -5.0438056, -5.0447497, -5.0509496, -5.0192354", \
"-5.6063533, -5.5466655, -4.8185253, -5.4796049, -5.4900051, -5.4821327, -5.4709705", \
"-5.9912801, -5.9315923, -5.8954821, -5.8446729, -5.8749443, -5.8655336, -5.8574285", \
"-5.7190356, -5.6134943, -6.2434122, -5.6363787, -6.2165239, -6.2158787, -6.2091627");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-4.0126104, -3.8719620, -3.8069230, -3.7025955, -3.6602840, -3.5938689, -3.5332330", \
"-4.4748429, -4.3326691, -4.2429488, -4.1525967, -4.1407901, -4.0589773, -3.9990519", \
"-4.9287953, -4.7850952, -4.6834352, -4.6187562, -4.5916455, -4.4978467, -4.4483907", \
"-5.3453515, -5.2031776, -5.1228796, -5.0476616, -4.9932300, -4.9250845, -4.8817676", \
"-5.7910105, -5.6489566, -5.5838052, -5.4909279, -5.4370634, -5.3520665, -5.3498701", \
"-6.1744114, -6.0337634, -5.9733296, -5.8689499, -5.8403093, -5.7490827, -5.6906184", \
"-6.5186223, -6.3764480, -6.2720924, -6.2340974, -6.1416700, -6.0876737, -6.0425986");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0424253, 0.0417037, 0.0409820, 0.0414218, 0.0418440, 0.0422837, 0.0427235");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0542180, 0.0534582, 0.0526984, 0.0533321, 0.0539406, 0.0545743, 0.0552081");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("ENABLE_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
fall_capacitance : 0.014586;
capacitance : 0.015028;
rise_capacitance : 0.015471;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0113445, -0.0010957, 0.0091531, 0.0211122, 0.0325930, 0.0445522, 0.0565114");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0438921, 0.1022934, 0.1606947, 0.4355468, 0.6994050, 0.9742571, 1.2491093");
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_VDDIO";
timing_type : non_seq_hold_rising;
rise_constraint (scalar) {
values ("-100.0");
}
}
}
pin ("ENABLE_VDDA_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : true;
rise_capacitance : 0.032036;
capacitance : 0.032091;
fall_capacitance : 0.032145;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0530625, 0.0778380, 0.1026134, 0.1025273, 0.1024445, 0.1023583, 0.1022722");
}
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0044631, -0.0042985, -0.0041338, -0.0042574, -0.0043761, -0.0044997, -0.0046233");
}
}
}
pin ("HLD_OVR") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.007169;
capacitance : 0.007015;
fall_capacitance : 0.006861;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.2568186, 0.1817375, 0.1504900, 0.1359789, 0.1285750, 0.1310365, 0.1380737", \
"0.7022666, 0.6291293, 0.6028123, 0.5957729, 0.5836653, 0.5791252, 0.5809298", \
"1.1531671, 1.0806373, 1.0515674, 1.0345372, 1.0517309, 1.0518348, 1.0505044", \
"1.5743012, 1.5113516, 1.4894034, 1.4673077, 1.4698682, 1.4733675, 1.4888329", \
"2.0001234, 1.9262530, 1.8959184, 1.8578604, 1.8439992, 1.8601936, 1.8738829", \
"2.3753322, 2.3034382, 2.2734310, 2.2621576, 2.1894291, 2.2175455, 2.2352607", \
"2.7379746, 2.6645546, 2.6273182, 2.6152094, 2.6112926, 2.5496765, 2.5811183");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0285622, -0.1451243, -0.2323169, -0.3047322, -0.3855819, -0.4381163, -0.5134766", \
"0.4180793, 0.2978938, 0.2267273, 0.1417928, 0.0633367, 0.0001585, -0.0727861", \
"0.8577668, 0.7410635, 0.6714453, 0.5845471, 0.5298557, 0.4963573, 0.4111301", \
"1.2890385, 1.1639162, 1.0955854, 1.0191982, 0.9519821, 0.9101735, 0.8666113", \
"1.6836530, 1.5424257, 1.4708519, 1.4088982, 1.3410092, 1.2926440, 1.2554571", \
"2.0747602, 1.9526978, 1.8294001, 1.7695449, 1.7283705, 1.6733343, 1.6287359", \
"2.3856182, 2.3282086, 2.2378189, 2.1151563, 2.0627160, 2.0305518, 1.9336786");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1439512, 0.2175188, 0.2427951, 0.2692006, 0.2624465, 0.2666363, 0.2641808", \
"-0.2942910, -0.2350171, -0.2046445, -0.1793064, -0.1890184, -0.1777469, -0.1808415", \
"-0.7162913, -0.6745026, -0.6456243, -0.6370793, -0.6465292, -0.6381610, -0.6371984", \
"-1.1454195, -1.0847881, -1.0521095, -1.0533884, -1.0576233, -1.0627746, -1.0620699", \
"-1.5386930, -1.4505606, -1.4394050, -1.4400702, -1.4415646, -1.4521546, -1.4623500", \
"-1.9238759, -1.8205245, -1.8368976, -1.8097952, -1.8199098, -1.8203559, -1.8316350", \
"-2.2908499, -2.2271422, -2.1453872, -2.1387438, -2.1722763, -2.1761539, -2.1750570");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1439389, 0.9314165, 0.3557096, 0.4185923, 0.4992748, 0.9088988, 0.6059776", \
"-0.2866887, -0.1833837, -0.0988192, -0.0209238, 0.0507343, 0.1075773, 0.1764911", \
"-0.7177991, -0.6184059, 0.0493799, 0.0008175, -0.0116151, -0.3217554, -0.2714614", \
"-1.1370803, -1.0408455, -0.9242452, -0.8757172, -0.2560625, -0.2198276, -0.7448601", \
"-0.8554504, -0.8567639, -0.7039132, -1.2997224, -0.6241081, -1.2286237, -1.1566904", \
"-1.9281183, -1.8069249, -1.6662158, -1.6552645, -1.5868164, -0.8626794, -1.4928632", \
"-1.6177110, -2.1901167, -2.0135864, -1.9954047, -1.9453776, -1.9136681, -1.8836687");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0424762, 0.0417193, 0.0409625, 0.0413983, 0.0418167, 0.0422525, 0.0426883");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0538735, 0.0531228, 0.0523722, 0.0529055, 0.0534175, 0.0539508, 0.0544841");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin (SLOW) {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.015443;
capacitance : 0.015366;
fall_capacitance : 0.015288;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.9790186, -3.9024648, -3.8818741, -3.8629062, -3.8622760, -3.8664460, -3.8558514", \
"-4.4397252, -4.3631700, -4.3441057, -4.3235954, -4.3229710, -4.3139751, -4.3196093", \
"-4.8936776, -4.8370407, -4.7878703, -4.7760300, -4.7758927, -4.7664017, -4.7658093", \
"-5.3102342, -5.2546977, -5.2174844, -5.1956494, -5.1934934, -5.1814324, -5.1900064", \
"-5.7558933, -5.7007818, -5.6470994, -5.6396631, -5.6411313, -5.6317259, -5.6249731", \
"-6.1408200, -6.0642755, -6.0436693, -6.0247076, -6.0260492, -6.0150695, -6.0114258", \
"-6.4835050, -6.4279681, -6.3878882, -6.3673850, -6.3667589, -6.3623846, -6.3571625");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-3.7959371, -3.7104259, -3.6352862, -3.0536315, -3.5151457, -3.3939788, -3.3857572", \
"-4.2566437, -4.1741936, -4.0650081, -4.0390302, -3.9575096, -3.8409526, -3.8465024", \
"-4.7090702, -3.9653242, -4.5995374, -3.9418097, -4.4069141, -4.2918533, -4.2758776", \
"-5.1271527, -5.0460398, -4.9573516, -4.8827246, -4.7901795, -4.7457084, -4.7076016", \
"-5.5728113, -5.4916984, -5.4252467, -5.3054953, -5.2660482, -4.7204349, -5.1287918", \
"-5.9577385, -5.8981639, -5.8039695, -5.6888962, -5.6753888, -5.6157325, -5.5383793", \
"-6.2908258, -6.2099119, -6.0880014, -6.0570790, -6.0087266, -5.9551046, -5.8440250");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.4041613, 4.3322655, 4.3090547, 4.2864981, 4.2843576, 4.2799371, 4.2854483", \
"4.8633420, 4.7914462, 4.7614373, 4.7457059, 4.7420142, 4.7375919, 4.7400513", \
"5.3209404, 5.2453986, 5.2155793, 5.1935539, 5.1922265, 5.1900185, 5.2109941", \
"5.7338510, 5.6635947, 5.6372363, 5.6620284, 5.6125267, 5.6081005, 5.6105603", \
"6.1825614, 6.0903782, 6.0651207, 6.0370018, 6.0574366, 6.0552854, 6.0252508", \
"6.5440371, 6.4910147, 6.4611505, 6.4464530, 6.4431063, 6.4182871, 6.4426716", \
"6.9101727, 6.8336992, 6.8089888, 6.7878394, 6.7761959, 6.7813713, 6.7762012");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.0547577, 3.9063946, 3.8521873, 3.7553940, 3.7176644, 3.6253296, 3.5713315", \
"4.5128606, 4.3644975, 4.2808826, 4.2165487, 4.1742426, 4.1002169, 4.0187532", \
"4.9669778, 4.8170888, 4.7563502, 4.6819480, 4.6237670, 4.5558604, 4.4804998", \
"5.3759755, 5.2812628, 5.1701535, 5.0903449, 5.0317966, 4.9312885, 4.8879716", \
"5.8072398, 5.6854208, 5.6122674, 5.4788843, 5.4615675, 5.4300940, 5.3268654", \
"6.1984429, 6.0720573, 5.9587000, 5.8838204, 5.8613694, 5.7792067, 5.6921621", \
"6.5516111, 6.4074335, 6.3440003, 6.2446184, 6.1824166, 6.1493555, 6.0529260");
}
}
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0540145, 0.0533117, 0.0526089, 0.0531044, 0.0535800, 0.0540755, 0.0545710");
}
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0428121, 0.0419772, 0.0411424, 0.0414742, 0.0417927, 0.0421245, 0.0424563");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin (IN) {
output_voltage : GENERAL_CORE_VOLTAGE;
direction : "output";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : true;
function : "(PAD&!INP_DIS)";
max_capacitance : 2.000440;
max_transition : 1.500741;
internal_power () {
related_pin : "PAD";
when : "( IB_MODE_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
values("0.6419759, 0.6497711, 0.6599659, 0.6804202, 0.7066883, 0.7677881, 1.0226387", \
"-0.3634815, -0.3636954, -0.3619476, -0.3606562, -0.3644616, -0.3975540, -0.1538892", \
"0.1053215, 0.1062515, 0.1046037, 0.1077957, 0.1105055, 0.1107330, -0.0072305", \
"0.2330689, 0.2343524, 0.2220105, 0.2318283, 0.2360038, 0.2417388, 0.2372446", \
"0.3536070, 0.3540610, 0.3397607, 0.3424398, 0.3536723, 0.3583499, 0.3595299", \
"0.4635557, 0.4631283, 0.4540667, 0.4554677, 0.4639949, 0.4713035, 0.4720947", \
"0.5672837, 0.5662948, 0.5520194, 0.5560060, 0.5666289, 0.5738866, 0.5756807");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
values("0.7453346, 0.7585771, 0.7851064, 0.8244712, 0.8696048, 0.9174372, 1.0267937", \
"2.1067438, 2.1079076, 2.1101341, 2.1124181, 2.1151997, 2.1352954, 2.3072473", \
"2.3123890, 2.3143351, 2.3150605, 2.3176391, 2.3204123, 2.3221639, 2.3230111", \
"2.3770231, 2.3780546, 2.3798485, 2.3829905, 2.3853716, 2.3868991, 2.3878696", \
"2.3826355, 2.3829129, 2.3848948, 2.3877066, 2.3904158, 2.3924361, 2.3922420", \
"2.3867872, 2.3869570, 2.3886819, 2.3922075, 2.3949169, 2.3965057, 2.3971322", \
"2.3883122, 2.3911255, 2.3917524, 2.3948495, 2.4046223, 2.3987459, 2.3998440");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
values("0.6872362, 0.6917255, 0.6973458, 0.7132792, 0.8080756, 0.9613354, 0.9340825", \
"-0.1817356, -0.1785139, -0.1709706, -0.1564918, -0.0689159, 0.0946563, 0.0693554", \
"0.0360087, 0.0388423, 0.0406561, 0.0408686, 0.1297993, 0.2841952, 0.2658388", \
"0.5100534, 0.5222582, 0.5229459, 0.5123751, 0.5233241, 0.5051520, 0.4489680", \
"0.7103100, 0.7192859, 0.7206025, 0.7118691, 0.7279749, 0.7164249, 0.6444975", \
"0.8680748, 0.8788457, 0.8830542, 0.8673665, 0.8826126, 0.8698023, 0.8649109", \
"1.0079806, 1.0267244, 1.0271724, 1.0127741, 1.0334222, 1.0167498, 1.0206678");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
values("0.9455880, 0.9542484, 0.9711793, 0.9954056, 1.0271378, 1.0945676, 1.1867376", \
"1.9622910, 1.9745768, 1.9896329, 2.0161091, 2.0456278, 2.1110412, 2.2025686", \
"1.9551507, 1.9641530, 1.9809120, 2.0189831, 2.0373310, 2.1038130, 2.1972372", \
"1.9279634, 1.9378800, 1.9563383, 1.9967758, 2.0256711, 2.0967600, 2.1923242", \
"1.8795506, 1.8897310, 1.9078805, 1.9490919, 1.9763765, 2.0605512, 2.1869936", \
"2.0446073, 2.0456306, 2.0477285, 2.0648587, 2.0529338, 2.0545534, 2.1500753", \
"2.1124528, 2.1134500, 2.1106047, 2.1319134, 2.1207845, 2.1222722, 2.1228024");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
values("0.6302667, 0.6334490, 0.6408822, 0.6575903, 0.7467339, 0.9031541, 0.8747828", \
"-0.4267243, -0.4242486, -0.4183934, -0.4086857, -0.3132620, -0.1563174, -0.1907669", \
"-0.3446013, -0.3428814, -0.3404352, -0.3377977, -0.2727067, -0.1387528, -0.1696741", \
"-0.0451121, -0.0449015, -0.0433334, -0.0395801, -0.0400843, -0.0363571, -0.1662529", \
"-0.0281592, -0.0420821, -0.0309673, -0.0226317, -0.0286126, -0.0261710, -0.0583686", \
"-0.0149702, -0.0239692, -0.0131234, -0.0111295, -0.0143960, -0.0088017, -0.0272273", \
"-0.0015606, -0.0111095, 0.0001660, 0.0015216, -0.0003433, 0.0038995, -0.0203686");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
values("0.8763349, 0.8850733, 0.9034163, 0.9299391, 0.9660569, 1.0401862, 1.1423519", \
"1.9080800, 1.9190877, 1.9335644, 1.9589441, 1.9938515, 2.0677357, 2.1669040", \
"1.8982968, 1.9073358, 1.9285554, 1.9464695, 1.9893484, 2.0631467, 2.1597448", \
"1.8980648, 1.9080390, 1.9251439, 1.9512408, 1.9860415, 2.0600377, 2.1613891", \
"1.8884839, 1.8981362, 1.9160188, 1.9420611, 1.9783413, 2.0522408, 2.1564478", \
"1.8507019, 1.8588898, 1.8793632, 1.9079688, 1.9486537, 2.0390356, 2.1526437", \
"1.8218014, 1.8341969, 1.8506269, 1.8776428, 1.9182720, 2.0065499, 2.1378147");
}
}
internal_power () {
related_pin : "INP_DIS";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
values("0.7921933, 0.7969724, 0.8154837, 0.8346369, 0.8540057, 0.8786635, 1.0334281", \
"0.7912486, 0.8001927, 0.8149551, 0.8339843, 0.8557015, 0.8798248, 1.0304260", \
"0.7914168, 0.7996700, 0.8146851, 0.8336876, 0.8564251, 0.8819964, 1.0335628", \
"0.7907380, 0.7989520, 0.8151073, 0.8333835, 0.8524099, 0.8769628, 1.0296456", \
"0.7908608, 0.8167423, 0.8140907, 0.8335958, 0.8497049, 0.8800332, 1.0269924", \
"0.7928711, 0.8168570, 0.8136677, 0.8327329, 0.8498743, 0.8828527, 1.0338752", \
"0.7875974, 0.7962710, 0.8140363, 0.8329869, 0.8513585, 0.8794082, 1.0314432");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
values("1.6900376, 1.6906993, 1.6947550, 1.7031413, 1.7064237, 1.6964782, 1.6722620", \
"1.6879988, 1.6881396, 1.6947584, 1.7015147, 1.7035521, 1.6875109, 1.6703393", \
"1.6937579, 1.6851284, 1.6941602, 1.7016173, 1.7047989, 1.6973710, 1.6764345", \
"1.6945765, 1.6907358, 1.6883366, 1.7044928, 1.7097184, 1.6948725, 1.6812763", \
"1.6892117, 1.6869963, 1.6968683, 1.6972603, 1.7044457, 1.6976776, 1.6729717", \
"1.6931596, 1.6882987, 1.6973585, 1.7030013, 1.7044375, 1.6934970, 1.6755919", \
"1.6856954, 1.6865976, 1.6971046, 1.6970652, 1.7027203, 1.6952837, 1.6720948");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( IB_MODE_SEL )";
sdf_cond : " IB_MODE_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
values("1.0532822, 1.0614404, 1.0799660, 1.1233015, 1.2253341, 1.4710124, 2.0609208", \
"2.9781793, 2.9863145, 3.0048957, 3.0482070, 3.1502012, 3.3958965, 3.9859644", \
"4.4857718, 4.4938862, 4.5125153, 4.5559001, 4.6580650, 4.9026068, 5.4936256", \
"4.8214219, 4.8295643, 4.8481357, 4.8914650, 4.9935296, 5.2390563, 5.8298832", \
"6.8397483, 6.8408839, 6.8622299, 6.9097954, 7.0118031, 7.2573245, 7.8475244", \
"8.5122247, 8.5122257, 8.5253111, 8.5823153, 8.6844283, 8.9296401, 9.5199795", \
"9.7678061, 9.7678066, 9.7759036, 9.8379012, 9.9400808, 10.1849820, 10.7754110", \
"11.5436020, 11.5436025, 11.5754350, 11.6176670, 11.7154920, 11.9606470, 12.5513710", \
"35.9173560, 35.9967380, 35.9967404, 36.0403160, 36.1523860, 36.4183030, 36.6490980", \
"51.6368570, 51.7858860, 51.8042070, 51.8042088, 51.8186700, 52.1950620, 52.6594950", \
"122.2178400, 122.2178460, 122.2178536, 122.2390700, 122.3009900, 122.6653300, 123.3049600", \
"370.8008500, 371.2785600, 371.2785798, 371.3293500, 371.3293610, 371.8942600, 372.2993500");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
values("0.0516399, 0.0614745, 0.0852555, 0.1446518, 0.2897091, 0.6440068, 1.4992185", \
"0.0515217, 0.0614659, 0.0854222, 0.1448064, 0.2903041, 0.6446750, 1.4978498", \
"0.0516580, 0.0614184, 0.0854727, 0.1446696, 0.2899181, 0.6431833, 1.4999254", \
"0.0515153, 0.0614738, 0.0854418, 0.1447960, 0.2901075, 0.6439827, 1.5008206", \
"0.0515162, 0.0614723, 0.0854375, 0.1447981, 0.2901391, 0.6447318, 1.4999116", \
"0.0515113, 0.0614747, 0.0854454, 0.1446633, 0.2898430, 0.6442408, 1.4998962", \
"0.0515017, 0.0614761, 0.0854561, 0.1444857, 0.2902385, 0.6436346, 1.4995293", \
"0.0515105, 0.0614775, 0.0854584, 0.1446855, 0.2897987, 0.6445086, 1.5000217", \
"0.0515105, 0.0614250, 0.0853560, 0.1444874, 0.2896954, 0.6442671, 1.4997674", \
"0.0515111, 0.0614535, 0.0854533, 0.1447688, 0.2898577, 0.6445732, 1.5000261", \
"0.0515119, 0.0614767, 0.0854782, 0.1448092, 0.2897063, 0.6443131, 1.4996641", \
"0.0515059, 0.0614431, 0.0854798, 0.1448032, 0.2900972, 0.6447247, 1.4997373");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
values("0.9707547, 0.9810555, 1.0035134, 1.0496251, 1.1387886, 1.3194934, 1.7275800", \
"2.8873373, 2.8975757, 2.9203659, 2.9665468, 3.0554792, 3.2362071, 3.6440126", \
"4.2909760, 4.3013433, 4.3240314, 4.3702015, 4.4557451, 4.6398987, 5.0475761", \
"4.5946900, 4.6050448, 4.6276862, 4.6738955, 4.7560977, 4.9434710, 5.3509970", \
"6.3361565, 6.3465229, 6.3465231, 6.4154047, 6.5037371, 6.6851018, 7.0749628", \
"7.7030586, 7.7133100, 7.7355189, 7.7822273, 7.8713436, 8.0457374, 8.4599874", \
"8.7095335, 8.7218650, 8.7439842, 8.7910319, 8.8818304, 9.0369534, 9.4690300", \
"10.0493900, 10.0985820, 10.1051360, 10.1785590, 10.2430080, 10.4074980, 10.8249450", \
"26.7576450, 26.7687330, 26.8125010, 26.8400250, 26.9417250, 27.1180480, 27.5809830", \
"36.1751800, 36.1751805, 36.1825130, 36.2504760, 36.3500200, 36.5328670, 36.9234560", \
"71.8998900, 71.8998909, 71.9461890, 71.9559310, 72.0802400, 72.2445110, 72.6129770", \
"181.6556500, 181.6556626, 181.6556778, 181.6997800, 181.7906400, 181.9483700, 182.3723600");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
values("0.0613299, 0.0704183, 0.0902862, 0.1302977, 0.2154973, 0.4203782, 0.9388572", \
"0.0614680, 0.0707577, 0.0900452, 0.1311291, 0.2168727, 0.4227758, 0.9393211", \
"0.0615974, 0.0704930, 0.0901860, 0.1309174, 0.2174861, 0.4213008, 0.9393928", \
"0.0616644, 0.0704395, 0.0901777, 0.1311537, 0.2174649, 0.4226795, 0.9396492", \
"0.0615863, 0.0705104, 0.0901662, 0.1309817, 0.2174724, 0.4224090, 0.9378447", \
"0.0615415, 0.0706101, 0.0901674, 0.1312138, 0.2173001, 0.4228062, 0.9381134", \
"0.0616327, 0.0704871, 0.0901554, 0.1310219, 0.2168882, 0.4226028, 0.9391730", \
"0.0616146, 0.0705460, 0.0900482, 0.1310379, 0.2173439, 0.4224422, 0.9389285", \
"0.0616849, 0.0705602, 0.0905264, 0.1311993, 0.2172810, 0.4226977, 0.9391156", \
"0.0614708, 0.0706055, 0.0902393, 0.1309936, 0.2175041, 0.4223777, 0.9378112", \
"0.0616316, 0.0706620, 0.0901514, 0.1310473, 0.2171716, 0.4225268, 0.9405783", \
"0.0616171, 0.0709061, 0.0902046, 0.1311674, 0.2172380, 0.4223840, 0.9403093");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("3.9362260, 3.9408268, 3.9619827, 4.0018033, 4.1037804, 4.3496899, 4.9420437", \
"6.4823902, 6.4884413, 6.5071055, 6.5521713, 6.6522472, 6.8968129, 7.4865953", \
"8.5925924, 8.5956904, 8.6142995, 8.6679268, 8.7595371, 9.0126431, 9.5936015", \
"9.0674934, 9.0839674, 9.1029024, 9.1476817, 9.2481374, 9.4991854, 10.0817680", \
"11.9350140, 11.9634740, 11.9825140, 12.0056260, 12.1276660, 12.3572700, 12.9616660", \
"14.3184310, 14.3360740, 14.3546850, 14.3912510, 14.4999150, 14.7406360, 15.3343190", \
"16.1178410, 16.1360080, 16.1547240, 16.1875650, 16.2999910, 16.5361610, 17.1341000", \
"18.6645630, 18.6805340, 18.6992270, 18.7318140, 18.8340310, 19.0837090, 19.6787230", \
"53.7223310, 53.7775440, 53.7775441, 53.9650880, 53.9650898, 54.1397820, 54.6496250", \
"76.0660010, 76.3220530, 76.3553630, 76.3553658, 76.5159240, 76.7495440, 77.3912060", \
"171.5114200, 171.5114213, 171.5901000, 171.5901108, 171.5901261, 172.0241300, 172.6367600", \
"509.3686500, 509.9829500, 509.9829560, 509.9829865, 509.9830170, 510.2851900, 510.9519100");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("0.0513268, 0.0611079, 0.0850187, 0.1443117, 0.2891467, 0.6429949, 1.4985961", \
"0.0513423, 0.0612214, 0.0850244, 0.1444093, 0.2900902, 0.6432292, 1.4989096", \
"0.0513819, 0.0610610, 0.0851244, 0.1441341, 0.2897571, 0.6445553, 1.4980966", \
"0.0513346, 0.0611012, 0.0851253, 0.1444144, 0.2898251, 0.6432018, 1.4999311", \
"0.0513462, 0.0610620, 0.0851352, 0.1444218, 0.2899442, 0.6429399, 1.4998427", \
"0.0513821, 0.0610721, 0.0851256, 0.1442349, 0.2898277, 0.6433386, 1.4996829", \
"0.0513514, 0.0610361, 0.0849667, 0.1444167, 0.2899479, 0.6433372, 1.4993987", \
"0.0512512, 0.0610163, 0.0850608, 0.1443598, 0.2900347, 0.6429237, 1.4995813", \
"0.0513054, 0.0610392, 0.0850333, 0.1444211, 0.2897984, 0.6427505, 1.4995654", \
"0.0513819, 0.0611005, 0.0851378, 0.1443825, 0.2900610, 0.6432117, 1.4997599", \
"0.0513165, 0.0610948, 0.0850232, 0.1444307, 0.2900125, 0.6435799, 1.4997517", \
"0.0513124, 0.0610535, 0.0849672, 0.1444112, 0.2901179, 0.6432135, 1.4996470");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("4.1935628, 4.1992285, 4.2211976, 4.2673181, 4.3532022, 4.5311381, 4.9354845", \
"6.9337212, 6.9438508, 6.9645423, 7.0013058, 7.0949584, 7.2724653, 7.6783904", \
"10.1102470, 10.1199200, 10.1418190, 10.1757720, 10.2709880, 10.4487690, 10.8548900", \
"10.8194100, 10.8290770, 10.8498520, 10.9025060, 10.9801470, 11.1579360, 11.5640510", \
"15.0371560, 15.0469180, 15.0671000, 15.0785230, 15.1980120, 15.3757540, 15.7820740", \
"18.4041420, 18.4140240, 18.4342190, 18.4790480, 18.5650320, 18.7428880, 19.1404790", \
"20.8982660, 20.9078120, 20.9102030, 20.9917720, 21.0590050, 21.2368660, 21.6636930", \
"24.3870310, 24.3967460, 24.3967467, 24.4611350, 24.5478870, 24.7256060, 25.1383630", \
"69.0062690, 69.0160040, 69.0160103, 69.0517230, 69.1645990, 69.3448290, 69.7108500", \
"95.7517660, 95.7517663, 95.7664670, 95.8505450, 95.9199370, 96.1035550, 96.4934160", \
"204.0574400, 204.0574418, 204.0574570, 204.0881500, 204.1017200, 204.3711800, 204.7760900", \
"560.8465600, 560.9017500, 560.9209700, 560.9209900, 561.1551000, 561.2665200, 561.7108100");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("0.0586819, 0.0669588, 0.0857285, 0.1242889, 0.2094954, 0.4159215, 0.9366625", \
"0.0588113, 0.0667359, 0.0855054, 0.1254849, 0.2114970, 0.4180499, 0.9382775", \
"0.0586228, 0.0667563, 0.0854679, 0.1253067, 0.2116082, 0.4181003, 0.9355882", \
"0.0586240, 0.0667815, 0.0858303, 0.1254713, 0.2115963, 0.4180553, 0.9354630", \
"0.0588709, 0.0671041, 0.0857379, 0.1253566, 0.2110131, 0.4178249, 0.9374405", \
"0.0587961, 0.0666961, 0.0855078, 0.1253490, 0.2110141, 0.4180349, 0.9378359", \
"0.0586340, 0.0671286, 0.0857559, 0.1253474, 0.2114192, 0.4180034, 0.9378179", \
"0.0586778, 0.0671054, 0.0857980, 0.1250901, 0.2113107, 0.4181010, 0.9367009", \
"0.0586235, 0.0667591, 0.0856355, 0.1253485, 0.2110052, 0.4181174, 0.9365372", \
"0.0587537, 0.0667633, 0.0854538, 0.1252401, 0.2111143, 0.4178209, 0.9377755", \
"0.0587606, 0.0669956, 0.0854137, 0.1253363, 0.2114557, 0.4177731, 0.9386308", \
"0.0587344, 0.0671353, 0.0858035, 0.1253072, 0.2116059, 0.4181538, 0.9390259");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("3.9161274, 3.9191210, 3.9415191, 3.9865795, 4.0841330, 4.3285495, 4.9184314", \
"6.1713852, 6.1794299, 6.1980252, 6.2411996, 6.3432388, 6.5873116, 7.1777158", \
"7.8474027, 7.8565190, 7.8740294, 7.9171159, 8.0192235, 8.2638190, 8.8510630", \
"8.2139735, 8.2252134, 8.2406793, 8.2838874, 8.3859257, 8.6341254, 9.2213286", \
"10.3129170, 10.3212790, 10.3395120, 10.3825110, 10.4844090, 10.7286260, 11.3194940", \
"12.0024530, 12.0055310, 12.0290420, 12.0721970, 12.1686290, 12.4122900, 13.0036750", \
"13.2513870, 13.2560030, 13.2778810, 13.3209280, 13.4214830, 13.6631290, 14.2491130", \
"14.9907450, 14.9966940, 15.0172370, 15.0602850, 15.1628080, 15.3875370, 15.9998150", \
"37.5873060, 37.5873089, 37.5943150, 37.6561540, 37.7185220, 37.9477570, 38.5896930", \
"51.3931010, 51.4322840, 51.4766500, 51.4766522, 51.5897230, 51.8306250, 52.4752610", \
"108.8464600, 108.8464623, 108.9378700, 108.9378701, 108.9378777, 109.2281900, 109.8626700", \
"307.7870800, 307.8740700, 307.8740998, 307.8741303, 307.8741608, 307.9936700, 308.5006300");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("0.0513222, 0.0610499, 0.0849940, 0.1446044, 0.2898793, 0.6428215, 1.4964058", \
"0.0513505, 0.0610749, 0.0851250, 0.1441653, 0.2898194, 0.6431187, 1.4997139", \
"0.0513162, 0.0611102, 0.0849680, 0.1444363, 0.2899770, 0.6432474, 1.4971501", \
"0.0513345, 0.0610355, 0.0849696, 0.1444235, 0.2899499, 0.6433842, 1.4967656", \
"0.0513042, 0.0611328, 0.0850165, 0.1440968, 0.2894112, 0.6432626, 1.4997218", \
"0.0513053, 0.0610577, 0.0851390, 0.1443102, 0.2899385, 0.6430593, 1.4981206", \
"0.0513308, 0.0610283, 0.0849856, 0.1444173, 0.2899157, 0.6425961, 1.4998821", \
"0.0513354, 0.0609653, 0.0849812, 0.1444194, 0.2900019, 0.6432024, 1.4993109", \
"0.0513142, 0.0610487, 0.0850247, 0.1441649, 0.2896049, 0.6442644, 1.4995699", \
"0.0513154, 0.0610383, 0.0851239, 0.1444230, 0.2899819, 0.6436237, 1.4996997", \
"0.0513227, 0.0610047, 0.0851183, 0.1442285, 0.2899496, 0.6425736, 1.4997609", \
"0.0513244, 0.0610718, 0.0851465, 0.1442187, 0.2900056, 0.6436717, 1.4995735");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("6.4295969, 6.4397967, 6.4607826, 6.5068222, 6.5901449, 6.7679267, 7.1720150", \
"8.7636289, 8.7734522, 8.7947916, 8.8386924, 8.9244763, 9.1023979, 9.5088710", \
"11.9013430, 11.9111170, 11.9325050, 11.9763950, 12.0621580, 12.2400980, 12.6466390", \
"12.6532310, 12.6629350, 12.6843910, 12.7282740, 12.8140830, 12.9920120, 13.3984220", \
"17.2863800, 17.2962200, 17.3175520, 17.3614970, 17.4471810, 17.6249340, 18.0315320", \
"20.9939340, 20.9939356, 21.0250730, 21.0691070, 21.1547210, 21.3182630, 21.7386500", \
"23.7406250, 23.7412260, 23.7716800, 23.8155520, 23.9013110, 24.0840950, 24.4851620", \
"27.5581960, 27.5653440, 27.5883990, 27.6263050, 27.7189100, 27.8913020, 28.2955700", \
"75.3984470, 75.3984490, 75.4070300, 75.4529520, 75.5593490, 75.7232070, 76.1234280", \
"103.8770100, 103.8865700, 103.9096800, 103.9454800, 104.0394000, 104.2159100, 104.6232300", \
"218.5534700, 218.5534745, 218.5826300, 218.6306900, 218.7191400, 218.8829700, 219.2814300", \
"596.0133100, 596.0768000, 596.1208000, 596.1208191, 596.1884400, 596.3149200, 596.7111700");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("0.0585379, 0.0670033, 0.0858549, 0.1245751, 0.2099843, 0.4156853, 0.9371413", \
"0.0588694, 0.0670007, 0.0855545, 0.1252990, 0.2115984, 0.4180506, 0.9368499", \
"0.0585437, 0.0667723, 0.0855697, 0.1253381, 0.2112442, 0.4177912, 0.9383788", \
"0.0588374, 0.0669096, 0.0855632, 0.1253252, 0.2116134, 0.4173830, 0.9363719", \
"0.0588877, 0.0670165, 0.0855355, 0.1252670, 0.2115676, 0.4181198, 0.9376662", \
"0.0589594, 0.0667100, 0.0857971, 0.1250474, 0.2112689, 0.4177855, 0.9365609", \
"0.0587730, 0.0670990, 0.0856176, 0.1254491, 0.2110138, 0.4181135, 0.9381108", \
"0.0587426, 0.0671039, 0.0858075, 0.1253926, 0.2115763, 0.4180196, 0.9357081", \
"0.0588926, 0.0667288, 0.0854678, 0.1250562, 0.2113246, 0.4176534, 0.9375576", \
"0.0586465, 0.0671582, 0.0857584, 0.1253186, 0.2115399, 0.4179908, 0.9382902", \
"0.0587527, 0.0670210, 0.0857244, 0.1253155, 0.2114699, 0.4182840, 0.9386929", \
"0.0587674, 0.0671391, 0.0857963, 0.1250942, 0.2115906, 0.4179612, 0.9363361");
}
}
timing () {
related_pin : "INP_DIS";
timing_type : "combinational";
timing_sense : "negative_unate";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("20.0310450, 20.0390620, 20.0588060, 20.1020040, 20.2027800, 20.4474500, 21.0400980", \
"20.1632580, 20.1701220, 20.1886580, 20.2318340, 20.3339390, 20.5797960, 21.1710770", \
"20.2621300, 20.2712730, 20.2898200, 20.3318840, 20.4350830, 20.6787230, 21.2723710", \
"20.3410980, 20.3491480, 20.3676970, 20.4108390, 20.5129820, 20.7576550, 21.3501340", \
"20.4104980, 20.4184280, 20.4371760, 20.4804420, 20.5824740, 20.8272300, 21.4194620", \
"20.4750400, 20.4849880, 20.5035600, 20.5447800, 20.6488070, 20.8916030, 21.4860880", \
"20.5379110, 20.5440680, 20.5636490, 20.6077570, 20.7088660, 20.9542370, 21.5460240");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("0.0513243, 0.0610135, 0.0850932, 0.1443785, 0.2895107, 0.6431899, 1.4991985", \
"0.0513204, 0.0610169, 0.0850558, 0.1443769, 0.2895228, 0.6426167, 1.4990942", \
"0.0514082, 0.0610307, 0.0850817, 0.1441675, 0.2900405, 0.6436405, 1.5007411", \
"0.0513260, 0.0610471, 0.0850657, 0.1443475, 0.2894035, 0.6425484, 1.4996656", \
"0.0513264, 0.0610142, 0.0850499, 0.1443810, 0.2895198, 0.6422030, 1.4991776", \
"0.0513249, 0.0610407, 0.0850810, 0.1443465, 0.2900365, 0.6425627, 1.5007077", \
"0.0513463, 0.0610146, 0.0850268, 0.1444068, 0.2895241, 0.6432393, 1.4992467");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("13.9109650, 13.9207590, 13.9466890, 13.9905770, 14.0715720, 14.2492520, 14.6555260", \
"13.9848520, 13.9947160, 14.0176510, 14.0573460, 14.1456940, 14.3231020, 14.7298800", \
"13.9983070, 14.0081020, 14.0287830, 14.0764260, 14.1589250, 14.3365960, 14.7428590", \
"14.0206260, 14.0304990, 14.0507110, 14.0941620, 14.1813140, 14.3589880, 14.7654450", \
"14.0182740, 14.0280210, 14.0481030, 14.0918160, 14.1789260, 14.3564470, 14.7627750", \
"14.0239760, 14.0337150, 14.0507540, 14.0983480, 14.1846210, 14.3621300, 14.7685850", \
"14.0214910, 14.0312080, 14.0518640, 14.0952390, 14.1821410, 14.3598080, 14.7663370");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
values("0.0587466, 0.0669621, 0.0852870, 0.1251803, 0.2110514, 0.4179105, 0.9414075", \
"0.0586151, 0.0670172, 0.0856469, 0.1251147, 0.2113473, 0.4181011, 0.9414564", \
"0.0588155, 0.0669455, 0.0854761, 0.1250785, 0.2113849, 0.4179050, 0.9413705", \
"0.0587530, 0.0668613, 0.0855217, 0.1250513, 0.2113735, 0.4179132, 0.9393583", \
"0.0586144, 0.0669949, 0.0856473, 0.1248812, 0.2113224, 0.4179810, 0.9413767", \
"0.0586142, 0.0669943, 0.0853814, 0.1250397, 0.2113453, 0.4180574, 0.9418786", \
"0.0585816, 0.0668827, 0.0854549, 0.1248864, 0.2113721, 0.4177026, 0.9427334");
}
}
}
pin ("IN_H") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO_Q";
related_ground_pin : "VSSD";
always_on : true;
function : "(PAD&!INP_DIS)";
max_capacitance : 1.264580;
max_transition : 3.749669;
internal_power () {
related_pin : "PAD";
when : "( IB_MODE_SEL )";
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
values("1.1339313, 1.1371445, 1.1438147, 1.1542877, 1.1687577, 1.1810911, 1.1856970", \
"2.4147030, 2.4187592, 2.4282050, 2.4370902, 2.4526427, 2.4633926, 2.4686707", \
"2.3510460, 2.3582761, 2.3696485, 2.3946199, 2.4373836, 2.4592060, 2.4670494", \
"2.3769641, 2.3776807, 2.3785587, 2.3862711, 2.3857771, 2.3875871, 2.4381859", \
"2.3814512, 2.3823367, 2.3839383, 2.4008402, 2.3900101, 2.3920360, 2.3944468", \
"2.3822992, 2.3867846, 2.3891924, 2.4059333, 2.3947396, 2.4101741, 2.3988105", \
"2.3929645, 2.3891074, 2.3908117, 2.4076808, 2.4110039, 2.4137953, 2.4172339");
}
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
values("1.0461569, 1.0482654, 1.0503146, 1.0538447, 1.0549998, 1.0623123, 1.0530937", \
"-0.1293473, -0.1301251, -0.1298073, -0.1244427, -0.1250118, -0.1163854, -0.1286208", \
"0.1022717, 0.0969182, 0.0837138, 0.0559462, 0.0238223, 0.0095646, -0.0013630", \
"0.2326626, 0.2324670, 0.2339758, 0.2367426, 0.2368185, 0.1794179, 0.1315363", \
"0.3505362, 0.3508823, 0.3538909, 0.3522249, 0.3567163, 0.3612776, 0.2646322", \
"0.4613098, 0.4584369, 0.4589895, 0.4598118, 0.4568550, 0.4676624, 0.4583371", \
"0.5623299, 0.5510394, 0.5604027, 0.5537801, 0.5535354, 0.5556739, 0.5787421");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
values("0.9084168, 0.9108084, 0.9129281, 0.9146214, 0.9177159, 0.9200587, 0.9115916", \
"-0.1517846, -0.1517377, -0.1407333, -0.1454854, -0.1421126, -0.1234347, -0.1651774", \
"-0.1345469, -0.1336908, -0.1316086, -0.1302619, -0.1293863, -0.1213431, -0.1313271", \
"-0.0410353, -0.0427766, -0.0422608, -0.0404084, -0.0767273, -0.1035380, -0.1166680", \
"-0.0248898, -0.0275013, -0.0270528, -0.0254645, -0.0315905, -0.0282647, -0.0885958", \
"-0.0173745, -0.0162491, -0.0130165, -0.0136967, -0.0210525, -0.0038960, -0.0613356", \
"-0.0112783, -0.0011706, -1.8134304e-05, -0.0086006, -0.0037763, 0.0106961, -0.0106596");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
values("1.0900938, 1.0937287, 1.1012316, 1.1155656, 1.1330867, 1.1465794, 1.1546929", \
"2.1201068, 2.1214647, 2.1339770, 2.1457838, 2.1592563, 2.1726327, 2.1782201", \
"2.1137907, 2.1154252, 2.1248368, 2.1370785, 2.1553820, 2.1701933, 2.1738915", \
"2.1092949, 2.1172057, 2.1203104, 2.1344725, 2.1517547, 2.1650013, 2.1733925", \
"2.1024729, 2.1076957, 2.1132972, 2.1272951, 2.1452694, 2.1599896, 2.1718267", \
"2.0970398, 2.1130224, 2.1082558, 2.1236572, 2.1419888, 2.1567745, 2.1679060", \
"2.0660303, 2.0819314, 2.0790962, 2.0966074, 2.1231599, 2.1542885, 2.1669812");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
values("0.9656484, 0.9669258, 0.9688224, 0.9720364, 0.9750366, 0.9748104, 0.9720221", \
"0.0960272, 0.0981452, 0.1005454, 0.1024344, 0.1075546, 0.1059131, 0.1041651", \
"0.2909318, 0.2914738, 0.2945749, 0.2954958, 0.2982951, 0.2963559, 0.2887761", \
"0.5333458, 0.5315057, 0.5262317, 0.5087818, 0.4798765, 0.4788329, 0.4843240", \
"0.7209985, 0.7179135, 0.7222380, 0.7194581, 0.7168588, 0.6672018, 0.6371475", \
"0.8857162, 0.8681871, 0.8825868, 0.8697994, 0.8713956, 0.8921378, 0.8083442", \
"1.0255372, 1.0152726, 1.0282861, 1.0053669, 1.0251046, 1.0287920, 0.9694791");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
values("1.1378975, 1.1423276, 1.1487691, 1.1621546, 1.1784283, 1.1907337, 1.1972901", \
"2.1558989, 2.1583627, 2.1696085, 2.1813801, 2.1988344, 2.2080324, 2.2123765", \
"2.1490867, 2.1528048, 2.1598689, 2.1697936, 2.1866185, 2.2002164, 2.2065297", \
"2.1430639, 2.1476846, 2.1534833, 2.1670573, 2.1834019, 2.1959294, 2.2020734", \
"2.1199088, 2.1253444, 2.1339716, 2.1540788, 2.1883767, 2.1915510, 2.1990276", \
"2.0779049, 2.0832041, 2.0922938, 2.1210898, 2.1463853, 2.1693506, 2.1947812", \
"2.1255510, 2.1129563, 2.1137972, 2.1272597, 2.1288232, 2.1333909, 2.1768054");
}
}
internal_power () {
related_pin : "INP_DIS";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
values("1.6303605, 1.6853433, 1.7001894, 1.7067791, 1.7131891, 1.7111558, 1.7017368", \
"1.6337259, 1.6832443, 1.6944294, 1.7059047, 1.7126932, 1.7150266, 1.7072840", \
"1.6248925, 1.6848908, 1.6949249, 1.7060611, 1.7124289, 1.7079962, 1.7102193", \
"1.6254566, 1.6797348, 1.6917848, 1.7057052, 1.7120916, 1.7204000, 1.6986114", \
"1.6239361, 1.6909515, 1.7031184, 1.7051929, 1.7114577, 1.7228386, 1.7076443", \
"1.6228128, 1.6882882, 1.6948337, 1.7052954, 1.7109182, 1.7156286, 1.7068422", \
"1.6318669, 1.6780650, 1.6935349, 1.7047418, 1.7017237, 1.7155677, 1.7072652");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
values("1.1677583, 1.1718750, 1.1769075, 1.1895744, 1.2047720, 1.2241761, 1.2353695", \
"1.1688216, 1.1736116, 1.1752577, 1.1871862, 1.2057299, 1.2218971, 1.2315172", \
"1.1687469, 1.1798362, 1.1758739, 1.2099519, 1.2180688, 1.2244510, 1.2261464", \
"1.1686121, 1.1671029, 1.1797205, 1.1836467, 1.2056721, 1.2225724, 1.2368920", \
"1.1678695, 1.1618800, 1.1850827, 1.1749669, 1.2232974, 1.2231260, 1.2177936", \
"1.1678373, 1.1591715, 1.1907566, 1.1863254, 1.2044269, 1.2252175, 1.2358886", \
"1.1669533, 1.1724320, 1.1785119, 1.1850700, 1.2065545, 1.2251245, 1.2348959");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( IB_MODE_SEL )";
sdf_cond : " IB_MODE_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
values("3.9175956, 3.9545096, 4.0307575, 4.1858623, 4.4970978, 5.1465987, 6.5667898", \
"5.8420837, 5.8771712, 5.9534049, 6.1092607, 6.4209869, 7.0690458, 8.4914218", \
"7.3497914, 7.3863808, 7.4629023, 7.6181275, 7.9300699, 8.5782732, 9.9925446", \
"7.6859648, 7.7225204, 7.7988851, 7.9550748, 8.2663396, 8.9150332, 10.3254830", \
"9.7043653, 9.7412762, 9.8164508, 9.9723483, 10.2838350, 10.9321310, 12.3514960", \
"11.3602950, 11.4119900, 11.4819210, 11.6458030, 11.9544630, 12.6041680, 14.0235330", \
"12.6180780, 12.6757620, 12.7380060, 12.8934370, 13.2098090, 13.8587890, 15.2813580", \
"14.4177590, 14.4496190, 14.5255510, 14.6871370, 14.9858080, 15.6348980, 17.0608220", \
"38.8425750, 38.8775520, 38.9471460, 39.0377120, 39.4356510, 40.0477450, 41.4256110", \
"54.5999050, 54.6794750, 54.6794758, 54.8435250, 55.1668080, 55.8693070, 57.2940200", \
"124.9550100, 125.0417200, 125.1069200, 125.1936700, 125.6168900, 125.8429300, 127.7182200", \
"374.0582300, 374.0582428, 374.4201500, 374.4201508, 374.4201813, 374.8819200, 377.0865400");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
values("0.4258101, 0.4587618, 0.5305720, 0.6848032, 1.0410113, 1.8548393, 3.7640735", \
"0.4229001, 0.4567568, 0.5314382, 0.6889118, 1.0441514, 1.8720967, 3.7965826", \
"0.4241620, 0.4568126, 0.5266867, 0.6881248, 1.0422135, 1.8560377, 3.7178400", \
"0.4248213, 0.4573845, 0.5315190, 0.6862416, 1.0413361, 1.8554976, 3.7259222", \
"0.4230808, 0.4553228, 0.5317543, 0.6869401, 1.0426453, 1.8707575, 3.7560377", \
"0.4236579, 0.4554863, 0.5308481, 0.6877557, 1.0365950, 1.8682900, 3.7415275", \
"0.4237170, 0.4554655, 0.5306269, 0.6878780, 1.0374818, 1.8570447, 3.7551668", \
"0.4235275, 0.4554867, 0.5307344, 0.6877782, 1.0370723, 1.8664077, 3.7365808", \
"0.4235365, 0.4557901, 0.5308542, 0.6878062, 1.0383602, 1.8665420, 3.7197621", \
"0.4235252, 0.4555014, 0.5307052, 0.6878409, 1.0370110, 1.8664734, 3.7190599", \
"0.4235348, 0.4555022, 0.5309172, 0.6877138, 1.0372190, 1.8665265, 3.7432266", \
"0.4235402, 0.4555020, 0.5309782, 0.6876861, 1.0376263, 1.8666344, 3.7670254");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
values("4.4616120, 4.4867163, 4.5413517, 4.6403348, 4.8430024, 5.2010862, 5.8494658", \
"6.3774754, 6.4034413, 6.4564136, 6.5593162, 6.7613870, 7.1206121, 7.7694740", \
"7.7805948, 7.8061122, 7.8600957, 7.9643048, 8.1629974, 8.5227265, 9.1708356", \
"8.0843261, 8.1095850, 8.1599456, 8.2631413, 8.4646468, 8.8221546, 9.4704289", \
"9.8241797, 9.8494768, 9.9033146, 10.0087170, 10.2091690, 10.5677880, 11.2146930", \
"11.2076980, 11.2167930, 11.2679610, 11.3712360, 11.5731500, 11.9297980, 12.5776270", \
"12.2031570, 12.2271500, 12.2686080, 12.3845970, 12.5830540, 12.9426910, 13.5882040", \
"13.5788520, 13.6136850, 13.6664090, 13.7669400, 13.9695560, 14.3282390, 14.9770150", \
"30.2675040, 30.2675047, 30.3187800, 30.3629730, 30.6043300, 30.9448720, 31.5708310", \
"39.6628440, 39.6835200, 39.7066340, 39.8361520, 40.0327840, 40.3934950, 41.0436660", \
"75.3855150, 75.3855172, 75.4398570, 75.5297620, 75.7728390, 76.0748550, 76.7675060", \
"185.0891900, 185.0981700, 185.1828800, 185.2821800, 185.5002600, 185.8249400, 186.4588600");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
values("0.3232784, 0.3422926, 0.3843880, 0.4793463, 0.6543373, 0.9796917, 1.6662099", \
"0.3248465, 0.3423910, 0.3871802, 0.4768754, 0.6529622, 0.9767397, 1.6694824", \
"0.3219727, 0.3441158, 0.3858823, 0.4752405, 0.6529541, 0.9751770, 1.6611611", \
"0.3236460, 0.3427424, 0.3868500, 0.4779901, 0.6519778, 0.9785716, 1.6598343", \
"0.3231409, 0.3445891, 0.3873891, 0.4751262, 0.6507808, 0.9781214, 1.6689451", \
"0.3249983, 0.3439396, 0.3870539, 0.4777058, 0.6510244, 0.9753481, 1.6693820", \
"0.3231372, 0.3451758, 0.3841303, 0.4760754, 0.6516977, 0.9795281, 1.6686685", \
"0.3230992, 0.3435270, 0.3862987, 0.4786104, 0.6531413, 0.9793948, 1.6655474", \
"0.3225652, 0.3450245, 0.3859453, 0.4789148, 0.6518577, 0.9795670, 1.6709027", \
"0.3226628, 0.3418864, 0.3865239, 0.4789659, 0.6516937, 0.9796158, 1.6644576", \
"0.3225282, 0.3436501, 0.3852738, 0.4747350, 0.6513527, 0.9816669, 1.6674024", \
"0.3235944, 0.3419576, 0.3863624, 0.4787085, 0.6518801, 0.9791714, 1.6645260");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
values("4.9541264, 4.9865312, 5.0607052, 5.2183036, 5.5293250, 6.1718586, 7.5852977", \
"7.2093265, 7.2451716, 7.3219621, 7.4750508, 7.7846218, 8.4306988, 9.8484323", \
"8.8859613, 8.9220720, 8.9974446, 9.1517869, 9.4613490, 10.1082960, 11.5170210", \
"9.2552517, 9.2887902, 9.3645598, 9.5167279, 9.8279251, 10.4726490, 11.8835400", \
"11.3516080, 11.3875980, 11.4633140, 11.6175460, 11.9275320, 12.5737330, 13.9827170", \
"13.0322610, 13.0767550, 13.1523760, 13.3013000, 13.6153120, 14.2625610, 15.6766000", \
"14.2887640, 14.3237110, 14.4014830, 14.5500750, 14.8650930, 15.5047190, 16.9275240", \
"16.0188060, 16.0571330, 16.1408660, 16.2862450, 16.6043590, 17.2477160, 18.6637820", \
"38.6083560, 38.6169210, 38.7367260, 38.8800070, 39.1997650, 39.8049770, 41.2634020", \
"52.4545530, 52.5074630, 52.5418780, 52.7188110, 53.0055080, 53.6809890, 55.0687920", \
"109.8968700, 109.8968773, 109.8968850, 110.1909400, 110.4134600, 111.0944200, 112.3641200", \
"308.6712200, 308.8179600, 308.8455300, 309.0610500, 309.0610505, 310.1031000, 311.2053800");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
values("0.4200105, 0.4529352, 0.5210928, 0.6807577, 1.0386817, 1.8497075, 3.7656158", \
"0.4181667, 0.4549436, 0.5200864, 0.6829587, 1.0345450, 1.8499056, 3.7393253", \
"0.4160778, 0.4513375, 0.5229240, 0.6830211, 1.0387272, 1.8611477, 3.7209849", \
"0.4222615, 0.4494831, 0.5245586, 0.6803422, 1.0279844, 1.8582735, 3.7254075", \
"0.4211173, 0.4520793, 0.5242122, 0.6830068, 1.0384134, 1.8611015, 3.7711478", \
"0.4187363, 0.4503848, 0.5230194, 0.6830254, 1.0314496, 1.8598882, 3.7523407", \
"0.4177717, 0.4512860, 0.5233087, 0.6819707, 1.0385759, 1.8615774, 3.7909826", \
"0.4177749, 0.4495420, 0.5246691, 0.6803424, 1.0323956, 1.8442416, 3.7496353", \
"0.4178086, 0.4494540, 0.5208277, 0.6831023, 1.0329703, 1.8610341, 3.7553636", \
"0.4216537, 0.4494790, 0.5201751, 0.6809342, 1.0331459, 1.8567352, 3.7552192", \
"0.4179885, 0.4553425, 0.5208126, 0.6828189, 1.0327545, 1.8496655, 3.7499026", \
"0.4177858, 0.4554253, 0.5208178, 0.6829733, 1.0338035, 1.8605122, 3.7571954");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
values("7.7151139, 7.7408098, 7.7933038, 7.9024645, 8.1153848, 8.4850749, 9.1460430", \
"10.0488280, 10.0746030, 10.1264180, 10.2347510, 10.4475530, 10.8175340, 11.4787730", \
"13.1871370, 13.2131150, 13.2628600, 13.3711580, 13.5825640, 13.9552370, 14.6147310", \
"13.9380320, 13.9653050, 14.0215450, 14.1243290, 14.3370120, 14.7080170, 15.3678120", \
"18.5701410, 18.5959550, 18.6382910, 18.7595380, 18.9580460, 19.3389070, 19.9922510", \
"22.2784370, 22.3075660, 22.3319510, 22.4640640, 22.6575630, 23.0463930, 23.6909460", \
"25.0244020, 25.0448300, 25.1070120, 25.2049580, 25.4259170, 25.7929570, 26.4566950", \
"28.8440150, 28.8568210, 28.9175760, 29.0240220, 29.2335150, 29.6119320, 30.2650810", \
"76.6857580, 76.6857644, 76.7558530, 76.8578230, 77.0879750, 77.4541530, 78.1166420", \
"105.1650100, 105.1917700, 105.2455300, 105.3469100, 105.5633900, 105.9344500, 106.5963300", \
"219.8522400, 219.8522416, 219.9187900, 220.0030900, 220.2235500, 220.6180900, 221.2583800", \
"597.2708500, 597.3908500, 597.3908997, 597.4244500, 597.7730100, 598.1168600, 598.7810100");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
values("0.3267495, 0.3485495, 0.3916613, 0.4882791, 0.6752001, 1.0054340, 1.6923390", \
"0.3272649, 0.3474571, 0.3926356, 0.4900573, 0.6748274, 1.0074730, 1.6889201", \
"0.3275335, 0.3467858, 0.3929971, 0.4891762, 0.6750562, 1.0076077, 1.6926177", \
"0.3272589, 0.3472598, 0.3933174, 0.4891980, 0.6733423, 1.0042994, 1.6907497", \
"0.3274463, 0.3471539, 0.3908624, 0.4899946, 0.6749255, 1.0086498, 1.6921040", \
"0.3254809, 0.3477039, 0.3906228, 0.4873492, 0.6768525, 1.0071964, 1.6912052", \
"0.3271764, 0.3454794, 0.3933922, 0.4874899, 0.6740924, 1.0081335, 1.6818067", \
"0.3274695, 0.3477971, 0.3931285, 0.4879062, 0.6760370, 1.0072050, 1.6926981", \
"0.3276387, 0.3461985, 0.3918175, 0.4887209, 0.6753346, 1.0072957, 1.6899813", \
"0.3280577, 0.3454879, 0.3919226, 0.4887390, 0.6741962, 1.0038588, 1.6860040", \
"0.3274165, 0.3464175, 0.3920044, 0.4882890, 0.6759769, 1.0081128, 1.6930275", \
"0.3277694, 0.3477861, 0.3928387, 0.4891778, 0.6756097, 1.0086820, 1.6923127");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
values("4.9714108, 5.0074127, 5.0828284, 5.2365565, 5.5468372, 6.1931233, 7.6092466", \
"7.5191338, 7.5552373, 7.6308870, 7.7846751, 8.0957792, 8.7414387, 10.1531850", \
"9.6257748, 9.6618686, 9.7386756, 9.8921733, 10.2007630, 10.8464900, 12.2581100", \
"10.1134560, 10.1493860, 10.2262030, 10.3797290, 10.6906390, 11.3363620, 12.7454110", \
"12.9923690, 13.0289660, 13.1057490, 13.2586050, 13.5646260, 14.2137610, 15.6309350", \
"15.3659170, 15.4021580, 15.4789910, 15.6320970, 15.9383950, 16.5876610, 17.9854280", \
"17.1666080, 17.2020260, 17.2784330, 17.4319400, 17.7352440, 18.3880320, 19.8024350", \
"19.7108110, 19.7469860, 19.8238470, 19.9770010, 20.2854570, 20.9333370, 22.3470580", \
"54.7021560, 54.8452200, 54.8690730, 55.0240530, 55.2363560, 55.9774380, 57.2928550", \
"77.3622380, 77.3934090, 77.4876340, 77.6225520, 77.8958340, 78.4554640, 79.9904000", \
"172.2417600, 172.8281900, 172.8281937, 172.8282090, 172.8282242, 173.8366000, 174.6621100", \
"510.8633400, 511.1388600, 511.1702400, 511.3454900, 511.3455048, 512.2881200, 513.6794800");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
values("0.4177804, 0.4498871, 0.5249131, 0.6813704, 1.0315654, 1.8607024, 3.7407872", \
"0.4173939, 0.4499802, 0.5249368, 0.6802663, 1.0318854, 1.8617885, 3.7302863", \
"0.4207135, 0.4534563, 0.5230145, 0.6815459, 1.0356072, 1.8464982, 3.7252641", \
"0.4213649, 0.4525398, 0.5199931, 0.6835279, 1.0370806, 1.8619700, 3.7222119", \
"0.4217403, 0.4492659, 0.5245516, 0.6823449, 1.0378662, 1.8545039, 3.7088861", \
"0.4213423, 0.4549900, 0.5200499, 0.6829358, 1.0311647, 1.8497560, 3.7537604", \
"0.4180430, 0.4494720, 0.5245796, 0.6809305, 1.0310722, 1.8609689, 3.7237571", \
"0.4222903, 0.4554635, 0.5210867, 0.6824904, 1.0322139, 1.8587011, 3.7206906", \
"0.4174647, 0.4494389, 0.5238095, 0.6814094, 1.0312536, 1.8593506, 3.7295700", \
"0.4176508, 0.4551421, 0.5199307, 0.6830894, 1.0309691, 1.8543398, 3.7525862", \
"0.4216908, 0.4549886, 0.5208502, 0.6829856, 1.0320956, 1.8539560, 3.7541494", \
"0.4174756, 0.4494889, 0.5238597, 0.6803806, 1.0310108, 1.8620984, 3.7591774");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
values("5.4771676, 5.5050613, 5.5567249, 5.6650973, 5.8757852, 6.2440595, 6.9053732", \
"8.2185760, 8.2454381, 8.2983771, 8.4050216, 8.6157881, 8.9867367, 9.6467989", \
"11.3958410, 11.4217370, 11.4733990, 11.5817020, 11.7929140, 12.1646260, 12.8238580", \
"12.1047350, 12.1304700, 12.1826100, 12.2908950, 12.5019980, 12.8729760, 13.5321860", \
"16.2902170, 16.3266220, 16.4019300, 16.5098410, 16.7184770, 17.0885140, 17.7497520", \
"19.6610300, 19.6774400, 19.7669700, 19.8752660, 20.0863930, 20.4578130, 21.1169390", \
"22.1886400, 22.2144700, 22.2604870, 22.3685300, 22.5796130, 22.9369960, 23.6110540", \
"25.6744490, 25.7011500, 25.7178260, 25.8636220, 26.0654980, 26.4437800, 27.0998160", \
"70.2166640, 70.2809050, 70.3413580, 70.4480740, 70.6589840, 71.0630630, 71.7222410", \
"97.0330800, 97.0581700, 97.1285840, 97.2330480, 97.4434040, 97.7290360, 98.4678080", \
"205.3030200, 205.3173200, 205.4181500, 205.5038700, 205.6418800, 206.0931500, 206.7483400", \
"562.2396200, 562.2396546, 562.2793000, 562.4959800, 562.6670300, 563.0097300, 563.6158600");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
values("0.3253622, 0.3462698, 0.3912946, 0.4905379, 0.6744521, 1.0038886, 1.6918802", \
"0.3253730, 0.3461687, 0.3915497, 0.4883202, 0.6743117, 1.0068169, 1.6886101", \
"0.3275111, 0.3475925, 0.3925589, 0.4865697, 0.6730086, 1.0047040, 1.6917189", \
"0.3272705, 0.3476253, 0.3915145, 0.4898061, 0.6742603, 1.0071137, 1.6867048", \
"0.3253682, 0.3476104, 0.3911954, 0.4867742, 0.6754902, 1.0070930, 1.6907681", \
"0.3259835, 0.3462619, 0.3913830, 0.4875459, 0.6743552, 1.0063357, 1.6859737", \
"0.3257971, 0.3454898, 0.3917406, 0.4900528, 0.6744365, 1.0069733, 1.6901427", \
"0.3257496, 0.3460278, 0.3909562, 0.4878899, 0.6743798, 1.0070494, 1.6916051", \
"0.3269237, 0.3484272, 0.3917016, 0.4879043, 0.6748726, 1.0043040, 1.6903050", \
"0.3251729, 0.3463650, 0.3912861, 0.4883196, 0.6746415, 1.0045067, 1.6925982", \
"0.3278325, 0.3458975, 0.3917416, 0.4880015, 0.6761701, 1.0081345, 1.6880445", \
"0.3286343, 0.3478952, 0.3926345, 0.4888128, 0.6745848, 1.0078605, 1.6903661");
}
}
timing () {
related_pin : "INP_DIS";
timing_type : "combinational";
timing_sense : "negative_unate";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
values("19.4203960, 19.4564040, 19.5325370, 19.6840320, 19.9928980, 20.6338080, 22.0270080", \
"19.5516490, 19.5876580, 19.6629980, 19.8170330, 20.1241620, 20.7650870, 22.1600600", \
"19.6513670, 19.6873270, 19.7626060, 19.9163140, 20.2236360, 20.8645440, 22.2599310", \
"19.7300450, 19.7660480, 19.8413910, 19.9938020, 20.3025420, 20.9434960, 22.3367780", \
"19.7991420, 19.8351040, 19.9104500, 20.0642580, 20.3714960, 21.0123970, 22.4072800", \
"19.8655300, 19.9014800, 19.9767810, 20.1288050, 20.4378260, 21.0787250, 22.4717970", \
"19.9263180, 19.9622430, 20.0362640, 20.1917010, 20.4967760, 21.1394140, 22.5335640");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
values("0.4179542, 0.4509029, 0.5208711, 0.6784734, 1.0301122, 1.8364975, 3.6940155", \
"0.4179446, 0.4508893, 0.5218137, 0.6784765, 1.0300999, 1.8367440, 3.6943668", \
"0.4169901, 0.4500644, 0.5210954, 0.6784717, 1.0299129, 1.8363410, 3.6889483", \
"0.4179791, 0.4509256, 0.5217955, 0.6779563, 1.0301853, 1.8367813, 3.6941274", \
"0.4167988, 0.4500868, 0.5212304, 0.6784781, 1.0298539, 1.8369523, 3.6939443", \
"0.4170919, 0.4501179, 0.5211165, 0.6784752, 1.0299179, 1.8368410, 3.6943550", \
"0.4171931, 0.4500964, 0.5210930, 0.6779519, 1.0298526, 1.8371864, 3.6941601");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
values("18.4116720, 18.4354950, 18.5081440, 18.6437600, 18.9054830, 19.3895670, 20.1708930", \
"18.4778110, 18.5106130, 18.5807370, 18.7121570, 18.9743330, 19.4547970, 20.2451780", \
"18.4943120, 18.5246640, 18.5933490, 18.7339870, 18.9961150, 19.4716580, 20.2561400", \
"18.5092400, 18.5456880, 18.6141590, 18.7473410, 19.0094910, 19.4894020, 20.2783230", \
"18.5106150, 18.5484930, 18.6161540, 18.7516690, 19.0147280, 19.4880020, 20.2805290", \
"18.5158080, 18.5496360, 18.6173570, 18.7514870, 19.0138540, 19.4928090, 20.2817460", \
"18.5108960, 18.5490630, 18.6174700, 18.7520930, 19.0152160, 19.4941340, 20.2829560");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
values("0.4807619, 0.5005645, 0.5528836, 0.6636011, 0.8981836, 1.3005662, 1.9946378", \
"0.4817252, 0.5050319, 0.5521844, 0.6643506, 0.8982571, 1.2999894, 1.9925146", \
"0.4805085, 0.5017761, 0.5525395, 0.6654951, 0.8983918, 1.3022590, 1.9949107", \
"0.4785109, 0.5021973, 0.5531766, 0.6686507, 0.9002026, 1.2977600, 1.9890882", \
"0.4829624, 0.5004051, 0.5516973, 0.6653902, 0.8985192, 1.3007683, 1.9925128", \
"0.4805292, 0.5004240, 0.5518222, 0.6650978, 0.8983784, 1.3003877, 1.9924531", \
"0.4784334, 0.5023099, 0.5513317, 0.6657141, 0.8990128, 1.3004114, 1.9933424");
}
}
}
pin (PAD) {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
function : "(OUT)";
is_pad : true;
three_state : "OE_N";
capacitance : 1.206758;
max_capacitance : 551.207000;
max_transition : 25.0;
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.3952106, 6.4011455, 6.4159253, 6.3721331, 6.3184291, 5.2115576, -10.9794228", \
"6.3956259, 6.3937541, 6.3943704, 6.3949888, 6.3179025, 5.3405515, -11.0239988", \
"6.3943252, 6.3939943, 6.3939813, 6.3990883, 6.3187992, 5.3626118, -10.6285558", \
"6.3943665, 6.3941245, 6.3952070, 6.3949407, 6.3175625, 5.3549653, -11.0183428", \
"6.3933227, 6.3957348, 6.3961473, 6.3987806, 6.3155251, 5.3460354, -11.1445196", \
"6.3960246, 6.3953441, 6.3942669, 6.4000643, 6.3177786, 5.3497205, -10.9574237", \
"6.3940896, 6.3956525, 6.3919983, 6.3925261, 6.3170839, 5.3357404, -11.1899638");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.7041921, 3.7079547, 3.7324356, 3.8337798, 4.1688543, 5.1470431, 5.5530231", \
"3.7010808, 3.7062585, 3.7273488, 3.8316161, 4.1613832, 5.1300753, 5.5500891", \
"3.7156728, 3.7178663, 3.7323500, 3.8344085, 4.1664962, 5.1328664, 5.5502712", \
"3.7034215, 3.7063384, 3.7295945, 3.8314336, 4.1679652, 5.1430533, 5.5521119", \
"3.7028654, 3.7065903, 3.7279300, 3.8313238, 4.1653700, 5.1310537, 5.5509908", \
"3.7024424, 3.7053302, 3.7269952, 3.8296488, 4.1644357, 5.1213538, 5.5514971", \
"3.7051834, 3.7063318, 3.7282136, 3.8313668, 4.1662065, 5.1328630, 5.5506534");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.2121374, 6.2296828, 6.2213756, 6.2340040, 6.2455017, 5.9968265, 0.0808155", \
"6.2200307, 6.2224216, 6.2234711, 6.2255319, 6.2382171, 6.0423234, 0.1437971", \
"6.2231153, 6.2247325, 6.2259854, 6.2290516, 6.2427060, 6.0237718, 0.7401631", \
"6.2207528, 6.2217229, 6.2228605, 6.2272385, 6.2383689, 6.0216061, -0.0499017", \
"6.2217304, 6.2238250, 6.2235163, 6.2274463, 6.2415504, 6.0388870, 0.6531892", \
"6.2193994, 6.2227261, 6.2222790, 6.2281042, 6.2395434, 6.0526964, 0.6879852", \
"6.2225419, 6.2231265, 6.2227214, 6.2277447, 6.2405830, 6.0051181, 0.5265172");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.6759044, 3.6781881, 3.7054493, 3.7158659, 3.7663186, 3.8134502, 3.7960917", \
"3.6705102, 3.6784140, 3.6859102, 3.7095172, 3.7657929, 3.7558013, 3.7810498", \
"3.6702156, 3.6805572, 3.6842305, 3.7203635, 3.7604482, 3.7195802, 3.7907581", \
"3.6771456, 3.6784579, 3.6886993, 3.7232780, 3.7524699, 3.5411849, 3.7647661", \
"3.6731413, 3.6787857, 3.6852540, 3.7181851, 3.7726615, 3.7763730, 3.7737830", \
"3.6785184, 3.6793029, 3.6869235, 3.7213066, 3.7378121, 4.1771945, 3.7728227", \
"3.6807104, 3.6818605, 3.6852662, 3.7243790, 3.7942931, 3.7364696, 3.7446137");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1389532, 0.1404327, 0.1397539, 0.1400159, 0.1398470, 0.1389526, 0.1390105", \
"0.1945292, 0.1944408, 0.1943650, 0.1944668, 0.1941872, 0.1945110, 0.1944545", \
"0.2675012, 0.2673091, 0.2672661, 0.2674760, 0.2675026, 0.2675525, 0.2674948", \
"0.3423788, 0.3423582, 0.3422508, 0.3424508, 0.3426217, 0.3426819, 0.3426859", \
"0.4155872, 0.4157135, 0.4155674, 0.4158116, 0.4161665, 0.4164047, 0.4164310", \
"0.4969604, 0.4967203, 0.4967903, 0.4963798, 0.4969673, 0.4972239, 0.4973018", \
"0.6855459, 0.6847246, 0.6840529, 0.6808488, 0.6748504, 0.6730557, 0.6723602");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("5.6252624, 5.6115798, 5.6133028, 5.6134563, 5.6428824, 5.4590764, -0.3693482", \
"5.6197392, 5.6166107, 5.6260666, 5.6192444, 5.6360751, 5.4399447, -0.5351468", \
"5.6172431, 5.6207243, 5.6259020, 5.6259549, 5.6375060, 5.4383797, -0.5145525", \
"5.6178945, 5.6180762, 5.6206003, 5.6232141, 5.6380884, 5.3919572, -0.3933121", \
"5.6190152, 5.6250937, 5.6193869, 5.6223333, 5.6379731, 5.4387709, -0.3989127", \
"5.6183729, 5.6182918, 5.6192528, 5.6218584, 5.6340318, 5.4808462, -0.4298855", \
"5.6203206, 5.6176495, 5.6157895, 5.6228455, 5.6380330, 5.4388737, -0.4928974");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1389435, 0.1389519, 0.1389506, 0.1389505, 0.1389453, 0.1389272, 0.1389365", \
"0.1927839, 0.1927898, 0.1927786, 0.1927882, 0.1927868, 0.1927792, 0.1927767", \
"0.2497904, 0.2497969, 0.2498455, 0.2497958, 0.2497946, 0.2497868, 0.2498334", \
"0.2988497, 0.2988560, 0.2988745, 0.2988545, 0.2988533, 0.2988451, 0.2988621", \
"0.3706314, 0.3706365, 0.3709063, 0.3706306, 0.3706258, 0.3706177, 0.3708873", \
"0.4747081, 0.4747126, 0.4747122, 0.4746977, 0.4746888, 0.4746801, 0.4745856", \
"0.7091355, 0.7091449, 0.7078490, 0.7092400, 0.7093096, 0.7093341, 0.7081962");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.4448965, 2.4025773, 2.3613826, 2.4734236, 2.5037952, 4.3012902, 2.6994325", \
"2.4446014, 2.4571232, 2.4417581, 2.4479559, 2.4513449, 2.4556843, 2.4530349", \
"2.4465850, 2.4376073, 2.4469037, 2.4298642, 2.4519674, 2.4481280, 2.4793281", \
"2.4470555, 2.4527280, 2.4454645, 2.4501901, 2.4625683, 2.4902562, 2.4483884", \
"2.4465930, 2.4439437, 2.4430197, 2.4689675, 2.4545955, 2.4819243, 2.4619523", \
"2.4443418, 2.4457935, 2.4448613, 2.4696848, 2.4546497, 2.5032627, 2.4757845", \
"2.4462229, 2.4390541, 2.4441494, 2.4468161, 2.4510417, 2.6446418, 2.4623697");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.0469234, 3.0488955, 3.0553144, 3.0916573, 3.3109650, 4.7100407, 4.9961764", \
"3.0440387, 3.0451569, 3.0522584, 3.0907374, 3.3079007, 4.6977675, 4.9943306", \
"3.0458891, 3.0485918, 3.0556244, 3.0937094, 3.3059870, 4.6984691, 4.9946918", \
"3.0457811, 3.0465886, 3.0569444, 3.0924525, 3.3094105, 4.6963767, 4.9963876", \
"3.0456355, 3.0462777, 3.0544823, 3.0918743, 3.3089433, 4.6981105, 4.9962054", \
"3.0440751, 3.0463504, 3.0535981, 3.0920957, 3.3085574, 4.7002701, 4.9938594", \
"3.0444832, 3.0461279, 3.0544552, 3.0921178, 3.3094073, 4.6978269, 4.9949603");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1328473, 0.1318944, 0.1328401, 0.1328471, 0.1328492, 0.1328400, 0.1328479", \
"0.1741493, 0.1741751, 0.1741357, 0.1741392, 0.1741430, 0.1741414, 0.1741507", \
"0.2464554, 0.2466832, 0.2464833, 0.2464867, 0.2464896, 0.2464877, 0.2464556", \
"0.3649112, 0.3649274, 0.3644341, 0.3644444, 0.3644614, 0.3644660, 0.3649427", \
"0.6118786, 0.6120694, 0.6111874, 0.6111836, 0.6111862, 0.6111986, 0.6118893", \
"0.7788063, 0.7784805, 0.7787099, 0.7786519, 0.7785361, 0.7783044, 0.7783481", \
"0.8710631, 0.8710521, 0.8709957, 0.8709862, 0.8710172, 0.8707871, 0.8707394");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1389412, 0.1389324, 0.1389272, 0.1389502, 0.1389251, 0.1389409, 0.1389286", \
"0.1927764, 0.1927749, 0.1927744, 0.1927863, 0.1927739, 0.1927846, 0.1927745", \
"0.2498451, 0.2498410, 0.2498355, 0.2497979, 0.2498395, 0.2497970, 0.2498427", \
"0.2988747, 0.2988710, 0.2988654, 0.2988571, 0.2988693, 0.2988559, 0.2988722", \
"0.3709045, 0.3709010, 0.3708947, 0.3706280, 0.3708893, 0.3706216, 0.3708906", \
"0.4746951, 0.4746905, 0.4746816, 0.4747702, 0.4746639, 0.4747574, 0.4746630", \
"0.7071855, 0.7071938, 0.7073690, 0.7085972, 0.7073660, 0.7086966, 0.7073942");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.4742485, 2.5012148, 2.3911478, 2.4622444, 2.5330929, 2.2047718, 2.3379207", \
"2.4491470, 2.4526249, 2.4519303, 2.4468999, 2.4309291, 2.4115540, 2.4587805", \
"2.4539363, 2.4425361, 2.4482520, 2.4527174, 2.4525283, 2.3525829, 2.4478017", \
"2.4403946, 2.4444571, 2.4382182, 2.4370735, 2.4514519, 2.4460212, 2.4588109", \
"2.4488894, 2.4496652, 2.4509541, 2.4490784, 2.4135671, 2.3511156, 2.4564794", \
"2.4473732, 2.4480320, 2.4417516, 2.4593536, 2.4477548, 2.4118331, 2.4508693", \
"2.4448741, 2.4515391, 2.4366700, 2.4426626, 2.3971512, 2.3847716, 2.4708195");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.3720357, 3.3743143, 3.3831256, 3.4453900, 3.5215175, 3.7759238, 5.4177029", \
"3.3608274, 3.3659164, 3.3791365, 3.4402486, 3.5287876, 3.7910015, 5.4065369", \
"3.3713820, 3.3732336, 3.3825577, 3.4419161, 3.5196252, 3.7830755, 5.4216285", \
"3.3701037, 3.3723584, 3.3822018, 3.4398298, 3.5081480, 3.7883266, 5.4248895", \
"3.3695072, 3.3716297, 3.3816388, 3.4390716, 3.5176346, 3.7806946, 5.4196340", \
"3.3697287, 3.3709233, 3.3806780, 3.4401678, 3.5169506, 3.7702150, 5.4183029", \
"3.3699329, 3.3718032, 3.3810690, 3.4394472, 3.5184673, 3.7829658, 5.4183889");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1328389, 0.1328428, 0.1328401, 0.1328429, 0.1328318, 0.1328383, 0.1328332", \
"0.1741393, 0.1741474, 0.1741393, 0.1741473, 0.1741372, 0.1741338, 0.1741392", \
"0.2463868, 0.2463542, 0.2463870, 0.2463534, 0.2463817, 0.2463767, 0.2463815", \
"0.3644075, 0.3648837, 0.3644106, 0.3648984, 0.3644666, 0.3644797, 0.3644842", \
"0.6171008, 0.6177873, 0.6170963, 0.6177778, 0.6170674, 0.6171181, 0.6171453", \
"0.7985246, 0.7986082, 0.7984927, 0.7984658, 0.7977730, 0.7971223, 0.7969928", \
"0.9053550, 0.9054131, 0.9053944, 0.9056222, 0.9056816, 0.9049740, 0.9045625");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("5.4711665, 5.4768165, 5.4663010, 5.4065420, 5.2022367, 4.0538133, -12.0905401", \
"5.4635599, 5.4606530, 5.4587881, 5.4329867, 5.2773321, 4.0896225, -12.0410598", \
"5.4655975, 5.4630843, 5.4620240, 5.4341292, 5.2778853, 4.1868305, -12.1866905", \
"5.4659644, 5.4637839, 5.4589952, 5.4310952, 5.2772634, 4.1480616, -12.1354251", \
"5.4606467, 5.4622903, 5.4574084, 5.4312734, 5.2794704, 4.1430851, -12.1302465", \
"5.4635879, 5.4593170, 5.4576933, 5.4282069, 5.2761141, 4.1536969, -12.4668961", \
"5.4633099, 5.4605929, 5.4592701, 5.4299481, 5.2752854, 4.1295226, -12.2000137");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1389417, 0.1389546, 0.1389464, 0.1386805, 0.1389472, 0.1386994, 0.1389425", \
"0.1945286, 0.1945333, 0.1945296, 0.1945272, 0.1945251, 0.1945292, 0.1945276", \
"0.2675102, 0.2674917, 0.2674899, 0.2674912, 0.2674921, 0.2674976, 0.2674965", \
"0.3423321, 0.3423246, 0.3423237, 0.3423298, 0.3423354, 0.3423417, 0.3423420", \
"0.4159280, 0.4156904, 0.4156924, 0.4157062, 0.4157201, 0.4157296, 0.4157311", \
"0.4965435, 0.4968210, 0.4969691, 0.4967969, 0.4967778, 0.4967772, 0.4967784", \
"0.6732247, 0.6743684, 0.6742572, 0.6738958, 0.6735972, 0.6735160, 0.6735028");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1411004, 0.1389437, 0.1382454, 0.1391056, 0.1397631, 0.1387063, 0.1389521", \
"0.1941825, 0.1945235, 0.1942581, 0.1941329, 0.1944366, 0.1942334, 0.1945121", \
"0.2674772, 0.2674981, 0.2673718, 0.2673878, 0.2675590, 0.2674536, 0.2675555", \
"0.3423519, 0.3423821, 0.3423821, 0.3425284, 0.3427608, 0.3427683, 0.3427031", \
"0.4157513, 0.4156033, 0.4157614, 0.4160231, 0.4161682, 0.4167976, 0.4164718", \
"0.4966546, 0.4970024, 0.4966595, 0.4964978, 0.4969336, 0.4970170, 0.4973440", \
"0.6846477, 0.6853264, 0.6838441, 0.6803030, 0.6749583, 0.6724451, 0.6725530");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.8063441, 6.8019987, 6.8286592, 6.9387751, 6.8772523, 5.5526196, -0.0471722", \
"6.7979162, 6.8008483, 6.8256084, 6.9460346, 6.8500867, 5.6071616, -0.2559636", \
"6.7937803, 6.8028872, 6.8274552, 6.9457928, 6.8528867, 5.6104708, -0.0844546", \
"6.7928323, 6.8018615, 6.8254117, 6.9457165, 6.8716759, 5.6068955, -0.1370458", \
"6.7943715, 6.8018537, 6.8262734, 6.9356178, 6.8707778, 5.5937199, -0.1486308", \
"6.7951313, 6.8009140, 6.8255631, 6.9360407, 6.8709361, 5.5893961, -0.1088908", \
"6.7910924, 6.8005891, 6.8247326, 6.9445647, 6.8700323, 5.6625862, -0.1697145");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.0664202, 6.0499555, 6.0650844, 6.0168773, 5.9938762, 4.7430270, -11.8777588", \
"6.0662158, 6.0655249, 6.0608061, 6.0368530, 5.8798020, 4.8019558, -11.7927808", \
"6.0686703, 6.0646631, 6.0648773, 6.0385143, 5.8559555, 4.7614365, -11.5266428", \
"6.0628630, 6.0651420, 6.0601170, 6.0354067, 5.8757753, 4.8161888, -11.5984388", \
"6.0658698, 6.0653212, 6.0597814, 6.0379715, 5.8890724, 4.7496800, -11.7627516", \
"6.0672949, 6.0657957, 6.0617499, 6.0380293, 5.8818222, 4.7968598, -11.8405277", \
"6.0663842, 6.0607754, 6.0616992, 6.0324526, 5.8816843, 4.8770413, -11.7357918");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.8964916, 2.9036814, 2.9191762, 2.9100541, 2.9082457, 2.8960508, 2.9335913", \
"2.9050112, 2.9008745, 2.9016272, 2.9004500, 2.9071798, 2.9144719, 2.8986725", \
"2.9046733, 2.9071674, 2.8987366, 2.9166886, 2.9033137, 2.9232051, 2.8943916", \
"2.9091523, 2.9015813, 2.9006244, 2.9042203, 2.9076593, 2.9138662, 2.9047632", \
"2.9145582, 2.9004174, 2.9104398, 2.9041590, 2.9133014, 2.9092957, 2.9138568", \
"2.9019755, 2.9012488, 2.9154595, 2.9050470, 2.9074318, 2.9123326, 2.9084859", \
"2.9107336, 2.9024698, 2.8967173, 2.9053338, 2.9078453, 2.9115628, 2.9120596");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.9042179, 2.8948950, 2.9193975, 2.9106545, 2.8933067, 2.9668405, 2.8880606", \
"2.9007952, 2.9064924, 2.9040454, 2.9057389, 2.8978459, 2.8031532, 2.8992938", \
"2.9061792, 2.9050979, 2.9148600, 2.9057896, 2.9097955, 2.8234065, 2.9250879", \
"2.9015607, 2.9138981, 2.9030795, 2.9040078, 2.8916366, 2.9018205, 2.9072328", \
"2.9021432, 2.9102006, 2.8970826, 2.9046243, 2.8671853, 2.8773022, 2.9159425", \
"2.9011914, 2.9125191, 2.8918870, 2.9135435, 2.9007013, 2.8964235, 2.9076322", \
"2.9024616, 2.9050025, 2.8964597, 2.9075439, 2.8892204, 2.9096945, 2.9078633");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.0480924, 6.0518416, 6.0651434, 6.0171388, 5.9586114, 4.5522312, -11.5433108", \
"6.0657934, 6.0656060, 6.0623942, 6.0355884, 5.8809625, 4.7953713, -11.5782298", \
"6.0686690, 6.0648583, 6.0650595, 6.0386626, 5.8801223, 4.7761612, -11.8780318", \
"6.0627780, 6.0654157, 6.0602479, 6.0353247, 5.8762293, 4.8370484, -11.4894128", \
"6.0665823, 6.0649825, 6.0599544, 6.0355928, 5.8875411, 4.7291153, -11.9317556", \
"6.0673817, 6.0656725, 6.0618067, 6.0382496, 5.8818868, 4.7474614, -11.8210817", \
"6.0611632, 6.0611549, 6.0626542, 6.0343738, 5.8887867, 4.7897262, -11.7978758");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.1631661, 6.1592912, 6.1581775, 6.1630083, 6.1796521, 5.9342707, 0.1778229", \
"6.1556607, 6.1546550, 6.1568920, 6.1593366, 6.1718574, 5.9729605, 0.0923157", \
"6.1560210, 6.1562821, 6.1588217, 6.1642067, 6.1740986, 5.9740993, 0.1346876", \
"6.1570963, 6.1556668, 6.1564752, 6.1624925, 6.1720916, 5.9740929, -0.1594439", \
"6.1573591, 6.1550810, 6.1565715, 6.1627454, 6.1720995, 5.9750423, 0.0924561", \
"6.1546161, 6.1537223, 6.1565200, 6.1626141, 6.1732635, 5.9739347, 0.0943975", \
"6.1549518, 6.1544306, 6.1569420, 6.1596391, 6.1726239, 5.9762987, 0.0906500");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1409755, 0.1410173, 0.1410972, 0.1409846, 0.1410597, 0.1409488, 0.1409380", \
"0.1931689, 0.1929789, 0.1930111, 0.1930604, 0.1929785, 0.1931775, 0.1930608", \
"0.2389632, 0.2387869, 0.2388885, 0.2390796, 0.2392606, 0.2393121, 0.2393164", \
"0.2819128, 0.2821895, 0.2822390, 0.2823163, 0.2826389, 0.2828196, 0.2829753", \
"0.3512477, 0.3515253, 0.3511342, 0.3506179, 0.3509144, 0.3512427, 0.3513352", \
"0.5295401, 0.5297513, 0.5289943, 0.5255526, 0.5204362, 0.5180052, 0.5180246", \
"0.7816909, 0.7822651, 0.7840275, 0.7896536, 0.7895918, 0.7869814, 0.7866024");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("4.4961671, 4.5010126, 4.5251821, 4.6582501, 5.0263085, 6.0015666, 6.4239354", \
"4.4805649, 4.4905344, 4.5259045, 4.6534175, 5.0205856, 5.9919883, 6.4187567", \
"4.4972255, 4.4999100, 4.5219284, 4.6554688, 5.0227696, 5.9970553, 6.4179409", \
"4.4871127, 4.4927354, 4.5332446, 4.6537151, 5.0217471, 5.9888333, 6.4187416", \
"4.4865137, 4.4852621, 4.5284042, 4.6531664, 5.0215735, 5.9919111, 6.4164031", \
"4.4868162, 4.4920317, 4.5236791, 4.6529238, 5.0201813, 5.9870268, 6.4112296", \
"4.4961015, 4.4998307, 4.5180393, 4.6529131, 5.0180924, 5.9937486, 6.4165033");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("7.7391355, 7.7413586, 7.7719591, 7.9100327, 7.9014475, 6.8070521, 1.0321856", \
"7.7338900, 7.7334173, 7.7667440, 7.9173362, 7.8952254, 6.7524989, 1.1522758", \
"7.7365419, 7.7424356, 7.7706275, 7.9183357, 7.8986717, 6.7707385, 0.9327627", \
"7.7344625, 7.7402695, 7.7667865, 7.9127158, 7.9121398, 6.7707716, 1.0643147", \
"7.7359289, 7.7354475, 7.7684966, 7.9175891, 7.8980630, 6.8384918, 1.0650691", \
"7.7353864, 7.7416808, 7.7707105, 7.9175627, 7.8944753, 6.7652787, 0.8940137", \
"7.7324951, 7.7403881, 7.7692044, 7.9167730, 7.8963224, 6.7568580, 0.9371218");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1389306, 0.1389323, 0.1389176, 0.1389308, 0.1389343, 0.1389385, 0.1389353", \
"0.1927718, 0.1927722, 0.1927722, 0.1927727, 0.1927838, 0.1927836, 0.1927818", \
"0.2497893, 0.2498342, 0.2497929, 0.2498326, 0.2497941, 0.2497940, 0.2497923", \
"0.2988597, 0.2990837, 0.2988632, 0.2988737, 0.2988641, 0.2988639, 0.2988622", \
"0.3705823, 0.3708505, 0.3705854, 0.3708493, 0.3705853, 0.3705840, 0.3705821", \
"0.4746079, 0.4745192, 0.4746111, 0.4745183, 0.4746052, 0.4745967, 0.4745923", \
"0.7087326, 0.7074464, 0.7087298, 0.7075961, 0.7087359, 0.7087974, 0.7088235");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.0670685, 3.0689390, 3.0856164, 3.1402398, 3.2080684, 3.4035617, 5.3271714", \
"3.0606903, 3.0711991, 3.0805321, 3.1384734, 3.2162035, 3.4814779, 5.1192455", \
"3.0710143, 3.0648660, 3.0826877, 3.1412255, 3.2182335, 3.4824747, 5.1386525", \
"3.0685130, 3.0708334, 3.0813450, 3.1390573, 3.2157084, 3.4759978, 5.1126168", \
"3.0599312, 3.0711722, 3.0803207, 3.1367554, 3.2149270, 3.4612766, 5.1747516", \
"3.0593711, 3.0620850, 3.0751870, 3.1371617, 3.2162547, 3.4918485, 5.1177061", \
"3.0590122, 3.0617407, 3.0747995, 3.1352960, 3.2122407, 3.4759493, 5.1287515");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1389449, 0.1388876, 0.1389517, 0.1389569, 0.1386845, 0.1389590, 0.1389075", \
"0.1945308, 0.1945307, 0.1945351, 0.1945339, 0.1945347, 0.1945279, 0.1945304", \
"0.2675144, 0.2674928, 0.2674958, 0.2674984, 0.2675028, 0.2675041, 0.2675307", \
"0.3423373, 0.3423186, 0.3423306, 0.3423378, 0.3423466, 0.3423492, 0.3423657", \
"0.4159318, 0.4156828, 0.4156976, 0.4157128, 0.4157303, 0.4155875, 0.4159835", \
"0.4965471, 0.4968158, 0.4968317, 0.4968031, 0.4967865, 0.4967833, 0.4965027", \
"0.6732265, 0.6743232, 0.6742618, 0.6739012, 0.6736058, 0.6735225, 0.6723503");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("5.4833842, 5.4740804, 5.4641617, 5.4488415, 5.2784387, 4.0716515, -12.1368971", \
"5.4656160, 5.4637828, 5.4568140, 5.4356890, 5.2772901, 4.1611634, -12.0528358", \
"5.4664250, 5.4652536, 5.4600668, 5.4265688, 5.2790159, 4.1559680, -12.3689505", \
"5.4645627, 5.4621380, 5.4590988, 5.4308918, 5.2728042, 4.1673977, -12.1727111", \
"5.4678635, 5.4626013, 5.4575441, 5.4394353, 5.2794513, 4.1528503, -11.8585705", \
"5.4645710, 5.4621051, 5.4596010, 5.4415456, 5.2810152, 4.1772895, -12.2186261", \
"5.4626880, 5.4633832, 5.4571165, 5.4197380, 5.2766328, 4.2174015, -12.3844187");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.7588468, 2.7576296, 2.7505830, 2.7880328, 3.0101132, 4.2530372, 4.7725817", \
"2.7415890, 2.7464356, 2.7521856, 2.7904100, 3.0115959, 4.3958502, 4.6965398", \
"2.7460709, 2.7493801, 2.7552042, 2.7920686, 3.0097308, 4.4031570, 4.6972312", \
"2.7442253, 2.7421456, 2.7529397, 2.7917279, 3.0079586, 4.4044077, 4.6951183", \
"2.7456099, 2.7351190, 2.7511174, 2.7957909, 3.0078149, 4.3972607, 4.6928854", \
"2.7464777, 2.7470122, 2.7492201, 2.7911303, 3.0047958, 4.3855336, 4.6954159", \
"2.7436870, 2.7442716, 2.7542519, 2.7867066, 3.0084988, 4.3736928, 4.6977712");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1389368, 0.1369396, 0.1389409, 0.1389426, 0.1389376, 0.1389378, 0.1389357", \
"0.1927754, 0.1930804, 0.1927850, 0.1927874, 0.1927826, 0.1927743, 0.1927755", \
"0.2498366, 0.2495841, 0.2497906, 0.2497928, 0.2497877, 0.2497890, 0.2498370", \
"0.2988756, 0.2988497, 0.2988595, 0.2988616, 0.2988565, 0.2988577, 0.2988759", \
"0.3708853, 0.3706645, 0.3706161, 0.3706180, 0.3706134, 0.3706136, 0.3708848", \
"0.4752285, 0.4755426, 0.4753236, 0.4753251, 0.4753196, 0.4753182, 0.4752259", \
"0.7021480, 0.7022038, 0.7034252, 0.7034278, 0.7034402, 0.7034670, 0.7021977");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1393458, 0.1389488, 0.1393392, 0.1390564, 0.1396358, 0.1387701, 0.1389589", \
"0.1944944, 0.1945268, 0.1943276, 0.1944727, 0.1945132, 0.1942827, 0.1945152", \
"0.2673759, 0.2674979, 0.2672711, 0.2675413, 0.2673946, 0.2674033, 0.2675551", \
"0.3423864, 0.3423749, 0.3422367, 0.3424861, 0.3426047, 0.3426680, 0.3426956", \
"0.4155623, 0.4155901, 0.4156313, 0.4158002, 0.4163462, 0.4164973, 0.4164578", \
"0.4970917, 0.4969441, 0.4964341, 0.4968246, 0.4966475, 0.4969932, 0.4973187", \
"0.6853028, 0.6854189, 0.6838555, 0.6808546, 0.6745359, 0.6723199, 0.6726511");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("5.6361292, 5.6107159, 5.6196359, 5.6318210, 5.6374970, 5.3250850, -0.4796997", \
"5.6191351, 5.6202336, 5.6193533, 5.6239338, 5.6366693, 5.4670554, -0.4683210", \
"5.6230393, 5.6219230, 5.6278310, 5.6250702, 5.6381205, 5.4305609, -0.6534001", \
"5.6194597, 5.6185390, 5.6200352, 5.6232730, 5.6371096, 5.4428722, -0.4455412", \
"5.6189115, 5.6204581, 5.6175437, 5.6251839, 5.6361681, 5.4276271, -0.5238907", \
"5.6203553, 5.6170632, 5.6219803, 5.6224643, 5.6364782, 5.4389990, -0.4096983", \
"5.6174444, 5.6167557, 5.6202768, 5.6268401, 5.6353467, 5.4672867, -0.6956736");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("7.3329951, 7.3425448, 7.3643867, 7.4819836, 7.3977541, 6.2191344, 0.2212348", \
"7.3325687, 7.3377364, 7.3623791, 7.4783332, 7.3920350, 6.1272160, 0.3227585", \
"7.3343653, 7.3396172, 7.3640222, 7.4826833, 7.4124296, 6.1450905, 0.2175289", \
"7.3291583, 7.3373557, 7.3621223, 7.4748447, 7.4047983, 6.1360896, 0.3705813", \
"7.3339622, 7.3375644, 7.3619858, 7.4748486, 7.4051769, 6.1245460, 0.3133960", \
"7.3284029, 7.3381538, 7.3629091, 7.4836155, 7.3899032, 6.1244098, 0.4088676", \
"7.3307236, 7.3379843, 7.3624100, 7.4833853, 7.3939291, 6.1914851, 0.6366992");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1410509, 0.1408743, 0.1410096, 0.1409724, 0.1410358, 0.1409807, 0.1409441", \
"0.1929760, 0.1931672, 0.1930981, 0.1929613, 0.1932627, 0.1929840, 0.1931774", \
"0.2390213, 0.2389686, 0.2390390, 0.2391835, 0.2392864, 0.2393918, 0.2393310", \
"0.2820284, 0.2818836, 0.2822520, 0.2822803, 0.2827460, 0.2830573, 0.2828885", \
"0.3514047, 0.3512501, 0.3512960, 0.3509085, 0.3510591, 0.3520300, 0.3513409", \
"0.5298844, 0.5293302, 0.5289396, 0.5252107, 0.5201190, 0.5183572, 0.5175473", \
"0.7818206, 0.7819779, 0.7842433, 0.7892195, 0.7892794, 0.7865153, 0.7863004");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1386930, 0.1389536, 0.1389570, 0.1389516, 0.1389559, 0.1389560, 0.1387027", \
"0.1945269, 0.1945271, 0.1945289, 0.1945218, 0.1945145, 0.1945114, 0.1945113", \
"0.2674985, 0.2674946, 0.2675039, 0.2675548, 0.2675771, 0.2675835, 0.2675780", \
"0.3423753, 0.3423733, 0.3423907, 0.3424818, 0.3426301, 0.3427022, 0.3427110", \
"0.4155885, 0.4155895, 0.4156280, 0.4160481, 0.4164352, 0.4166583, 0.4167020", \
"0.4969640, 0.4969443, 0.4971092, 0.4963899, 0.4965371, 0.4969470, 0.4970330", \
"0.6855510, 0.6852438, 0.6846332, 0.6799013, 0.6741171, 0.6716984, 0.6714915");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("5.6197629, 5.6161382, 5.6243500, 5.6290025, 5.6370503, 5.4308381, -0.5759553", \
"5.6208811, 5.6195328, 5.6205862, 5.6217454, 5.6362564, 5.4419570, -0.4561686", \
"5.6213054, 5.6204637, 5.6230241, 5.6352071, 5.6404910, 5.4391755, -0.4109097", \
"5.6214581, 5.6196037, 5.6213362, 5.6238728, 5.6367896, 5.4354654, -0.4203243", \
"5.6193196, 5.6196197, 5.6208870, 5.6228494, 5.6390729, 5.4651699, -0.4547067", \
"5.6186717, 5.6181921, 5.6213744, 5.6252580, 5.6351182, 5.4377561, -0.4609163", \
"5.6182168, 5.6204472, 5.6208145, 5.6227145, 5.6328665, 5.4344475, -0.4098421");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.7949357, 6.8028704, 6.8277710, 6.9486704, 6.8772549, 5.5893497, -0.3314092", \
"6.7940109, 6.8025539, 6.8260785, 6.9411384, 6.8571019, 5.5894245, -0.2474697", \
"6.7994234, 6.8040338, 6.8282853, 6.9487445, 6.8559476, 5.5913745, -0.1843366", \
"6.7925981, 6.8022922, 6.8263510, 6.9390434, 6.8541079, 5.5687181, -0.2121224", \
"6.7934694, 6.8020433, 6.8266742, 6.9473810, 6.8738534, 5.5862072, -0.2138287", \
"6.7922481, 6.8022870, 6.8246163, 6.9380837, 6.8570314, 5.6083904, -0.0264759", \
"6.7963187, 6.8014118, 6.8261295, 6.9434783, 6.8741748, 5.6006865, -0.0545745");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1388645, 0.1386857, 0.1389457, 0.1389087, 0.1389480, 0.1389608, 0.1388456", \
"0.1945264, 0.1945302, 0.1945274, 0.1945214, 0.1945165, 0.1945155, 0.1945108", \
"0.2675209, 0.2674962, 0.2675266, 0.2675252, 0.2675466, 0.2675511, 0.2675774", \
"0.3423720, 0.3423781, 0.3424057, 0.3424660, 0.3426139, 0.3426831, 0.3427636", \
"0.4155960, 0.4156056, 0.4158837, 0.4158139, 0.4162004, 0.4164209, 0.4167164", \
"0.4969848, 0.4969720, 0.4966080, 0.4966969, 0.4969167, 0.4972541, 0.4970608", \
"0.6854611, 0.6848719, 0.6833666, 0.6809883, 0.6751885, 0.6729649, 0.6713981");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.1386936, 0.1389356, 0.1389414, 0.1389387, 0.1389438, 0.1389457, 0.1389369", \
"0.1927837, 0.1927851, 0.1927864, 0.1927753, 0.1927842, 0.1927826, 0.1927752", \
"0.2497916, 0.2497930, 0.2497946, 0.2498389, 0.2497933, 0.2497919, 0.2498384", \
"0.2988513, 0.2988526, 0.2988543, 0.2988681, 0.2988521, 0.2988504, 0.2988673", \
"0.3706327, 0.3706342, 0.3706341, 0.3708978, 0.3706251, 0.3706227, 0.3708925", \
"0.4747139, 0.4747145, 0.4747124, 0.4747016, 0.4746929, 0.4746892, 0.4745951", \
"0.7091051, 0.7091114, 0.7091208, 0.7078980, 0.7092790, 0.7093055, 0.7080028");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.4458148, 2.4385581, 2.4262049, 2.4144799, 2.1975954, 2.5285932, 2.2814342", \
"2.4462194, 2.4443175, 2.4459609, 2.4554423, 2.4217511, 2.4541321, 2.4554969", \
"2.4484658, 2.4506882, 2.4507880, 2.4520244, 2.4592003, 2.4538576, 2.4502567", \
"2.4473753, 2.4476642, 2.4478639, 2.4423199, 2.4455036, 2.4302027, 2.4769799", \
"2.4436234, 2.4464115, 2.4521283, 2.4704737, 2.5536918, 2.4482514, 2.4613319", \
"2.4434820, 2.4441366, 2.4515097, 2.4740498, 2.5340901, 2.7873434, 2.4825599", \
"2.4437641, 2.4441917, 2.4496075, 2.4683846, 2.5269914, 2.5100112, 2.4508372");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("7.3976561, 7.4083957, 7.4339742, 7.5521245, 7.4617931, 6.2574488, 0.5494314", \
"7.3988447, 7.4043498, 7.4274659, 7.5485244, 7.4709962, 6.2020099, 0.3236273", \
"7.3986715, 7.4054101, 7.4306347, 7.5474943, 7.4582723, 6.2657115, 0.3252516", \
"7.3991448, 7.4054956, 7.4292050, 7.5393296, 7.4725418, 6.2084579, 0.4675144", \
"7.3970853, 7.4050720, 7.4291187, 7.5493038, 7.4558760, 6.1973614, 0.3033022", \
"7.4000947, 7.4047463, 7.4287627, 7.5405622, 7.4708211, 6.2080031, 0.3861528", \
"7.3959179, 7.4048414, 7.4290390, 7.5436279, 7.4718971, 6.2098931, 0.4320895");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.6831130, 3.6784761, 3.6670382, 3.7237424, 3.7687867, 3.7819441, 3.7384177", \
"3.6745534, 3.6813262, 3.6846590, 3.7065903, 3.7667353, 3.7324060, 3.7770899", \
"3.6808235, 3.6818123, 3.6945759, 3.7237288, 3.7197455, 3.7347686, 3.7867964", \
"3.6745656, 3.6826330, 3.6914384, 3.7219574, 3.7617411, 3.7633839, 3.7647322", \
"3.6797363, 3.6771455, 3.6923239, 3.7239305, 3.7429271, 3.7367397, 3.7835734", \
"3.6781198, 3.6829328, 3.6742323, 3.7148907, 3.8122152, 3.6722473, 3.7871702", \
"3.6781221, 3.6822404, 3.6887833, 3.7181283, 3.7697377, 3.8265013, 3.7675098");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.5489461, 6.5557111, 6.5683932, 6.5715109, 6.6761586, 6.6049694, 0.5206099", \
"6.5470039, 6.5480491, 6.5559291, 6.5850625, 6.6713216, 6.5750670, 0.8157033", \
"6.5517470, 6.5509395, 6.5591217, 6.5877963, 6.6729539, 6.5927355, 0.7852952", \
"6.5467724, 6.5514288, 6.5561919, 6.5862131, 6.6716317, 6.5832280, 0.7035741", \
"6.5485505, 6.5496898, 6.5547565, 6.5865041, 6.6717892, 6.5889627, 0.7719076", \
"6.5457399, 6.5501821, 6.5532413, 6.5815533, 6.6732161, 6.5771761, 0.7711755", \
"6.5463319, 6.5498066, 6.5548633, 6.5852140, 6.6717693, 6.5988802, 0.8118536");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.6892847, 3.6910884, 3.7019023, 3.7574650, 3.9285200, 4.8089650, 5.5943754", \
"3.6857272, 3.6873053, 3.6987307, 3.7516306, 3.9183644, 4.7906051, 5.5951269", \
"3.6878105, 3.6897521, 3.7009198, 3.7534152, 3.9188458, 4.7937301, 5.5952465", \
"3.6868575, 3.6888780, 3.6999707, 3.7519842, 3.9199310, 4.7930568, 5.5930648", \
"3.6860021, 3.6881592, 3.6987224, 3.7484235, 3.9267045, 4.7918519, 5.5896946", \
"3.6859824, 3.6880667, 3.6981676, 3.7503030, 3.9209234, 4.7918032, 5.5894312", \
"3.6859206, 3.6878947, 3.6996310, 3.7510811, 3.9219137, 4.7819393, 5.5886694");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.3951854, 6.3990452, 6.4013372, 6.3725442, 6.3175834, 5.2683381, -10.7447568", \
"6.3892044, 6.3911998, 6.3847965, 6.3916152, 6.3175907, 5.3421040, -11.2666578", \
"6.3950429, 6.3982973, 6.3951964, 6.4008972, 6.2984535, 5.3547102, -10.9679028", \
"6.3933088, 6.3954451, 6.3949186, 6.3971013, 6.3145619, 5.3672714, -10.9997018", \
"6.3934047, 6.3961555, 6.3928452, 6.3887526, 6.3006186, 5.3278061, -10.8515046", \
"6.3901547, 6.3897549, 6.3881810, 6.3907437, 6.3132726, 5.3758931, -10.9504797", \
"6.3874252, 6.3907112, 6.3857434, 6.3978140, 6.3125289, 5.3119894, -11.0637738");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.9136602, 2.9149612, 2.9170928, 2.9387679, 3.0688566, 3.9343040, 4.7330028", \
"2.9096809, 2.9100840, 2.9143410, 2.9341101, 3.0675331, 3.9274952, 4.7300619", \
"2.9127131, 2.9134811, 2.9173181, 2.9387537, 3.0715960, 3.9315721, 4.7295805", \
"2.9120734, 2.9120233, 2.9143591, 2.9344845, 3.0733057, 3.9314942, 4.7287649", \
"2.9116482, 2.9121832, 2.9158077, 2.9362843, 3.0718172, 3.9216413, 4.7285735", \
"2.9106014, 2.9111229, 2.9138518, 2.9347485, 3.0681917, 3.9245659, 4.7311455", \
"2.9119457, 2.9121376, 2.9139602, 2.9354823, 3.0695957, 3.9303314, 4.7427939");
}
}
internal_power () {
related_pin : "OE_N";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.8481544, 2.8457895, 2.8300295, 2.8835595, 2.9907124, 3.7298981, 3.9895326", \
"2.8932849, 2.8968979, 2.9031510, 2.9377646, 3.0572771, 3.5853712, 4.0319915", \
"2.9697637, 2.9691933, 2.9771749, 3.0108417, 3.1368448, 3.6554149, 4.1054148", \
"3.0424879, 3.0427510, 3.0493281, 3.0877044, 3.2106152, 3.7417267, 4.1803570", \
"3.1157945, 3.1161687, 3.1255351, 3.1644647, 3.2895533, 3.8036786, 4.2596993", \
"3.1966124, 3.1977241, 3.2049598, 3.2459430, 3.3719340, 3.9276455, 4.3388172", \
"3.3789780, 3.3794327, 3.3871333, 3.4207593, 3.5422021, 4.0849745, 4.5104799");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.0560466, 6.0578778, 6.0613591, 6.0767510, 5.9835319, 5.0575297, -5.0704834", \
"6.1034534, 6.1036409, 6.1117433, 6.1359027, 6.0501579, 5.1605088, -5.0165903", \
"6.1613951, 6.1638850, 6.1698910, 6.1960743, 6.1125039, 5.2212506, -5.0057685", \
"6.2089702, 6.2118081, 6.2161328, 6.2416565, 6.1576909, 5.2628956, -4.8671239", \
"6.2807137, 6.2825892, 6.2881830, 6.3150432, 6.2341419, 5.3377621, -4.8135391", \
"6.3838133, 6.3833194, 6.3916051, 6.4168112, 6.3345441, 5.4421059, -4.7356989", \
"6.6190650, 6.6212688, 6.6258727, 6.6550570, 6.5728660, 5.6914058, -4.5570272");
}
}
internal_power () {
related_pin : "OUT";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("11.0941052, 11.1006182, 11.1219061, 11.1595079, 11.1194147, 9.6434223, -7.0495780", \
"11.1088545, 11.1108394, 11.1239206, 11.1869094, 11.0973651, 9.7167222, -7.0771499", \
"11.1366686, 11.1390446, 11.1535330, 11.2165951, 11.1175556, 9.7533219, -6.8954345", \
"11.1716703, 11.1769796, 11.1888294, 11.2491584, 11.1641049, 9.7997776, -6.9966384", \
"11.2571292, 11.2597884, 11.2719096, 11.3347494, 11.2442610, 9.8573741, -6.8770294", \
"11.3136377, 11.3174779, 11.3296050, 11.3930361, 11.3020486, 9.9244767, -6.7919299", \
"11.3447404, 11.3492228, 11.3622775, 11.4250265, 11.3373179, 9.9714653, -6.7628620");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("5.7773361, 5.7792265, 5.8013701, 5.8779307, 6.1072958, 7.0196764, 7.7260432", \
"5.7864891, 5.7930848, 5.8100118, 5.8850131, 6.1213916, 6.9868211, 7.7395411", \
"5.8117615, 5.8158709, 5.8301715, 5.9104175, 6.1295283, 7.0027388, 7.7641735", \
"5.8219525, 5.8265943, 5.8441080, 5.9203266, 6.1465665, 7.0045456, 7.7702197", \
"5.8457339, 5.8465711, 5.8657527, 5.9420949, 6.1683486, 7.0530411, 7.7985555", \
"5.9031460, 5.9081517, 5.9205677, 6.0009064, 6.2329926, 7.1649659, 7.8511914", \
"5.9915177, 5.9933237, 6.0051443, 6.0894444, 6.3239418, 7.2129000, 7.9361531");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_fall";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.9629494, 7.9787679, 7.9787682, 7.9787686, 7.9787691, 7.9787696, 7.9787701", \
"8.0406242, 8.0406251, 8.0481186, 8.0481191, 8.0481201, 8.0481210, 8.1523499", \
"8.0615532, 8.0615535, 8.0635223, 8.0635229, 8.0635238, 8.0635248, 8.1804785", \
"8.0705744, 8.0722176, 8.0729246, 8.0729251, 8.0729261, 8.0729270, 8.1366593", \
"8.0737097, 8.0747418, 8.0754816, 8.0754819, 8.0754829, 8.0754838, 8.1383256", \
"8.0714351, 8.0714355, 8.0746085, 8.0770377, 8.0770383, 8.0770393, 8.1575884", \
"8.0726537, 8.0726543, 8.0734299, 8.0734306, 8.0734315, 8.0734325, 8.1348723");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("16.8460690, 17.0399430, 18.2494550, 25.6624200, 70.9623360, 349.8620600, 2069.2030000", \
"16.9050440, 17.0961450, 18.2974680, 25.6915470, 71.0278770, 349.9334900, 2069.3558000", \
"16.9208450, 17.1171410, 18.3265310, 25.7123020, 71.0329360, 349.9722800, 2069.4758000", \
"16.9309190, 17.1251100, 18.3258630, 25.7167250, 71.0389830, 349.9623900, 2069.5827000", \
"16.9408820, 17.1360290, 18.3312550, 25.7414910, 71.0838530, 349.9924900, 2069.3508000", \
"16.9357430, 17.1307250, 18.3423620, 25.7501700, 71.0477650, 349.9397700, 2069.9367000", \
"16.9242060, 17.1169690, 18.3308250, 25.7136200, 71.0349040, 349.9604600, 2068.6284000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.7435480, 15.1311480, 17.4809180, 32.0237100, 122.1926300, 677.9806700, 4105.5532000", \
"14.7490760, 15.1316120, 17.4737020, 32.0442980, 122.1433300, 678.2944400, 4103.8238000", \
"14.7480840, 15.1199500, 17.4804240, 32.0028090, 122.1669500, 678.1865000, 4105.6023000", \
"14.7452220, 15.1316720, 17.4735710, 32.0125610, 122.1660600, 678.2646000, 4106.7383000", \
"14.7378310, 15.1266040, 17.4662350, 32.0313870, 122.0041100, 678.2370900, 4103.5564000", \
"14.7468690, 15.1269770, 17.4657870, 32.0195430, 122.1900300, 678.1198700, 4104.8961000", \
"14.7435060, 15.1312940, 17.4803740, 32.0084550, 122.1656700, 678.2879600, 4104.3477000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.0411090, 13.0922540, 13.4018150, 15.2391890, 20.4271910, 39.6595890, 83.4141150", \
"13.1688380, 13.2200970, 13.5308950, 15.3647020, 20.5496460, 39.7918920, 83.5532960", \
"13.2579330, 13.3088270, 13.6191910, 15.4526830, 20.6429330, 39.8914790, 83.6507110", \
"13.3261340, 13.3765410, 13.6871640, 15.5228450, 20.7093540, 39.9475900, 83.6990920", \
"13.3837960, 13.4367340, 13.7475360, 15.5813000, 20.7669030, 40.0168640, 83.8101850", \
"13.4396860, 13.4910840, 13.8018090, 15.6367220, 20.8229500, 40.0574330, 83.8149390", \
"13.5057050, 13.5538820, 13.8647210, 15.6992210, 20.8842760, 40.1268140, 83.8869950");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0683962, 3.1379699, 3.5803487, 5.1911093, 7.0754766, 29.4389330, 48.6930210", \
"3.0677445, 3.1380751, 3.5771597, 5.1859899, 7.0584973, 29.4654240, 48.6912730", \
"3.0697134, 3.1339331, 3.5736480, 5.1940705, 7.0508244, 29.4585850, 48.7410080", \
"3.0689922, 3.1380403, 3.5773440, 5.1856128, 7.0496609, 29.4374870, 48.7098290", \
"3.0709881, 3.1385261, 3.5764824, 5.1867266, 7.0615611, 29.4601070, 48.6811090", \
"3.0709328, 3.1375029, 3.5751582, 5.1853339, 7.0444486, 29.4413350, 48.6220420", \
"3.0694631, 3.1381058, 3.5760057, 5.1857016, 7.0592927, 29.4645070, 48.7433030");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
values("8.1045402, 8.1175884, 8.2061895, 8.7440535, 12.1801480, 33.8342470, 168.4004800", \
"8.1751699, 8.1883362, 8.2723721, 8.8136805, 12.2368860, 33.9003030, 168.4546300", \
"8.1992368, 8.2125791, 8.2972820, 8.8375414, 12.2621900, 33.9240780, 168.5308600", \
"8.2081488, 8.2221625, 8.3058627, 8.8467740, 12.2705990, 33.9331620, 168.5418500", \
"8.2124370, 8.2270510, 8.3141289, 8.8523002, 12.2881790, 33.9426370, 168.5073700", \
"8.2131724, 8.2264409, 8.3138278, 8.8515488, 12.2783110, 33.9384380, 168.4947100", \
"8.2064942, 8.2209279, 8.3068654, 8.8460501, 12.2742150, 33.9910680, 168.4992600");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
values("1.4356513, 1.4657509, 1.6544278, 2.8655114, 10.5576610, 58.2041010, 352.2260700", \
"1.4374112, 1.4671412, 1.6577723, 2.8655043, 10.5569870, 58.2919240, 352.3997200", \
"1.4380026, 1.4683451, 1.6548622, 2.8652056, 10.5558890, 58.1558130, 352.4860700", \
"1.4376862, 1.4685894, 1.6580997, 2.8651692, 10.5572180, 58.1561470, 352.5368900", \
"1.4353330, 1.4656414, 1.6547561, 2.8656613, 10.5581470, 58.2005410, 352.2136800", \
"1.4380340, 1.4683103, 1.6550233, 2.8654168, 10.5568730, 58.2912030, 352.3712500", \
"1.4350879, 1.4652890, 1.6542954, 2.8656308, 10.5543040, 58.1983740, 352.2132800");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
values("144.8838000, 144.8992900, 144.9842600, 145.4805100, 147.8272400, 156.7105000, 194.3991600", \
"144.9635100, 145.0219400, 145.0893700, 145.5874100, 147.9758400, 156.8605400, 194.5506500", \
"145.0580200, 145.1219000, 145.1891900, 145.6808100, 148.0948800, 156.9353400, 194.6178000", \
"145.2355600, 145.2355729, 145.2355881, 145.7321900, 148.1230800, 156.9644800, 194.6114900", \
"145.2218600, 145.2218705, 145.3062600, 145.8038000, 148.1954500, 157.0487300, 194.6872500", \
"145.3386000, 145.3386002, 145.3996400, 145.9020100, 148.2640800, 157.1244500, 194.8142500", \
"145.3937100, 145.3937150, 145.4474900, 145.9398800, 148.3609500, 157.1716400, 194.8784000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
values("144.7162000, 144.7314000, 144.5698100, 143.5964200, 137.5852000, 98.6488970, 73.8010190", \
"144.7240300, 144.7157100, 144.5453800, 143.6667200, 137.5919400, 98.5066110, 73.7990580", \
"144.7121300, 144.7054100, 144.5502600, 143.6061300, 137.5697400, 98.5733450, 73.7760860", \
"144.7901800, 144.6815800, 144.5335300, 143.6531400, 137.5302100, 98.5322470, 73.9906340", \
"144.7336800, 144.6909300, 144.5453800, 143.6652000, 137.5440100, 98.5309260, 74.0288330", \
"144.7761800, 144.7550800, 144.5873200, 143.7188800, 137.5808100, 98.4923930, 73.9956720", \
"144.7756400, 144.6972700, 144.5736900, 143.7133800, 137.5805100, 98.4783170, 73.8069450");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
values("8.0720897, 8.0862277, 8.1705940, 8.7075893, 12.1187320, 33.8245800, 170.2877600", \
"8.1416216, 8.1543821, 8.2406180, 8.7762375, 12.1917810, 33.8935000, 170.3567600", \
"8.1649336, 8.1792466, 8.2640943, 8.8008578, 12.2055910, 33.9158920, 170.3724300", \
"8.1746228, 8.1884313, 8.2708755, 8.8095025, 12.2150690, 33.9249700, 170.3790400", \
"8.1807467, 8.1948794, 8.2789748, 8.8162224, 12.2283090, 33.9295950, 170.3887700", \
"8.1796099, 8.1936822, 8.2775653, 8.8149719, 12.2278040, 33.9280320, 170.3875800", \
"8.1738314, 8.1879579, 8.2722673, 8.8093799, 12.2138490, 33.9234210, 170.3816000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
values("1.4406890, 1.4709229, 1.6608152, 2.8677525, 10.5569080, 58.1612450, 351.9406300", \
"1.4425634, 1.4726801, 1.6590683, 2.8678475, 10.5564300, 58.1526190, 352.0828800", \
"1.4415095, 1.4714406, 1.6610711, 2.8680256, 10.5582360, 58.2065310, 352.1080300", \
"1.4418022, 1.4724849, 1.6610902, 2.8676228, 10.5585510, 58.1772640, 352.2260700", \
"1.4410074, 1.4711659, 1.6613425, 2.8678275, 10.5574510, 58.2051880, 352.1761600", \
"1.4409360, 1.4713494, 1.6614268, 2.8677540, 10.5573280, 58.2051560, 352.1892300", \
"1.4410558, 1.4710617, 1.6612891, 2.8678908, 10.5582330, 58.2056930, 352.1288600");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
values("18.6831560, 18.6983370, 18.8056700, 19.4347290, 23.4749750, 51.8632180, 153.6117700", \
"18.8140290, 18.8172230, 18.9287890, 19.5574130, 23.5820130, 51.9785390, 153.7400600", \
"18.8891870, 18.9119100, 19.0086380, 19.6393850, 23.6763750, 52.0640600, 153.8243900", \
"18.9634210, 18.9866060, 19.0862930, 19.7231170, 23.7622560, 52.1438820, 153.7558100", \
"19.0248990, 19.0327840, 19.1477750, 19.7775560, 23.8161830, 52.2054220, 153.8226000", \
"19.0821030, 19.0942760, 19.1996290, 19.8389780, 23.8789080, 52.2249980, 153.9252400", \
"19.1365470, 19.1578240, 19.2661890, 19.8993690, 23.9396400, 52.3093890, 154.0673100");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
values("119.8623600, 119.9649400, 119.7459300, 118.8693200, 116.4761400, 112.8559600, 106.2155000", \
"119.9331800, 119.9297900, 119.8125500, 118.8695300, 116.4027100, 112.9577200, 106.2100000", \
"119.9861400, 119.9884800, 119.7542600, 118.9202300, 116.4309700, 112.9652700, 106.0996300", \
"119.8936400, 119.8427000, 119.8119200, 119.0207700, 116.5081700, 112.8172200, 106.0364200", \
"119.9055200, 119.9410300, 119.8233700, 118.9753200, 116.4435800, 112.9516700, 106.0475900", \
"119.9862500, 119.9280200, 119.8332900, 119.0091400, 116.5042700, 112.9778500, 106.0733700", \
"119.9822000, 119.9617100, 119.8239100, 118.9315000, 116.5010200, 112.8926100, 106.0897200");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.6839388, 7.6910425, 7.6910427, 7.6910432, 7.6910437, 7.6910441, 7.6910446", \
"7.7440507, 7.7440508, 7.7440513, 7.7440517, 7.7440522, 7.7440527, 7.7440532", \
"7.7728863, 7.7728866, 7.7728870, 7.7728875, 7.7728880, 7.7728885, 7.7728889", \
"7.7845863, 7.7845867, 7.7845872, 7.7845877, 7.7845881, 7.7845886, 7.7845891", \
"7.7868112, 7.7868116, 7.7868121, 7.7868126, 7.7868131, 7.7868135, 7.7868140", \
"7.7866193, 7.7866195, 7.7866200, 7.7866204, 7.7866209, 7.7866214, 7.7866219", \
"7.7818019, 7.7818020, 7.7818025, 7.7818029, 7.7818034, 7.7818039, 7.7818044");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.4942106, 7.4999189, 7.4999192, 7.4999197, 7.4999202, 7.4999207, 7.4999211", \
"7.5682314, 7.5682319, 7.5694571, 7.5694573, 7.5694578, 7.5694583, 7.5694588", \
"7.5891906, 7.5891908, 7.5891912, 7.5891917, 7.5891922, 7.5891927, 7.5891932", \
"7.5974915, 7.5974916, 7.5974920, 7.5974925, 7.5974930, 7.5974935, 7.5974939", \
"7.5982092, 7.5982097, 7.5982101, 7.5982106, 7.5982111, 7.5982116, 7.5982121", \
"7.5915052, 7.5915053, 7.5996418, 7.5996421, 7.5996426, 7.5996430, 7.5996435", \
"7.5892457, 7.5892461, 7.5892466, 7.5892470, 7.5892475, 7.5892480, 7.5892485");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.2506680, 10.3005390, 10.6058670, 12.5061130, 24.1278140, 95.6560700, 421.1600800", \
"10.3021160, 10.3552720, 10.6605020, 12.5587800, 24.1769480, 95.7215680, 421.1374000", \
"10.3250700, 10.3749200, 10.6802640, 12.5805690, 24.2022720, 95.7305770, 421.2334900", \
"10.3287160, 10.3772930, 10.6980660, 12.5856610, 24.2007520, 95.7277920, 421.2499800", \
"10.3360250, 10.3857500, 10.6911110, 12.5915700, 24.2133160, 95.7415690, 421.2137700", \
"10.3292480, 10.3783000, 10.6992030, 12.5869700, 24.2025010, 95.7264060, 421.1729600", \
"10.3303000, 10.3762180, 10.6846970, 12.5848410, 24.2050880, 95.7143480, 421.2109300");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0685314, 3.1476419, 3.6299852, 6.6380510, 25.0380450, 138.9152800, 357.1721800", \
"3.0701219, 3.1476020, 3.6300707, 6.6372121, 25.0367370, 138.9283400, 357.1139200", \
"3.0685368, 3.1476444, 3.6299730, 6.6380581, 25.0380070, 138.9184400, 357.1636600", \
"3.0690432, 3.1474328, 3.6319239, 6.6365988, 25.0454250, 138.9478700, 356.9659800", \
"3.0685439, 3.1476446, 3.6299654, 6.6380537, 25.0379410, 138.9217500, 357.1632600", \
"3.0690053, 3.1462459, 3.6319059, 6.6365051, 25.0413730, 138.9398800, 357.1422800", \
"3.0684979, 3.1479495, 3.6313819, 6.6378099, 25.0416380, 138.9221500, 357.1549000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("20.8202520, 21.0246950, 22.2056720, 29.4754510, 73.7941620, 346.2774300, 2027.4078000", \
"20.9583750, 21.1446900, 22.3341380, 29.6059730, 73.9175970, 346.2803300, 2027.2607000", \
"21.0437270, 21.2313690, 22.4195740, 29.6896040, 73.9949330, 346.3261700, 2027.9247000", \
"21.1147440, 21.3060800, 22.4906230, 29.7633100, 74.0710530, 346.3427400, 2026.4217000", \
"21.1703310, 21.3646490, 22.5461750, 29.8185300, 74.1403740, 346.5252100, 2027.7316000", \
"21.2119440, 21.4061250, 22.6024850, 29.8763680, 74.0995890, 346.3702900, 2026.4265000", \
"21.2924900, 21.4654250, 22.6679370, 29.9447080, 74.2666940, 346.7013700, 2027.1441000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.7647220, 14.1649230, 16.4847960, 30.6853350, 118.8824000, 663.1833600, 4023.7387000", \
"13.7848990, 14.1530190, 16.4846840, 30.8152370, 118.8784500, 663.2163300, 4023.1503000", \
"13.7853460, 14.1670120, 16.4843620, 30.7059800, 118.8352000, 663.1818800, 4023.4672000", \
"13.7846050, 14.1509990, 16.4846730, 30.8293480, 118.8567100, 663.1297000, 4022.8724000", \
"13.7833340, 14.1642540, 16.4849890, 30.8384070, 118.9539400, 663.2745300, 4022.2212000", \
"13.7262110, 14.1445980, 16.4757420, 30.8159760, 118.9207500, 663.0937700, 4020.9657000", \
"13.7857330, 14.1117800, 16.4847600, 30.8179190, 119.0273000, 663.2604900, 4023.0907000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.6841999, 7.6842001, 7.6842006, 7.6842011, 7.6842015, 7.6842020, 7.6842025", \
"7.7111633, 7.7111638, 7.7111643, 7.7150171, 7.7150176, 7.7150181, 7.7150186", \
"7.7574018, 7.7574022, 7.7574027, 7.7574032, 7.7574037, 7.7574041, 7.7574046", \
"7.7665332, 7.7665337, 7.7665341, 7.7665346, 7.7665351, 7.7665356, 7.7665360", \
"7.7661841, 7.7661846, 7.7661851, 7.7661856, 7.7661860, 7.7661865, 7.7661870", \
"7.7683326, 7.7695521, 7.7707440, 7.7707441, 7.7707446, 7.7707451, 7.7707456", \
"7.7478584, 7.7478588, 7.7484081, 7.7484086, 7.7484091, 7.7484096, 7.7484100");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.9723597, 7.9723599, 7.9876266, 7.9876268, 7.9876273, 7.9876278, 7.9876283", \
"8.0480635, 8.0525311, 8.0525318, 8.0525327, 8.0525337, 8.0525346, 8.0525356", \
"8.0468583, 8.0495131, 8.0495134, 8.0611731, 8.0611740, 8.0611749, 8.0611759", \
"8.0564044, 8.0590192, 8.0590196, 8.0718934, 8.0718942, 8.0718952, 8.0951565", \
"8.0623161, 8.0658680, 8.0683451, 8.0723348, 8.0723358, 8.0723367, 8.0899918", \
"8.0601152, 8.0633678, 8.0635694, 8.0707929, 8.0707937, 8.0707946, 8.0859754", \
"8.0571230, 8.0602414, 8.0604501, 8.0654683, 8.0654684, 8.0654693, 8.0819706");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("12.9548590, 13.0074960, 13.3260190, 15.2220670, 26.6238730, 93.5878150, 187.1788600", \
"13.0805570, 13.1332380, 13.4513550, 15.3467660, 26.7455800, 93.7088910, 187.2964600", \
"13.1673990, 13.2183540, 13.5375090, 15.4273230, 26.8209260, 93.8012190, 187.4152200", \
"13.2367590, 13.2896250, 13.6074800, 15.5036140, 26.9031930, 93.8650540, 187.3859500", \
"13.2989760, 13.3509930, 13.6684740, 15.5617710, 26.9650740, 93.9262110, 187.4562400", \
"13.3541270, 13.4086620, 13.7246520, 15.6276980, 27.0206470, 93.9837510, 187.5820300", \
"13.4167610, 13.4640950, 13.7876400, 15.6844690, 27.0833770, 94.0526160, 187.6451600");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.1041121, 3.1741243, 3.6216554, 6.4413097, 24.4543990, 98.2080550, 64.2758590", \
"3.1001557, 3.1751748, 3.6211707, 6.4412311, 24.4613520, 98.1903130, 64.4768150", \
"3.0994363, 3.1740739, 3.6219112, 6.4435626, 24.4341880, 98.1884300, 64.4853910", \
"3.1002723, 3.1751719, 3.6217813, 6.4412484, 24.4587640, 98.1875420, 64.2311240", \
"3.1003282, 3.1751688, 3.6217584, 6.4412049, 24.4583710, 98.2262160, 64.2329990", \
"3.0995042, 3.1740810, 3.6219971, 6.4412649, 24.4568470, 98.2045880, 64.2640640", \
"3.0994303, 3.1749163, 3.6219101, 6.4412631, 24.4559380, 98.1794490, 64.2816360");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_fall";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.9828659, 7.9828661, 7.9828666, 7.9828671, 7.9828675, 7.9828680, 8.1656353", \
"8.0439326, 8.0439334, 8.0439344, 8.0439353, 8.0439363, 8.0439373, 8.1057445", \
"8.0484215, 8.0484224, 8.0688487, 8.0688491, 8.0688501, 8.0688510, 8.0688520", \
"8.0576861, 8.0576864, 8.0794820, 8.0794826, 8.0794836, 8.0794845, 8.0942080", \
"8.0639717, 8.0639720, 8.0810434, 8.0810438, 8.0810447, 8.0810457, 8.0810466", \
"8.0607299, 8.0607305, 8.0736653, 8.0736661, 8.0736671, 8.0736681, 8.1020550", \
"8.0575822, 8.0758529, 8.0758539, 8.0758548, 8.0758558, 8.0758567, 8.0827718");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("12.9213460, 12.9726720, 13.2817820, 15.1140660, 20.3725680, 39.7230830, 142.7995900", \
"13.0457930, 13.0978700, 13.4079780, 15.2400080, 20.5056810, 39.8477410, 142.9448800", \
"13.1339150, 13.1843490, 13.4929010, 15.3217470, 20.5897150, 39.9371100, 143.0219100", \
"13.2024930, 13.2540500, 13.5628170, 15.3929600, 20.6627300, 40.0059580, 143.0053100", \
"13.2633170, 13.3140370, 13.6251130, 15.4524160, 20.7173670, 40.0692920, 143.2070600", \
"13.3191500, 13.3695980, 13.6822490, 15.5164820, 20.7771930, 40.1186990, 143.2746900", \
"13.3830990, 13.4333540, 13.7424480, 15.5706270, 20.8392310, 40.1886350, 143.3300300");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0771941, 3.1427474, 3.5816068, 5.2131663, 7.1259225, 32.0858500, 99.6596010", \
"3.0805145, 3.1486924, 3.5851944, 5.2186668, 7.1483166, 32.1436530, 99.7298320", \
"3.0779541, 3.1432790, 3.5793291, 5.2184901, 7.1144717, 32.0862520, 99.7162890", \
"3.0807212, 3.1460758, 3.5818923, 5.2168811, 7.1203106, 32.0433810, 99.6133310", \
"3.0806491, 3.1459453, 3.5818204, 5.2169180, 7.1513209, 32.0880890, 99.6795750", \
"3.0765615, 3.1452090, 3.5791559, 5.2176996, 7.1519413, 32.0819390, 99.7037120", \
"3.0789253, 3.1426621, 3.5786312, 5.2177982, 7.1238300, 32.0878010, 99.7002450");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("16.9004220, 17.0922780, 18.2847620, 25.6470260, 70.8079920, 349.4728600, 2068.7944000", \
"17.0364380, 17.2201950, 18.4139760, 25.7655990, 70.9181050, 349.5539700, 2069.1225000", \
"17.1139960, 17.3045080, 18.4972940, 25.8169040, 71.0060490, 349.6763800, 2068.6966000", \
"17.1779200, 17.3690250, 18.5659520, 25.8920390, 71.0564410, 349.7075300, 2068.8301000", \
"17.2457760, 17.4369050, 18.6364470, 25.9496760, 71.1238000, 349.7740100, 2069.0500000", \
"17.3077500, 17.4923600, 18.6905690, 26.0281820, 71.2541000, 349.9023900, 2068.6994000", \
"17.3495050, 17.5578090, 18.7448950, 26.0651320, 71.2325060, 349.9066300, 2068.8396000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.7516990, 15.1254280, 17.4742980, 32.0442410, 122.1542500, 677.4280300, 4104.4318000", \
"14.7470100, 15.1262720, 17.4702440, 32.0184310, 122.2055300, 678.1795600, 4105.6092000", \
"14.7518350, 15.1258880, 17.4872990, 32.0562170, 121.8895800, 678.2516900, 4105.6151000", \
"14.7530850, 15.1252450, 17.4815950, 32.0276640, 122.1942200, 678.2250900, 4105.0816000", \
"14.7472740, 15.1219480, 17.4816020, 32.0214570, 121.9685800, 677.2784200, 4106.0339000", \
"14.7515090, 15.1261070, 17.4815200, 32.0401730, 122.2019500, 678.4412700, 4103.9270000", \
"14.7531010, 15.1301710, 17.4697860, 32.0379140, 122.1929200, 678.2790400, 4104.1985000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.4668260, 14.5210540, 14.8298200, 16.6648170, 21.8663510, 41.1276060, 84.9143690", \
"14.5896640, 14.6466630, 14.9527870, 16.7952890, 21.9971080, 41.2489030, 85.0028950", \
"14.6799490, 14.7298020, 15.0415840, 16.8824300, 22.0822560, 41.3239750, 85.1121060", \
"14.7419300, 14.7950230, 15.1056500, 16.9420500, 22.1441280, 41.3834050, 85.1797420", \
"14.8160670, 14.8678340, 15.1760540, 17.0106680, 22.2139160, 41.4552480, 85.2160510", \
"14.8699990, 14.9175750, 15.2361660, 17.0645880, 22.2749230, 41.5287360, 85.2722980", \
"14.9314890, 14.9824120, 15.2918850, 17.1309700, 22.3309870, 41.5769180, 85.3206500");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0734919, 3.1490357, 3.5841554, 5.2003837, 7.0756898, 29.4583900, 48.6069710", \
"3.0749363, 3.1478522, 3.5832872, 5.2063820, 7.0705823, 29.4363700, 48.7562060", \
"3.0732441, 3.1419882, 3.5831391, 5.2051643, 7.0596946, 29.4513750, 48.7053990", \
"3.0765246, 3.1488960, 3.5855368, 5.2051061, 7.0530231, 29.4520070, 48.7556560", \
"3.0769971, 3.1470695, 3.5832213, 5.2069131, 7.0464536, 29.4681540, 48.7182880", \
"3.0761138, 3.1429561, 3.5831291, 5.2071019, 7.0783814, 29.4364730, 48.6588720", \
"3.0770091, 3.1417065, 3.5814263, 5.1981046, 7.0808821, 29.4364280, 48.7044500");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("16.4203960, 16.6135820, 17.8098070, 25.1204590, 70.2964230, 348.9881200, 2068.2292000", \
"16.4736650, 16.6675380, 17.8546280, 25.1763370, 70.3817380, 349.0494600, 2067.8208000", \
"16.4955430, 16.6881580, 17.8831600, 25.1953660, 70.3756340, 349.0533200, 2068.7412000", \
"16.5106810, 16.7033090, 17.8870090, 25.2197140, 70.4200570, 349.0651200, 2068.3117000", \
"16.5128750, 16.7062100, 17.8890400, 25.2241680, 70.4264240, 349.0656000, 2067.8278000", \
"16.5006090, 16.6956440, 17.8878410, 25.2054790, 70.3804450, 349.1761600, 2068.1341000", \
"16.4976510, 16.6925640, 17.9230500, 25.2021510, 70.3748030, 349.1965100, 2068.2940000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.7451390, 15.1254160, 17.4835280, 32.0356290, 122.0920900, 677.4257000, 4103.4759000", \
"14.7465310, 15.1335890, 17.4819360, 32.0345880, 122.1902600, 677.1412600, 4103.1549000", \
"14.7452750, 15.1252110, 17.4780170, 32.0357290, 121.9237300, 678.7126400, 4104.2940000", \
"14.7421710, 15.1223550, 17.4851530, 32.0366130, 121.8882800, 678.3687900, 4104.8111000", \
"14.7429220, 15.1212220, 17.4848050, 32.0362620, 122.1538600, 678.4131900, 4104.0475000", \
"14.7457130, 15.1227530, 17.4804460, 32.0315580, 122.1728900, 678.1154400, 4103.7165000", \
"14.7452070, 15.1261310, 17.4783890, 32.0284100, 122.1720000, 678.1724000, 4104.3650000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("20.3082160, 20.5043320, 21.6581720, 28.8318260, 73.1051630, 345.5685100, 2025.6734000", \
"20.4330970, 20.6312140, 21.7852510, 28.9592650, 73.2156660, 345.5094500, 2026.0914000", \
"20.5169500, 20.7244460, 21.8693540, 29.0430880, 73.3537750, 345.7591900, 2026.3672000", \
"20.5883680, 20.7882530, 21.9421480, 29.1109700, 73.3854510, 345.7276100, 2026.3210000", \
"20.6503970, 20.8511870, 22.0026130, 29.1764620, 73.4661330, 345.8286200, 2026.5816000", \
"20.7066190, 20.8995810, 22.0646580, 29.2470420, 73.4639940, 345.7452400, 2025.9056000", \
"20.7674380, 20.9618600, 22.1252420, 29.3075150, 73.5215120, 345.7990400, 2026.3224000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.7616020, 14.1608800, 16.4633090, 30.7289480, 118.7988200, 663.2440700, 4023.2221000", \
"13.7537690, 14.1561920, 16.4630210, 30.7278970, 118.7564000, 662.5973600, 4023.0652000", \
"13.7557060, 14.1696870, 16.4568630, 30.7253390, 119.0172200, 663.1563600, 4022.2358000", \
"13.7459680, 14.1593790, 16.4504410, 30.7458340, 118.7645100, 662.8154700, 4022.0439000", \
"13.7593680, 14.1678610, 16.4598130, 30.7236090, 118.9182200, 662.9085200, 4021.8201000", \
"13.7374880, 14.1548220, 16.4208860, 30.7914230, 118.8752300, 662.6673900, 4021.5436000", \
"13.7354790, 14.1538260, 16.4165110, 30.7897490, 118.8946300, 663.0662000, 4022.1435000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.9334113, 7.9334114, 7.9334119, 7.9334124, 7.9334128, 7.9659905, 7.9659908", \
"8.0486089, 8.0486093, 8.0486103, 8.0486112, 8.0486122, 8.0486131, 8.0633304", \
"8.0583797, 8.0591427, 8.0591436, 8.0592590, 8.0592599, 8.0592609, 8.0839353", \
"8.0402636, 8.0407325, 8.0407329, 8.0408711, 8.0408712, 8.0408721, 8.0594527", \
"8.0136440, 8.0147595, 8.0147596, 8.0147605, 8.0147615, 8.0147625, 8.0298888", \
"8.0578788, 8.0578790, 8.0578800, 8.0861309, 8.0861316, 8.0861326, 8.0861335", \
"8.0104332, 8.0133820, 8.0797653, 8.0797658, 8.0797668, 8.0797678, 8.0797687");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.5085334, 7.5085338, 7.5085342, 7.5204598, 7.5204599, 7.5204604, 7.5204609", \
"7.5670901, 7.5670903, 7.5677669, 7.5677670, 7.5677674, 7.5677679, 7.5677684", \
"7.5878477, 7.5878480, 7.5878485, 7.5878490, 7.5878494, 7.5878499, 7.5878504", \
"7.5960456, 7.5960458, 7.5960463, 7.5960467, 7.5960472, 7.5960477, 7.5960482", \
"7.5966984, 7.5966986, 7.5966990, 7.5966995, 7.5967000, 7.5967005, 7.5967010", \
"7.5899251, 7.5899256, 7.5982740, 7.5982745, 7.5982750, 7.5982755, 7.5982759", \
"7.5876665, 7.5888629, 7.5888632, 7.5888637, 7.5888641, 7.5888646, 7.5888651");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_rise";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.7750040, 10.8319840, 11.1382340, 13.0429520, 24.6609220, 96.1820490, 421.6899800", \
"10.9098010, 10.9521250, 11.2657690, 13.1608000, 24.7757990, 96.2990400, 421.7789100", \
"10.9883460, 11.0465370, 11.3554470, 13.2605170, 24.8614430, 96.3881850, 421.8684100", \
"11.0555440, 11.1040070, 11.4105250, 13.3145230, 24.9298590, 96.4707710, 422.0200700", \
"11.1294790, 11.1805440, 11.4836290, 13.3801940, 25.0006740, 96.5252060, 422.1000400", \
"11.1783220, 11.2253410, 11.5506730, 13.4545830, 25.0507280, 96.5918900, 422.0611300", \
"11.2405870, 11.2756460, 11.5934250, 13.4993080, 25.1206490, 96.6371660, 422.1061500");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0708225, 3.1483949, 3.6317698, 6.6395027, 25.0406020, 138.6443800, 357.2377200", \
"3.0707241, 3.1484608, 3.6319110, 6.6378294, 25.0387270, 138.9263400, 357.1583400", \
"3.0691383, 3.1480174, 3.6318366, 6.6394548, 25.0314030, 138.9510200, 357.1254300", \
"3.0699343, 3.1484242, 3.6305294, 6.6378152, 25.0433580, 138.9281200, 357.2563700", \
"3.0690630, 3.1489131, 3.6327485, 6.6379195, 25.0424590, 138.9507200, 357.2627900", \
"3.0689509, 3.1469616, 3.6316565, 6.6394925, 25.0291410, 138.8476100, 357.1435300", \
"3.0694520, 3.1472385, 3.6318728, 6.6396244, 25.0406180, 138.9267100, 357.0793900");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.1711280, 10.1711288, 10.1711298, 10.1711307, 10.1711317, 10.1711326, 10.2545160", \
"10.3740870, 10.3740879, 10.3743930, 10.3743940, 10.3743949, 10.3743959, 10.3882210", \
"10.3603850, 10.3614060, 10.3614069, 10.3614078, 10.3614088, 10.3614097, 10.3950580", \
"10.2742650, 10.2742658, 10.2742668, 10.2742677, 10.2742687, 10.2742696, 10.2742706", \
"10.4123960, 10.4123970, 10.4146350, 10.4146352, 10.4146362, 10.4146371, 10.4232530", \
"10.2752820, 10.2756710, 10.4076500, 10.4076505, 10.4076515, 10.4076524, 10.4076534", \
"10.2715440, 10.2757840, 10.2757841, 10.3508320, 10.3508325, 10.3508335, 10.3508344");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("16.4205790, 16.6137060, 17.8099700, 25.1211460, 70.2963590, 349.0003200, 2068.5668000", \
"16.4737910, 16.6677070, 17.8992840, 25.2064270, 70.3814250, 349.0482900, 2068.3660000", \
"16.4956970, 16.6883110, 17.8833200, 25.1955590, 70.3695800, 349.0631000, 2068.2282000", \
"16.5108510, 16.7034550, 17.8871620, 25.2202380, 70.4200860, 349.1693700, 2068.9993000", \
"16.5130340, 16.7063500, 17.8892080, 25.2248280, 70.4264310, 349.0758000, 2067.6969000", \
"16.5051870, 16.6965660, 17.8878810, 25.2052960, 70.3805580, 349.0814900, 2067.9677000", \
"16.4980080, 16.6928270, 17.9232610, 25.2028920, 70.3748100, 349.0402200, 2068.3219000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.7472190, 15.1246300, 17.4841690, 32.0351210, 121.9611400, 678.1813800, 4104.3609000", \
"14.7469340, 15.1337980, 17.4726010, 32.0320160, 122.0007700, 677.2608600, 4104.5269000", \
"14.7452140, 15.1244810, 17.4791900, 32.0357290, 121.9612600, 677.5914000, 4102.7988000", \
"14.7423240, 15.1222870, 17.4862010, 32.0372000, 121.8856800, 678.4831600, 4104.9939000", \
"14.7472690, 15.1214530, 17.4858850, 32.0366880, 122.1450800, 678.1285700, 4103.4682000", \
"14.7455970, 15.1242820, 17.4822610, 32.0364460, 122.1711400, 678.1785900, 4104.3454000", \
"14.7473610, 15.1256000, 17.4766890, 32.0514210, 121.9626600, 678.1198200, 4103.8024000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("20.3065620, 20.5053110, 21.6588520, 28.8321650, 73.1044450, 345.6845000, 2025.7010000", \
"20.4320170, 20.6314520, 21.8034000, 28.9595390, 73.2249110, 345.5289500, 2026.5154000", \
"20.5286970, 20.7145570, 21.8696780, 29.0431630, 73.2551730, 345.5266700, 2026.6486000", \
"20.5901070, 20.7864130, 21.9422030, 29.1108010, 73.3723480, 345.6424100, 2026.9415000", \
"20.6548060, 20.8477570, 22.0136210, 29.1770200, 73.4052250, 345.6575000, 2027.3280000", \
"20.7013320, 20.9002720, 22.0605060, 29.2470760, 73.5313820, 345.9017300, 2026.9406000", \
"20.7648030, 20.9618860, 22.1315460, 29.3076120, 73.4850400, 345.7386400, 2027.2955000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.7589900, 14.1660600, 16.4660770, 30.7277940, 118.7731200, 663.1870500, 4021.6664000", \
"13.7478370, 14.1639340, 16.4730950, 30.7269130, 118.7457100, 663.1927400, 4025.4708000", \
"13.7763600, 14.1635020, 16.4592130, 30.7250370, 118.9455800, 663.1037200, 4023.6564000", \
"13.7565890, 14.1560800, 16.4587080, 30.7462140, 118.7423600, 663.1025800, 4023.6196000", \
"13.7704190, 14.1657370, 16.4714430, 30.7218250, 118.8603300, 662.9440500, 4021.3913000", \
"13.7469950, 14.1450860, 16.4655740, 30.7914940, 118.9240100, 663.2564000, 4022.2094000", \
"13.7446090, 14.1461620, 16.4278510, 30.7899700, 119.0002500, 662.8093800, 4023.5082000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.2214310, 10.2702590, 10.5908340, 12.4784020, 24.0940650, 95.6185740, 421.1908300", \
"10.2806390, 10.3258800, 10.6362370, 12.5349290, 24.1493240, 95.6748210, 421.2461400", \
"10.2970650, 10.3459570, 10.6657040, 12.5534370, 24.1697610, 95.6962860, 421.2060700", \
"10.3048850, 10.3535830, 10.6737930, 12.5613970, 24.1773140, 95.7028870, 421.2746200", \
"10.3072660, 10.3561440, 10.6762550, 12.5639460, 24.1796540, 95.7055870, 421.2735400", \
"10.3062620, 10.3575250, 10.6744530, 12.5621500, 24.1825030, 95.7046500, 421.2124900", \
"10.2977880, 10.3529770, 10.6676160, 12.5588380, 24.1823610, 95.7064260, 421.2647900");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0713498, 3.1485670, 3.6328589, 6.6368284, 25.0344810, 138.9493000, 357.2126800", \
"3.0704490, 3.1484850, 3.6312957, 6.6379466, 25.0378530, 138.9485200, 357.2163500", \
"3.0713132, 3.1484755, 3.6326944, 6.6369745, 25.0374030, 138.9541100, 357.2272400", \
"3.0713567, 3.1485352, 3.6328740, 6.6368733, 25.0352680, 138.9486600, 357.0380600", \
"3.0713572, 3.1485361, 3.6328729, 6.6368666, 25.0350180, 138.9484500, 357.2126800", \
"3.0713068, 3.1489684, 3.6328722, 6.6369141, 25.0346390, 138.9543300, 357.1933300", \
"3.0695992, 3.1489495, 3.6321568, 6.6379586, 25.0538880, 138.9287100, 357.2098100");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("9.0285370, 9.0285373, 9.0285383, 9.0285392, 9.0285402, 9.0285411, 9.1860430", \
"9.1083061, 9.1083065, 9.1083074, 9.1083084, 9.1083093, 9.1083103, 9.1102557", \
"9.0934558, 9.0950092, 9.0951140, 9.0951143, 9.0951152, 9.0951162, 9.1425747", \
"9.1292094, 9.1313153, 9.1313158, 9.1313167, 9.1313177, 9.1313186, 9.1534638", \
"9.1315657, 9.1316723, 9.1492813, 9.1492820, 9.1492830, 9.1492839, 9.1879073", \
"9.1180947, 9.1180950, 9.1180959, 9.1180969, 9.1185663, 9.1185670, 9.1556522", \
"9.1220853, 9.1220861, 9.1222274, 9.1286214, 9.1286216, 9.1286226, 9.1521439");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.3920450, 10.4429380, 10.7565640, 12.7228350, 19.1348730, 39.2587860, 160.8822700", \
"10.4464280, 10.4980400, 10.8113880, 12.7811040, 19.1896450, 39.3276880, 160.9282100", \
"10.4677920, 10.5191540, 10.8329020, 12.7992030, 19.2113440, 39.3348540, 160.9544600", \
"10.4749300, 10.5255140, 10.8400250, 12.8072850, 19.2223110, 39.3463680, 160.9598000", \
"10.4794450, 10.5308580, 10.8444180, 12.8117650, 19.2196710, 39.3629480, 160.9698900", \
"10.4764790, 10.5272460, 10.8414640, 12.8082210, 19.2191990, 39.3429570, 160.9622300", \
"10.4704670, 10.5214210, 10.8354090, 12.8030270, 19.2136850, 39.3383170, 160.9627800");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.1298493, 3.2155694, 3.7427004, 5.7036917, 8.5207175, 33.5008790, 200.2625100", \
"3.1298015, 3.2154048, 3.7427617, 5.7030847, 8.5204883, 33.4981180, 200.2197000", \
"3.1305507, 3.2147096, 3.7427546, 5.7042122, 8.5204785, 33.5009210, 200.3628300", \
"3.1306944, 3.2153795, 3.7431254, 5.7028697, 8.5210470, 33.5010210, 200.3393600", \
"3.1304606, 3.2154155, 3.7427412, 5.7033169, 8.5151726, 33.5112310, 200.3336700", \
"3.1301484, 3.2154188, 3.7428332, 5.7038427, 8.5206002, 33.5008790, 200.3645700", \
"3.1304834, 3.2155583, 3.7431369, 5.7033391, 8.5204279, 33.4954320, 200.3383100");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.2291080, 13.2812100, 13.5941540, 15.4370540, 20.4971360, 39.7566890, 83.4670790", \
"13.3545170, 13.4078180, 13.7170950, 15.5587130, 20.6275050, 39.8687940, 83.5727500", \
"13.4407560, 13.4930340, 13.8050610, 15.6452380, 20.7113370, 39.9662700, 83.6570630", \
"13.5138130, 13.5634950, 13.8771290, 15.7145710, 20.7825920, 40.0212560, 83.7193140", \
"13.5723660, 13.6245640, 13.9364960, 15.7775160, 20.8432030, 40.0951170, 83.7868580", \
"13.6261110, 13.6772800, 13.9903150, 15.8301000, 20.8958520, 40.1368110, 83.8436520", \
"13.6922520, 13.7407890, 14.0570830, 15.8916920, 20.9601050, 40.2140610, 83.9094200");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0247738, 3.0985945, 3.5369234, 5.0645639, 6.9787336, 29.4286120, 48.5985940", \
"3.0287914, 3.0980971, 3.5440115, 5.0652198, 6.9863937, 29.4364200, 48.7223520", \
"3.0236915, 3.0989851, 3.5419405, 5.0644511, 6.9873898, 29.4296440, 48.7362830", \
"3.0279435, 3.0995388, 3.5436265, 5.0660480, 6.9870714, 29.4238390, 48.6997890", \
"3.0277916, 3.0957996, 3.5445775, 5.0644675, 6.9889481, 29.4308760, 48.7372130", \
"3.0277880, 3.0996297, 3.5445922, 5.0646753, 6.9804442, 29.4235180, 48.7397140", \
"3.0247178, 3.0961542, 3.5360079, 5.0656288, 6.9860171, 29.4300070, 48.7382690");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("9.0820604, 9.0823340, 9.0823341, 9.0823350, 9.0823360, 9.0823370, 9.2491126", \
"9.1506820, 9.1506830, 9.1506839, 9.1506849, 9.1506858, 9.1506868, 9.1726537", \
"9.1706518, 9.1765653, 9.1765657, 9.1765667, 9.1765676, 9.1765686, 9.1982040", \
"9.1806509, 9.1814831, 9.1814838, 9.1814847, 9.1814857, 9.1814867, 9.2032826", \
"9.1825294, 9.1825300, 9.1925800, 9.1925807, 9.1925817, 9.1925827, 9.2237649", \
"9.2001864, 9.2012167, 9.2012172, 9.2012182, 9.2012191, 9.2012201, 9.2212611", \
"9.1747738, 9.1747747, 9.1747757, 9.1747766, 9.1747776, 9.1747785, 9.2157046");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("8.0119706, 8.0119710, 8.0173201, 8.0251548, 8.0267766, 8.0267768, 8.0542168", \
"8.0539477, 8.0541849, 8.0541854, 8.0553617, 8.0606437, 8.0606447, 8.0675369", \
"8.0799181, 8.0800246, 8.0800252, 8.0800262, 8.0800272, 8.0800281, 8.0932496", \
"8.0994917, 8.0995433, 8.0995441, 8.0995451, 8.0995460, 8.0995470, 8.1100255", \
"8.0986284, 8.0996756, 8.0996757, 8.0996767, 8.0996776, 8.0996786, 8.1109626", \
"8.1036289, 8.1036296, 8.1036306, 8.1157081, 8.1157089, 8.1157098, 8.1157108", \
"8.0935747, 8.0936893, 8.0939699, 8.0939708, 8.0939718, 8.0939727, 8.1049709");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.5084223, 7.5084227, 7.5257489, 7.5257490, 7.5257495, 7.5257500, 1483.2202000", \
"7.5670892, 7.5670894, 7.5677642, 7.5677646, 7.5677650, 7.5677655, 7.5677660", \
"7.5878122, 7.5878127, 7.5878132, 7.5878137, 7.5878141, 7.5878146, 7.5878151", \
"7.5959763, 7.5959766, 7.5959771, 7.5959776, 7.5959781, 7.5959785, 7.5959790", \
"7.5967170, 7.5967172, 7.5967176, 7.5967181, 7.5967186, 7.5967191, 7.5967196", \
"7.5899091, 7.5899094, 7.5982847, 7.5982850, 7.5982855, 7.5982860, 7.5982864", \
"7.5876585, 7.5876587, 7.5876592, 7.5876596, 7.5876601, 7.5876606, 7.5876611");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.7745170, 10.8248890, 11.1346410, 13.0783010, 19.6038760, 39.7174520, 161.3411900", \
"10.9001610, 10.9550440, 11.2660530, 13.2078640, 19.7282160, 39.8484910, 161.4629100", \
"10.9887980, 11.0386310, 11.3496610, 13.2921170, 19.8198190, 39.9349030, 161.5477900", \
"11.0507230, 11.1015120, 11.4127050, 13.3547600, 19.8794280, 39.9969050, 161.5972100", \
"11.1244140, 11.1687640, 11.4864580, 13.4279120, 19.9520720, 40.0767670, 161.6802600", \
"11.1850000, 11.2318790, 11.5434390, 13.4818400, 19.9954810, 40.1216410, 161.7358800", \
"11.2306460, 11.2893770, 11.6014480, 13.5387140, 20.0601700, 40.1802470, 161.7950100");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.1273213, 3.2120944, 3.7382613, 5.8012879, 8.6379401, 33.4963950, 200.3502700", \
"3.1278338, 3.2123080, 3.7381738, 5.8011471, 8.6434939, 33.4930870, 200.3582700", \
"3.1270118, 3.2119440, 3.7380197, 5.8019900, 8.6385237, 33.4998660, 200.3818600", \
"3.1265999, 3.2120433, 3.7384194, 5.8008252, 8.6430676, 33.4962530, 200.3859700", \
"3.1265910, 3.2123553, 3.7381165, 5.8016876, 8.6434895, 33.4971450, 200.3951100", \
"3.1278904, 3.2117500, 3.7380103, 5.8020819, 8.6443093, 33.4921210, 200.2620800", \
"3.1277543, 3.2117791, 3.7382493, 5.8007119, 8.6417939, 33.4945480, 200.3997400");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("21.6862720, 21.8675690, 23.0564050, 30.2220220, 74.4565800, 346.7585800, 2026.4274000", \
"21.8075030, 22.0024410, 23.1655070, 30.3366750, 74.5853160, 346.9175700, 2026.7169000", \
"21.9037500, 22.0962450, 23.2690670, 30.4386950, 74.6963660, 347.1627700, 2027.5581000", \
"21.9685850, 22.1636770, 23.3262210, 30.5430560, 74.7748810, 347.2013400, 2028.3946000", \
"22.0340720, 22.2263490, 23.3878640, 30.5791200, 74.7685080, 347.0837600, 2026.4567000", \
"22.0901150, 22.2875500, 23.4435850, 30.6415620, 74.9143250, 347.0675300, 2028.3203000", \
"22.1300440, 22.3400640, 23.5073540, 30.6709890, 74.9397980, 347.1232900, 2026.5059000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.7419050, 14.1371430, 16.4866980, 30.7939380, 119.0655500, 663.3735000, 4020.2767000", \
"13.7374400, 14.1564960, 16.4291340, 30.7392090, 118.7767800, 663.2873800, 4021.4345000", \
"13.7619360, 14.1661280, 16.4914230, 30.7933450, 119.0748400, 663.3356400, 4021.5509000", \
"13.7905670, 14.1700620, 16.4800080, 30.8433710, 119.1437300, 663.3458200, 4021.9493000", \
"13.7618660, 14.1348340, 16.4318390, 30.7995190, 118.9557500, 663.2250200, 4022.8574000", \
"13.7579020, 14.1681130, 16.4703430, 30.8001060, 118.9018100, 662.8719100, 4020.7815000", \
"13.7539240, 14.1346930, 16.4424690, 30.7403740, 119.1425900, 662.8802100, 4020.2763000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.6854691, 7.6854694, 7.6854699, 7.6854704, 7.6854709, 7.6854713, 7.6854718", \
"7.7123161, 7.7123163, 7.7123168, 7.7123173, 7.7123178, 7.7123182, 7.7123187", \
"7.7585574, 7.7585576, 7.7585581, 7.7585586, 7.7585590, 7.7585595, 7.7585600", \
"7.7683104, 7.7683108, 7.7683113, 7.7683118, 7.7683123, 7.7683127, 7.7683132", \
"7.7678610, 7.7678612, 7.7678617, 7.7678621, 7.7678626, 7.7678631, 7.7678636", \
"7.7669844, 7.7714866, 7.7714870, 7.7714875, 7.7714880, 7.7714885, 7.7714890", \
"7.7514848, 7.7514852, 7.7567908, 7.7567909, 7.7567914, 7.7567919, 7.7567924");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.9594820, 7.9690707, 7.9755340, 7.9910780, 7.9910782, 7.9910787, 7.9910791", \
"8.0388292, 8.0402831, 8.0466140, 8.0466142, 8.0466152, 8.0466161, 8.2119893", \
"8.0603293, 8.0603300, 8.0624174, 8.0624176, 8.0624185, 8.0624195, 8.1789091", \
"8.0692191, 8.0692192, 8.0718339, 8.0718341, 8.0718351, 8.0718360, 8.1346432", \
"8.0723082, 8.0723091, 8.0741298, 8.0741306, 8.0741315, 8.0741325, 8.1446592", \
"8.0698692, 8.0698696, 8.0729787, 8.0729795, 8.0729805, 8.0729814, 8.1552454", \
"8.0691080, 8.0691085, 8.0717886, 8.0717893, 8.0717903, 8.0717912, 8.1403950");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.4040770, 14.4537090, 14.7741180, 16.6651650, 28.0719290, 95.0326680, 188.6338300", \
"14.5306780, 14.5836260, 14.9033570, 16.7971700, 28.1823790, 95.1574180, 188.7654800", \
"14.6146960, 14.6709240, 14.9872040, 16.8836550, 28.2831410, 95.2594020, 188.8449800", \
"14.6816740, 14.7318750, 15.0529420, 16.9528250, 28.3463010, 95.3077970, 188.8629800", \
"14.7532860, 14.7939580, 15.1135990, 17.0189270, 28.4148670, 95.3782260, 188.9858100", \
"14.8128440, 14.8585050, 15.1735710, 17.0786980, 28.4538540, 95.4390200, 189.0167900", \
"14.8696550, 14.9111160, 15.2265080, 17.1248490, 28.5399060, 95.4879700, 189.0884400");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.1002714, 3.1747620, 3.6204799, 6.4409975, 24.4562890, 98.2152100, 64.3372220", \
"3.0996825, 3.1747573, 3.6215544, 6.4410921, 24.4381880, 98.1715540, 64.3488110", \
"3.1016145, 3.1746445, 3.6217862, 6.4408519, 24.4580070, 98.2199000, 64.2784670", \
"3.0996858, 3.1746503, 3.6216967, 6.4410850, 24.4601490, 98.2046870, 64.2269380", \
"3.1000265, 3.1753720, 3.6213870, 6.4410859, 24.4561120, 98.1841740, 64.2750140", \
"3.0994125, 3.1745653, 3.6212844, 6.4410832, 24.4381950, 98.1880320, 64.2890110", \
"3.1042604, 3.1723226, 3.6216126, 6.4353762, 24.4561010, 98.1826390, 64.3894250");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("8.0218356, 8.0218359, 8.0218368, 8.0218378, 8.0218387, 8.0218397, 8.0218406", \
"8.0503115, 8.0504021, 8.0504092, 8.0542923, 8.0728935, 8.0728937, 8.0728946", \
"8.0772269, 8.0773050, 8.0773054, 8.0773063, 8.0773073, 8.0773082, 8.0915834", \
"8.1103950, 8.1103960, 8.1103969, 8.1103979, 8.1103988, 8.1103998, 8.1195699", \
"8.1044211, 8.1061025, 8.1061035, 8.1061044, 8.1061054, 8.1061063, 8.1140756", \
"8.1106259, 8.1106267, 8.1106277, 8.1106287, 8.1106296, 8.1106306, 8.1106315", \
"8.1010123, 8.1070493, 8.1070495, 8.1070505, 8.1070514, 8.1070524, 8.1070533");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.9738474, 7.9738477, 7.9775990, 8.0022158, 8.0022159, 8.0022169, 8.0022178", \
"8.0413889, 8.0413890, 8.0413900, 8.0421687, 8.0421691, 8.0421701, 8.0897360", \
"8.0630382, 8.0630384, 8.0675058, 8.0675064, 8.0675073, 8.0675083, 8.1885352", \
"8.0718552, 8.0729734, 8.0777216, 8.0777221, 8.0777231, 8.0777240, 8.1346494", \
"8.0745091, 8.0754754, 8.0803995, 8.0804000, 8.0804010, 8.0804019, 8.1556326", \
"8.0723073, 8.0723081, 8.0761584, 8.0761591, 8.0761600, 8.0761610, 8.1408844", \
"8.0715905, 8.0715910, 8.0743128, 8.0743137, 8.0743146, 8.0743156, 8.1438056");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.6844557, 7.6910807, 7.6910809, 7.6910813, 7.6910818, 7.6910823, 7.6910828", \
"7.7439255, 7.7439259, 7.7573663, 7.7573665, 7.7573669, 7.7573674, 7.7573679", \
"7.7729299, 7.7729300, 7.7863387, 7.7863391, 7.7863396, 7.7863400, 7.7863405", \
"7.7845659, 7.7845662, 7.7981346, 7.7981351, 7.7981356, 7.7981360, 7.7981365", \
"7.7868432, 7.7868436, 7.8006428, 7.8006433, 7.8006437, 7.8006442, 7.8006447", \
"7.7867188, 7.7867191, 7.7991933, 7.7991937, 7.7991941, 7.7991946, 7.7991951", \
"7.7818454, 7.7818459, 7.7954674, 7.7954677, 7.7954681, 7.7954686, 7.7954691");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.5116029, 7.5116032, 7.5116036, 7.5151556, 7.5151561, 7.5151566, 7.5151570", \
"7.5682474, 7.5682476, 7.5694837, 7.5694840, 7.5694845, 7.5694850, 7.5694855", \
"7.5892013, 7.5892017, 7.5892022, 7.5892027, 7.5892032, 7.5892036, 7.5892041", \
"7.5975013, 7.5975016, 7.5975020, 7.5975025, 7.5975030, 7.5975035, 7.5975040", \
"7.5982314, 7.5982316, 7.5982321, 7.5982326, 7.5982330, 7.5982335, 7.5982340", \
"7.5915114, 7.5915115, 7.5996764, 7.5996769, 7.5996774, 7.5996779, 7.5996783", \
"7.5892537, 7.5892542, 7.5892547, 7.5892551, 7.5892556, 7.5892561, 7.5892566");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.2196830, 10.2698160, 10.5808260, 12.5237930, 19.0466330, 39.1808010, 160.7864400", \
"10.2752830, 10.3265490, 10.6368780, 12.5805400, 19.1014000, 39.2172450, 160.8305100", \
"10.2952980, 10.3454650, 10.6561830, 12.5996080, 19.1189800, 39.2464230, 160.8564000", \
"10.3031330, 10.3534530, 10.6644180, 12.6077610, 19.1333720, 39.2459470, 160.8595000", \
"10.3061160, 10.3556400, 10.6669170, 12.6104420, 19.1356530, 39.2501430, 160.8551800", \
"10.3046870, 10.3544200, 10.6651050, 12.6075420, 19.1267430, 39.2499120, 160.8588900", \
"10.2992850, 10.3498090, 10.6609530, 12.6041130, 19.1222450, 39.2579230, 160.8596000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.1273721, 3.2120950, 3.7381485, 5.8024576, 8.6389464, 33.5198570, 200.3923600", \
"3.1268885, 3.2119265, 3.7378753, 5.8020526, 8.6443688, 33.4963910, 200.3278800", \
"3.1268357, 3.2120522, 3.7382684, 5.8022085, 8.6444141, 33.4954890, 200.3750800", \
"3.1268155, 3.2122704, 3.7383239, 5.8015490, 8.6469942, 33.4973720, 200.3280500", \
"3.1272271, 3.2122609, 3.7383621, 5.8015486, 8.6470013, 33.4974790, 200.3477800", \
"3.1265550, 3.2120202, 3.7380108, 5.8010783, 8.6466532, 33.4973860, 200.2910700", \
"3.1270715, 3.2120246, 3.7381773, 5.8015970, 8.6466985, 33.5101970, 200.3516500");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.3974940, 10.3988530, 10.3988538, 10.3988548, 10.3988557, 10.3988567, 10.4362540", \
"10.4466030, 10.4473350, 10.4474460, 10.4474464, 10.4474473, 10.4474483, 10.4772830", \
"10.4526420, 10.4526430, 10.4528420, 10.4528423, 10.4528432, 10.4528442, 10.4729380", \
"10.4456180, 10.4456460, 10.4456468, 10.4457460, 10.4457469, 10.4457479, 10.4457489", \
"10.4880130, 10.4880138, 10.4886130, 10.4903240, 10.4903245, 10.4903255, 10.5183270", \
"10.5064020, 10.5064025, 10.5064035, 10.5105680, 10.5105682, 10.5105691, 10.5105701", \
"10.5397430, 10.5397440, 10.5397449, 10.5397459, 10.5397468, 10.5397478, 10.5397487");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.7752140, 10.8281150, 11.1362860, 13.0840830, 19.6066630, 39.7154810, 161.3426100", \
"10.9033480, 10.9537870, 11.2643410, 13.2073950, 19.7311320, 39.8507470, 161.4604300", \
"10.9898450, 11.0418800, 11.3533640, 13.2956770, 19.8137740, 39.9478990, 161.5390200", \
"11.0537210, 11.1026310, 11.4155880, 13.3575540, 19.8816750, 39.9954840, 161.6167000", \
"11.1243620, 11.1749620, 11.4769330, 13.4294570, 19.9537810, 40.0659810, 161.6896700", \
"11.1855400, 11.2350750, 11.5338850, 13.4891850, 20.0088250, 40.1271620, 161.7476200", \
"11.2394360, 11.2768200, 11.6020400, 13.5371730, 20.0655990, 40.1844070, 161.8058700");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.1279506, 3.2114680, 3.7383661, 5.8017395, 8.6467509, 33.4933890, 200.3614300", \
"3.1277667, 3.2123202, 3.7386583, 5.8015028, 8.6451024, 33.4974820, 200.3789500", \
"3.1274512, 3.2123173, 3.7381609, 5.8025944, 8.6435650, 33.4992050, 200.3350100", \
"3.1279555, 3.2122600, 3.7383967, 5.8023120, 8.6445420, 33.5114410, 200.3454500", \
"3.1279428, 3.2122440, 3.7385117, 5.8023835, 8.6464578, 33.4973080, 200.3378800", \
"3.1280838, 3.2123928, 3.7382888, 5.8024625, 8.6471506, 33.4973440, 200.3401200", \
"3.1272140, 3.2120622, 3.7385486, 5.8021268, 8.6436787, 33.4953330, 200.2596500");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.4633580, 14.5156320, 14.8255700, 16.6637070, 21.8667750, 41.1192290, 84.8589960", \
"14.5905180, 14.6414660, 14.9548590, 16.7922650, 21.9977330, 41.2559730, 84.9849040", \
"14.6769900, 14.7275670, 15.0448380, 16.8763870, 22.0803480, 41.3335290, 85.1189410", \
"14.7408530, 14.7932200, 15.1027560, 16.9405290, 22.1456290, 41.3887060, 85.1524360", \
"14.8146290, 14.8529610, 15.1682170, 17.0008910, 22.2191970, 41.4782480, 85.2118800", \
"14.8649060, 14.9238630, 15.2310630, 17.0661480, 22.2797800, 41.5369570, 85.3155840", \
"14.9272950, 14.9689610, 15.2863610, 17.1261390, 22.3269280, 41.5839880, 85.3394080");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0736771, 3.1459628, 3.5785963, 5.2026636, 7.0812001, 29.4586910, 48.7055550", \
"3.0731091, 3.1473195, 3.5853198, 5.2053966, 7.0823916, 29.4575720, 48.6388030", \
"3.0737748, 3.1473109, 3.5850889, 5.2027724, 7.0617019, 29.4587060, 48.6042350", \
"3.0751848, 3.1480563, 3.5854844, 5.2057390, 7.0820532, 29.4628570, 48.7466850", \
"3.0763945, 3.1472296, 3.5859391, 5.2054352, 7.0892661, 29.4556910, 48.7482980", \
"3.0730891, 3.1472378, 3.5864287, 5.2054103, 7.0934729, 29.4538300, 48.7248680", \
"3.0729783, 3.1473260, 3.5864596, 5.2055644, 7.0933379, 29.4533860, 48.6094080");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.7831160, 10.8341740, 11.1379990, 13.0376370, 24.6540920, 96.1821980, 421.7032200", \
"10.9049160, 10.9538570, 11.2744160, 13.1566060, 24.7778060, 96.3009010, 421.9040800", \
"10.9892500, 11.0405500, 11.3509690, 13.2600390, 24.8720420, 96.3802120, 421.9470500", \
"11.0559080, 11.1063230, 11.4115620, 13.3117190, 24.9299230, 96.4462000, 421.9715500", \
"11.1269750, 11.1690440, 11.4927020, 13.3772630, 25.0033930, 96.5116340, 422.0762500", \
"11.1751740, 11.2327750, 11.5361160, 13.4378220, 25.0526990, 96.5741050, 422.1700700", \
"11.2421970, 11.2857050, 11.6115610, 13.5007260, 25.1198420, 96.6333430, 422.1630800");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0710257, 3.1493226, 3.6324139, 6.6388890, 25.0345860, 138.9268200, 357.0869500", \
"3.0716352, 3.1488014, 3.6331105, 6.6370229, 25.0384760, 138.7370800, 357.2353900", \
"3.0697793, 3.1476548, 3.6312409, 6.6413119, 25.0454700, 138.6966200, 357.2687600", \
"3.0716667, 3.1492513, 3.6315002, 6.6380705, 25.0337510, 138.9291000, 357.2513700", \
"3.0715956, 3.1491416, 3.6331211, 6.6370287, 25.0427320, 138.6877700, 357.2085600", \
"3.0699001, 3.1476732, 3.6313195, 6.6373667, 25.0295960, 138.7025000, 357.2200500", \
"3.0715919, 3.1478196, 3.6329608, 6.6376988, 25.0420800, 138.9554900, 357.2621100");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.4793190, 14.5279130, 14.8498300, 16.7389200, 28.1344250, 98.0493620, 526.4481000", \
"14.6078380, 14.6544040, 14.9738370, 16.8618880, 28.2507510, 98.2109330, 526.5714500", \
"14.6904200, 14.7446200, 15.0575750, 16.9526350, 28.3543550, 98.4216370, 526.6476800", \
"14.7552410, 14.8012050, 15.1282950, 17.0056770, 28.3996060, 98.3575250, 526.7109500", \
"14.8288450, 14.8675500, 15.1924300, 17.0818810, 28.4564980, 98.4312360, 526.7987100", \
"14.8840080, 14.9378110, 15.2536830, 17.1410850, 28.5268680, 98.4865170, 526.8405500", \
"14.9310380, 14.9964290, 15.2960010, 17.1997530, 28.6000040, 98.5474740, 526.9084800");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0995713, 3.1719680, 3.6251164, 6.4314998, 24.4558330, 135.4379300, 516.1074300", \
"3.0991807, 3.1727141, 3.6139629, 6.4425163, 24.4528380, 135.4928800, 516.1277800", \
"3.0989993, 3.1727521, 3.6145036, 6.4348700, 24.4551510, 135.6643900, 516.0694600", \
"3.0996508, 3.1722420, 3.6248160, 6.4406458, 24.4531350, 135.4924800, 516.1114700", \
"3.0991272, 3.1725431, 3.6139614, 6.4425132, 24.4520050, 135.4934400, 516.1335800", \
"3.0989993, 3.1725456, 3.6139762, 6.4424999, 24.4520350, 135.5188000, 516.1115800", \
"3.0989946, 3.1726262, 3.6139616, 6.4373391, 24.4579400, 135.4949600, 516.1043600");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.9361548, 7.9361551, 7.9361556, 7.9361561, 7.9361566, 7.9529672, 7.9529675", \
"8.0446023, 8.0446029, 8.0446039, 8.0446048, 8.0446058, 8.0446067, 8.0446077", \
"8.0485236, 8.0491258, 8.0491262, 8.0491272, 8.0491281, 8.0491291, 8.0685183", \
"8.0424662, 8.0426910, 8.0426918, 8.0434139, 8.0434146, 8.0434156, 8.0595948", \
"8.0308853, 8.0309199, 8.0309206, 8.0318587, 8.0318590, 8.0318599, 8.0454692", \
"8.0460438, 8.0460439, 8.0603364, 8.0603366, 8.0603376, 8.0603385, 8.0604199", \
"8.1029938, 8.1029945, 8.1029954, 8.1029964, 8.1029974, 8.1029983, 8.1029993");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.9807334, 7.9807337, 7.9833882, 7.9875342, 7.9875343, 7.9875348, 7.9875353", \
"8.0445197, 8.0445200, 8.0445209, 8.0445219, 8.0445228, 8.0445238, 8.0445247", \
"8.0488949, 8.0637745, 8.0693585, 8.0693594, 8.0693603, 8.0693613, 8.0693622", \
"8.0582145, 8.0741964, 8.0799190, 8.0799194, 8.0799203, 8.0799213, 8.1038660", \
"8.0648102, 8.0773193, 8.0817442, 8.0817447, 8.0817457, 8.0817466, 8.0817476", \
"8.0614626, 8.0771985, 8.0771986, 8.0771995, 8.0772005, 8.0772014, 8.1036324", \
"8.0589233, 8.0724245, 8.0744114, 8.0744119, 8.0744129, 8.0744138, 8.0820755");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.1099429, 7.1193575, 7.1193579, 7.1193584, 7.1193588, 7.1193593, 7.1193598", \
"7.2448585, 7.2498785, 7.2498787, 7.2498792, 7.2498796, 7.2498801, 7.2498806", \
"7.3332167, 7.3332170, 7.3358422, 7.3358424, 7.3358429, 7.3358434, 7.3358439", \
"7.4092856, 7.4092858, 7.4134472, 7.4134477, 7.4134481, 7.4134486, 7.4134491", \
"7.4520479, 7.4886302, 7.4886306, 7.4886311, 7.4886315, 7.4886320, 7.5172766", \
"7.5426430, 7.5426434, 7.5440907, 7.5460767, 7.5460771, 7.5460775, 7.5727442", \
"7.5888593, 7.5918782, 7.5918787, 7.5931945, 7.5931948, 7.5931952, 7.6394748");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.3696490, 14.4222080, 14.7293200, 16.5613980, 21.8254270, 41.1604690, 144.3090800", \
"14.4980050, 14.5495400, 14.8575020, 16.6828170, 21.9540550, 41.3070610, 144.3733600", \
"14.5859400, 14.6331400, 14.9441700, 16.7757430, 22.0398970, 41.3947030, 144.5050700", \
"14.6493660, 14.6967890, 15.0085540, 16.8384910, 22.1038650, 41.4329300, 144.5663600", \
"14.7128130, 14.7735120, 15.0827620, 16.9123080, 22.1631210, 41.5089790, 144.6336200", \
"14.7707130, 14.8224850, 15.1407530, 16.9730680, 22.2341900, 41.5695210, 144.7067500", \
"14.8196810, 14.8778470, 15.1845470, 17.0181720, 22.2767370, 41.6430940, 144.7494600");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0807439, 3.1493073, 3.5816301, 5.2164313, 7.1218014, 32.1397380, 99.7217030", \
"3.0804503, 3.1458784, 3.5824992, 5.2168536, 7.1227975, 32.0713550, 99.6836960", \
"3.0807006, 3.1480467, 3.5815693, 5.2166311, 7.1236279, 32.0619510, 99.6727110", \
"3.0805052, 3.1495382, 3.5814829, 5.2171920, 7.1222392, 32.1396850, 99.6679430", \
"3.0804221, 3.1424694, 3.5780188, 5.2079883, 7.1154114, 32.1397380, 99.6554450", \
"3.0804259, 3.1480785, 3.5830776, 5.2079829, 7.1246720, 32.0435800, 99.6759940", \
"3.0804359, 3.1491845, 3.5825554, 5.2083804, 7.1229711, 32.0712880, 99.6725760");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("7.1174635, 7.1174639, 7.1235533, 7.1235536, 7.1235540, 7.1235545, 7.1235550", \
"7.2540240, 7.2540243, 7.2540248, 7.2540253, 7.2540257, 7.2540262, 7.2949322", \
"7.3458200, 7.3458202, 7.3458207, 7.3458212, 7.3458217, 7.3458221, 7.3458226", \
"7.4115056, 7.4115060, 7.4167872, 7.4167874, 7.4167879, 7.4167884, 7.4254136", \
"7.4817432, 7.4872810, 7.4872811, 7.4872816, 7.4872821, 7.4872826, 7.5174897", \
"7.5454309, 7.5454314, 7.5465980, 7.5465982, 7.5465987, 7.5465992, 7.5814004", \
"7.5999935, 7.5999940, 7.5999945, 7.5999949, 7.5999954, 7.5999959, 7.6560198");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("16.8996430, 17.0947150, 18.2859170, 25.6222330, 70.7919200, 349.4430100, 2068.0448000", \
"17.0284110, 17.2220960, 18.4106610, 25.7640060, 70.9086410, 349.7770000, 2067.9734000", \
"17.1206550, 17.3149170, 18.4952430, 25.8215640, 71.0389050, 349.6951100, 2068.0075000", \
"17.1780280, 17.3738910, 18.5687230, 25.8795650, 71.0556170, 349.7459600, 2068.1030000", \
"17.2429480, 17.4406980, 18.6588290, 25.9824250, 71.1649260, 349.7790700, 2068.1696000", \
"17.3079680, 17.5031720, 18.6763460, 26.0259260, 71.2344250, 349.9040700, 2068.2110000", \
"17.3609450, 17.5599730, 18.7457660, 26.0591990, 71.2310990, 350.0432500, 2068.2808000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.7528330, 15.1355960, 17.4666110, 32.0216170, 122.1286800, 677.8623700, 4102.2381000", \
"14.7513950, 15.1353720, 17.4839820, 32.0044510, 122.1666100, 678.3797600, 4101.1822000", \
"14.7441080, 15.1332880, 17.4847850, 32.0409370, 122.0893200, 678.2439600, 4103.2422000", \
"14.7526680, 15.1319100, 17.4667870, 32.0509700, 122.1697800, 678.2624400, 4103.2608000", \
"14.7493390, 15.1282350, 17.4773900, 32.0197840, 122.0645300, 678.0600800, 4108.4172000", \
"14.7541530, 15.1249410, 17.4838770, 32.0349720, 122.1051100, 677.6956500, 4105.9457000", \
"14.7446660, 15.1301260, 17.4713330, 32.0365070, 122.1696100, 677.3709600, 4101.6301000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("21.6885780, 21.8841690, 23.0338310, 30.2103980, 74.5081010, 346.7711200, 2028.2366000", \
"21.8094930, 22.0017800, 23.1677310, 30.3312290, 74.5949650, 346.9056900, 2027.8947000", \
"21.8948330, 22.0857820, 23.2587480, 30.4432280, 74.6846640, 346.8645400, 2027.8596000", \
"21.9619840, 22.1605380, 23.3131740, 30.4928490, 74.6583100, 346.9508300, 2026.5529000", \
"22.0387300, 22.2141490, 23.3852970, 30.5882820, 74.8255220, 347.0289600, 2026.5995000", \
"22.0877520, 22.2785490, 23.4526340, 30.6576420, 74.8910550, 347.0798000, 2026.4381000", \
"22.1586020, 22.3309180, 23.5063600, 30.7108900, 74.9060900, 347.1650100, 2026.5581000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.7504030, 14.1646660, 16.4709300, 30.7678720, 118.9709700, 663.3445700, 4023.7710000", \
"13.7523440, 14.1437920, 16.4142810, 30.7414040, 118.7682100, 663.2515100, 4022.6773000", \
"13.7512340, 14.1121510, 16.4818650, 30.8049980, 118.7415200, 663.2063200, 4020.1007000", \
"13.7506390, 14.1647640, 16.4295830, 30.6998660, 119.0645600, 662.8465000, 4020.9702000", \
"13.7783460, 14.1153500, 16.4449730, 30.8470830, 118.8582200, 662.9120400, 4019.9025000", \
"13.7531630, 14.1152170, 16.4728460, 30.8279770, 118.8583500, 662.8909000, 4017.1162000", \
"13.7889580, 14.1685190, 16.4557900, 30.8428310, 118.8936800, 662.9307400, 4020.3145000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.2441140, 10.2953120, 10.6058890, 12.5514730, 19.0563870, 39.1861690, 160.7951800", \
"10.2994670, 10.3495590, 10.6604010, 12.6053310, 19.1099280, 39.2385320, 160.8305400", \
"10.3184700, 10.3695590, 10.6803350, 12.6246910, 19.1302800, 39.2605590, 160.8613000", \
"10.3271010, 10.3776320, 10.6887510, 12.6318080, 19.1396610, 39.2594150, 160.8649000", \
"10.3292990, 10.3804300, 10.6912500, 12.6355890, 19.1414940, 39.2576250, 160.8736100", \
"10.3281860, 10.3791030, 10.6897770, 12.6326660, 19.1434120, 39.2585380, 160.8643000", \
"10.3251370, 10.3741970, 10.6859610, 12.6301080, 19.1355000, 39.2505830, 160.8706800");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.1278338, 3.2120862, 3.7380143, 5.7895009, 8.6268255, 33.5102790, 200.3126300", \
"3.1269924, 3.2121694, 3.7386561, 5.7892304, 8.6305807, 33.4992090, 200.3817100", \
"3.1276115, 3.2121477, 3.7380157, 5.7892069, 8.6258023, 33.5102470, 200.3403400", \
"3.1273168, 3.2123959, 3.7383052, 5.7884111, 8.6267722, 33.5023350, 200.2471700", \
"3.1277054, 3.2121326, 3.7380139, 5.7886589, 8.6265715, 33.4967570, 200.3430500", \
"3.1269707, 3.2123313, 3.7381853, 5.7883711, 8.6340970, 33.5023350, 200.4069300", \
"3.1267753, 3.2123575, 3.7384953, 5.7893845, 8.6294749, 33.4963590, 200.3232600");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("20.8274770, 21.0222310, 22.1999330, 29.4198620, 73.7969760, 346.2346900, 2026.9954000", \
"20.9618220, 21.1502500, 22.3263580, 29.6082470, 73.7695270, 346.1594000, 2026.8676000", \
"21.0388260, 21.2342620, 22.4114040, 29.6888930, 73.9514970, 346.3451000, 2027.9397000", \
"21.1147380, 21.3051620, 22.4802450, 29.7102730, 74.0641680, 346.4843700, 2027.2801000", \
"21.1673260, 21.3635780, 22.5375310, 29.7764850, 74.0760270, 346.3216300, 2027.1073000", \
"21.2325550, 21.4157440, 22.6077770, 29.8753450, 74.1862390, 346.5817100, 2027.6577000", \
"21.2926070, 21.4828560, 22.6727210, 29.9010980, 74.2513890, 346.4241400, 2027.2350000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.7772710, 14.1661960, 16.4710840, 30.7557460, 119.1532300, 663.1455600, 4023.2235000", \
"13.7864980, 14.1542920, 16.4673390, 30.8357390, 119.0001800, 663.1634100, 4022.9943000", \
"13.7753620, 14.1653060, 16.4708780, 30.8453280, 118.7951700, 663.2476500, 4023.3281000", \
"13.7851690, 14.1659300, 16.4618840, 30.7697550, 118.8456800, 663.2677700, 4022.0780000", \
"13.7713650, 14.1649000, 16.4615470, 30.7868040, 118.8396400, 662.7964800, 4023.6673000", \
"13.7864960, 14.1541370, 16.4840820, 30.8258020, 118.8724800, 663.2661200, 4023.9142000", \
"13.7762130, 14.1653120, 16.4839060, 30.6629210, 118.8573600, 662.8211500, 4024.5936000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.7809540, 10.8332290, 11.1343490, 13.0350600, 24.6488910, 96.1685840, 421.7429300", \
"10.9023960, 10.9535900, 11.2605850, 13.1665190, 24.7772610, 96.3215780, 421.7857000", \
"10.9883010, 11.0358990, 11.3559120, 13.2649350, 24.8673100, 96.3798850, 421.9547500", \
"11.0586480, 11.1040080, 11.4105210, 13.3085270, 24.9320530, 96.4333320, 422.0168500", \
"11.1248420, 11.1817360, 11.4848810, 13.3939660, 24.9983660, 96.5201470, 422.0850700", \
"11.1730690, 11.2308060, 11.5442840, 13.4408870, 25.0881410, 96.5803080, 422.0906100", \
"11.2350700, 11.2856410, 11.6070340, 13.5127900, 25.1171210, 96.6319010, 422.2058300");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0700087, 3.1482095, 3.6309045, 6.6387016, 25.0342410, 138.9270600, 357.2506300", \
"3.0688470, 3.1488536, 3.6305472, 6.6391692, 25.0446400, 138.6630100, 357.1312600", \
"3.0694609, 3.1474534, 3.6319225, 6.6400920, 25.0358490, 138.6711700, 357.0560200", \
"3.0700009, 3.1484721, 3.6308909, 6.6378645, 25.0377940, 138.9233700, 357.2245100", \
"3.0691376, 3.1489704, 3.6309058, 6.6397292, 25.0365540, 138.8973200, 357.1275000", \
"3.0688894, 3.1485332, 3.6318242, 6.6378942, 25.0437500, 138.9554000, 357.2360500", \
"3.0708831, 3.1484888, 3.6317980, 6.6395844, 25.0542980, 138.6688400, 357.0247600");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("21.6861660, 21.8797660, 23.0378840, 30.2627790, 74.3668740, 346.7749800, 2028.1741000", \
"21.8097010, 22.0050750, 23.1666120, 30.3373080, 74.5898350, 346.9462500, 2027.7666000", \
"21.9137930, 22.0952770, 23.2561760, 30.4802010, 74.6811750, 347.1290000, 2027.6680000", \
"21.9749570, 22.1613940, 23.3172080, 30.5282020, 74.6653090, 347.2102000, 2028.1595000", \
"22.0288180, 22.2248250, 23.3860290, 30.5780890, 74.8398460, 347.0314600, 2027.7987000", \
"22.1009080, 22.2854690, 23.4440320, 30.6251340, 74.8845390, 347.1379000, 2028.4451000", \
"22.1433130, 22.3445260, 23.4887810, 30.6671700, 74.9675020, 347.3952300, 2028.4294000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.7414180, 14.1687840, 16.4463910, 30.8430290, 119.0935500, 663.0837100, 4024.5545000", \
"13.7413960, 14.1688320, 16.4447990, 30.7376770, 118.8069700, 663.2853900, 4023.6050000", \
"13.7969620, 14.1648870, 16.4203100, 30.8424650, 118.7684300, 663.3409300, 4023.2512000", \
"13.7872770, 14.1635760, 16.4384280, 30.8326060, 119.0072600, 663.3427500, 4025.2239000", \
"13.7608720, 14.1354000, 16.4547580, 30.7968760, 118.8670800, 662.8371200, 4025.3049000", \
"13.7608620, 14.1354960, 16.4459150, 30.7968940, 118.8231900, 663.2083100, 4023.2676000", \
"13.7609680, 14.1566760, 16.4446480, 30.7233210, 118.9448400, 663.3354100, 4024.4627000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("16.9029660, 17.0924790, 18.2870540, 25.6366500, 70.8165190, 349.4404300, 2068.3531000", \
"17.0274510, 17.2206520, 18.4146620, 25.7644520, 70.9120370, 349.5932800, 2069.4326000", \
"17.1168400, 17.3073610, 18.4976900, 25.8182010, 71.0602560, 349.6971600, 2068.8567000", \
"17.1761020, 17.3715340, 18.5685650, 25.8859190, 71.0582530, 349.7347600, 2068.9397000", \
"17.2490560, 17.4341750, 18.6344590, 25.9471480, 71.1193310, 349.8057900, 2069.6234000", \
"17.3069930, 17.5000600, 18.6906540, 26.0373780, 71.2520460, 349.9050900, 2069.5670000", \
"17.3525270, 17.5524270, 18.7553530, 26.0799120, 71.2467240, 349.9276000, 2069.1593000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.7546800, 15.1250750, 17.4736310, 32.0216560, 122.0985000, 677.6622300, 4104.2363000", \
"14.7546310, 15.1305000, 17.4823750, 32.0393630, 122.1912200, 678.2131500, 4105.2867000", \
"14.7495780, 15.1333520, 17.4864370, 32.0042590, 122.0449200, 678.2329400, 4103.7974000", \
"14.7530650, 15.1281650, 17.4823440, 32.0094100, 122.1750800, 678.2486300, 4104.4123000", \
"14.7538220, 15.1335020, 17.4769050, 32.0300440, 122.0132600, 678.2120700, 4106.4809000", \
"14.7538000, 15.1278390, 17.4853470, 32.0561360, 122.1941700, 678.2371400, 4105.0171000", \
"14.7538040, 15.1263770, 17.4823020, 32.0425640, 122.1740900, 677.2884800, 4103.6283000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.4815500, 14.5345400, 14.8561680, 16.7396110, 28.1582140, 98.1259590, 526.4348600", \
"14.6085090, 14.6601280, 14.9793560, 16.8673520, 28.2924690, 98.2450670, 526.5631600", \
"14.6952450, 14.7482660, 15.0609200, 16.9582020, 28.3374510, 98.3005180, 526.6586000", \
"14.7523640, 14.8041670, 15.1299840, 17.0182360, 28.3891240, 98.4846620, 526.7071400", \
"14.8152560, 14.8800310, 15.1978680, 17.0808080, 28.4755900, 98.4589410, 526.7926300", \
"14.8833130, 14.9340420, 15.2558680, 17.1435900, 28.5404980, 98.5115920, 526.8474900", \
"14.9448400, 14.9968540, 15.3086360, 17.1972140, 28.6030670, 98.5643640, 526.9146200");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0988678, 3.1723113, 3.6252088, 6.4268306, 24.4676630, 135.5647600, 516.1446100", \
"3.1002720, 3.1724625, 3.6213663, 6.4406152, 24.4670540, 135.4593000, 516.1272700", \
"3.0988694, 3.1723753, 3.6133323, 6.4318733, 24.4517950, 135.5009100, 516.1346600", \
"3.1005845, 3.1704530, 3.6243366, 6.4268684, 24.4568470, 135.6682200, 516.1200500", \
"3.1002512, 3.1725282, 3.6217120, 6.4487726, 24.4245350, 135.4598300, 516.1281800", \
"3.1002523, 3.1726326, 3.6212429, 6.4458723, 24.4244550, 135.4771800, 516.1373300", \
"3.1002749, 3.1724792, 3.6136927, 6.4422983, 24.4239600, 135.4762100, 516.1649600");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_rise";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.7743580, 10.8252110, 11.1368650, 13.0791880, 19.5989180, 39.7136300, 161.3410200", \
"10.9036240, 10.9486770, 11.2618690, 13.2048140, 19.7235630, 39.8471410, 161.4657200", \
"10.9899640, 11.0402930, 11.3510090, 13.2944180, 19.8091660, 39.9472140, 161.5398900", \
"11.0499990, 11.1044920, 11.4124640, 13.3581210, 19.8718450, 39.9972320, 161.6169200", \
"11.1190270, 11.1755810, 11.4827430, 13.4290260, 19.9413550, 40.0769660, 161.6746200", \
"11.1776850, 11.2307550, 11.5443180, 13.4883540, 19.9985610, 40.1290240, 161.7286200", \
"11.2309030, 11.2898520, 11.5943180, 13.5449290, 20.0528310, 40.1783250, 161.8050900");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.1269964, 3.2114325, 3.7383168, 5.8023173, 8.6471861, 33.5101120, 200.2779200", \
"3.1273657, 3.2128589, 3.7388181, 5.8020100, 8.6451983, 33.4998940, 200.3047700", \
"3.1271712, 3.2115921, 3.7384140, 5.8022995, 8.6454115, 33.5088720, 200.3084800", \
"3.1279874, 3.2121681, 3.7387675, 5.8019674, 8.6440481, 33.5011770, 200.2942900", \
"3.1276322, 3.2119549, 3.7391095, 5.8018541, 8.6439442, 33.4982030, 200.2808200", \
"3.1273655, 3.2122429, 3.7386476, 5.8017529, 8.6459417, 33.4950730, 200.2996400", \
"3.1278935, 3.2124385, 3.7386987, 5.8025633, 8.6462588, 33.5096290, 200.2991400");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("16.8996850, 17.1089880, 18.2884730, 25.6129040, 70.7870030, 349.4524200, 2068.0300000", \
"17.0279510, 17.2368790, 18.4107180, 25.7381670, 70.9337800, 349.5856600, 2068.0279000", \
"17.1161630, 17.3030460, 18.5235190, 25.8123210, 70.9908080, 349.7095200, 2068.0939000", \
"17.1776870, 17.3685810, 18.5675280, 25.8871290, 71.0535490, 349.7329400, 2068.2203000", \
"17.2380990, 17.4442290, 18.6693510, 25.9459850, 71.1247240, 349.8188100, 2068.2107000", \
"17.3178360, 17.5008010, 18.6982430, 26.0166400, 71.1759970, 349.8871300, 2068.1989000", \
"17.3571700, 17.5443590, 18.7420500, 26.0584160, 71.2419070, 349.9137900, 2068.3406000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.7472470, 15.1295950, 17.4703580, 32.0156810, 122.2314800, 678.1974100, 4106.2763000", \
"14.7506210, 15.1293770, 17.4822220, 32.0441310, 122.1680900, 677.9495700, 4101.8070000", \
"14.7464200, 15.1256020, 17.4793640, 31.9965920, 122.1826800, 677.8261100, 4104.2067000", \
"14.7516030, 15.1279930, 17.4681250, 32.0175180, 122.1870700, 678.1947400, 4104.4013000", \
"14.7475980, 15.1269500, 17.4768910, 32.0333310, 121.9698600, 678.3011500, 4101.9975000", \
"14.7445750, 15.1248120, 17.4772450, 32.0441200, 122.1993500, 678.4443900, 4100.8193000", \
"14.7511170, 15.1332640, 17.4829410, 32.0428870, 122.1995500, 678.1910400, 4104.3081000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("21.6966050, 21.8748950, 23.0416890, 30.2377540, 74.4496800, 347.1281800, 2026.7341000", \
"21.8125460, 22.0082780, 23.1661450, 30.3379900, 74.5751900, 346.8646200, 2026.2805000", \
"21.9025810, 22.0864660, 23.2569730, 30.4378600, 74.5632250, 347.0766800, 2026.3565000", \
"21.9637020, 22.1499890, 23.3146250, 30.4842200, 74.7228340, 346.9785700, 2027.7168000", \
"22.0160210, 22.2256310, 23.3811050, 30.5847290, 74.7440860, 347.1692800, 2026.6145000", \
"22.0818990, 22.2883510, 23.4440630, 30.6529660, 74.7918210, 347.0752300, 2026.5040000", \
"22.1398510, 22.3387970, 23.5033770, 30.6980430, 74.8613120, 347.1389800, 2027.9572000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.7895120, 14.1453450, 16.4289310, 30.8463050, 118.8421900, 663.2172900, 4019.4577000", \
"13.7395060, 14.1592640, 16.4362980, 30.7661560, 118.8581700, 663.2357100, 4017.1308000", \
"13.7506080, 14.1225850, 16.4455860, 30.6659520, 119.0182500, 663.2969300, 4017.2981000", \
"13.7501800, 14.1446660, 16.4640660, 30.7639530, 118.8372600, 663.2383200, 4019.4368000", \
"13.7528400, 14.1101590, 16.4500610, 30.8475310, 119.0279900, 663.2711200, 4018.1640000", \
"13.7531130, 14.1598960, 16.4519150, 30.8452710, 119.0197700, 662.8384300, 4020.1780000", \
"13.7546850, 14.1571230, 16.4166760, 30.8432890, 119.0193000, 662.8375200, 4018.6846000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("10.3949200, 10.4449800, 10.7696480, 12.6736190, 24.3394050, 95.9599550, 421.3444200", \
"10.4506090, 10.4999470, 10.8116160, 12.7264790, 24.3885480, 95.9913460, 421.2959900", \
"10.4659340, 10.5170970, 10.8365450, 12.7561060, 24.4059160, 96.0072200, 421.3721100", \
"10.4747040, 10.5254340, 10.8464420, 12.7559690, 24.4141840, 96.0160020, 421.3465600", \
"10.4815330, 10.5308250, 10.8425670, 12.7580980, 24.4168510, 96.0182480, 421.3417500", \
"10.4750310, 10.5257400, 10.8409730, 12.7546540, 24.4160820, 96.0170250, 421.4072900", \
"10.4699080, 10.5208300, 10.8430360, 12.7518320, 24.4127600, 96.0164500, 421.3162000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0643752, 3.1405818, 3.6280445, 6.6355530, 25.0396540, 138.9326800, 356.8231400", \
"3.0646612, 3.1425009, 3.6259582, 6.6363044, 25.0375580, 138.9495600, 356.9629100", \
"3.0627056, 3.1405756, 3.6275463, 6.6406929, 25.0346270, 138.7128500, 356.9531100", \
"3.0622578, 3.1402148, 3.6274108, 6.6362937, 25.0349680, 138.7118700, 356.8461600", \
"3.0646301, 3.1424914, 3.6258971, 6.6363173, 25.0347090, 138.7127900, 356.9413100", \
"3.0622715, 3.1401881, 3.6259260, 6.6357186, 25.0360910, 138.9536900, 356.9591600", \
"3.0622038, 3.1401606, 3.6275014, 6.6362880, 25.0393340, 138.9536900, 356.9681200");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.2451360, 13.2965510, 13.6227050, 15.5215840, 26.9639010, 96.9002300, 525.2237000", \
"13.3712290, 13.4227350, 13.7502010, 15.6478650, 27.0564780, 97.0041540, 525.3602400", \
"13.4574550, 13.5087200, 13.8348700, 15.7333290, 27.1410700, 97.0945210, 525.4377100", \
"13.5321850, 13.5846330, 13.9097940, 15.8095120, 27.2181460, 97.1743500, 525.5359400", \
"13.5914390, 13.6358440, 13.9640830, 15.8608200, 27.2673440, 97.2243300, 525.5622000", \
"13.6472500, 13.7010950, 14.0184330, 15.9168620, 27.3178780, 97.3389120, 525.6279700", \
"13.7092540, 13.7601120, 14.0822090, 15.9852180, 27.3928860, 97.3516730, 525.6957300");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0602916, 3.1308727, 3.5827605, 6.4285142, 24.4711770, 135.4450800, 515.9271300", \
"3.0604272, 3.1309697, 3.5832146, 6.4287318, 24.4523070, 135.4370800, 515.9140500", \
"3.0604514, 3.1310607, 3.5832908, 6.4268311, 24.4513320, 135.4589800, 515.8866500", \
"3.0604024, 3.1309355, 3.5828696, 6.4278662, 24.4522230, 135.4701900, 515.9911300", \
"3.0593525, 3.1308516, 3.5859278, 6.4286043, 24.4274520, 135.4637500, 515.8850600", \
"3.0565890, 3.1268517, 3.5862762, 6.4297399, 24.4482510, 135.6420700, 515.9166100", \
"3.0609106, 3.1308964, 3.5865044, 6.4275913, 24.4513210, 135.4767100, 515.9082000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("16.8522650, 17.0463250, 18.2486450, 25.6652740, 70.9642410, 349.8888400, 2069.4338000", \
"16.9027410, 17.0930170, 18.3065540, 25.6800870, 71.0665730, 349.9630500, 2068.6764000", \
"16.9213510, 17.1183810, 18.3151570, 25.7016400, 71.0570090, 350.0122700, 2069.0134000", \
"16.9291690, 17.1269260, 18.3325980, 25.7432210, 71.1057450, 349.9977500, 2069.0384000", \
"16.9325000, 17.1297930, 18.3343720, 25.7073560, 71.0639370, 349.9780200, 2069.1798000", \
"16.9326100, 17.1266560, 18.3353170, 25.7150390, 71.0399140, 350.0017000, 2069.0975000", \
"16.9255630, 17.1430140, 18.3209540, 25.7029150, 71.0309890, 349.9889100, 2069.0566000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("14.7446460, 15.1301600, 17.4732760, 32.0297600, 122.1882000, 677.5939000, 4105.9857000", \
"14.7453680, 15.1305120, 17.4799850, 32.0418640, 122.1531700, 677.8566300, 4103.2476000", \
"14.7479090, 15.1245680, 17.4799090, 32.0239870, 122.1303300, 678.2190700, 4105.6110000", \
"14.7480270, 15.1244230, 17.4790670, 32.0292520, 122.0596700, 677.6707000, 4105.3772000", \
"14.7479060, 15.1244400, 17.4829060, 32.0044080, 122.1301000, 678.3966500, 4105.4177000", \
"14.7433540, 15.1300060, 17.4779390, 32.0091540, 122.1632000, 677.9394500, 4105.4868000", \
"14.7440820, 15.1262860, 17.4677230, 32.0421340, 122.1668200, 678.0238700, 4103.7292000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("13.0535680, 13.1049710, 13.4199990, 15.3071320, 26.6988260, 96.6238720, 525.0036000", \
"13.1843290, 13.2361760, 13.5547790, 15.4438040, 26.8340760, 96.7951550, 525.1386000", \
"13.2726750, 13.3244400, 13.6372760, 15.5241600, 26.9132610, 96.8703160, 525.2442700", \
"13.3406310, 13.3914710, 13.7040250, 15.5909330, 26.9803360, 96.9374770, 525.2973100", \
"13.3974580, 13.4502200, 13.7678260, 15.6563560, 27.0812710, 97.0292650, 525.3516000", \
"13.4532550, 13.5044630, 13.8223890, 15.7112310, 27.1350250, 97.0857950, 525.4001400", \
"13.5192200, 13.5707190, 13.8850800, 15.7737750, 27.1978650, 97.1468240, 525.4773900");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
values("3.0948901, 3.1684755, 3.6127268, 6.4403949, 24.4548420, 135.3650800, 516.1010700", \
"3.0927854, 3.1663951, 3.6189098, 6.4330981, 24.3846920, 135.5523400, 516.0895300", \
"3.0935141, 3.1667267, 3.6126233, 6.4403305, 24.4515860, 135.4806900, 516.2094700", \
"3.0935521, 3.1667786, 3.6128469, 6.4402834, 24.4518010, 135.4845100, 516.1349500", \
"3.0942933, 3.1679561, 3.6174346, 6.4386625, 24.4680350, 135.4562900, 516.1328400", \
"3.0945098, 3.1681708, 3.6174224, 6.4391745, 24.4648530, 135.4549800, 516.1281300", \
"3.0941132, 3.1677905, 3.6174275, 6.4389050, 24.4654110, 135.4552700, 516.1250600");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-1.0848837, -1.1199148, -1.1549458, -1.1563969, -1.1577899, -1.1592410, -1.1606921");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("1.0997368, 1.1461351, 1.1925333, 1.1925936, 1.1926515, 1.1927119, 1.1927722");
}
}
}
pin ("TIE_LO_ESD") {
function : "0";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("AMUXBUS_A") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.158161;
capacitance : 0.157539;
fall_capacitance : 0.156917;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0435160, -0.0449482, -0.0463805, -0.0466641, -0.0469365, -0.0472201, -0.0475038");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0406806, 0.0434901, 0.0462996, 0.0465576, 0.0468053, 0.0470634, 0.0473214");
}
}
}
pin ("TIE_HI_ESD") {
function : "1";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("AMUXBUS_B") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.151203;
capacitance : 0.150450;
fall_capacitance : 0.149696;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0438376, -0.0451347, -0.0464319, -0.0466804, -0.0469189, -0.0471674, -0.0474158");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0412337, 0.0437768, 0.0463200, 0.0466087, 0.0468859, 0.0471746, 0.0474633");
}
}
}
}
default_operating_conditions : "ff_ss_1p95v_x_1p65v_n40C";
}