blob: fe58e3aff4050d435a79de175e4b45b5bbe8b6a1 [file] [log] [blame]
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v35_1v65") {
define(three_state_pullup_res,library,string);
define(three_state_pulldn_res,library,string);
define(zstate_leak_threshold_pct,library,string);
define(clk_width,library,string);
define(driver_model,library,string);
define(def_sim_opt,library,string);
define(simulator,library,string);
define(signal_voltage_type,pin,string);
technology("cmos");
delay_model : "table_lookup";
revision : "1.0";
date : "localtime";
default_leakage_power_density : 0.000000;
default_cell_leakage_power : 0.000000;
default_fanout_load : 0.000000;
default_inout_pin_cap : 0.000000;
default_input_pin_cap : 0.000000;
default_output_pin_cap : 0.000000;
default_max_transition : 1.500000;
bus_naming_style : "%s[%d]";
in_place_swap_mode : "match_footprint";
library_features("report_delay_calculation");
voltage_unit : "1V";
current_unit : "1mA";
leakage_power_unit : "1nW";
pulling_resistance_unit : "1kohm";
time_unit : "1ns";
resistance_unit : "1ohm";
capacitive_load_unit(1.000000, \
"pf");
input_threshold_pct_rise : 50.000000;
input_threshold_pct_fall : 50.000000;
output_threshold_pct_rise : 50.000000;
output_threshold_pct_fall : 50.000000;
slew_lower_threshold_pct_fall : 20.000000;
slew_lower_threshold_pct_rise : 20.000000;
slew_upper_threshold_pct_fall : 80.000000;
slew_upper_threshold_pct_rise : 80.000000;
slew_derate_from_library : 1.000000;
three_state_pullup_res : "1";
three_state_pulldn_res : "1";
zstate_leak_threshold_pct : "0.1";
clk_width : "1.00E-05";
nom_process : 1.000000;
nom_temperature : -40.000000;
nom_voltage : 1.350000;
driver_model : "snps_predriver";
def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
voltage_map("VSS",0.000000);
voltage_map("VCCD",1.350000);
voltage_map("VCCHIB",1.350000);
voltage_map("VDDA",1.650000);
voltage_map("VDDIO",1.650000);
voltage_map("VDDIO_Q",1.650000);
voltage_map("VSSA",0.000000);
voltage_map("VSSD",0.000000);
voltage_map("VSSIO",0.000000);
voltage_map("VSSIO_Q",0.000000);
voltage_map("VSWITCH",1.650000);
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
}
output_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vol : 0.165;
voh : 1.485;
vomax : 1.732;
vomin : -0.083;
}
output_voltage (GENERAL_CORE_VOLTAGE) {
vol : 0.135;
voh : 1.215;
vomax : 1.418;
vomin : -0.068;
}
input_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vil : 0.412;
vih : 1.237;
vimax : 1.732;
vimin : -0.083;
}
input_voltage (GENERAL_CORE_VOLTAGE) {
vil : 0.405;
vih : 0.945;
vimax : 1.418;
vimin : -0.068;
}
operating_conditions ("ss_ss_1p35v_x_1p65v_n40C") {
process : 1.000000;
temperature : -40.000000;
voltage : 1.350000;
tree_type : "balanced_tree";
}
lu_table_template ("vio_7_7_1") {
variable_1 : "related_pin_transition";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "constrained_pin_transition";
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_12_7") {
variable_1 : "input_net_transition";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_7_7") {
variable_1 : "input_net_transition";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
base_type : array;
data_type : bit;
bit_width : 3 ;
bit_from : 2;
bit_to : 0;
downto : true;
}
cell ("sky130_ef_io__gpiov2_pad_wrapped") {
is_macro_cell : true;
dont_use : true;
interface_timing : true;
pad_cell : true;
dont_touch : true;
area : 15000.000000;
cell_leakage_power : 2.252160e+00;
leakage_power (lkgGroup1) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.2362600";
}
leakage_power (lkgGroup2) {
when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1.8461400";
}
leakage_power (lkgGroup3) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.1822300";
}
leakage_power (lkgGroup4) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.2317500";
}
leakage_power (lkgGroup5) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.2484100";
}
leakage_power (lkgGroup6) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.1655700";
}
leakage_power (lkgGroup7) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.1655800";
}
leakage_power (lkgGroup8) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.1700800";
}
leakage_power (lkgGroup9) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.2317600";
}
leakage_power (lkgGroup10) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.0964700";
}
leakage_power (lkgGroup11) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.1648900";
}
leakage_power (lkgGroup12) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.1653400";
}
leakage_power (lkgGroup13) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.1859800";
}
leakage_power (lkgGroup14) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.1497900";
}
leakage_power (lkgGroup15) {
when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.0933900";
}
leakage_power (lkgGroup16) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.2159700";
}
leakage_power (lkgGroup17) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.2521600";
}
leakage_power (lkgGroup18) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "2.2315300";
}
bus(DM) {
bus_type : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
direction : input;
related_power_pin : VCCD;
related_ground_pin : VSSD;
pin ("DM[2]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.005899;
capacitance : 0.005776;
fall_capacitance : 0.005652;
max_transition : 5.000000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.3406567, 2.8165750, 2.3764204, 2.0278364, 1.7463322, 1.3603100, 1.1048066", \
"3.7836008, 3.2610450, 2.8224166, 2.4677290, 2.1108860, 1.8088298, 1.5350884", \
"4.2421718, 3.7173185, 3.2809873, 2.9247740, 2.5974729, 2.2366616, 2.0106306", \
"4.6734268, 4.1691750, 3.7205324, 3.3675976, 3.0231682, 2.7028460, 2.3795903", \
"5.0954097, 4.5805904, 4.1028414, 3.7522259, 3.4094397, 3.1110861, 2.7945475", \
"5.4312029, 4.9633220, 4.5215860, 4.1101600, 3.7677251, 3.5252930, 3.2114734", \
"5.8354964, 5.3205505, 4.8697342, 4.5221447, 4.1412330, 3.8460566, 3.5741770");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.1224581, 2.4093108, 1.7364902, 0.9917020, 0.2638842, -0.4186175, -1.0950238", \
"3.5638515, 2.8499472, 2.1658906, 1.4330603, 0.7129199, -0.0007569, -0.6819805", \
"3.9979964, 3.3309951, 2.6594891, 1.8904225, 1.1657772, 0.4585233, -0.2275436", \
"4.4536373, 3.7541382, 3.0333403, 2.3429852, 1.6196658, 0.8852411, 0.1629669", \
"4.8511713, 4.1593558, 3.4362178, 2.7590048, 2.0268678, 1.2899571, 0.5886506", \
"5.2098089, 4.5382620, 3.8584028, 3.1207797, 2.4140397, 1.6982446, 0.9777628", \
"5.6158272, 4.9077518, 4.1968773, 3.4149437, 2.7766563, 2.0727709, 1.3871142");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.8422728, -2.2953718, -1.8943588, -1.5031358, -1.1708304, -0.8130930, -0.5179168", \
"-3.2789193, -2.7362854, -2.3447519, -1.9615116, -1.6253707, -1.2579171, -0.9709312", \
"-3.7389271, -3.1920639, -2.8187512, -2.4115638, -2.0857393, -1.7840994, -1.4812314", \
"-4.1882157, -3.6381739, -3.2348965, -2.8662399, -2.5563282, -2.2416040, -1.8892334", \
"-4.5929875, -4.0521006, -3.6714757, -3.2568905, -2.9506035, -2.6447448, -2.2727597", \
"-4.9801809, -4.4362427, -4.0726206, -3.6716747, -3.3103313, -3.0258131, -2.7077486", \
"-5.3390854, -4.8046200, -4.4148957, -4.0216872, -3.6310887, -3.3427780, -3.0437488");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.8773703, -2.2527397, -1.5813620, -0.8717316, -0.0691458, 0.5715879, 1.3242591", \
"-3.3353793, -2.6823328, -1.9914314, -1.2655241, -0.5099531, 0.1788999, 0.8918290", \
"-3.7740248, -3.1375344, -2.4302742, -1.7338347, -0.9447583, -0.2497668, 0.4567529", \
"-4.2630399, -3.5955813, -2.8945363, -2.1914654, -1.4228887, -0.7355235, -0.0007357", \
"-4.6282904, -3.9627592, -3.2529421, -2.5797048, -1.8275553, -1.1373751, -0.4102267", \
"-5.0217223, -4.3325185, -3.6134524, -2.9464291, -2.2266291, -1.5006639, -0.8101563", \
"-5.3725497, -4.7725972, -4.0428529, -3.2689377, -2.5755195, -1.8928199, -1.1794241");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0066120, 0.0134110, 0.0202101, 0.0201113, 0.0200113, 0.0199125, 0.0198137");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0080313, 0.0162258, 0.0244203, 0.0243583, 0.0242956, 0.0242335, 0.0241715");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("DM[1]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.004074;
capacitance : 0.003995;
fall_capacitance : 0.003917;
max_transition : 5.000000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.7125801, -2.2045856, -1.7924489, -1.3840167, -1.0075599, -0.6711851, -0.3775347", \
"-3.1522213, -2.6506235, -2.2317778, -1.8364699, -1.4610968, -1.1155501, -0.8259067", \
"-3.6137297, -3.1048284, -2.6900637, -2.3022113, -1.9709549, -1.5768119, -1.2917804", \
"-4.0462204, -3.5328073, -3.1146256, -2.7329780, -2.3750370, -2.0278587, -1.7072014", \
"-4.4449588, -3.9382748, -3.4823923, -3.1216778, -2.7969475, -2.4250181, -2.1372210", \
"-4.8031865, -4.3025265, -3.8685918, -3.4802860, -3.1576985, -2.8183484, -2.5322726", \
"-5.2443882, -4.7055832, -4.2631442, -3.9041581, -3.5316418, -3.1707327, -2.8987903");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.7583146, -2.0455834, -1.3547993, -0.6153840, 0.1093821, 0.7614389, 1.4226329", \
"-3.1842801, -2.4888582, -1.8120119, -1.0375288, -0.3436323, 0.3436948, 1.0305388", \
"-3.5878304, -2.9584856, -2.2655146, -1.5659223, -0.8215849, -0.0987159, 0.5762637", \
"-4.0508518, -3.3959859, -2.7082323, -1.9520107, -1.2300247, -0.5638907, 0.1551474", \
"-4.4922445, -3.7624843, -3.1126885, -2.3798982, -1.7063881, -0.9496599, -0.2313430", \
"-4.8306103, -4.1926442, -3.5154561, -2.7472555, -2.0911310, -1.3313892, -0.6307693", \
"-5.2169804, -4.5353283, -3.8829626, -3.0959852, -2.4423900, -1.7009296, -0.9944751");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.1651882, 2.6290570, 2.1930762, 1.8004783, 1.4693281, 1.1329575, 0.7965825", \
"3.6080979, 3.0750185, 2.6384309, 2.2368432, 1.8878939, 1.5181801, 1.2360385", \
"4.0681785, 3.5305199, 3.0895322, 2.6924003, 2.3491387, 2.0299940, 1.6772825", \
"4.4978686, 3.9733131, 3.5100228, 3.1462622, 2.7849394, 2.4363387, 2.0977773", \
"4.8877607, 4.3501414, 3.9101693, 3.5700268, 3.1946781, 2.8442058, 2.5224627", \
"5.3073655, 4.7731843, 4.2681727, 3.9625541, 3.5767740, 3.2309094, 2.9146905", \
"5.6601042, 5.1137981, 4.6837683, 4.2872144, 3.8971691, 3.5893100, 3.2751049");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("2.9179424, 2.2526446, 1.5225056, 0.8116719, 0.0853800, -0.6259440, -1.3011062", \
"3.3741193, 2.6926228, 1.9766702, 1.2560841, 0.5238090, -0.2153980, -0.8701039", \
"3.7989223, 3.1471124, 2.4511655, 1.7217966, 0.9978315, 0.2507357, -0.4457467", \
"4.2260669, 3.5571742, 2.8548881, 2.1457709, 1.4387372, 0.6963318, 0.0007876", \
"4.6503160, 4.0030502, 3.3020120, 2.5654426, 1.8224001, 1.0629036, 0.4143389", \
"5.0306122, 4.3678845, 3.6689134, 2.9150340, 2.2321607, 1.5057203, 0.8213131", \
"5.3991982, 4.7461806, 4.0180297, 3.3106114, 2.6013638, 1.8778899, 1.1759193");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0063104, 0.0153348, 0.0243593, 0.0242816, 0.0242030, 0.0241254, 0.0240477");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0082163, 0.0184125, 0.0286088, 0.0285580, 0.0285066, 0.0284558, 0.0284050");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("DM[0]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.005791;
capacitance : 0.005668;
fall_capacitance : 0.005544;
max_transition : 5.000000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.3696484, 2.8363989, 2.4225644, 2.0354658, 1.7681680, 1.3908271, 1.1322719", \
"3.8125661, 3.2808443, 2.8595939, 2.4783833, 2.1851490, 1.8286271, 1.5534301", \
"4.2711242, 3.7399282, 3.3168262, 2.9308383, 2.6214789, 2.3134124, 2.0279785", \
"4.7008236, 4.1675897, 3.7555002, 3.3788475, 3.0321952, 2.7322751, 2.4019143", \
"5.1258200, 4.5645206, 4.1368782, 3.8118640, 3.4291073, 3.1265060, 2.8204874", \
"5.4569889, 4.9803018, 4.4958040, 4.1984419, 3.7795298, 3.5298176, 3.2257919", \
"5.8747352, 5.3460568, 4.9048834, 4.5138926, 4.1901205, 3.8807182, 3.5833323");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.0720404, 2.3910687, 1.7083434, 0.9629354, 0.2105077, -0.4520940, -1.1514770", \
"3.5341202, 2.8470449, 2.1719080, 1.4010168, 0.6802930, -0.0009306, -0.7492929", \
"3.8915864, 3.2914862, 2.6165950, 1.8782156, 1.1240653, 0.4362689, -0.3105676", \
"4.3933371, 3.7013686, 3.0049419, 2.3075908, 1.5931773, 0.8801945, 0.1708196", \
"4.8169595, 4.1199535, 3.4518089, 2.6817262, 2.0085571, 1.2935544, 0.5604244", \
"5.2318228, 4.5339264, 3.8371748, 3.0502203, 2.3976332, 1.7105607, 0.9902949", \
"5.6136920, 4.9090557, 4.1978312, 3.4082511, 2.7569960, 2.1012417, 1.3566981");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.8865234, -2.3775450, -1.9619625, -1.5772387, -1.2654348, -0.9107493, -0.5713226", \
"-3.3246958, -2.8224524, -2.4033914, -2.0310109, -1.6973931, -1.3590117, -1.0487509", \
"-3.7831775, -3.2757683, -2.8627982, -2.4795445, -2.1684833, -1.8731565, -1.5192396", \
"-4.2157351, -3.7092780, -3.3179257, -2.9129425, -2.6100224, -2.2812769, -1.9717652", \
"-4.6160801, -4.1003478, -3.6930423, -3.3172074, -3.0215889, -2.6836803, -2.3539208", \
"-4.9744162, -4.4411587, -4.0672165, -3.7264287, -3.4014753, -3.0944777, -2.7458957", \
"-5.3833362, -4.8764057, -4.4727650, -4.1010330, -3.7241674, -3.4213616, -3.1200428");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.8376976, -2.2067858, -1.5087946, -0.7725495, -0.0523611, 0.6034181, 1.3347791", \
"-3.3170688, -2.6407971, -1.9571020, -1.2173777, -0.5007978, 0.1926328, 0.9122606", \
"-3.7618177, -3.0935185, -2.4122208, -1.6971141, -0.9447582, -0.2305835, 0.4781061", \
"-4.1958474, -3.5435869, -2.8615718, -2.1551804, -1.3840156, -0.6833074, 0.0185056", \
"-4.6021451, -3.9539436, -3.2662090, -2.5340121, -1.7920788, -1.1262685, -0.3875085", \
"-4.9786577, -4.3350356, -3.6512593, -2.9242575, -2.1830133, -1.5006641, -0.7942894", \
"-5.3482429, -4.6863395, -4.0162675, -3.2617995, -2.5463566, -1.8662209, -1.1506882");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0066215, 0.0134213, 0.0202211, 0.0201230, 0.0200238, 0.0199257, 0.0198277");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0079839, 0.0161322, 0.0242804, 0.0242371, 0.0241932, 0.0241499, 0.0241065");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
}
pin ("PAD_A_NOESD_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pin ("PAD_A_ESD_1_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pin ("PAD_A_ESD_0_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pg_pin (VDDA) {
voltage_name : "VDDA";
pg_type : "primary_power";
}
pg_pin ("VDDIO_Q") {
voltage_name : "VDDIO_Q";
pg_type : "primary_power";
}
pg_pin (VSWITCH) {
voltage_name : "VSWITCH";
pg_type : "primary_power";
}
pg_pin (VDDIO) {
voltage_name : "VDDIO";
pg_type : "primary_power";
}
pg_pin (VCCD) {
voltage_name : "VCCD";
pg_type : "primary_power";
}
pg_pin (VCCHIB) {
voltage_name : "VCCHIB";
pg_type : "primary_power";
}
pg_pin (VSSD) {
voltage_name : "VSSD";
pg_type : "primary_ground";
}
pg_pin ("VSSIO_Q") {
voltage_name : "VSSIO_Q";
pg_type : "primary_ground";
}
pg_pin (VSSA) {
voltage_name : "VSSA";
pg_type : "primary_ground";
}
pg_pin (VSSIO) {
voltage_name : "VSSIO";
pg_type : "primary_ground";
}
pin ("ENABLE_VSWITCH_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VSWITCH";
related_ground_pin : "VSSA";
always_on : true;
rise_capacitance : 0.026459;
capacitance : 0.026502;
fall_capacitance : 0.026546;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0031057, -0.0035853, -0.0040649, -0.0041428, -0.0042217, -0.0042997, -0.0043776");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0251366, 0.0256000, 0.0260635, 0.0260073, 0.0259504, 0.0258942, 0.0258380");
}
}
}
pin ("ANALOG_EN") {
max_transition : 5;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.032121;
capacitance : 0.031741;
fall_capacitance : 0.031361;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0181769, 0.0371955, 0.0562140, 0.0562087, 0.0562032, 0.0561978, 0.0561924");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0226421, 0.0452116, 0.0677810, 0.0679984, 0.0682185, 0.0684359, 0.0686533");
}
}
}
pin ("ENABLE_VDDIO") {
max_transition : 5;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : true;
rise_capacitance : 0.050749;
capacitance : 0.050681;
fall_capacitance : 0.050613;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0076913, -0.0079113, -0.0081312, -0.0081163, -0.0081012, -0.0080863, -0.0080714");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0077457, 0.0079064, 0.0080671, 0.0080769, 0.0080868, 0.0080966, 0.0081064");
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_H";
timing_type : non_seq_hold_falling;
fall_constraint (scalar) {
values ("-100.0");
}
}
}
pin ("ANALOG_SEL") {
max_transition : 5;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.016459;
capacitance : 0.016300;
fall_capacitance : 0.016142;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0120921, 0.0119192, 0.0117463, 0.0117100, 0.0116732, 0.0116368, 0.0116004");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0138479, 0.0137987, 0.0137495, 0.0137280, 0.0137063, 0.0136849, 0.0136635");
}
}
}
pin (OUT) {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.035436;
capacitance : 0.034853;
fall_capacitance : 0.034271;
max_transition : 5.000000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-3.3778531, -2.8617936, -2.4559918, -2.1167914, -1.7659231, -1.4554881, -1.1877776", \
"-3.8175513, -3.3045144, -2.8881770, -2.5505104, -2.2112438, -1.9583794, -1.6270003", \
"-4.2745071, -3.7584755, -3.3437530, -3.0063502, -2.6662943, -2.3725228, -2.0733548", \
"-4.7344774, -4.2062395, -3.8044836, -3.4492091, -3.0859930, -2.8176101, -2.5242004", \
"-5.1316193, -4.6179626, -4.2014158, -3.8628813, -3.5106009, -3.2130258, -2.9509807", \
"-5.5142355, -4.9980390, -4.5759420, -4.2534573, -3.8642251, -3.6056702, -3.3257296", \
"-5.8731399, -5.3536191, -4.9366109, -4.6091506, -4.2689059, -3.9584711, -3.6846179");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-3.2405220, -1.6001501, -1.0976231, -0.5345135, -0.3834780, 0.2880996, 0.9469935", \
"-2.6426754, -2.0376598, -2.2972817, -1.5498635, -0.8308971, -0.1309139, 0.5228439", \
"-3.0966034, -2.4782380, -2.0018806, -1.4423918, -1.2878792, -0.5754964, 0.0864978", \
"-3.5246448, -2.9226325, -3.1640412, -2.4537361, -1.7280644, -1.0166559, -0.3551711", \
"-4.9596116, -3.3109957, -2.8289364, -2.8274682, -2.1600121, -1.4205757, -0.7499194", \
"-4.2728147, -3.6945859, -3.2310461, -3.1822686, -2.5371662, -1.8237664, -1.1401165", \
"-4.6673360, -5.0749886, -3.5771803, -3.5711833, -2.9059383, -2.2006194, -1.5348732");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.6183637, 3.0904886, 2.6731584, 2.3378726, 1.9896536, 1.7214514, 1.3993012", \
"4.0536763, 3.5198374, 3.1191308, 2.7729026, 2.4386409, 2.1283011, 1.8370740", \
"4.5183495, 3.9887372, 3.5744088, 3.2314725, 2.8920369, 2.6050385, 2.3136498", \
"4.9511282, 4.4143700, 4.0067872, 3.6657771, 3.3346875, 3.0420811, 2.7422549", \
"5.3779410, 4.8230342, 4.4000886, 4.0419812, 3.7503106, 3.4746133, 3.1814820", \
"5.7058454, 5.2326803, 4.8072913, 4.4311752, 4.0917312, 3.8285391, 3.5464045", \
"6.1116821, 5.5768566, 5.1679033, 4.8141240, 4.4937159, 4.1741253, 3.9165340");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.2841690, 2.5892438, 1.8615762, 1.1549940, 0.4287022, -0.2547347, -0.8995333", \
"3.7463768, 3.0480525, 2.3237199, 1.6130596, 0.8732380, 0.1735394, -0.4867407", \
"4.2019475, 3.4993926, 2.7889569, 2.0651790, 1.3372474, 0.6423995, -0.0245624", \
"4.6153661, 3.9249744, 3.2110999, 2.4877527, 1.7896569, 1.0861555, 0.4248624", \
"5.0438034, 4.3579969, 3.6157260, 2.8917758, 2.1916840, 1.4486847, 0.7929559", \
"5.4428953, 4.7313947, 4.0250543, 3.2370647, 2.5749781, 1.8731388, 1.1796451", \
"5.7714218, 5.0990925, 4.3586883, 3.5881476, 2.9571894, 2.2580251, 1.5895009");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0350767, 0.0418251, 0.0485736, 0.0377933, 0.0268831, 0.0161028, 0.0053225");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0433619, 0.1181549, 0.1929480, 0.1929612, 0.1929746, 0.1929878, 0.1930010");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("ENABLE_INP_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
rise_capacitance : 0.010134;
capacitance : 0.009933;
fall_capacitance : 0.009732;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0040165, 0.4686743, 0.9413650, 1.0644155, 1.1889484, 1.3119988, 1.4350492");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0352497, 0.1864805, 0.3377113, 0.6334989, 0.9328502, 1.2286378, 1.5244253");
}
}
}
pin ("VTRIP_SEL") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.006191;
capacitance : 0.006060;
fall_capacitance : 0.005929;
max_transition : 5.000000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.4749339, 2.9367487, 2.5084786, 2.1666238, 1.7937359, 1.5098457, 1.1917813", \
"3.9193846, 3.3827244, 2.9539933, 2.5913059, 2.2454530, 1.9223248, 1.6123153", \
"4.3764044, 3.8353012, 3.4099659, 3.0407122, 2.7049365, 2.3920240, 2.0806191", \
"4.8061278, 4.2725155, 3.8666895, 3.5079391, 3.1399326, 2.8412662, 2.5344638", \
"5.1960416, 4.6640268, 4.2302782, 3.8717459, 3.5372214, 3.2459817, 2.9272747", \
"5.5623162, 5.0822842, 4.6164835, 4.2543234, 3.9069031, 3.6196813, 3.3185675", \
"5.9799888, 5.4391023, 5.0287408, 4.6664995, 4.2704878, 3.9722384, 3.6718333");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.0308965, 2.3634519, 1.6740528, 0.9489889, 0.2333782, -0.4562841, -1.1451093", \
"3.4755401, 2.8110519, 2.1368241, 1.4147497, 0.6667248, -0.0343352, -0.7552317", \
"3.9324373, 3.2907144, 2.5841316, 1.8583792, 1.1039704, 0.4237876, -0.2540951", \
"4.3678051, 3.7205046, 3.0130068, 2.2969165, 1.5833902, 0.8444702, 0.1302822", \
"4.7767908, 4.0815940, 3.3918544, 2.6799456, 1.9672728, 1.2239554, 0.5444874", \
"5.1623442, 4.5188305, 3.8131954, 3.0700767, 2.3591081, 1.6463276, 0.9624901", \
"5.5208676, 4.8630893, 4.1644855, 3.3959107, 2.7244913, 2.0199038, 1.2977159");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-3.0055420, -2.4764237, -2.0534642, -1.6709420, -1.3456055, -0.9870433, -0.6598235", \
"-3.4452403, -2.9215783, -2.4863349, -2.1263237, -1.8003648, -1.4392604, -1.1326744", \
"-3.9006702, -3.3762070, -2.9364337, -2.5883002, -2.2446498, -1.8937416, -1.6023840", \
"-4.3514851, -3.8248979, -3.3972527, -3.0203537, -2.6724797, -2.3697779, -2.0418735", \
"-4.7562565, -4.2324297, -3.7877960, -3.4303400, -3.0757254, -2.7774962, -2.4475566", \
"-5.1434503, -4.6098247, -4.1747700, -3.8309167, -3.4797038, -3.1809552, -2.8328708", \
"-5.5023547, -4.9765949, -4.5667854, -4.1849561, -3.8019869, -3.5037590, -3.2176990");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.8376976, -2.2116986, -1.5042435, -0.7801789, -0.0386282, 0.6235099, 1.3409869", \
"-3.3201204, -2.6701423, -1.9177508, -1.2597792, -0.5084273, 0.2017881, 0.9064195", \
"-3.7587659, -3.1118212, -2.4078721, -1.6924667, -0.9447576, -0.2305794, 0.4686599", \
"-4.1714336, -3.5821246, -2.8916624, -2.1582322, -1.3932243, -0.6833047, 0.0140764", \
"-4.5945156, -3.9903198, -3.2662097, -2.5340121, -1.8199259, -1.0949599, -0.3923991", \
"-4.9801836, -4.3726757, -3.6497336, -2.9273092, -2.1830133, -1.4872438, -0.8016989", \
"-5.3390880, -4.7162556, -4.0284742, -3.2633269, -2.5463566, -1.8571790, -1.1537985");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0066229, 0.0134440, 0.0202650, 0.0201663, 0.0200663, 0.0199675, 0.0198687");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0079826, 0.0161761, 0.0243696, 0.0243171, 0.0242640, 0.0242115, 0.0241591");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("ANALOG_POL") {
max_transition : 5;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.017336;
capacitance : 0.017142;
fall_capacitance : 0.016947;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0171252, 0.0145747, 0.0120243, 0.0014472, -0.0092573, -0.0198344, -0.0304115");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0217940, 0.0824943, 0.1431946, 0.1433026, 0.1434118, 0.1435198, 0.1436278");
}
}
}
pin ("IB_MODE_SEL") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.004755;
capacitance : 0.004675;
fall_capacitance : 0.004596;
max_transition : 5.000000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.8331241, -2.2846016, -1.8339491, -1.4522972, -1.1021658, -0.7383250, -0.4004241", \
"-3.2682447, -2.7357010, -2.2750308, -1.9065722, -1.5518962, -1.1817857, -0.8946372", \
"-3.7282526, -3.1813152, -2.7550097, -2.3845936, -2.0156321, -1.6708033, -1.3402751", \
"-4.1790670, -3.6412257, -3.1779385, -2.7624802, -2.4604862, -2.1005763, -1.7820440", \
"-4.5838388, -4.0521006, -3.5890779, -3.2145461, -2.8544731, -2.4938569, -2.1871142", \
"-4.9710322, -4.4270873, -3.9871344, -3.6139904, -3.2584515, -2.9113722, -2.5780491", \
"-5.3299367, -4.7844659, -4.3331198, -3.9698073, -3.5853122, -3.2442815, -2.9571627");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.7613980, -2.0903732, -1.4114907, -0.6871003, 0.0698256, 0.7223511, 1.4346681", \
"-3.2163549, -2.5632220, -1.8353562, -1.1247576, -0.3710981, 0.3238584, 1.0127227", \
"-3.5863359, -3.0073861, -2.3113475, -1.6034709, -0.8525728, -0.1278359, 0.5711637", \
"-4.0188912, -3.4265532, -2.7082833, -2.0451245, -1.2887578, -0.5805651, 0.1198300", \
"-4.4177086, -3.8419034, -3.1407032, -2.4202679, -1.7063328, -0.9681657, -0.3075817", \
"-4.8569111, -4.1623045, -3.4977201, -2.8189242, -2.1140191, -1.3698293, -0.6359770", \
"-5.2398025, -4.6210877, -3.8228249, -3.1107130, -2.4515451, -1.7268935, -1.0201058");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.2765757, 2.7333416, 2.3078566, 1.9334028, 1.5794919, 1.2855417, 0.9476408", \
"3.7195085, 3.1793264, 2.7537406, 2.3755827, 2.0610389, 1.6516300, 1.3825492", \
"4.1796000, 3.6348405, 3.2000991, 2.8220140, 2.4636496, 2.1066258, 1.8396363", \
"4.6093168, 4.0660824, 3.6313417, 3.2598480, 2.9048127, 2.5506278, 2.2367957", \
"4.9992408, 4.4461419, 4.0212658, 3.6489057, 3.3223318, 2.9676535, 2.6516181", \
"5.3807899, 4.8316829, 4.3829787, 4.0227673, 3.6805319, 3.3667038, 3.0352350", \
"5.7729664, 5.2347960, 4.7990423, 4.3670670, 4.0003501, 3.7234836, 3.3831558");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("2.8798238, 2.2474236, 1.5547526, 0.8254013, 0.1082647, -0.6037469, -1.2674849", \
"3.3721484, 2.7054768, 2.0176865, 1.2663221, 0.5272804, -0.1569950, -0.8534774", \
"3.8219177, 3.1369354, 2.4584895, 1.7439381, 0.9930617, 0.2930019, -0.3965684", \
"4.2489828, 3.5983903, 2.8890732, 2.1934299, 1.4631037, 0.7451271, 0.0073793", \
"4.5893647, 4.0027166, 3.2878765, 2.5689256, 1.8468141, 1.1192251, 0.4339147", \
"5.0356246, 4.3834938, 3.7070465, 2.9403225, 2.2110978, 1.5015410, 0.8347000", \
"5.4122935, 4.7545801, 4.0725523, 3.2972452, 2.5921397, 1.9027840, 1.1875948");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0062330, 0.0151809, 0.0241288, 0.0240412, 0.0239525, 0.0238649, 0.0237773");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0082140, 0.0179026, 0.0275911, 0.0275410, 0.0274903, 0.0274401, 0.0273900");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("HLD_H_N") {
min_pulse_width_high : 15.5 ;
min_pulse_width_low : 15.5 ;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
rise_capacitance : 0.004855;
capacitance : 0.004655;
fall_capacitance : 0.004455;
max_transition : 3.750000;
timing() { /* As per CDT 150283 */
related_pin : "ENABLE_H";
timing_type : non_seq_setup_rising;
fall_constraint (scalar) {
values ("3");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0074630, -0.0073709, -0.0072789, -0.0072865, -0.0072943, -0.0073020, -0.0073097");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0074083, 0.0073978, 0.0073872, 0.0073508, 0.0073140, 0.0072776, 0.0072411");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[2] group */
timing () {
related_pin : "DM[2]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("3.3406567, 3.7836008, 4.2421718, 4.6734268, 5.0954097, 5.4312029, 5.8354964", \
"2.8165750, 3.2610450, 3.7173185, 4.1691750, 4.5805904, 4.9633220, 5.3205505", \
"2.3764204, 2.8224166, 3.2809873, 3.7205324, 4.1028414, 4.5215860, 4.8697342", \
"2.0278364, 2.4677290, 2.9247740, 3.3675976, 3.7522259, 4.1101600, 4.5221447", \
"1.7463322, 2.1108860, 2.5974729, 3.0231682, 3.4094397, 3.7677251, 4.1412330", \
"1.3603100, 1.8088298, 2.2366616, 2.7028460, 3.1110861, 3.5252930, 3.8460566", \
"1.1048066, 1.5350884, 2.0106306, 2.3795903, 2.7945475, 3.2114734, 3.5741770");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[2] group */
timing () {
related_pin : "DM[2]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-2.8422728, -3.2789193, -3.7389271, -4.1882157, -4.5929875, -4.9801809, -5.3390854", \
"-2.2953718, -2.7362854, -3.1920639, -3.6381739, -4.0521006, -4.4362427, -4.8046200", \
"-1.8943588, -2.3447519, -2.8187512, -3.2348965, -3.6714757, -4.0726206, -4.4148957", \
"-1.5031358, -1.9615116, -2.4115638, -2.8662399, -3.2568905, -3.6716747, -4.0216872", \
"-1.1708304, -1.6253707, -2.0857393, -2.5563282, -2.9506035, -3.3103313, -3.6310887", \
"-0.8130930, -1.2579171, -1.7840994, -2.2416040, -2.6447448, -3.0258131, -3.3427780", \
"-0.5179168, -0.9709312, -1.4812314, -1.8892334, -2.2727597, -2.7077486, -3.0437488");
}
}
/* Copied from non_seq_setup_falling arc in pin out group */
timing () {
related_pin : "OUT";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.3778531, -3.8175513, -4.2745071, -4.7344774, -5.1316193, -5.5142355, -5.8731399", \
"-2.8617936, -3.3045144, -3.7584755, -4.2062395, -4.6179626, -4.9980390, -5.3536191", \
"-2.4559918, -2.8881770, -3.3437530, -3.8044836, -4.2014158, -4.5759420, -4.9366109", \
"-2.1167914, -2.5505104, -3.0063502, -3.4492091, -3.8628813, -4.2534573, -4.6091506", \
"-1.7659231, -2.2112438, -2.6662943, -3.0859930, -3.5106009, -3.8642251, -4.2689059", \
"-1.4554881, -1.9583794, -2.3725228, -2.8176101, -3.2130258, -3.6056702, -3.9584711", \
"-1.1877776, -1.6270003, -2.0733548, -2.5242004, -2.9509807, -3.3257296, -3.6846179");
}
}
/* Copied from non_seq_hold_falling arc in pin out group */
timing () {
related_pin : "OUT";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("3.6183637, 4.0536763, 4.5183495, 4.9511282, 5.3779410, 5.7058454, 6.1116821", \
"3.0904886, 3.5198374, 3.9887372, 4.4143700, 4.8230342, 5.2326803, 5.5768566", \
"2.6731584, 3.1191308, 3.5744088, 4.0067872, 4.4000886, 4.8072913, 5.1679033", \
"2.3378726, 2.7729026, 3.2314725, 3.6657771, 4.0419812, 4.4311752, 4.8141240", \
"1.9896536, 2.4386409, 2.8920369, 3.3346875, 3.7503106, 4.0917312, 4.4937159", \
"1.7214514, 2.1283011, 2.6050385, 3.0420811, 3.4746133, 3.8285391, 4.1741253", \
"1.3993012, 1.8370740, 2.3136498, 2.7422549, 3.1814820, 3.5464045, 3.9165340");
}
}
/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
timing () {
related_pin : "VTRIP_SEL";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("3.4749339, 3.9193846, 4.3764044, 4.8061278, 5.1960416, 5.5623162, 5.9799888", \
"2.9367487, 3.3827244, 3.8353012, 4.2725155, 4.6640268, 5.0822842, 5.4391023", \
"2.5084786, 2.9539933, 3.4099659, 3.8666895, 4.2302782, 4.6164835, 5.0287408", \
"2.1666238, 2.5913059, 3.0407122, 3.5079391, 3.8717459, 4.2543234, 4.6664995", \
"1.7937359, 2.2454530, 2.7049365, 3.1399326, 3.5372214, 3.9069031, 4.2704878", \
"1.5098457, 1.9223248, 2.3920240, 2.8412662, 3.2459817, 3.6196813, 3.9722384", \
"1.1917813, 1.6123153, 2.0806191, 2.5344638, 2.9272747, 3.3185675, 3.6718333");
}
}
/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
timing () {
related_pin : "VTRIP_SEL";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.0055420, -3.4452403, -3.9006702, -4.3514851, -4.7562565, -5.1434503, -5.5023547", \
"-2.4764237, -2.9215783, -3.3762070, -3.8248979, -4.2324297, -4.6098247, -4.9765949", \
"-2.0534642, -2.4863349, -2.9364337, -3.3972527, -3.7877960, -4.1747700, -4.5667854", \
"-1.6709420, -2.1263237, -2.5883002, -3.0203537, -3.4303400, -3.8309167, -4.1849561", \
"-1.3456055, -1.8003648, -2.2446498, -2.6724797, -3.0757254, -3.4797038, -3.8019869", \
"-0.9870433, -1.4392604, -1.8937416, -2.3697779, -2.7774962, -3.1809552, -3.5037590", \
"-0.6598235, -1.1326744, -1.6023840, -2.0418735, -2.4475566, -2.8328708, -3.2176990");
}
}
/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
timing () {
related_pin : "IB_MODE_SEL";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-2.8331241, -3.2682447, -3.7282526, -4.1790670, -4.5838388, -4.9710322, -5.3299367", \
"-2.2846016, -2.7357010, -3.1813152, -3.6412257, -4.0521006, -4.4270873, -4.7844659", \
"-1.8339491, -2.2750308, -2.7550097, -3.1779385, -3.5890779, -3.9871344, -4.3331198", \
"-1.4522972, -1.9065722, -2.3845936, -2.7624802, -3.2145461, -3.6139904, -3.9698073", \
"-1.1021658, -1.5518962, -2.0156321, -2.4604862, -2.8544731, -3.2584515, -3.5853122", \
"-0.7383250, -1.1817857, -1.6708033, -2.1005763, -2.4938569, -2.9113722, -3.2442815", \
"-0.4004241, -0.8946372, -1.3402751, -1.7820440, -2.1871142, -2.5780491, -2.9571627");
}
}
/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
timing () {
related_pin : "IB_MODE_SEL";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("3.2765757, 3.7195085, 4.1796000, 4.6093168, 4.9992408, 5.3807899, 5.7729664", \
"2.7333416, 3.1793264, 3.6348405, 4.0660824, 4.4461419, 4.8316829, 5.2347960", \
"2.3078566, 2.7537406, 3.2000991, 3.6313417, 4.0212658, 4.3829787, 4.7990423", \
"1.9334028, 2.3755827, 2.8220140, 3.2598480, 3.6489057, 4.0227673, 4.3670670", \
"1.5794919, 2.0610389, 2.4636496, 2.9048127, 3.3223318, 3.6805319, 4.0003501", \
"1.2855417, 1.6516300, 2.1066258, 2.5506278, 2.9676535, 3.3667038, 3.7234836", \
"0.9476408, 1.3825492, 1.8396363, 2.2367957, 2.6516181, 3.0352350, 3.3831558");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[1] group */
timing () {
related_pin : "DM[1]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-2.7125801, -3.1522213, -3.6137297, -4.0462204, -4.4449588, -4.8031865, -5.2443882", \
"-2.2045856, -2.6506235, -3.1048284, -3.5328073, -3.9382748, -4.3025265, -4.7055832", \
"-1.7924489, -2.2317778, -2.6900637, -3.1146256, -3.4823923, -3.8685918, -4.2631442", \
"-1.3840167, -1.8364699, -2.3022113, -2.7329780, -3.1216778, -3.4802860, -3.9041581", \
"-1.0075599, -1.4610968, -1.9709549, -2.3750370, -2.7969475, -3.1576985, -3.5316418", \
"-0.6711851, -1.1155501, -1.5768119, -2.0278587, -2.4250181, -2.8183484, -3.1707327", \
"-0.3775347, -0.8259067, -1.2917804, -1.7072014, -2.1372210, -2.5322726, -2.8987903");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[1] group */
timing () {
related_pin : "DM[1]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("3.1651882, 3.6080979, 4.0681785, 4.4978686, 4.8877607, 5.3073655, 5.6601042", \
"2.6290570, 3.0750185, 3.5305199, 3.9733131, 4.3501414, 4.7731843, 5.1137981", \
"2.1930762, 2.6384309, 3.0895322, 3.5100228, 3.9101693, 4.2681727, 4.6837683", \
"1.8004783, 2.2368432, 2.6924003, 3.1462622, 3.5700268, 3.9625541, 4.2872144", \
"1.4693281, 1.8878939, 2.3491387, 2.7849394, 3.1946781, 3.5767740, 3.8971691", \
"1.1329575, 1.5181801, 2.0299940, 2.4363387, 2.8442058, 3.2309094, 3.5893100", \
"0.7965825, 1.2360385, 1.6772825, 2.0977773, 2.5224627, 2.9146905, 3.2751049");
}
}
/* Copied from non_seq_setup_falling arc in pin oe_n group */
timing () {
related_pin : "OE_N";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.3656626, -3.8053611, -4.2623169, -4.7222867, -5.1194291, -5.5020452, -5.8609492", \
"-2.8468374, -3.2880729, -3.7435115, -4.1912791, -4.5982366, -4.9813049, -5.3414119", \
"-2.4237865, -2.8827784, -3.3368159, -3.7694507, -4.1806267, -4.5580408, -4.9232651", \
"-2.0802946, -2.5215187, -2.9771163, -3.4277634, -3.8641923, -4.2485452, -4.5877881", \
"-1.7476126, -2.2004138, -2.6498485, -3.0829412, -3.4861869, -3.8428631, -4.2521215", \
"-1.4554881, -1.9163370, -2.3507620, -2.8031275, -3.1900027, -3.5919371, -3.9447380", \
"-1.1770965, -1.5938752, -2.0624755, -2.4989246, -2.9406965, -3.3135225, -3.6724110");
}
}
/* Copied from non_seq_hold_falling arc in pin oe_n group */
timing () {
related_pin : "OE_N";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("3.6534765, 4.0900659, 4.5515745, 4.9840645, 5.3828020, 5.7379824, 6.1593453", \
"3.1213528, 3.5684438, 4.0103017, 4.4563627, 4.8653373, 5.2212903, 5.6217296", \
"2.7090777, 3.1547965, 3.6010757, 4.0369173, 4.4286688, 4.8014275, 5.2088279", \
"2.3707776, 2.8092753, 3.2499199, 3.6910671, 4.0810442, 4.4434605, 4.8510252", \
"2.0323570, 2.4661067, 2.9232612, 3.3686885, 3.7869031, 4.1138668, 4.5277234", \
"1.6842520, 2.1640492, 2.6432446, 3.0772081, 3.5095329, 3.8734685, 4.2276918", \
"1.4542276, 1.8827631, 2.3315104, 2.7866094, 3.2056287, 3.5723445, 3.9617503");
}
}
/* Copied from non_seq_hold_falling arc in pin inp_dis group */
timing () {
related_pin : "INP_DIS";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("3.0202219, 3.4631767, 3.9217525, 4.3759079, 4.7612845, 5.1123544, 5.5135126", \
"2.4866374, 2.9269381, 3.3812882, 3.8171358, 4.2093163, 4.5768005, 4.9798652", \
"2.0392588, 2.4922087, 2.9422720, 3.3850909, 3.7681239, 4.1689139, 4.5723210", \
"1.6787124, 2.1363363, 2.5852390, 3.0255574, 3.4220471, 3.8244921, 4.1854340", \
"1.3503107, 1.7693971, 2.2502454, 2.6989200, 3.0869157, 3.4870842, 3.8100943", \
"1.0154616, 1.4668801, 1.9302966, 2.3737779, 2.7875998, 3.1659797, 3.5189205", \
"0.7080783, 1.1262262, 1.6112735, 2.0409512, 2.4547604, 2.8429743, 3.2247507");
}
}
/* Copied from non_seq_setup_falling arc in pin inp_dis group */
timing () {
related_pin : "INP_DIS";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-2.8743156, -3.3094362, -3.7694440, -4.2202585, -4.6250303, -5.0106978, -5.3696023", \
"-2.3434401, -2.7869189, -3.2400941, -3.6903657, -4.0967000, -4.4698673, -4.8333526", \
"-1.8988608, -2.3487392, -2.8035700, -3.2716991, -3.6714753, -4.0709686, -4.4162817", \
"-1.5370148, -1.9892465, -2.4484698, -2.9211717, -3.2975293, -3.7131315, -4.0659375", \
"-1.1998221, -1.6524162, -2.1063169, -2.5678434, -2.9582327, -3.3454266, -3.6890721", \
"-0.8573435, -1.3223578, -1.8024233, -2.2496984, -2.6294859, -3.0517530, -3.3771112", \
"-0.5362273, -1.0106040, -1.4873131, -1.9302370, -2.3264092, -2.7169040, -3.1047839");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[0] group */
timing () {
related_pin : "DM[0]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("3.3696484, 3.8125661, 4.2711242, 4.7008236, 5.1258200, 5.4569889, 5.8747352", \
"2.8363989, 3.2808443, 3.7399282, 4.1675897, 4.5645206, 4.9803018, 5.3460568", \
"2.4225644, 2.8595939, 3.3168262, 3.7555002, 4.1368782, 4.4958040, 4.9048834", \
"2.0354658, 2.4783833, 2.9308383, 3.3788475, 3.8118640, 4.1984419, 4.5138926", \
"1.7681680, 2.1851490, 2.6214789, 3.0321952, 3.4291073, 3.7795298, 4.1901205", \
"1.3908271, 1.8286271, 2.3134124, 2.7322751, 3.1265060, 3.5298176, 3.8807182", \
"1.1322719, 1.5534301, 2.0279785, 2.4019143, 2.8204874, 3.2257919, 3.5833323");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[0] group */
timing () {
related_pin : "DM[0]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-2.8865234, -3.3246958, -3.7831775, -4.2157351, -4.6160801, -4.9744162, -5.3833362", \
"-2.3775450, -2.8224524, -3.2757683, -3.7092780, -4.1003478, -4.4411587, -4.8764057", \
"-1.9619625, -2.4033914, -2.8627982, -3.3179257, -3.6930423, -4.0672165, -4.4727650", \
"-1.5772387, -2.0310109, -2.4795445, -2.9129425, -3.3172074, -3.7264287, -4.1010330", \
"-1.2654348, -1.6973931, -2.1684833, -2.6100224, -3.0215889, -3.4014753, -3.7241674", \
"-0.9107493, -1.3590117, -1.8731565, -2.2812769, -2.6836803, -3.0944777, -3.4213616", \
"-0.5713226, -1.0487509, -1.5192396, -1.9717652, -2.3539208, -2.7458957, -3.1200428");
}
}
/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
timing () {
related_pin : "HLD_OVR";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.7304500, -0.2738342, 0.1832918, 0.5932952, 0.9955260, 1.3370294, 1.7416496", \
"-1.2697236, -0.8006800, -0.3559573, 0.0856517, 0.4903539, 0.8533627, 1.2177811", \
"-1.7038148, -1.2430265, -0.7986208, -0.3480805, 0.0529886, 0.4425681, 0.8149064", \
"-2.0514443, -1.5597538, -1.1676577, -0.7227927, -0.3037227, 0.0656856, 0.4367809", \
"-2.3795216, -1.9355523, -1.4656145, -1.0606114, -0.6670976, -0.2839778, 0.1036711", \
"-2.6928705, -2.2529829, -1.7606154, -1.4197024, -0.9873976, -0.6456391, -0.2363788", \
"-3.0030205, -2.5405746, -2.0829931, -1.6901947, -1.3597729, -0.8600598, -0.5480713");
}
}
/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
timing () {
related_pin : "HLD_OVR";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("1.1159234, 0.6742781, 0.2217780, -0.1949902, -0.6012875, -0.9716966, -1.3107646", \
"1.6673405, 1.2030906, 0.7550345, 0.3379210, -0.0622392, -0.4372046, -0.7830257", \
"2.0786131, 1.6322937, 1.1828450, 0.7588923, 0.3489414, -0.0224633, -0.3810288", \
"2.4275402, 1.9832807, 1.5145595, 1.0997657, 0.7274015, 0.3297656, -0.0189995", \
"2.7618830, 2.3008287, 1.8585811, 1.4757952, 1.0765446, 0.6584153, 0.2938948", \
"3.0825283, 2.6169307, 2.1517606, 1.7381378, 1.3658413, 1.0589556, 0.6788216", \
"3.3925174, 2.9038534, 2.4358098, 2.0571552, 1.7527956, 1.2957824, 0.9419322");
}
}
/* Copied from non_seq_setup_falling arc in pin slow group */
timing () {
related_pin : "SLOW";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-3.0238522, -3.4650764, -3.9189804, -4.3713213, -4.7745667, -5.1617605, -5.5206650", \
"-2.4903716, -2.9392830, -3.3865554, -3.8337673, -4.2405619, -4.6233912, -4.9802315", \
"-2.0520845, -2.4826709, -2.9410114, -3.3957035, -3.7971992, -4.1844534, -4.5712946", \
"-1.6826172, -2.1382207, -2.5882147, -3.0432685, -3.4373324, -3.8402057, -4.1910597", \
"-1.3561409, -1.8120344, -2.2537232, -2.6907904, -3.0864066, -3.4873333, -3.8187717", \
"-0.9961985, -1.4745293, -1.9371453, -2.3713038, -2.7790221, -3.1838147, -3.5068108", \
"-0.7269622, -1.1448814, -1.6116102, -2.0418231, -2.4898732, -2.8466036, -3.2329579");
}
}
/* Copied from non_seq_hold_falling arc in pin slow group */
timing () {
related_pin : "SLOW";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("3.4093213, 3.8537638, 4.3107960, 4.7481231, 5.1319209, 5.5317513, 5.9144119", \
"2.8713630, 3.3173289, 3.7793278, 4.2131838, 4.6400650, 4.9787346, 5.3940646", \
"2.4557883, 2.9017569, 3.3572616, 3.7924795, 4.1705162, 4.5887758, 4.9414453", \
"2.0858200, 2.5287417, 2.9796668, 3.4261476, 3.8690152, 4.2264590, 4.5852986", \
"1.7681946, 2.1850326, 2.6390652, 3.0745431, 3.4807759, 3.8846884, 4.2031412", \
"1.4747504, 1.8872708, 2.3297258, 2.7817675, 3.1889059, 3.5709247, 3.9219191", \
"1.1795740, 1.5777629, 2.0679801, 2.4819038, 2.8891521, 3.2534358, 3.6138499");
}
}
}
pin ("OE_N") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.022545;
capacitance : 0.023321;
rise_capacitance : 0.023592;
max_transition : 5.000000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-3.3656626, -2.8468374, -2.4237865, -2.0802946, -1.7476126, -1.4554881, -1.1770965", \
"-3.8053611, -3.2880729, -2.8827784, -2.5215187, -2.2004138, -1.9163370, -1.5938752", \
"-4.2623169, -3.7435115, -3.3368159, -2.9771163, -2.6498485, -2.3507620, -2.0624755", \
"-4.7222867, -4.1912791, -3.7694507, -3.4277634, -3.0829412, -2.8031275, -2.4989246", \
"-5.1194291, -4.5982366, -4.1806267, -3.8641923, -3.4861869, -3.1900027, -2.9406965", \
"-5.5020452, -4.9813049, -4.5580408, -4.2485452, -3.8428631, -3.5919371, -3.3135225", \
"-5.8609492, -5.3414119, -4.9232651, -4.5877881, -4.2521215, -3.9447380, -3.6724110");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.1525643, -1.6371591, -1.0234970, -1.1372551, -0.3987562, 0.2671922, 0.9027357", \
"-2.5958275, -2.0801671, -2.2761679, -1.5722390, -0.8751811, -0.1612746, 0.4869939", \
"-3.0536158, -2.5359285, -1.9414947, -2.0459698, -1.3161835, -0.5789517, 0.0661134", \
"-3.4954423, -2.9864026, -3.2013007, -2.4786504, -1.7703002, -1.0627853, -0.4063744", \
"-3.8920593, -3.3730656, -2.8988393, -2.8890003, -2.1754558, -1.4195725, -0.7470947", \
"-4.2939778, -3.7837624, -3.2260632, -3.2283578, -2.5749082, -1.8577469, -1.1581354", \
"-4.6309940, -5.0585342, -4.3264683, -3.6279350, -2.9115789, -2.2311370, -1.5729413");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.6534765, 3.1213528, 2.7090777, 2.3707776, 2.0323570, 1.6842520, 1.4542276", \
"4.0900659, 3.5684438, 3.1547965, 2.8092753, 2.4661067, 2.1640492, 1.8827631", \
"4.5515745, 4.0103017, 3.6010757, 3.2499199, 2.9232612, 2.6432446, 2.3315104", \
"4.9840645, 4.4563627, 4.0369173, 3.6910671, 3.3686885, 3.0772081, 2.7866094", \
"5.3828020, 4.8653373, 4.4286688, 4.0810442, 3.7869031, 3.5095329, 3.2056287", \
"5.7379824, 5.2212903, 4.8014275, 4.4434605, 4.1138668, 3.8734685, 3.5723445", \
"6.1593453, 5.6217296, 5.2088279, 4.8510252, 4.5277234, 4.2276918, 3.9617503");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.3360832, 2.6309688, 1.8904045, 1.1915923, 0.4576711, -0.2264833, -0.8532637", \
"3.7790147, 3.0769547, 2.3624567, 1.6281551, 0.9046418, 0.1976212, -0.4344623", \
"4.2375484, 3.5233223, 2.8054630, 2.0897844, 1.3679971, 0.6607002, -0.0093518", \
"4.6688207, 3.9929902, 3.2370660, 2.5343392, 1.8251001, 1.0656930, 0.4482903", \
"5.0877336, 4.3900277, 3.6370460, 2.9156957, 2.2313249, 1.4811608, 0.8420504", \
"5.4219778, 4.7188185, 4.0060606, 3.2844581, 2.6124039, 1.8918867, 1.2002981", \
"5.8294252, 5.1339766, 4.3815971, 3.6527568, 2.9734398, 2.2381888, 1.6254895");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("INP_DIS") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.005857;
capacitance : 0.005971;
rise_capacitance : 0.006085;
max_transition : 5.000000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.0202219, 2.4866374, 2.0392588, 1.6787124, 1.3503107, 1.0154616, 0.7080783", \
"3.4631767, 2.9269381, 2.4922087, 2.1363363, 1.7693971, 1.4668801, 1.1262262", \
"3.9217525, 3.3812882, 2.9422720, 2.5852390, 2.2502454, 1.9302966, 1.6112735", \
"4.3759079, 3.8171358, 3.3850909, 3.0255574, 2.6989200, 2.3737779, 2.0409512", \
"4.7612845, 4.2093163, 3.7681239, 3.4220471, 3.0869157, 2.7875998, 2.4547604", \
"5.1123544, 4.5768005, 4.1689139, 3.8244921, 3.4870842, 3.1659797, 2.8429743", \
"5.5135126, 4.9798652, 4.5723210, 4.1854340, 3.8100943, 3.5189205, 3.2247507");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.4718620, 2.8336940, 2.1269527, 1.3960804, 0.6621591, -0.0281013, -0.7194892", \
"3.9321620, 3.2787939, 2.5823288, 1.8593010, 1.1202497, 0.4142245, -0.3057078", \
"4.3850686, 3.7354416, 3.0472687, 2.3177427, 1.5877002, 0.8665835, 0.1618846", \
"4.8088975, 4.1647564, 3.4629591, 2.7339347, 2.0296107, 1.2909345, 0.5979220", \
"5.1935348, 4.5581270, 3.8275186, 3.1500492, 2.4571656, 1.7268404, 0.9725105", \
"5.6185899, 4.9646179, 4.2717978, 3.5450809, 2.8196070, 2.1036047, 1.3683007", \
"5.9707046, 5.3016616, 4.6342017, 3.9076487, 3.1854544, 2.4884328, 1.7624059");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.8743156, -2.3434401, -1.8988608, -1.5370148, -1.1998221, -0.8573435, -0.5362273", \
"-3.3094362, -2.7869189, -2.3487392, -1.9892465, -1.6524162, -1.3223578, -1.0106040", \
"-3.7694440, -3.2400941, -2.8035700, -2.4484698, -2.1063169, -1.8024233, -1.4873131", \
"-4.2202585, -3.6903657, -3.2716991, -2.9211717, -2.5678434, -2.2496984, -1.9302370", \
"-4.6250303, -4.0967000, -3.6714753, -3.2975293, -2.9582327, -2.6294859, -2.3264092", \
"-5.0106978, -4.4698673, -4.0709686, -3.7131315, -3.3454266, -3.0517530, -2.7169040", \
"-5.3696023, -4.8333526, -4.4162817, -4.0659375, -3.6890721, -3.3771112, -3.1047839");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.9750268, -2.3723676, -1.6669429, -0.9342926, -0.2080008, 0.4912109, 1.1955923", \
"-3.4788119, -2.8051627, -2.0996004, -1.3878614, -0.6411787, 0.0583555, 0.7670449", \
"-3.8716809, -3.2582958, -2.5608630, -1.8513274, -1.1114078, -0.3724925, 0.3412784", \
"-4.3042385, -3.6906195, -2.9753144, -2.2991844, -1.5351835, -0.8289698, -0.1013821", \
"-4.7030581, -4.0894232, -3.3775089, -2.6601227, -1.9419963, -1.2214426, -0.5307895", \
"-5.0629200, -4.4243802, -3.7470615, -3.0375773, -2.3306940, -1.6398508, -0.9247111", \
"-5.4763102, -4.8396737, -4.1718000, -3.3924834, -2.7078253, -2.0041544, -1.2800589");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0066056, 0.0133877, 0.0201698, 0.0200673, 0.0199635, 0.0198610, 0.0197584");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0079699, 0.0161303, 0.0242908, 0.0242663, 0.0242415, 0.0242170, 0.0241925");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("ENABLE_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
rise_capacitance : 0.015657;
capacitance : 0.015189;
fall_capacitance : 0.014720;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0116098, 0.0223550, 0.0563198, 0.0560133, 0.0557032, 0.0553967, 0.0550903");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0439318, 0.7820937, 1.5202556, 2.0184357, 2.5226179, 3.0207980, 3.5189781");
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_VDDIO";
timing_type : non_seq_hold_rising;
rise_constraint (scalar) {
values ("-100.0");
}
}
}
pin ("ENABLE_VDDA_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : true;
rise_capacitance : 0.031234;
capacitance : 0.031240;
fall_capacitance : 0.031247;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0043881, -0.0045767, -0.0047652, -0.0049040, -0.0050443, -0.0051830, -0.0053217");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0528634, 0.0761803, 0.0994972, 0.0993997, 0.0993009, 0.0992033, 0.0991058");
}
}
}
pin ("HLD_OVR") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.006322;
capacitance : 0.006214;
fall_capacitance : 0.006106;
max_transition : 5.000000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-0.7304500, -1.2697236, -1.7038148, -2.0514443, -2.3795216, -2.6928705, -3.0030205", \
"-0.2738342, -0.8006800, -1.2430265, -1.5597538, -1.9355523, -2.2529829, -2.5405746", \
"0.1832918, -0.3559573, -0.7986208, -1.1676577, -1.4656145, -1.7606154, -2.0829931", \
"0.5932952, 0.0856517, -0.3480805, -0.7227927, -1.0606114, -1.4197024, -1.6901947", \
"0.9955260, 0.4903539, 0.0529886, -0.3037227, -0.6670976, -0.9873976, -1.3597729", \
"1.3370294, 0.8533627, 0.4425681, 0.0656856, -0.2839778, -0.6456391, -0.8600598", \
"1.7416496, 1.2177811, 0.8149064, 0.4367809, 0.1036711, -0.2363788, -0.5480713");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-0.9730594, -1.6398562, -2.3344848, -3.0403359, -3.7639844, -4.4515522, -5.1243043", \
"-0.5449261, -1.1969719, -1.9056409, -2.6098256, -3.3397524, -4.0441368, -4.7229924", \
"-0.0911274, -0.7739496, -1.4631446, -2.1634056, -2.8564184, -3.6412553, -4.2501768", \
"0.3239325, -0.3322492, -1.0535333, -1.7966914, -2.4980559, -3.1894069, -3.8641025", \
"0.7225388, 0.0679914, -0.6572012, -1.3880757, -2.1174193, -2.8157030, -3.5087288", \
"1.0883074, 0.4597395, -0.2480496, -0.9858670, -1.7317732, -2.4312840, -3.1579969", \
"1.4288394, 0.8091904, 0.1265182, -0.6377440, -1.3680047, -2.0869313, -2.7978932");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("1.1159234, 1.6673405, 2.0786131, 2.4275402, 2.7618830, 3.0825283, 3.3925174", \
"0.6742781, 1.2030906, 1.6322937, 1.9832807, 2.3008287, 2.6169307, 2.9038534", \
"0.2217780, 0.7550345, 1.1828450, 1.5145595, 1.8585811, 2.1517606, 2.4358098", \
"-0.1949902, 0.3379210, 0.7588923, 1.0997657, 1.4757952, 1.7381378, 2.0571552", \
"-0.6012875, -0.0622392, 0.3489414, 0.7274015, 1.0765446, 1.3658413, 1.7527956", \
"-0.9716966, -0.4372046, -0.0224633, 0.3297656, 0.6584153, 1.0589556, 1.2957824", \
"-1.3107646, -0.7830257, -0.3810288, -0.0189995, 0.2938948, 0.6788216, 0.9419322");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("1.1037105, 1.7611779, 2.4465352, 3.1531713, 3.8731900, 4.5081976, 5.1762948", \
"0.6548339, 1.3079382, 1.9990821, 2.6905818, 3.4139028, 4.1234645, 4.7843154", \
"0.2049902, 0.8742098, 1.5595492, 2.2909701, 3.0026622, 3.5928501, 4.3146533", \
"-0.2260412, 0.4497446, 1.1472079, 1.8851818, 2.5873995, 3.2511319, 3.9118751", \
"-0.5992164, 0.0497850, 0.7472669, 1.4909245, 2.1892135, 2.8970240, 3.5966104", \
"-0.9930619, -0.3344057, 0.3460266, 1.1173763, 1.8099641, 2.5253342, 3.2008141", \
"-1.3336558, -0.6888318, -0.0103928, 0.7172462, 1.4593902, 2.1693483, 2.8581564");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0066502, 0.0133974, 0.0201447, 0.0200431, 0.0199402, 0.0198386, 0.0197369");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0079531, 0.0160636, 0.0241741, 0.0241437, 0.0241130, 0.0240826, 0.0240522");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin (SLOW) {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.013493;
capacitance : 0.013450;
fall_capacitance : 0.013408;
max_transition : 5.000000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-3.0238522, -2.4903716, -2.0520845, -1.6826172, -1.3561409, -0.9961985, -0.7269622", \
"-3.4650764, -2.9392830, -2.4826709, -2.1382207, -1.8120344, -1.4745293, -1.1448814", \
"-3.9189804, -3.3865554, -2.9410114, -2.5882147, -2.2537232, -1.9371453, -1.6116102", \
"-4.3713213, -3.8337673, -3.3957035, -3.0432685, -2.6907904, -2.3713038, -2.0418231", \
"-4.7745667, -4.2405619, -3.7971992, -3.4373324, -3.0864066, -2.7790221, -2.4898732", \
"-5.1617605, -4.6233912, -4.1844534, -3.8402057, -3.4873333, -3.1838147, -2.8466036", \
"-5.5206650, -4.9802315, -4.5712946, -4.1910597, -3.8187717, -3.5068108, -3.2329579");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("-2.8499047, -2.2342476, -1.5331507, -0.7954377, -0.0676199, 0.6073516, 1.3411070", \
"-3.2636631, -2.6777335, -1.9179824, -1.2613244, -0.5084272, 0.1788999, 0.9005831", \
"-3.8060681, -3.1316218, -2.4121333, -1.6986755, -0.9447582, -0.2318473, 0.4659084", \
"-4.2050565, -3.5756666, -2.8410371, -2.1718482, -1.3932143, -0.6825524, 0.0096904", \
"-4.6448696, -3.9915348, -3.3007396, -2.5385895, -1.8275553, -1.1262461, -0.3967124", \
"-5.0030719, -4.3807435, -3.6375049, -2.9351168, -2.1964166, -1.4872095, -0.8048239", \
"-5.3818125, -4.7164523, -4.0116898, -3.2633253, -2.5463566, -1.8662789, -1.1586835");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.4093213, 2.8713630, 2.4557883, 2.0858200, 1.7681946, 1.4747504, 1.1795740", \
"3.8537638, 3.3173289, 2.9017569, 2.5287417, 2.1850326, 1.8872708, 1.5777629", \
"4.3107960, 3.7793278, 3.3572616, 2.9796668, 2.6390652, 2.3297258, 2.0679801", \
"4.7481231, 4.2131838, 3.7924795, 3.4261476, 3.0745431, 2.7817675, 2.4819038", \
"5.1319209, 4.6400650, 4.1705162, 3.8690152, 3.4807759, 3.1889059, 2.8891521", \
"5.5317513, 4.9787346, 4.5887758, 4.2264590, 3.8846884, 3.5709247, 3.2534358", \
"5.9144119, 5.3940646, 4.9414453, 4.5852986, 4.2031412, 3.9219191, 3.6138499");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
values("3.0857815, 2.3798153, 1.6917985, 0.9581584, 0.2394959, -0.4491959, -1.1383442", \
"3.5438513, 2.8378851, 2.1736344, 1.4010168, 0.6776925, -0.0279452, -0.7595228", \
"3.9919630, 3.2859969, 2.6142315, 1.8751638, 1.1533313, 0.4622100, -0.2819303", \
"4.4069888, 3.7100061, 3.0208960, 2.2946510, 1.5809197, 0.8798482, 0.1902443", \
"4.8584912, 4.1568347, 3.4503325, 2.6820664, 2.0097942, 1.2814177, 0.5495570", \
"5.2279295, 4.5192399, 3.8542181, 3.0541015, 2.3972551, 1.6921771, 0.9834486", \
"5.5852912, 4.8864124, 4.1862223, 3.4149701, 2.7475158, 2.0937197, 1.3575412");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0066111, 0.0134091, 0.0202070, 0.0201135, 0.0200189, 0.0199254, 0.0198319");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0079695, 0.0161348, 0.0243002, 0.0242669, 0.0242333, 0.0242001, 0.0241668");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin (IN) {
output_voltage : GENERAL_CORE_VOLTAGE;
direction : "output";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : true;
function : "(PAD&!INP_DIS)";
max_capacitance : 1.046000;
max_transition : 5.084199;
internal_power () {
related_pin : "PAD";
when : "( IB_MODE_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02169851, 0.04708255, 0.1021621, 0.2216766, 0.4810053, 1.04371");
values("0.3924573, 0.4041816, 0.4245443, 0.4732215, 0.6367074, 0.7764504, 0.7728992", \
"0.4592476, 0.4687225, 0.4895269, 0.5383990, 0.7017163, 0.8398281, 0.8318075", \
"-0.4253463, -0.4153925, -0.3945101, -0.3478687, -0.1819169, -0.0354636, -0.0475809", \
"-0.3923864, -0.3830077, -0.3617295, -0.3218109, -0.1510752, -0.0106284, -0.0122401", \
"-0.3593832, -0.3523616, -0.3365885, -0.2953852, -0.1267462, 0.0122063, 0.0095703", \
"-0.3096074, -0.3068021, -0.2866622, -0.2553099, -0.1042112, 0.0348499, 0.0353169", \
"-0.2563316, -0.2641690, -0.2465474, -0.2135792, -0.0704495, 0.0547246, 0.0533517");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02169851, 0.04708255, 0.1021621, 0.2216766, 0.4810053, 1.04371");
values("0.5062109, 0.5109128, 0.5207666, 0.5399218, 0.5719611, 0.6382662, 0.7419871", \
"0.4135465, 0.4194870, 0.4287563, 0.4487683, 0.4795433, 0.5461760, 0.6505590", \
"1.3486921, 1.3522950, 1.3622124, 1.3819534, 1.4147365, 1.4805181, 1.5835449", \
"1.3544566, 1.3600330, 1.3690988, 1.3872500, 1.4212271, 1.4915105, 1.5912567", \
"1.3458016, 1.3516846, 1.3610458, 1.3807008, 1.4118521, 1.4783303, 1.5825046", \
"1.3455724, 1.3504367, 1.3607722, 1.3799987, 1.4116512, 1.4777226, 1.5814635", \
"1.3432405, 1.3466793, 1.3597636, 1.3769015, 1.4085551, 1.4747430, 1.5786696");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
values("0.7343946, 0.7493859, 0.7594988, 0.7638168, 0.7653156, 0.7637900, 0.7574114", \
"1.0120139, 1.0295116, 1.0385107, 1.0423726, 1.0431664, 1.0435514, 1.0431934", \
"0.0562611, 0.0741511, 0.0830158, 0.0765933, 0.0880936, 0.0875203, 0.0869165", \
"0.3054692, 0.3208540, 0.3193653, 0.2903317, 0.2678399, 0.2648021, 0.2612259", \
"0.4944173, 0.5051503, 0.5052228, 0.4941939, 0.4997977, 0.4317690, 0.4251618", \
"0.6491896, 0.6608397, 0.6591795, 0.6513803, 0.6499497, 0.6398293, 0.5823048", \
"0.7969885, 0.8098705, 0.8135157, 0.7931517, 0.7956775, 0.8071362, 0.7267803");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
values("0.9609917, 0.9639145, 0.9690549, 0.9781071, 0.9900047, 0.9982575, 1.0015584", \
"0.7890513, 0.7921126, 0.7985183, 0.8070213, 0.8186606, 0.8270415, 0.8306354", \
"1.9651941, 1.9689031, 1.9735704, 1.9832655, 1.9947240, 2.0052441, 2.0064445", \
"1.9598045, 1.9654751, 1.9679718, 1.9773209, 1.9888247, 2.0096811, 2.0012937", \
"1.9533221, 1.9680460, 1.9610633, 1.9708601, 1.9832558, 2.0047299, 1.9973144", \
"1.9117479, 1.9238970, 1.9214294, 1.9354774, 1.9537677, 1.9895162, 1.9950886", \
"1.9226114, 1.9240681, 1.9116826, 1.9143040, 1.9240471, 1.9547528, 1.9721824");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
values("0.6769039, 0.6912846, 0.7012952, 0.7056745, 0.7078884, 0.7068978, 0.7099544", \
"0.7639942, 0.7808626, 0.7911637, 0.7943143, 0.7967590, 0.7995527, 0.7936279", \
"-0.3686088, -0.3542636, -0.3461619, -0.3431230, -0.3432437, -0.3459811, -0.3456381", \
"-0.2565718, -0.2661773, -0.2567855, -0.2582506, -0.2931898, -0.3301607, -0.3317497", \
"-0.2422905, -0.2545376, -0.2415667, -0.2434405, -0.2412689, -0.2752189, -0.3243769", \
"-0.2287369, -0.2371722, -0.2259778, -0.2414155, -0.2304061, -0.2268739, -0.2991317", \
"-0.2143880, -0.2259842, -0.2130163, -0.2240552, -0.2156244, -0.2100825, -0.2443021");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
values("0.9158735, 0.9187063, 0.9245371, 0.9342386, 0.9464645, 0.9556375, 0.9598990", \
"0.7607441, 0.7626852, 0.7692017, 0.7789424, 0.7909577, 0.8008459, 0.8045747", \
"1.9298014, 1.9342596, 1.9386177, 1.9491080, 1.9614139, 1.9707172, 1.9769819", \
"1.9274534, 1.9426602, 1.9355227, 1.9455063, 1.9575166, 1.9676309, 1.9838534", \
"1.9229154, 1.9376193, 1.9324939, 1.9426407, 1.9563522, 1.9645041, 1.9807493", \
"1.9191137, 1.9339816, 1.9276770, 1.9386526, 1.9534715, 1.9626451, 1.9797946", \
"1.8952693, 1.9112565, 1.9078688, 1.9212271, 1.9413843, 1.9600302, 1.9794095");
}
}
internal_power () {
related_pin : "INP_DIS";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
values("1.4215482, 1.4183519, 1.4213803, 1.4209923, 1.4136325, 1.4234567, 1.4162454", \
"1.4102094, 1.4135513, 1.4121985, 1.4117023, 1.4158500, 1.4142664, 1.3946262", \
"1.3999469, 1.4053409, 1.4043892, 1.4035056, 1.4027577, 1.4053610, 1.3892244", \
"1.4039318, 1.4010555, 1.4011181, 1.4027751, 1.4007282, 1.4046302, 1.3969558", \
"1.3998017, 1.4060593, 1.4041770, 1.4033497, 1.4038283, 1.4055223, 1.3987222", \
"1.4027825, 1.4060525, 1.4039029, 1.4034842, 1.4026640, 1.4052645, 1.3872683", \
"1.4037356, 1.4009880, 1.4040528, 1.4030264, 1.4069820, 1.4055148, 1.3984749");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
values("0.7321791, 0.7353765, 0.7424891, 0.7556158, 0.7789913, 0.8209574, 0.9276817", \
"0.7246545, 0.7281441, 0.7351373, 0.7491670, 0.7717829, 0.8128827, 0.9210765", \
"0.7176804, 0.7207932, 0.7282958, 0.7421948, 0.7647887, 0.8067450, 0.9122248", \
"0.7173531, 0.7217540, 0.7284599, 0.7425366, 0.7641893, 0.8064116, 0.9130535", \
"0.7166385, 0.7210871, 0.7286442, 0.7415505, 0.7643045, 0.8049415, 0.9136077", \
"0.7177258, 0.7206237, 0.7273727, 0.7412492, 0.7648875, 0.8066110, 0.9102229", \
"0.7174779, 0.7210285, 0.7247612, 0.7420458, 0.7646841, 0.8061684, 0.9153106");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( IB_MODE_SEL )";
sdf_cond : " IB_MODE_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
values("4.3115858, 4.3535073, 4.4449910, 4.6424669, 5.0644720, 5.9756906, 7.9462197", \
"7.6692004, 7.7106028, 7.8021571, 7.9986453, 8.4220240, 9.3329424, 11.3038550", \
"11.0366530, 11.0808620, 11.1723690, 11.3693910, 11.7913710, 12.7003210, 14.6712710", \
"11.8070650, 11.8440880, 11.9352870, 12.1321280, 12.5548100, 13.4709650, 15.4418200", \
"16.3792690, 16.4188680, 16.5104660, 16.7380920, 17.1234560, 18.0429500, 20.0139820", \
"20.1398030, 20.1806340, 20.2870820, 20.4961720, 20.8951080, 21.8095440, 23.7743870", \
"23.0114030, 23.0386000, 23.1422740, 23.3226860, 23.7584210, 24.6799630, 26.6459760", \
"27.0552200, 27.0944000, 27.2161280, 27.3849530, 27.8256420, 28.7676510, 30.6896870", \
"82.7231120, 82.7424600, 82.8864020, 83.0549350, 83.5172780, 84.4582360, 86.3770990", \
"118.1523900, 118.1857700, 118.1857720, 118.3986700, 118.8105800, 119.8055300, 121.8151500", \
"269.1400000, 269.1400300, 269.1400605, 269.2588900, 269.6529600, 270.6975400, 272.7573500", \
"810.5658900, 810.5659485, 811.1376200, 811.1376648, 811.1377259, 812.1440400, 814.0427800");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
values("0.3020442, 0.3548244, 0.4709664, 0.7200976, 1.2599406, 2.4300353, 4.9926783", \
"0.3030684, 0.3562064, 0.4719740, 0.7239733, 1.2592705, 2.4303171, 4.9895279", \
"0.3024414, 0.3560365, 0.4714826, 0.7231314, 1.2603308, 2.4303142, 4.9888369", \
"0.3031614, 0.3556119, 0.4737579, 0.7224046, 1.2585252, 2.4303015, 4.9912736", \
"0.3024092, 0.3563257, 0.4711889, 0.7217650, 1.2592832, 2.4303235, 5.0015174", \
"0.3033364, 0.3560477, 0.4718105, 0.7236112, 1.2592404, 2.4303575, 4.9955293", \
"0.3030798, 0.3559379, 0.4718150, 0.7239388, 1.2592892, 2.4301019, 4.9937743", \
"0.3031080, 0.3562555, 0.4715868, 0.7240377, 1.2590843, 2.4300855, 4.9915090", \
"0.3032523, 0.3559372, 0.4733338, 0.7241823, 1.2591536, 2.4302313, 4.9912736", \
"0.3030514, 0.3556473, 0.4720017, 0.7197012, 1.2605077, 2.4304776, 5.0080504", \
"0.3021639, 0.3559467, 0.4719848, 0.7240316, 1.2590951, 2.4306546, 5.0010174", \
"0.3025245, 0.3562802, 0.4730882, 0.7236004, 1.2586996, 2.4299924, 4.9913380");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
values("5.7770628, 5.8039724, 5.8544596, 5.9485390, 6.1212324, 6.4283836, 6.9419772", \
"9.0433234, 9.0699439, 9.1219885, 9.2159054, 9.3878025, 9.6969570, 10.2111980", \
"12.7480920, 12.7747390, 12.8258750, 12.9198410, 13.0918590, 13.4002080, 13.9153410", \
"13.6340960, 13.6633850, 13.7133100, 13.8060200, 13.9775990, 14.2868370, 14.8018150", \
"19.1458620, 19.1717500, 19.2234420, 19.3185930, 19.4891520, 19.7984830, 20.3133470", \
"23.7399180, 23.7633840, 23.8139870, 23.9157100, 24.0834780, 24.3908660, 24.9065850", \
"27.2068750, 27.2332330, 27.2863050, 27.3791160, 27.5204850, 27.8427500, 28.3452460", \
"32.0856440, 32.1122760, 32.1636550, 32.2533000, 32.4364250, 32.7327850, 33.2616170", \
"97.1964270, 97.2145950, 97.2596300, 97.3690670, 97.5325560, 97.8453580, 98.3368480", \
"137.4394900, 137.4545300, 137.5256900, 137.6188100, 137.7901100, 138.0919900, 138.6031700", \
"303.9197100, 303.9855000, 304.0102300, 304.1086500, 304.2818000, 304.5756500, 305.1244200", \
"868.4471600, 868.4471741, 868.4737600, 868.5960900, 868.7829300, 869.0763600, 869.6291000");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
values("0.2167632, 0.2334866, 0.2684318, 0.3431022, 0.4963438, 0.7627886, 1.2375001", \
"0.2181962, 0.2391653, 0.2716547, 0.3455432, 0.4969628, 0.7642458, 1.2381870", \
"0.2205661, 0.2365665, 0.2709955, 0.3456720, 0.4977473, 0.7653531, 1.2372441", \
"0.2226093, 0.2388457, 0.2703536, 0.3478965, 0.4969122, 0.7630292, 1.2382930", \
"0.2214752, 0.2360528, 0.2729035, 0.3472801, 0.4983762, 0.7650621, 1.2374823", \
"0.2207188, 0.2366476, 0.2718344, 0.3460437, 0.4973043, 0.7652958, 1.2373975", \
"0.2180912, 0.2372718, 0.2725410, 0.3455749, 0.4986441, 0.7654045, 1.2365191", \
"0.2199448, 0.2359273, 0.2729363, 0.3474246, 0.4977589, 0.7651754, 1.2379171", \
"0.2213268, 0.2375134, 0.2734531, 0.3473375, 0.4979309, 0.7640498, 1.2373436", \
"0.2234561, 0.2361524, 0.2735891, 0.3464067, 0.4970488, 0.7644073, 1.2365073", \
"0.2201812, 0.2388022, 0.2719586, 0.3466648, 0.4990090, 0.7640638, 1.2374629", \
"0.2229085, 0.2366134, 0.2731658, 0.3479585, 0.4973511, 0.7650545, 1.2368991");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("4.6924913, 4.7348263, 4.8277302, 5.0237396, 5.4465419, 6.3580186, 8.3346992", \
"7.2386972, 7.2814230, 7.3725954, 7.5683442, 7.9904687, 8.9033660, 10.8803450", \
"9.3565209, 9.3991588, 9.4901349, 9.6854409, 10.1077040, 11.0202540, 13.0035630", \
"9.8345510, 9.8786472, 9.9695194, 10.1655340, 10.5870220, 11.4998920, 13.4818860", \
"12.6993950, 12.7402210, 12.8310890, 13.0289290, 13.4500090, 14.3606850, 16.3415110", \
"15.0699490, 15.1131550, 15.2040160, 15.3994360, 15.8217230, 16.7307160, 18.7141480", \
"16.8700770, 16.9167900, 17.0076360, 17.1998450, 17.6223120, 18.5309650, 20.5174700", \
"19.4174370, 19.4633390, 19.5542910, 19.7304200, 20.1692030, 21.0781770, 23.0783340", \
"54.6734250, 54.6829960, 54.8044170, 54.9464370, 55.3498400, 56.1766600, 58.3510910", \
"77.1025060, 77.1753220, 77.2580930, 77.4181500, 77.8511830, 78.5806900, 80.5876820", \
"172.2313200, 172.3851300, 172.4285300, 172.5765800, 173.2138900, 174.1268100, 175.9710100", \
"510.2320400, 510.7033300, 510.7033539, 510.7033844, 510.9719200, 511.9037500, 513.9502800");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("0.2997937, 0.3528008, 0.4673580, 0.7165251, 1.2616723, 2.4388105, 5.0092397", \
"0.3044401, 0.3563477, 0.4699761, 0.7172971, 1.2624901, 2.4407667, 5.0841988", \
"0.3041001, 0.3543906, 0.4699282, 0.7175819, 1.2603456, 2.4490985, 5.0549316", \
"0.3002436, 0.3549006, 0.4681733, 0.7171344, 1.2625109, 2.4461022, 5.0406355", \
"0.3002630, 0.3548048, 0.4698662, 0.7183967, 1.2627462, 2.4553706, 5.0063198", \
"0.3002032, 0.3546388, 0.4698977, 0.7183170, 1.2627623, 2.4466671, 5.0154427", \
"0.3002504, 0.3550465, 0.4697996, 0.7184277, 1.2625134, 2.4494551, 4.9997202", \
"0.3002341, 0.3547982, 0.4698678, 0.7184315, 1.2624948, 2.4491136, 4.9937849", \
"0.3002181, 0.3549465, 0.4698678, 0.7184130, 1.2625445, 2.4494278, 4.9952331", \
"0.3002602, 0.3549169, 0.4698574, 0.7184329, 1.2625555, 2.4495359, 4.9931890", \
"0.3002537, 0.3548043, 0.4698663, 0.7183770, 1.2625000, 2.4494198, 4.9973337", \
"0.3003712, 0.3548873, 0.4698427, 0.7184130, 1.2625582, 2.4492928, 4.9959290");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("6.2509162, 6.2730869, 6.3202878, 6.4086465, 6.5736008, 6.8654931, 7.3638597", \
"8.9866674, 9.0118855, 9.0620489, 9.1525152, 9.3134416, 9.6052446, 10.1006700", \
"12.1712190, 12.1972170, 12.2432640, 12.3371490, 12.4982900, 12.7959770, 13.2816880", \
"12.8917140, 12.9176460, 12.9674660, 13.0573380, 13.2184770, 13.5044780, 14.0067160", \
"17.0873040, 17.1180720, 17.1718110, 17.2603690, 17.4220580, 17.7107500, 18.2078870", \
"20.4414890, 20.4792980, 20.5338610, 20.6220590, 20.7815900, 21.0754120, 21.5705980", \
"22.9721310, 22.9975170, 23.0396480, 23.1218030, 23.2804190, 23.5816500, 24.0658340", \
"26.4408670, 26.4792470, 26.5511450, 26.5959890, 26.7410430, 27.0630360, 27.5506090", \
"71.0347480, 71.0576630, 71.1089000, 71.2076300, 71.3650220, 71.6355830, 72.1858980", \
"97.8229620, 97.8620630, 97.9415230, 97.9736610, 98.1523410, 98.4095580, 98.9417970", \
"206.0906000, 206.1347700, 206.1441600, 206.2456000, 206.4422500, 206.6921900, 207.2331900", \
"563.0109300, 563.0478800, 563.1945900, 563.1946106, 563.3040700, 563.6179000, 564.1167000");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("0.2113987, 0.2265832, 0.2593076, 0.3276663, 0.4680725, 0.7253035, 1.2077324", \
"0.2134638, 0.2280958, 0.2624864, 0.3297316, 0.4691126, 0.7284243, 1.2203371", \
"0.2128005, 0.2286668, 0.2620099, 0.3294971, 0.4697044, 0.7318693, 1.2200918", \
"0.2136272, 0.2281112, 0.2625962, 0.3289980, 0.4709095, 0.7306924, 1.2178529", \
"0.2147284, 0.2299806, 0.2628059, 0.3341252, 0.4709766, 0.7304287, 1.2183333", \
"0.2145250, 0.2287648, 0.2631284, 0.3290220, 0.4706459, 0.7283770, 1.2186835", \
"0.2152586, 0.2280207, 0.2623086, 0.3297399, 0.4716413, 0.7283937, 1.2201671", \
"0.2117095, 0.2281196, 0.2621198, 0.3297277, 0.4691419, 0.7305584, 1.2203045", \
"0.2130574, 0.2286181, 0.2625568, 0.3297966, 0.4709698, 0.7287682, 1.2188530", \
"0.2126637, 0.2280637, 0.2622693, 0.3297578, 0.4708823, 0.7302608, 1.2197335", \
"0.2128713, 0.2283473, 0.2620833, 0.3296447, 0.4691989, 0.7288871, 1.2202055", \
"0.2130994, 0.2283769, 0.2621600, 0.3298669, 0.4692023, 0.7311099, 1.2191915");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("4.6731117, 4.7164423, 4.8073110, 5.0028164, 5.4261018, 6.3387575, 8.3140961", \
"6.9321393, 6.9740755, 7.0656525, 7.2614408, 7.6838438, 8.5971443, 10.5777510", \
"8.6068725, 8.6511207, 8.7403960, 8.9362588, 9.3589092, 10.2716570, 12.2500210", \
"8.9700727, 9.0143777, 9.1035197, 9.2994137, 9.7219308, 10.6348090, 12.6132040", \
"11.0744970, 11.1155090, 11.2084420, 11.4058260, 11.8259870, 12.7389800, 14.7159060", \
"12.7520120, 12.7977640, 12.8857120, 13.0793450, 13.5043860, 14.4172150, 16.3974060", \
"14.0014520, 14.0431980, 14.1339920, 14.3316080, 14.7585970, 15.6657210, 17.6516900", \
"15.7390030, 15.7864570, 15.8704290, 16.0730130, 16.4959900, 17.4014900, 19.3854090", \
"38.3350350, 38.3356810, 38.4725920, 38.6683890, 39.0370370, 40.0145160, 41.9883150", \
"52.1920360, 52.2183680, 52.2960910, 52.4989400, 52.9271100, 53.8394640, 55.8196330", \
"109.4845700, 109.5287600, 109.6963200, 109.8277200, 110.3923000, 111.1945000, 113.1889800", \
"308.0347700, 308.1867700, 308.3279400, 308.5185100, 308.9311600, 309.9691200, 311.7082800");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("0.3003555, 0.3531626, 0.4677099, 0.7165172, 1.2603126, 2.4414661, 5.0275322", \
"0.3012512, 0.3565366, 0.4691023, 0.7183214, 1.2647458, 2.4517437, 5.0015272", \
"0.3002277, 0.3550144, 0.4687894, 0.7164481, 1.2603553, 2.4494438, 5.0439319", \
"0.3002452, 0.3549463, 0.4698262, 0.7183778, 1.2598701, 2.4439186, 5.0128319", \
"0.3002052, 0.3549606, 0.4698814, 0.7174304, 1.2607031, 2.4410138, 4.9944977", \
"0.3008277, 0.3566046, 0.4697899, 0.7188397, 1.2608454, 2.4484528, 5.0661995", \
"0.3002448, 0.3549360, 0.4698648, 0.7172563, 1.2647186, 2.4522890, 5.0539284", \
"0.3002357, 0.3550438, 0.4698659, 0.7184173, 1.2643337, 2.4449656, 5.0029842", \
"0.3012210, 0.3550744, 0.4689895, 0.7184248, 1.2645753, 2.4470665, 4.9950715", \
"0.3003081, 0.3552232, 0.4698492, 0.7184208, 1.2619797, 2.4464353, 4.9958686", \
"0.3002295, 0.3549390, 0.4698599, 0.7183956, 1.2619603, 2.4484779, 4.9960120", \
"0.3002335, 0.3549859, 0.4698582, 0.7187753, 1.2610691, 2.4494700, 4.9949991");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("8.4866834, 8.5109448, 8.5581968, 8.6500629, 8.8116661, 9.1035783, 9.5988950", \
"10.8237890, 10.8474070, 10.8990400, 10.9894380, 11.1489240, 11.4421240, 11.9362720", \
"13.9611740, 14.0063920, 14.0369420, 14.1273610, 14.2920080, 14.5799750, 15.0789870", \
"14.7133790, 14.7419060, 14.7894310, 14.8797270, 15.0421420, 15.3324660, 15.8271330", \
"19.3404560, 19.3665190, 19.4165630, 19.5068440, 19.6674610, 19.9596070, 20.4545340", \
"23.0517170, 23.0792060, 23.1274320, 23.2185420, 23.3765180, 23.6629520, 24.1551350", \
"25.8025570, 25.8313570, 25.8779560, 25.9684100, 26.1165740, 26.4239470, 26.9158470", \
"29.6100030, 29.6333410, 29.6858640, 29.7762330, 29.9312540, 30.2171270, 30.7292290", \
"77.4520430, 77.4520455, 77.5279430, 77.6189570, 77.7792410, 78.0592300, 78.5715030", \
"105.9325500, 105.9594800, 106.0032800, 106.0981400, 106.2551900, 106.5564700, 107.0452900", \
"220.6230800, 220.6265200, 220.7180100, 220.7893700, 220.9025100, 221.2259900, 221.7425500", \
"598.1273100, 598.1273499, 598.1948400, 598.2558400, 598.3683300, 598.7698700, 599.1643700");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("0.2114237, 0.2276187, 0.2602142, 0.3269373, 0.4678274, 0.7248398, 1.2107293", \
"0.2136574, 0.2282271, 0.2625615, 0.3295876, 0.4689321, 0.7287014, 1.2206113", \
"0.2132157, 0.2282934, 0.2624375, 0.3298688, 0.4692160, 0.7287775, 1.2201060", \
"0.2126773, 0.2284120, 0.2619561, 0.3297464, 0.4691408, 0.7311248, 1.2199110", \
"0.2125702, 0.2280979, 0.2618411, 0.3297058, 0.4690954, 0.7306504, 1.2200487", \
"0.2132119, 0.2305447, 0.2617086, 0.3306478, 0.4716362, 0.7306164, 1.2190331", \
"0.2135336, 0.2280512, 0.2624996, 0.3296893, 0.4692400, 0.7284087, 1.2104139", \
"0.2130460, 0.2281094, 0.2621525, 0.3297914, 0.4708857, 0.7313866, 1.2204341", \
"0.2125108, 0.2282887, 0.2626948, 0.3296835, 0.4689435, 0.7294194, 1.2204125", \
"0.2136607, 0.2287554, 0.2626676, 0.3294419, 0.4685986, 0.7286758, 1.2203822", \
"0.2136708, 0.2283793, 0.2631259, 0.3295518, 0.4692269, 0.7307825, 1.2187591", \
"0.2120681, 0.2280945, 0.2622868, 0.3298886, 0.4692182, 0.7310312, 1.2204493");
}
}
timing () {
related_pin : "INP_DIS";
timing_type : "combinational";
timing_sense : "negative_unate";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("24.2191830, 24.2614390, 24.3533190, 24.5496390, 24.9731240, 25.8873350, 27.8613330", \
"24.8985310, 24.9408070, 25.0308630, 25.2277030, 25.6524970, 26.5638070, 28.5400010", \
"25.6093460, 25.6516500, 25.7395540, 25.9360050, 26.3633200, 27.2733300, 29.2508490", \
"26.3240540, 26.3663280, 26.4555680, 26.6535980, 27.0780070, 27.9871020, 29.9661880", \
"27.0498650, 27.0921560, 27.1811840, 27.3778530, 27.8038410, 28.7128990, 30.6917510", \
"27.7679990, 27.8103050, 27.8894740, 28.0975630, 28.5219790, 29.4382230, 31.4095670", \
"28.4712890, 28.5135440, 28.6041470, 28.8006310, 29.2252280, 30.1396170, 32.1134340");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("0.3003635, 0.3565784, 0.4732963, 0.7181588, 1.2595033, 2.4402833, 4.9946141", \
"0.3001899, 0.3563443, 0.4723555, 0.7185049, 1.2590068, 2.4414948, 5.0031366", \
"0.3001906, 0.3563451, 0.4741936, 0.7221164, 1.2590097, 2.4412534, 5.0031121", \
"0.3003634, 0.3565771, 0.4674110, 0.7220061, 1.2595031, 2.4417413, 4.9946705", \
"0.3001918, 0.3563469, 0.4744432, 0.7202687, 1.2590151, 2.4411695, 4.9946265", \
"0.3001824, 0.3563275, 0.4723768, 0.7185333, 1.2589841, 2.4412294, 5.0036340", \
"0.3003640, 0.3565794, 0.4742623, 0.7219724, 1.2595041, 2.4414288, 4.9946238");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("16.8342100, 16.8548780, 16.9049430, 16.9909240, 17.1474030, 17.4323670, 17.9244580", \
"17.3662990, 17.3915350, 17.4496100, 17.5256270, 17.6816640, 17.9664320, 18.4633130", \
"17.7944570, 17.8208290, 17.8691200, 17.9537880, 18.1098230, 18.3956990, 18.8889510", \
"18.1651050, 18.1898900, 18.2312850, 18.3245790, 18.4758520, 18.7651010, 19.2541310", \
"18.4803550, 18.5054000, 18.5558450, 18.6391930, 18.7953010, 19.0800660, 19.5756920", \
"18.8145960, 18.8391170, 18.8801900, 18.9734860, 19.1297680, 19.4141450, 19.9074500", \
"19.0991470, 19.1239610, 19.1731820, 19.2584370, 19.4144880, 19.6988240, 20.1932820");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
values("0.2006729, 0.2175601, 0.2492707, 0.3203088, 0.4563458, 0.7167819, 1.2199952", \
"0.2004249, 0.2175614, 0.2488767, 0.3174391, 0.4547794, 0.7181682, 1.2208996", \
"0.2004267, 0.2168586, 0.2492748, 0.3174247, 0.4547651, 0.7155511, 1.2099082", \
"0.2012353, 0.2177877, 0.2503030, 0.3170435, 0.4556930, 0.7187209, 1.2104375", \
"0.2009029, 0.2169401, 0.2493496, 0.3152271, 0.4556811, 0.7178972, 1.2105066", \
"0.2019875, 0.2172647, 0.2512882, 0.3187384, 0.4554249, 0.7170504, 1.2208904", \
"0.2004907, 0.2175603, 0.2496236, 0.3175247, 0.4548519, 0.7169377, 1.2111045");
}
}
}
pin ("IN_H") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO_Q";
related_ground_pin : "VSSD";
always_on : true;
function : "(PAD&!INP_DIS)";
max_capacitance : 1.282320;
max_transition : 3.787332;
internal_power () {
related_pin : "PAD";
when : "( IB_MODE_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02247102, 0.05049469, 0.1134667, 0.2549714, 0.5729467, 1.28747");
values("0.7654616, 0.7689470, 0.7711293, 0.7746348, 0.7753852, 0.7829277, 0.7677205", \
"0.8328402, 0.8345073, 0.8376639, 0.8398689, 0.8431260, 0.8437538, 0.8376165", \
"-0.0503483, -0.0497082, -0.0535197, -0.0442655, -0.0438799, -0.0364408, -0.0442010", \
"-0.0202704, -0.0170588, -0.0147066, -0.0123836, -0.0112505, -0.0041679, -0.0089849", \
"0.0035418, 0.0057800, 0.0084328, 0.0112268, 0.0156258, 0.0133487, 0.0048027", \
"0.0208745, 0.0275480, 0.0313238, 0.0333247, 0.0374576, 0.0299037, 0.0473412", \
"0.0502955, 0.0528369, 0.0575492, 0.0551208, 0.0545758, 0.0526268, 0.0609903");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02247102, 0.05049469, 0.1134667, 0.2549714, 0.5729467, 1.28747");
values("0.7065965, 0.7121064, 0.7188155, 0.7302672, 0.7435660, 0.7546221, 0.7591897", \
"0.6165039, 0.6206057, 0.6282105, 0.6376512, 0.6527314, 0.6630948, 0.6677613", \
"1.5512664, 1.5536926, 1.5619830, 1.5713629, 1.5857449, 1.5973243, 1.6049549", \
"1.5511043, 1.5613651, 1.5680724, 1.5794005, 1.5931208, 1.6043007, 1.6086578", \
"1.5552336, 1.5529536, 1.5601832, 1.5707273, 1.5851134, 1.5957975, 1.6074448", \
"1.5561908, 1.5520734, 1.5591602, 1.5700049, 1.5840433, 1.5949475, 1.6065880", \
"1.5550010, 1.5483338, 1.5562174, 1.5660317, 1.5805731, 1.5941638, 1.6058441");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
values("0.7001416, 0.7005730, 0.7024292, 0.7048801, 0.7064692, 0.7059524, 0.7137439", \
"0.7888822, 0.7898824, 0.7918450, 0.7944494, 0.7966311, 0.8001294, 0.7898916", \
"-0.3471019, -0.3559063, -0.3452551, -0.3433490, -0.3409698, -0.3369014, -0.3428310", \
"-0.2568282, -0.2660756, -0.2554400, -0.2550166, -0.2816266, -0.3223277, -0.3308229", \
"-0.2439757, -0.2533890, -0.2393470, -0.2373668, -0.2343606, -0.2427928, -0.3128917", \
"-0.2273362, -0.2405319, -0.2278176, -0.2248088, -0.2226716, -0.2261384, -0.2904007", \
"-0.2131521, -0.2251927, -0.2124753, -0.2119839, -0.2103954, -0.2126747, -0.2156786");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
values("0.8559583, 0.8619841, 0.8750565, 0.9023533, 0.9338673, 0.9591214, 0.9679295", \
"0.6989638, 0.7064212, 0.7183429, 0.7475233, 0.7790309, 0.8034241, 0.8126873", \
"1.8693664, 1.8768966, 1.8886954, 1.9191632, 1.9514900, 1.9737291, 1.9831088", \
"1.8642605, 1.8714633, 1.8859974, 1.9121573, 1.9449750, 1.9704655, 1.9792098", \
"1.8584791, 1.8678175, 1.8846784, 1.9084686, 1.9418349, 1.9674661, 1.9767504", \
"1.8591370, 1.8631420, 1.8873453, 1.9052993, 1.9377716, 1.9642905, 1.9741975", \
"1.8333735, 1.8274971, 1.8570577, 1.8792661, 1.9193989, 1.9598164, 1.9750733");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
values("0.7573714, 0.7583171, 0.7605731, 0.7623124, 0.7648303, 0.7701386, 0.7564404", \
"1.0368603, 1.0376819, 1.0388059, 1.0419338, 1.0450399, 1.0439724, 1.0447582", \
"0.0810794, 0.0820864, 0.0844288, 0.0867585, 0.0879792, 0.0855925, 0.0825174", \
"0.3184231, 0.3170429, 0.3118002, 0.2977179, 0.2690051, 0.2598314, 0.2699850", \
"0.5017529, 0.5043173, 0.5064097, 0.5046969, 0.5041597, 0.4465664, 0.4222596", \
"0.6497260, 0.6591519, 0.6619253, 0.6609708, 0.6552101, 0.6533512, 0.5925611", \
"0.7988893, 0.8079422, 0.8103434, 0.8054975, 0.8030334, 0.8059421, 0.7618052");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
values("0.9034644, 0.9094008, 0.9224570, 0.9482267, 0.9782309, 1.0018583, 1.0094347", \
"0.7320981, 0.7386166, 0.7498851, 0.7752425, 0.8157394, 0.8302529, 0.8452693", \
"1.9073705, 1.9145271, 1.9290862, 1.9518653, 1.9949012, 2.0065609, 2.0242731", \
"1.9027722, 1.9062111, 1.9302515, 1.9468637, 1.9857675, 2.0009482, 2.0220553", \
"1.8781079, 1.8868149, 1.9127516, 1.9452333, 1.9853609, 1.9960442, 2.0203277", \
"1.8382481, 1.8440249, 1.8719030, 1.9021416, 1.9468364, 1.9764344, 2.0124969", \
"1.9143511, 1.9123856, 1.9272418, 1.9276230, 1.9314129, 1.9419100, 1.9985105");
}
}
internal_power () {
related_pin : "INP_DIS";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
values("1.1322030, 1.1332957, 1.1399108, 1.1510710, 1.1809201, 1.4197831, 1.4086245", \
"1.1228788, 1.1221826, 1.1308745, 1.1417078, 1.1714058, 1.4109532, 1.3971787", \
"1.1155569, 1.1137831, 1.1222913, 1.1340469, 1.1628014, 1.4015718, 1.3892334", \
"1.1145856, 1.1173418, 1.1222410, 1.1331661, 1.1639848, 1.4029777, 1.3910853", \
"1.1149213, 1.1176050, 1.1211726, 1.1339339, 1.1718109, 1.4029871, 1.3986449", \
"1.1148463, 1.1156123, 1.1226107, 1.1334804, 1.1651508, 1.4019683, 1.3886998", \
"1.1149787, 1.1148932, 1.1225481, 1.1336578, 1.1704902, 1.4024237, 1.3878939");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
values("0.9212408, 0.9241242, 0.9300832, 0.9458373, 0.9695840, 1.0084780, 1.0232822", \
"0.9150744, 0.9169602, 0.9229992, 0.9378216, 0.9627798, 1.0010680, 1.0159546", \
"0.9083834, 0.9100358, 0.9165691, 0.9316604, 0.9557815, 0.9940633, 1.0090628", \
"0.9065628, 0.9096006, 0.9158510, 0.9309605, 0.9554541, 0.9940671, 1.0091006", \
"0.9039908, 0.9096375, 0.9170106, 0.9287978, 0.9555924, 0.9935054, 1.0086332", \
"0.9078354, 0.9094192, 0.9166773, 0.9316076, 0.9543811, 0.9940096, 1.0092420", \
"0.9046842, 0.9097965, 0.9157234, 0.9309427, 0.9554591, 0.9939159, 1.0085077");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( IB_MODE_SEL )";
sdf_cond : " IB_MODE_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
values("7.1268120, 7.1628108, 7.2406703, 7.3952942, 7.7062934, 8.3507565, 9.7649329", \
"10.4854710, 10.5219740, 10.5992520, 10.7542090, 11.0648070, 11.7109180, 13.1153810", \
"13.8541460, 13.8906840, 13.9672800, 14.1230700, 14.4334140, 15.0780850, 16.4907150", \
"14.6271980, 14.6608400, 14.7373940, 14.8923780, 15.2029750, 15.8490550, 17.2512760", \
"19.1944220, 19.2321090, 19.3085000, 19.4646250, 19.7750880, 20.4195350, 21.8246430", \
"22.9832120, 22.9950370, 23.0686810, 23.2236740, 23.5343460, 24.1776310, 25.5965280", \
"25.8083120, 25.8637010, 25.9407410, 26.0957070, 26.4063190, 27.0499980, 28.4500210", \
"29.8821730, 29.9287490, 29.9842710, 30.1392760, 30.4468630, 31.1337410, 32.5251010", \
"85.5780510, 85.6851370, 85.6851388, 85.8415920, 86.1567740, 86.8024440, 88.2432970", \
"120.8937200, 120.9839800, 121.1096400, 121.2700800, 121.5391700, 122.2021700, 123.5157200", \
"271.8951700, 271.9668300, 271.9975500, 272.2106300, 272.5047600, 273.0296000, 274.4223500", \
"813.2771500, 813.3658900, 813.3972100, 813.3972474, 813.8479200, 814.5756300, 815.6237600");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
values("0.4236087, 0.4562142, 0.5280668, 0.6828173, 1.0381116, 1.8458408, 3.7424841", \
"0.4229998, 0.4561979, 0.5282189, 0.6833067, 1.0380246, 1.8563537, 3.7182548", \
"0.4233362, 0.4562271, 0.5281556, 0.6834578, 1.0391011, 1.8496008, 3.7488697", \
"0.4231393, 0.4563776, 0.5282301, 0.6833686, 1.0381083, 1.8564870, 3.7730605", \
"0.4237020, 0.4561652, 0.5279008, 0.6845606, 1.0385652, 1.8549940, 3.7156427", \
"0.4237820, 0.4564656, 0.5283398, 0.6826293, 1.0351116, 1.8577532, 3.7496761", \
"0.4231915, 0.4563835, 0.5282056, 0.6832191, 1.0347176, 1.8461538, 3.7245447", \
"0.4231874, 0.4565758, 0.5281974, 0.6829112, 1.0365531, 1.8566113, 3.7123169", \
"0.4200441, 0.4539323, 0.5240417, 0.6832425, 1.0375710, 1.8481773, 3.7457513", \
"0.4237179, 0.4565138, 0.5279447, 0.6844282, 1.0387288, 1.8535365, 3.7249852", \
"0.4201211, 0.4563935, 0.5281130, 0.6832659, 1.0390391, 1.8562953, 3.7235135", \
"0.4210179, 0.4555665, 0.5281562, 0.6849769, 1.0363070, 1.8535748, 3.7473145");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
values("7.7682287, 7.7975706, 7.8492164, 7.9500628, 8.1535418, 8.5095762, 9.1554018", \
"11.0367190, 11.0633890, 11.1151230, 11.2180840, 11.4216650, 11.7761840, 12.4236310", \
"14.7507980, 14.7684340, 14.8186210, 14.9232200, 15.1249470, 15.4808220, 16.1268580", \
"15.6324090, 15.6535780, 15.7057550, 15.8090700, 16.0112760, 16.3692970, 17.0136670", \
"21.1399880, 21.1658660, 21.2185360, 21.3260470, 21.5235490, 21.8812030, 22.5254380", \
"25.7308520, 25.7593630, 25.8075710, 25.9140020, 26.1170530, 26.4702060, 27.1193570", \
"29.2022440, 29.2281510, 29.2767140, 29.3800730, 29.5556570, 29.9393970, 30.5600520", \
"34.0812710, 34.0946470, 34.1560910, 34.2641040, 34.4742190, 34.8216990, 35.4769580", \
"99.2032550, 99.2156260, 99.2665360, 99.3759100, 99.5637000, 99.9285210, 100.5763100", \
"139.4347600, 139.4347611, 139.5099500, 139.6282300, 139.8325200, 140.1857600, 140.8363200", \
"305.8963800, 305.9843200, 305.9920200, 306.1049400, 306.3266500, 306.6565500, 307.3363100", \
"870.4030300, 870.5229700, 870.5600900, 870.6454700, 870.8848900, 871.2538600, 871.8614600");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
values("0.3236851, 0.3405219, 0.3835272, 0.4766245, 0.6496717, 0.9756851, 1.6610290", \
"0.3237543, 0.3424696, 0.3859653, 0.4739980, 0.6494275, 0.9770841, 1.6632518", \
"0.3247721, 0.3426049, 0.3847930, 0.4744858, 0.6511914, 0.9744070, 1.6615836", \
"0.3246663, 0.3426907, 0.3843210, 0.4746617, 0.6512160, 0.9726726, 1.6628062", \
"0.3242065, 0.3427922, 0.3838229, 0.4743254, 0.6506336, 0.9729205, 1.6638325", \
"0.3252174, 0.3428016, 0.3842519, 0.4744187, 0.6512557, 0.9730853, 1.6633074", \
"0.3238238, 0.3424706, 0.3843417, 0.4746424, 0.6504835, 0.9727526, 1.6593222", \
"0.3216359, 0.3416442, 0.3840575, 0.4725222, 0.6499848, 0.9733201, 1.6585769", \
"0.3252658, 0.3422376, 0.3859775, 0.4747066, 0.6512405, 0.9726746, 1.6630101", \
"0.3252992, 0.3428552, 0.3839091, 0.4747164, 0.6512799, 0.9727586, 1.6630617", \
"0.3236347, 0.3428850, 0.3843998, 0.4749257, 0.6513987, 0.9734729, 1.6562411", \
"0.3235148, 0.3422205, 0.3832798, 0.4753628, 0.6516695, 0.9777070, 1.6555797");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
values("4.9071898, 4.9422133, 5.0179074, 5.1733053, 5.4831641, 6.1305161, 7.5421784", \
"7.1641670, 7.1996915, 7.2753989, 7.4291404, 7.7398630, 8.3870022, 9.7957953", \
"8.8405860, 8.8761762, 8.9518455, 9.1057935, 9.4161487, 10.0625690, 11.4735640", \
"9.2035384, 9.2393631, 9.3149914, 9.4692840, 9.7790718, 10.4249200, 11.8355470", \
"11.3082390, 11.3448180, 11.4194440, 11.5738260, 11.8843580, 12.5309050, 13.9371110", \
"12.9850200, 13.0239250, 13.0950350, 13.2519960, 13.5584620, 14.2065070, 15.6229540", \
"14.2342290, 14.2714650, 14.3436750, 14.5022060, 14.8120090, 15.4551930, 16.8680640", \
"15.9703720, 16.0098650, 16.0839400, 16.2325990, 16.5476410, 17.1928130, 18.6026770", \
"38.5766410, 38.6111480, 38.6250110, 38.8362230, 39.1483500, 39.7918140, 41.1641810", \
"52.4090670, 52.4367780, 52.4932740, 52.6685400, 52.9763820, 53.6491880, 55.0576400", \
"109.8255600, 109.8255654, 109.8309700, 110.1505800, 110.2821800, 111.1118700, 112.3747100", \
"308.8061900, 308.8061982, 308.8062287, 308.8062592, 309.2552300, 309.5639200, 310.9998700");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
values("0.4132998, 0.4459758, 0.5185944, 0.6753620, 1.0360722, 1.8473593, 3.7434242", \
"0.4138313, 0.4461380, 0.5179835, 0.6800236, 1.0371261, 1.8563434, 3.7262078", \
"0.4130082, 0.4465829, 0.5191324, 0.6808835, 1.0374346, 1.8611147, 3.7154491", \
"0.4132839, 0.4475214, 0.5185564, 0.6806384, 1.0293952, 1.8528850, 3.7198977", \
"0.4142005, 0.4467764, 0.5182943, 0.6811827, 1.0374096, 1.8567534, 3.7761856", \
"0.4134127, 0.4461861, 0.5186371, 0.6806332, 1.0372986, 1.8544478, 3.7466341", \
"0.4134941, 0.4475089, 0.5180680, 0.6790255, 1.0362751, 1.8577105, 3.7762242", \
"0.4133465, 0.4472254, 0.5186159, 0.6806726, 1.0374388, 1.8419711, 3.7388088", \
"0.4134706, 0.4476948, 0.5190433, 0.6804894, 1.0346450, 1.8576515, 3.7284531", \
"0.4132610, 0.4476551, 0.5186727, 0.6805023, 1.0345073, 1.8580472, 3.7530334", \
"0.4133667, 0.4475970, 0.5185790, 0.6806801, 1.0355909, 1.8580477, 3.7284544", \
"0.4138497, 0.4477015, 0.5181859, 0.6800894, 1.0346564, 1.8582540, 3.7506731");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
values("7.7001232, 7.7253883, 7.7785574, 7.8876008, 8.0984739, 8.4700158, 9.1299714", \
"10.0365150, 10.0625550, 10.1155910, 10.2237820, 10.4353810, 10.8064020, 11.4625990", \
"13.1757720, 13.2016280, 13.2548240, 13.3630320, 13.5746360, 13.9449550, 14.6048520", \
"13.9292070, 13.9581590, 14.0089870, 14.1172020, 14.3285460, 14.7010960, 15.3619390", \
"18.5506440, 18.5804230, 18.6344880, 18.7319580, 18.9542200, 19.3154040, 19.9724910", \
"22.2602980, 22.2887790, 22.3462140, 22.4342380, 22.6656810, 23.0242510, 23.6861750", \
"25.0169310, 25.0430450, 25.0962260, 25.2075050, 25.4218180, 25.7880790, 26.4460120", \
"28.8184180, 28.8494900, 28.8909360, 29.0071980, 29.2164910, 29.5982350, 30.2569450", \
"76.6789090, 76.6789132, 76.7488470, 76.8575320, 77.0697570, 77.4388700, 78.0887250", \
"105.1487500, 105.1642800, 105.2303500, 105.3383800, 105.5515000, 105.9181100, 106.5786700", \
"219.8140800, 219.8373300, 219.9014400, 220.0077500, 220.2368800, 220.5755400, 221.2466900", \
"597.2869400, 597.2914900, 597.3616900, 597.4382000, 597.6648900, 597.9968600, 598.6847200");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
values("0.3249036, 0.3447701, 0.3879338, 0.4849761, 0.6701879, 0.9972785, 1.6794531", \
"0.3245064, 0.3446919, 0.3906993, 0.4866332, 0.6719129, 0.9977178, 1.6766948", \
"0.3243877, 0.3447468, 0.3906255, 0.4865513, 0.6718593, 0.9976375, 1.6790965", \
"0.3233158, 0.3455650, 0.3902554, 0.4871599, 0.6714186, 0.9982541, 1.6760932", \
"0.3243865, 0.3447034, 0.3906223, 0.4867334, 0.6717982, 0.9976037, 1.6788352", \
"0.3234852, 0.3448655, 0.3883496, 0.4859162, 0.6728003, 0.9976353, 1.6792542", \
"0.3242338, 0.3438337, 0.3904817, 0.4865931, 0.6724720, 0.9973701, 1.6764993", \
"0.3238929, 0.3447675, 0.3904730, 0.4869919, 0.6711132, 0.9973480, 1.6784819", \
"0.3243464, 0.3449480, 0.3905870, 0.4864957, 0.6712421, 0.9974947, 1.6794802", \
"0.3240262, 0.3440052, 0.3903715, 0.4857035, 0.6705425, 0.9978270, 1.6798104", \
"0.3237107, 0.3459387, 0.3885262, 0.4867399, 0.6716883, 0.9978573, 1.6772207", \
"0.3256166, 0.3463574, 0.3913865, 0.4871532, 0.6733389, 0.9991915, 1.6801318");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
values("4.9252313, 4.9618047, 5.0369686, 5.1908633, 5.5005849, 6.1490715, 7.5633375", \
"7.4717104, 7.5068796, 7.5834450, 7.7382429, 8.0473042, 8.6935152, 10.1086570", \
"9.5889972, 9.6253245, 9.7007513, 9.8554791, 10.1650180, 10.8117320, 12.2196920", \
"10.0685720, 10.1055540, 10.1801980, 10.3350630, 10.6445090, 11.2905450, 12.7050470", \
"12.9300020, 12.9689420, 13.0389120, 13.2002890, 13.5048680, 14.1479790, 15.5620890", \
"15.3027050, 15.3395070, 15.4056860, 15.5722670, 15.8684990, 16.5261190, 17.9341480", \
"17.1044230, 17.1319120, 17.2170330, 17.3741550, 17.6671730, 18.3284020, 19.7353370", \
"19.6508130, 19.6829040, 19.7626710, 19.9123690, 20.2125000, 20.8738270, 22.2810270", \
"54.8419440, 54.8937000, 54.9434600, 55.1266620, 55.4510290, 56.0555090, 57.4602840", \
"77.2780170, 77.3998110, 77.3998147, 77.4185760, 77.7150650, 78.3519600, 79.9347150", \
"172.5163700, 172.7308600, 172.8067400, 172.8111300, 173.2719900, 173.7642500, 175.3129900", \
"509.3800700, 510.4805600, 510.6527400, 510.6527558, 510.6644500, 511.4397900, 513.0630100");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
values("0.4131220, 0.4454351, 0.5182135, 0.6784253, 1.0359361, 1.8616936, 3.7310937", \
"0.4139234, 0.4463764, 0.5193066, 0.6771705, 1.0373894, 1.8504266, 3.7455790", \
"0.4141409, 0.4463808, 0.5188128, 0.6803676, 1.0378611, 1.8426626, 3.7311279", \
"0.4140145, 0.4472033, 0.5196016, 0.6809428, 1.0368446, 1.8578798, 3.7165997", \
"0.4138830, 0.4475417, 0.5185546, 0.6806395, 1.0348781, 1.8578737, 3.7110468", \
"0.4136124, 0.4473933, 0.5186165, 0.6805596, 1.0354841, 1.8573111, 3.7509276", \
"0.4133725, 0.4475076, 0.5188019, 0.6800261, 1.0373048, 1.8583360, 3.7284345", \
"0.4134753, 0.4474796, 0.5185358, 0.6806269, 1.0349315, 1.8579391, 3.7283310", \
"0.4134746, 0.4475548, 0.5186193, 0.6806278, 1.0345235, 1.8580687, 3.7284411", \
"0.4133971, 0.4474965, 0.5185303, 0.6806486, 1.0357011, 1.8579207, 3.7497312", \
"0.4134468, 0.4474767, 0.5186047, 0.6800388, 1.0354791, 1.8579405, 3.7283643", \
"0.4132584, 0.4475961, 0.5186417, 0.6806495, 1.0341967, 1.8583495, 3.7284242");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
values("5.4598837, 5.4872915, 5.5413434, 5.6492864, 5.8590826, 6.2294729, 6.8894330", \
"8.2001339, 8.2276834, 8.2708151, 8.3873974, 8.5986631, 8.9701340, 9.6287840", \
"11.3815100, 11.4051290, 11.4680340, 11.5674160, 11.7784280, 12.1514980, 12.8079920", \
"12.1059950, 12.1199800, 12.1876730, 12.2928660, 12.5046120, 12.8762580, 13.5341870", \
"16.3069720, 16.3303180, 16.3857030, 16.4933310, 16.7064070, 17.0790030, 17.7363300", \
"19.6698760, 19.6969890, 19.7428670, 19.8568520, 20.0508610, 20.4317010, 21.0967760", \
"22.1619450, 22.2082650, 22.2348310, 22.3569020, 22.5461780, 22.9376820, 23.6018420", \
"25.6462600, 25.6998210, 25.7651090, 25.8319780, 26.0394300, 26.4375540, 27.0828000", \
"70.2644270, 70.2933040, 70.3335130, 70.4526220, 70.6768970, 71.0506640, 71.6674360", \
"97.0651470, 97.0651513, 97.1112830, 97.2021820, 97.4373440, 97.8177250, 98.4683410", \
"205.2648300, 205.3430300, 205.4022600, 205.5042200, 205.6442400, 206.0976800, 206.7251300", \
"562.2636600, 562.2637024, 562.2637635, 562.4027600, 562.6502600, 563.0406600, 563.6367700");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
values("0.3239620, 0.3442964, 0.3898426, 0.4845191, 0.6697982, 0.9972123, 1.6782165", \
"0.3245947, 0.3447150, 0.3901401, 0.4865227, 0.6724072, 0.9972486, 1.6782120", \
"0.3245895, 0.3446611, 0.3904505, 0.4850209, 0.6715377, 0.9971826, 1.6797018", \
"0.3246790, 0.3441253, 0.3904532, 0.4864820, 0.6714995, 0.9963835, 1.6789709", \
"0.3245963, 0.3446896, 0.3903698, 0.4855721, 0.6725418, 0.9972495, 1.6759858", \
"0.3248170, 0.3435999, 0.3904579, 0.4864880, 0.6715535, 0.9972623, 1.6793023", \
"0.3237471, 0.3443936, 0.3904266, 0.4862711, 0.6715473, 0.9971855, 1.6788338", \
"0.3238503, 0.3454442, 0.3893244, 0.4864842, 0.6711831, 0.9967923, 1.6797936", \
"0.3239921, 0.3445175, 0.3877887, 0.4866267, 0.6708673, 0.9969953, 1.6794860", \
"0.3235410, 0.3450736, 0.3904030, 0.4867526, 0.6726735, 0.9974712, 1.6771522", \
"0.3252348, 0.3459631, 0.3895921, 0.4868844, 0.6728493, 0.9973857, 1.6776623", \
"0.3246739, 0.3460584, 0.3912419, 0.4869633, 0.6733286, 0.9987094, 1.6800396");
}
}
timing () {
related_pin : "INP_DIS";
timing_type : "combinational";
timing_sense : "negative_unate";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
values("20.4014420, 20.4360580, 20.5128790, 20.6689470, 20.9786500, 21.6269240, 23.0375910", \
"21.0778200, 21.1157830, 21.1905300, 21.3455800, 21.6580780, 22.3040150, 23.7171920", \
"21.7866260, 21.8264980, 21.8992150, 22.0538500, 22.3688430, 23.0147030, 24.4278840", \
"22.5030360, 22.5414110, 22.6161920, 22.7714350, 23.0840860, 23.7175510, 25.1428110", \
"23.2328170, 23.2667720, 23.3411640, 23.4957780, 23.8089760, 24.4546690, 25.8681520", \
"23.9373140, 23.9857570, 24.0612690, 24.2162910, 24.5281480, 25.1803640, 26.5871590", \
"24.6511260, 24.6870840, 24.7443130, 24.9182950, 25.2302430, 25.8794730, 27.2893100");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
values("0.4200771, 0.4530539, 0.5250216, 0.6830269, 1.0355520, 1.8425452, 3.7090586", \
"0.4200754, 0.4531276, 0.5250526, 0.6819572, 1.0355177, 1.8419708, 3.7088037", \
"0.4167834, 0.4531305, 0.5244500, 0.6832952, 1.0355148, 1.8419521, 3.7088013", \
"0.4200776, 0.4530445, 0.5249663, 0.6821729, 1.0355505, 1.8419226, 3.7090351", \
"0.4200754, 0.4531866, 0.5247635, 0.6830462, 1.0355139, 1.8419329, 3.7089469", \
"0.4200782, 0.4531032, 0.5250826, 0.6818921, 1.0355445, 1.8426063, 3.7088133", \
"0.4168032, 0.4531049, 0.5252142, 0.6831829, 1.0354981, 1.8436948, 3.7087855");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
values("19.3140010, 19.3469560, 19.4204330, 19.5532990, 19.8164970, 20.3023520, 21.1010780", \
"19.8515680, 19.8838190, 19.9533510, 20.0901070, 20.3559910, 20.8367970, 21.6366280", \
"20.2781860, 20.3119440, 20.3786230, 20.5183760, 20.7816340, 21.2650240, 22.0633270", \
"20.6437020, 20.6793940, 20.7456700, 20.8846330, 21.1463680, 21.6298070, 22.4301150", \
"20.9664480, 21.0118380, 21.0690470, 21.2057310, 21.4894060, 21.9513240, 22.7674700", \
"21.2964850, 21.3298160, 21.3988740, 21.5387730, 21.8046830, 22.2832210, 23.0872120", \
"21.5848190, 21.6169780, 21.6849120, 21.8239280, 22.0918930, 22.5691340, 23.3802200");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
values("0.4792749, 0.5036879, 0.5511732, 0.6662183, 0.9061271, 1.3046662, 1.9918780", \
"0.4808143, 0.5037101, 0.5538959, 0.6655587, 0.9029096, 1.3021190, 1.9911155", \
"0.4793524, 0.5036490, 0.5511314, 0.6666887, 0.9024530, 1.3022151, 1.9918842", \
"0.4795721, 0.5003858, 0.5533643, 0.6662057, 0.9055355, 1.3048941, 1.9919828", \
"0.4795094, 0.5033957, 0.5527767, 0.6661521, 0.9054450, 1.3036363, 1.9922743", \
"0.4795390, 0.5014346, 0.5505539, 0.6675553, 0.9033984, 1.3033352, 1.9919992", \
"0.4778121, 0.5039751, 0.5533388, 0.6662227, 0.9028658, 1.3051926, 1.9930468");
}
}
}
pin (PAD) {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
function : "(OUT)";
is_pad : true;
three_state : "OE_N";
capacitance : 1.195245;
max_capacitance : 551.195000;
max_transition : 25.0;
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0699672, 0.0699636, 0.0699722, 0.0699701, 0.0699676, 0.0699709, 0.0699709", \
"0.2200152, 0.2201550, 0.2201540, 0.2200037, 0.2199873, 0.2199795, 0.2199785", \
"0.4144722, 0.4144205, 0.4144570, 0.4146993, 0.4151198, 0.4153657, 0.4154227", \
"0.8818501, 0.8827910, 0.8846049, 0.8886305, 0.8879034, 0.8851637, 0.8845251", \
"1.4893794, 1.4918014, 1.5051709, 1.5531536, 1.6080315, 1.6245812, 1.6274903", \
"1.6917371, 1.6946444, 1.7105342, 1.7663932, 1.8290491, 1.8480577, 1.8514344", \
"1.7008554, 1.7037942, 1.7198821, 1.7764484, 1.8396274, 1.8588967, 1.8623150");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("5.5699705, 5.5682492, 5.5695125, 5.5739625, 5.5730409, 5.2841015, -0.5078048", \
"5.5549518, 5.5547536, 5.5560520, 5.5588493, 5.5547675, 5.3410435, -0.5549345", \
"5.5397472, 5.5411566, 5.5426780, 5.5448581, 5.5412663, 5.3482749, -0.5279056", \
"5.5402694, 5.5410877, 5.5419317, 5.5449353, 5.5361260, 5.3549809, -0.5294649", \
"5.5401462, 5.5402719, 5.5401674, 5.5459063, 5.5435826, 5.3220931, -0.5310959", \
"5.5357288, 5.5395799, 5.5391228, 5.5485324, 5.5438582, 5.3452042, -0.5262845", \
"5.5375976, 5.5391324, 5.5404126, 5.5433738, 5.5377958, 5.3486455, -0.7738895");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.3465455, 6.3474762, 6.3495024, 6.3465234, 6.2742522, 5.2384844, -11.0926307", \
"6.3260841, 6.3259896, 6.3251183, 6.3419369, 6.2478746, 5.2361951, -11.1033631", \
"6.3074667, 6.3088085, 6.3048984, 6.3116369, 6.2291669, 5.2766753, -11.1537966", \
"6.3057461, 6.3091904, 6.3057053, 6.3075316, 6.2124522, 5.2767589, -11.1106353", \
"6.3084862, 6.3102959, 6.3102227, 6.3119071, 6.2284829, 5.2413116, -11.3200921", \
"6.3100265, 6.3106454, 6.3065085, 6.3111029, 6.2498489, 5.2442293, -10.8684518", \
"6.3121111, 6.3128206, 6.3152856, 6.3033399, 6.2319738, 5.2569551, -11.1261765");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.8082277, 3.8117557, 3.8325702, 3.9421531, 4.2659024, 5.2062683, 5.6233994", \
"3.7361947, 3.7389936, 3.7534521, 3.8652824, 4.1898717, 5.1294287, 5.5379110", \
"3.6562633, 3.6594704, 3.6830991, 3.7914820, 4.1149615, 5.0541716, 5.4651991", \
"3.6561777, 3.6593600, 3.6834645, 3.7898616, 4.1144847, 5.0549798, 5.4688818", \
"3.6561248, 3.6587976, 3.6821500, 3.7922733, 4.1125392, 5.0556230, 5.4714431", \
"3.6552356, 3.6581785, 3.6807091, 3.7838228, 4.1139307, 5.0531096, 5.4702746", \
"3.6541295, 3.6574016, 3.6812719, 3.7858831, 4.1165487, 5.0503117, 5.4681854");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0699939, 0.0699976, 0.0700023, 0.0699997, 0.0699951, 0.0700057, 0.0699939", \
"0.2147891, 0.2147873, 0.2147883, 0.2149299, 0.2149256, 0.2149297, 0.2147864", \
"0.3743705, 0.3743708, 0.3743696, 0.3743284, 0.3743252, 0.3743241, 0.3743599", \
"0.8784747, 0.8784760, 0.8784915, 0.8793029, 0.8793206, 0.8793168, 0.8785915", \
"1.0736638, 1.0735294, 1.0728309, 1.0708605, 1.0692095, 1.0686427, 1.0686708", \
"1.1061813, 1.1059777, 1.1049834, 1.1021405, 1.0997323, 1.0990763, 1.0988767", \
"1.1085448, 1.1083370, 1.1073178, 1.1041949, 1.1018098, 1.1009956, 1.1011027");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.4375736, 2.4359659, 2.4381118, 2.4407867, 2.4446506, 2.4534415, 2.4437099", \
"2.4238186, 2.4233689, 2.4241354, 2.4265543, 2.4338308, 2.4452895, 2.4402183", \
"2.4105948, 2.4098767, 2.4101514, 2.4101763, 2.4177820, 2.4147011, 2.4178273", \
"2.4103614, 2.4099123, 2.4173063, 2.4091572, 2.4113365, 2.4189194, 2.4117179", \
"2.4102963, 2.4099077, 2.4145854, 2.4088107, 2.4090043, 2.4227986, 2.4182023", \
"2.4096403, 2.4093612, 2.4214533, 2.4079697, 2.4168790, 2.4195032, 2.4283143", \
"2.4089514, 2.4078715, 2.4080734, 2.4085470, 2.4109795, 2.4278647, 2.4036308");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.1766064, 6.1768267, 6.1762482, 6.1829442, 6.1847132, 6.0380362, -0.1193566", \
"6.1564776, 6.1571876, 6.1555365, 6.1606236, 6.1509924, 5.8971204, 0.0791678", \
"6.1389235, 6.1385237, 6.1400060, 6.1443120, 6.1391170, 5.8924514, 0.0594436", \
"6.1380313, 6.1369475, 6.1379129, 6.1424540, 6.1416764, 5.8907291, 0.0600941", \
"6.1398212, 6.1400966, 6.1413647, 6.1435530, 6.1367416, 5.8813071, 0.0643081", \
"6.1411078, 6.1395730, 6.1414651, 6.1454685, 6.1428894, 5.9078261, 0.0633767", \
"6.1426481, 6.1425462, 6.1446569, 6.1462702, 6.1457454, 5.8910351, 0.0736134");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.7660444, 3.7648514, 3.7833735, 3.8080855, 3.8440394, 3.8650239, 3.8675553", \
"3.6915446, 3.6751944, 3.6978122, 3.7313982, 3.7617753, 3.7897295, 3.7862150", \
"3.6084795, 3.6148164, 3.6260262, 3.6548985, 3.6811236, 3.7053304, 3.7089586", \
"3.6138166, 3.6141276, 3.6229178, 3.6581991, 3.6974329, 3.7174802, 3.6958695", \
"3.6122931, 3.6073808, 3.6223472, 3.6579480, 3.6950429, 3.7064583, 3.6995363", \
"3.6124178, 3.6125584, 3.6245969, 3.6537815, 3.6782168, 3.7068198, 3.7124619", \
"3.6112142, 3.6101950, 3.6186878, 3.6548663, 3.6550832, 3.7190103, 3.6970405");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0699111, 0.0699159, 0.0699868, 0.0699272, 0.0699045, 0.0699958, 0.0699941", \
"0.2147873, 0.2147893, 0.2147884, 0.2149281, 0.2147872, 0.2147873, 0.2149266", \
"0.3743666, 0.3743657, 0.3743641, 0.3743230, 0.3743560, 0.3743547, 0.3743175", \
"0.8774245, 0.8774410, 0.8774453, 0.8782151, 0.8775211, 0.8775314, 0.8782562", \
"1.0722121, 1.0720875, 1.0713320, 1.0694001, 1.0677762, 1.0673176, 1.0671192", \
"1.1060440, 1.1058676, 1.1051156, 1.1021231, 1.0996336, 1.0988967, 1.0987702", \
"1.1085552, 1.1083599, 1.1073920, 1.1044739, 1.1019762, 1.1012456, 1.1011201");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.4387933, 2.4381606, 2.4383037, 2.4406425, 2.4561592, 2.4437791, 2.4474921", \
"2.4224851, 2.4220924, 2.4238039, 2.4310594, 2.4333978, 2.4344948, 2.4317420", \
"2.4148332, 2.4103997, 2.4100167, 2.4120351, 2.4168173, 2.4402148, 2.4238277", \
"2.4112242, 2.4097009, 2.4119070, 2.4111428, 2.4254667, 2.5162124, 2.4215332", \
"2.4145446, 2.4103661, 2.4096065, 2.4118781, 2.4169006, 2.4901666, 2.4172161", \
"2.4089952, 2.4093751, 2.4089540, 2.4113626, 2.4156281, 2.3978799, 2.4225470", \
"2.4107328, 2.4084126, 2.4106757, 2.4127591, 2.4239160, 2.4547576, 2.4036403");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.1605550, 3.1600960, 3.1662430, 3.2080652, 3.4087348, 4.7918420, 5.0750004", \
"3.0839918, 3.0855851, 3.0914663, 3.1371214, 3.3447294, 4.7140474, 5.0008441", \
"3.0056100, 3.0106435, 3.0137391, 3.0528777, 3.2722912, 4.6396416, 4.9218907", \
"3.0082257, 3.0071686, 3.0168117, 3.0606135, 3.2950941, 4.6401016, 4.9234717", \
"3.0070110, 3.0081063, 3.0165687, 3.0510830, 3.2784319, 4.6387039, 4.9224920", \
"3.0074406, 3.0078481, 3.0155999, 3.0544664, 3.2819602, 4.6382631, 4.9208560", \
"3.0068222, 3.0082252, 3.0146226, 3.0569581, 3.2695068, 4.6373907, 4.9199503");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0873792, 0.0873800, 0.0873905, 0.0873982, 0.0873991, 0.0873930, 0.0873838", \
"0.2151752, 0.2150947, 0.2150944, 0.2150928, 0.2150908, 0.2150950, 0.2150941", \
"0.6325539, 0.6334207, 0.6334245, 0.6333968, 0.6333687, 0.6333805, 0.6333877", \
"0.9563377, 0.9565847, 0.9565878, 0.9566221, 0.9567502, 0.9566803, 0.9565700", \
"1.2471997, 1.2463502, 1.2463470, 1.2463274, 1.2463114, 1.2463795, 1.2463370", \
"1.5102201, 1.5099854, 1.5087226, 1.5045621, 1.4991706, 1.4962470, 1.4954901", \
"1.5696377, 1.5685273, 1.5628861, 1.5453785, 1.5281281, 1.5218081, 1.5203667");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.4793645, 3.4743010, 3.4923643, 3.5510888, 3.6264456, 3.8898040, 5.4926208", \
"3.4025781, 3.4057932, 3.4149846, 3.4745656, 3.5493372, 3.8166928, 5.3882343", \
"3.3228750, 3.3299993, 3.3415428, 3.3990422, 3.4780851, 3.7416451, 5.3270232", \
"3.3272952, 3.3262580, 3.3407967, 3.3989913, 3.4740283, 3.7398946, 5.3414260", \
"3.3265590, 3.3287574, 3.3411728, 3.3910233, 3.4738908, 3.7388495, 5.3412044", \
"3.3228188, 3.3254582, 3.3418038, 3.3997462, 3.4727982, 3.7400336, 5.3391789", \
"3.3201892, 3.3231024, 3.3392022, 3.3958500, 3.4707367, 3.7374773, 5.3312459");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0873742, 0.0873856, 0.0873767, 0.0873740, 0.0873897, 0.0873776, 0.0873819", \
"0.2151537, 0.2151531, 0.2150740, 0.2150741, 0.2150719, 0.2150698, 0.2150704", \
"0.6387102, 0.6387152, 0.6395247, 0.6394700, 0.6394327, 0.6394564, 0.6394732", \
"0.9949057, 0.9949537, 0.9951503, 0.9952058, 0.9956609, 0.9954271, 0.9950849", \
"1.2855960, 1.2856050, 1.2847321, 1.2847733, 1.2847416, 1.2849409, 1.2848178", \
"1.5501766, 1.5499057, 1.5484542, 1.5437369, 1.5378223, 1.5349766, 1.5341468", \
"1.6078434, 1.6067595, 1.6010657, 1.5838513, 1.5665810, 1.5602835, 1.5588225");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("5.4155545, 5.4159144, 5.4106405, 5.3820479, 5.2281779, 4.1259697, -12.3361972", \
"5.4011261, 5.4016079, 5.3935219, 5.3685102, 5.2156290, 4.0962415, -12.3184797", \
"5.3895622, 5.3872650, 5.3803430, 5.3579629, 5.1919312, 4.0856083, -12.4438241", \
"5.3876237, 5.3870733, 5.3816363, 5.3438067, 5.2018508, 4.0941861, -12.7772369", \
"5.3881857, 5.3852609, 5.3798425, 5.3548773, 5.1896119, 4.1353324, -12.2424685", \
"5.3871337, 5.3848586, 5.3825844, 5.3550457, 5.1934757, 4.1065938, -12.4556922", \
"5.3879647, 5.3857772, 5.3790348, 5.3519232, 5.1933695, 4.0692427, -12.4602300");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0699671, 0.0699744, 0.0699670, 0.0698778, 0.0698817, 0.0699637, 0.0699687", \
"0.2200294, 0.2200295, 0.2200297, 0.2201685, 0.2200272, 0.2201689, 0.2199406", \
"0.4145932, 0.4145947, 0.4146018, 0.4145618, 0.4146366, 0.4145865, 0.4145919", \
"0.8186558, 0.8186383, 0.8184352, 0.8185955, 0.8179473, 0.8182090, 0.8182004", \
"1.0133739, 1.0135425, 1.0144270, 1.0168384, 1.0189697, 1.0195844, 1.0199410", \
"1.0192335, 1.0194434, 1.0205096, 1.0237761, 1.0262285, 1.0274682, 1.0276701", \
"1.0199261, 1.0201897, 1.0213527, 1.0245665, 1.0272783, 1.0282225, 1.0283976");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.0227802, 6.0205420, 6.0159649, 5.9900664, 5.8344330, 4.7130727, -11.9040497", \
"6.0031415, 6.0023969, 5.9924929, 5.9696570, 5.8284566, 4.7210633, -11.6866161", \
"5.9859730, 5.9831024, 5.9776753, 5.9515253, 5.8002999, 4.6360975, -11.6369466", \
"5.9849749, 5.9848192, 5.9760676, 5.9577202, 5.7954334, 4.6795378, -11.5391963", \
"5.9865827, 5.9836254, 5.9792066, 5.9514302, 5.7924472, 4.7286514, -11.8915061", \
"5.9891534, 5.9866784, 5.9845030, 5.9516257, 5.7895522, 4.6859515, -11.9560438", \
"5.9893497, 5.9888891, 5.9842761, 5.9565990, 5.8022090, 4.6774972, -11.5719875");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.0179848, 3.0163150, 3.0175958, 3.0206210, 3.0339666, 3.0319461, 3.0286666", \
"2.9406470, 2.9402324, 2.9405037, 2.9420112, 2.9272393, 2.9399559, 2.9396600", \
"2.8655336, 2.8653012, 2.8653389, 2.8678467, 2.8678050, 2.8747515, 2.8867231", \
"2.8650935, 2.8642615, 2.8653654, 2.8653704, 2.8714747, 2.8783780, 2.8848654", \
"2.8651039, 2.8645855, 2.8647273, 2.8590714, 2.8484896, 2.8680256, 2.8749713", \
"2.8638537, 2.8620055, 2.8646568, 2.8641486, 2.8699404, 2.8726019, 2.8752436", \
"2.8633660, 2.8630928, 2.8632370, 2.8633706, 2.8692654, 2.8726836, 2.8686331");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0699150, 0.0699078, 0.0699038, 0.0699936, 0.0699887, 0.0699959, 0.0699914", \
"0.2149180, 0.2149219, 0.2147778, 0.2147795, 0.2147784, 0.2149187, 0.2147786", \
"0.3742677, 0.3742678, 0.3743041, 0.3743040, 0.3743030, 0.3742646, 0.3743004", \
"0.8726808, 0.8726784, 0.8719654, 0.8718021, 0.8713938, 0.8718358, 0.8710958", \
"1.0707996, 1.0707925, 1.0709462, 1.0710048, 1.0713057, 1.0713172, 1.0710339", \
"1.1276708, 1.1277398, 1.1277063, 1.1276274, 1.1272754, 1.1274927, 1.1272242", \
"1.2066248, 1.2059688, 1.2024370, 1.1916127, 1.1797907, 1.1755398, 1.1742604");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.0465996, 3.0550857, 3.0664757, 3.1233620, 3.2002556, 3.4667137, 5.0715276", \
"3.0289468, 3.0409821, 3.0524158, 3.1099102, 3.1838350, 3.4541334, 5.0482352", \
"3.0193284, 3.0231014, 3.0394679, 3.0933319, 3.1739107, 3.4404217, 5.0557467", \
"3.0193553, 3.0226415, 3.0369105, 3.0963664, 3.1712692, 3.4402348, 5.0376623", \
"3.0252030, 3.0221772, 3.0348188, 3.1021059, 3.1702838, 3.4390519, 5.0367494", \
"3.0244672, 3.0219460, 3.0368469, 3.0948823, 3.1707864, 3.4393042, 5.0364416", \
"3.0168399, 3.0256090, 3.0373097, 3.0940208, 3.1707134, 3.4362202, 5.0324062");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.0193194, 6.0226458, 6.0154553, 5.9901891, 5.8343810, 4.7339999, -12.1003267", \
"6.0031628, 6.0023808, 5.9982153, 5.9689015, 5.8362455, 4.6824905, -11.7047591", \
"5.9859005, 5.9831332, 5.9784603, 5.9514437, 5.8002977, 4.6509306, -11.9507766", \
"5.9850643, 5.9847949, 5.9758199, 5.9584406, 5.7942334, 4.6846041, -11.4812163", \
"5.9865831, 5.9837308, 5.9792908, 5.9513444, 5.7925660, 4.6809933, -11.4062281", \
"5.9896643, 5.9868796, 5.9796406, 5.9578911, 5.7891545, 4.6946238, -11.8563108", \
"5.9891394, 5.9888952, 5.9841429, 5.9564979, 5.8024194, 4.6784252, -11.7567015");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.0179558, 3.0165771, 3.0172589, 3.0185629, 3.0258618, 3.0327322, 3.0201890", \
"2.9406500, 2.9401771, 2.9405932, 2.9364353, 2.9236873, 2.9656062, 2.9430201", \
"2.8662464, 2.8649163, 2.8655107, 2.8648005, 2.8492049, 2.8712057, 2.8663208", \
"2.8650662, 2.8650549, 2.8653346, 2.8660738, 2.8747210, 2.8770761, 2.8669379", \
"2.8650998, 2.8645191, 2.8648125, 2.8631561, 2.8482793, 2.8835536, 2.8734983", \
"2.8639632, 2.8618052, 2.8647670, 2.8655550, 2.8699506, 2.8743366, 2.8773635", \
"2.8631071, 2.8630793, 2.8633630, 2.8632483, 2.8697940, 2.8783456, 2.8772512");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.1112884, 6.1109185, 6.1100602, 6.1153012, 6.1204976, 5.8697776, 0.0455248", \
"6.0889487, 6.0903968, 6.0924529, 6.0946946, 6.0928050, 5.8559229, 0.0245556", \
"6.0735058, 6.0721605, 6.0720486, 6.0757874, 6.0781570, 5.8260276, -0.0052850", \
"6.0710549, 6.0731058, 6.0731817, 6.0770198, 6.0759096, 5.8180989, -0.0102606", \
"6.0742391, 6.0742582, 6.0723313, 6.0803293, 6.0861038, 5.7847901, -0.0051700", \
"6.0748808, 6.0772778, 6.0747420, 6.0791430, 6.0691116, 5.8581362, 0.0156631", \
"6.0763986, 6.0763079, 6.0749037, 6.0807510, 6.0698686, 5.8938775, -0.1105870");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0961933, 0.0961898, 0.0962012, 0.0962204, 0.0961986, 0.0961994, 0.0962299", \
"0.2338029, 0.2339489, 0.2339418, 0.2336002, 0.2329563, 0.2325671, 0.2324773", \
"0.2926916, 0.2927298, 0.2927507, 0.2930185, 0.2934247, 0.2932037, 0.2930920", \
"0.7247209, 0.7250839, 0.7259180, 0.7271098, 0.7225992, 0.7188321, 0.7178052", \
"1.2517924, 1.2541177, 1.2657444, 1.3083811, 1.3587402, 1.3737058, 1.3761608", \
"1.6485005, 1.6513188, 1.6664207, 1.7210560, 1.7798603, 1.7978659, 1.8008832", \
"1.9249422, 1.9278639, 1.9437791, 1.9996643, 2.0627601, 2.0818111, 2.0850370");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("7.6742868, 7.6797261, 7.7090247, 7.8536815, 7.8095319, 6.7255566, 1.0003974", \
"7.6539980, 7.6591996, 7.6900577, 7.8259664, 7.7987876, 6.7102755, 1.1290059", \
"7.6363121, 7.6417409, 7.6720032, 7.8108912, 7.7778048, 6.6535813, 1.1001000", \
"7.6353761, 7.6405907, 7.6704158, 7.8129693, 7.7925863, 6.6757002, 0.9701480", \
"7.6355423, 7.6376907, 7.6724676, 7.8149491, 7.8030055, 6.6593778, 1.0914493", \
"7.6309954, 7.6386369, 7.6727710, 7.8130807, 7.7948583, 6.5777754, 0.9684325", \
"7.6390132, 7.6396758, 7.6749549, 7.8186958, 7.7804070, 6.6979296, 1.0088771");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("4.5645134, 4.5679802, 4.6028833, 4.7267864, 5.0946791, 6.0431817, 6.4595192", \
"4.4955733, 4.4920484, 4.5308312, 4.6610868, 5.0182655, 5.9673934, 6.3815599", \
"4.4233932, 4.4280678, 4.4539880, 4.5849493, 4.9437924, 5.8860417, 6.3059515", \
"4.4111619, 4.4164120, 4.4550161, 4.5834295, 4.9437792, 5.9021133, 6.3078547", \
"4.4216696, 4.4282841, 4.4504595, 4.5864796, 4.9439493, 5.8912943, 6.3078617", \
"4.4213021, 4.4269833, 4.4451814, 4.5764839, 4.9429299, 5.9004426, 6.3056350", \
"4.4211371, 4.4278452, 4.4492032, 4.5793261, 4.9429801, 5.8999142, 6.3036742");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0699117, 0.0699096, 0.0699955, 0.0699248, 0.0699117, 0.0699303, 0.0699922", \
"0.2149272, 0.2149234, 0.2147840, 0.2149263, 0.2147835, 0.2147844, 0.2147844", \
"0.3742902, 0.3742890, 0.3743258, 0.3742893, 0.3743243, 0.3743223, 0.3743213", \
"0.8926352, 0.8926273, 0.8917718, 0.8924825, 0.8913722, 0.8912491, 0.8912423", \
"1.1410835, 1.1412333, 1.1411779, 1.1408024, 1.1403568, 1.1396540, 1.1391921", \
"1.2368032, 1.2359070, 1.2301986, 1.2131873, 1.1959572, 1.1895214, 1.1878691", \
"1.2487350, 1.2476412, 1.2418592, 1.2242645, 1.2056663, 1.1992739, 1.1974074");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.4501384, 2.4504738, 2.4532418, 2.4733976, 2.6020397, 3.4596076, 4.2378234", \
"2.4342148, 2.4350618, 2.4374878, 2.4589357, 2.5978877, 3.4526519, 4.2205262", \
"2.4207421, 2.4204465, 2.4239023, 2.4449831, 2.5772872, 3.4390634, 4.2027364", \
"2.4195899, 2.4209243, 2.4237881, 2.4445293, 2.5785233, 3.4343669, 4.2110006", \
"2.4197911, 2.4206732, 2.4232759, 2.4456081, 2.5765732, 3.4413526, 4.2057309", \
"2.4188668, 2.4193660, 2.4219371, 2.4450895, 2.5779490, 3.4381912, 4.2208488", \
"2.4178785, 2.4183237, 2.4214215, 2.4436231, 2.5764854, 3.4379974, 4.2076771");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0699884, 0.0699278, 0.0699146, 0.0699297, 0.0699073, 0.0699188, 0.0699836", \
"0.2147884, 0.2147873, 0.2147876, 0.2149280, 0.2147873, 0.2147881, 0.2147876", \
"0.3743680, 0.3743684, 0.3743666, 0.3743620, 0.3743580, 0.3743566, 0.3743558", \
"0.8774344, 0.8774638, 0.8774678, 0.8774979, 0.8775372, 0.8775477, 0.8775445", \
"1.0722343, 1.0721064, 1.0714201, 1.0694231, 1.0677978, 1.0673386, 1.0672503", \
"1.1060454, 1.1058618, 1.1048912, 1.1021234, 1.0996334, 1.0988972, 1.0987712", \
"1.1085611, 1.1083352, 1.1073425, 1.1044629, 1.1019681, 1.1012407, 1.1011164");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.4398224, 2.4350506, 2.4274220, 2.4414242, 2.4544774, 2.4348483, 2.4421409", \
"2.4195870, 2.4238828, 2.4206374, 2.4233834, 2.4331324, 2.4280980, 2.4405455", \
"2.4131655, 2.4108763, 2.3955676, 2.4116240, 2.4163231, 2.4230146, 2.4125748", \
"2.4095608, 2.4137595, 2.4107057, 2.4101096, 2.4190452, 2.4206233, 2.4228776", \
"2.4147176, 2.4147881, 2.4142898, 2.4127565, 2.4167911, 2.4199509, 2.4227932", \
"2.4100976, 2.4124891, 2.4142603, 2.4151957, 2.4152963, 2.4183549, 2.4229922", \
"2.4162388, 2.4023924, 2.4083640, 2.3937221, 2.4176411, 2.4188814, 2.4205717");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.7345875, 2.7348570, 2.7414986, 2.7783767, 2.9909642, 4.3659917, 4.6577523", \
"2.7190543, 2.7211281, 2.7290866, 2.7664259, 2.9852417, 4.3500528, 4.6098770", \
"2.7051684, 2.7076378, 2.7143577, 2.7614550, 2.9675666, 4.3366197, 4.6190522", \
"2.7068501, 2.7064433, 2.7142431, 2.7675806, 2.9673837, 4.3362897, 4.6002630", \
"2.7048837, 2.7063338, 2.7138249, 2.7534714, 2.9740460, 4.3367030, 4.6200423", \
"2.7054288, 2.7070900, 2.7129161, 2.7535561, 2.9693022, 4.3354637, 4.6182600", \
"2.7042395, 2.7052550, 2.7125545, 2.7516003, 2.9649301, 4.3356186, 4.6273206");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0699969, 0.0699148, 0.0699199, 0.0699935, 0.0699158, 0.0699232, 0.0699225", \
"0.2149253, 0.2147828, 0.2147837, 0.2147838, 0.2147838, 0.2147837, 0.2147840", \
"0.3743069, 0.3743433, 0.3743429, 0.3743424, 0.3743423, 0.3743427, 0.3743422", \
"0.8614092, 0.8607886, 0.8607789, 0.8607250, 0.8605772, 0.8605058, 0.8604822", \
"1.0317118, 1.0318769, 1.0318826, 1.0318844, 1.0319870, 1.0319522, 1.0318601", \
"1.0892811, 1.0892756, 1.0893361, 1.0892433, 1.0891715, 1.0889599, 1.0888848", \
"1.1652265, 1.1645888, 1.1615450, 1.1518507, 1.1414094, 1.1368340, 1.1356951");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0699734, 0.0699746, 0.0699699, 0.0699700, 0.0699766, 0.0699764, 0.0698963", \
"0.2200166, 0.2200166, 0.2200141, 0.2200039, 0.2203326, 0.2201234, 0.2199800", \
"0.4144712, 0.4144775, 0.4145139, 0.4146964, 0.4150600, 0.4153072, 0.4154232", \
"0.8818428, 0.8821780, 0.8839592, 0.8886326, 0.8888666, 0.8860216, 0.8845218", \
"1.4893519, 1.4917759, 1.5051432, 1.5531445, 1.6087064, 1.6252632, 1.6274898", \
"1.6917168, 1.6946252, 1.7105152, 1.7663799, 1.8290454, 1.8480558, 1.8514337", \
"1.7008352, 1.7037760, 1.7198648, 1.7764316, 1.8396378, 1.8588961, 1.8623144");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("5.5667469, 5.5684973, 5.5703877, 5.5740067, 5.5676563, 5.3320536, -0.5344507", \
"5.5525175, 5.5548217, 5.5558551, 5.5594556, 5.5590063, 5.3082177, -0.5438696", \
"5.5404497, 5.5417967, 5.5427140, 5.5462294, 5.5412104, 5.2692370, -0.5447931", \
"5.5407516, 5.5413301, 5.5419594, 5.5430909, 5.5475769, 5.3516490, -0.5436892", \
"5.5412690, 5.5405009, 5.5399558, 5.5483857, 5.5344151, 5.3259377, -0.5474564", \
"5.5388500, 5.5400327, 5.5390439, 5.5454984, 5.5414231, 5.2839923, -0.5399186", \
"5.5386875, 5.5393887, 5.5407279, 5.5434727, 5.5414830, 5.2929023, -0.5556735");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power (scalar) {
values("0.0877279");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.4427136, 2.4369200, 2.4385530, 2.4406451, 2.4446096, 2.4523218, 2.4485385", \
"2.4235242, 2.4234370, 2.4239604, 2.4249282, 2.4298177, 2.4290213, 2.4257171", \
"2.4106486, 2.4103030, 2.4104509, 2.4075391, 2.4177733, 2.4223313, 2.4197648", \
"2.4091785, 2.4092279, 2.4101348, 2.4084438, 2.4172842, 2.4259290, 2.4194040", \
"2.4102694, 2.4099903, 2.4099710, 2.4082766, 2.4089362, 2.4185954, 2.4196729", \
"2.4098094, 2.4090678, 2.4088106, 2.4076445, 2.4074027, 2.4176898, 2.4151066", \
"2.4091208, 2.4078293, 2.4087808, 2.4073565, 2.4072932, 2.4411201, 2.4337215");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("7.2762884, 7.2751929, 7.3030309, 7.4209036, 7.3129361, 6.0940106, 0.3603646", \
"7.2506401, 7.2591906, 7.2834620, 7.4027901, 7.3061947, 6.0750814, 0.4399119", \
"7.2316835, 7.2414370, 7.2650240, 7.3850418, 7.2999570, 6.0801736, 0.3071464", \
"7.2339867, 7.2418107, 7.2669928, 7.3851908, 7.2996308, 6.0116658, 0.4128980", \
"7.2326627, 7.2385864, 7.2672978, 7.3856172, 7.2717456, 6.0716054, 0.4133944", \
"7.2393443, 7.2390798, 7.2673436, 7.3863300, 7.2938034, 5.9687509, 0.3179926", \
"7.2411036, 7.2408460, 7.2683899, 7.3879631, 7.2778732, 6.0144945, 0.3977119");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0961611, 0.0961611, 0.0962169, 0.0962209, 0.0962238, 0.0961989, 0.0962009", \
"0.2338032, 0.2337977, 0.2337777, 0.2336023, 0.2329559, 0.2327008, 0.2324772", \
"0.2927163, 0.2927559, 0.2927804, 0.2930445, 0.2930757, 0.2932281, 0.2931186", \
"0.7246263, 0.7248296, 0.7257842, 0.7269547, 0.7225098, 0.7187831, 0.7176998", \
"1.2523728, 1.2544307, 1.2660048, 1.3086432, 1.3593193, 1.3742765, 1.3767321", \
"1.6510308, 1.6537873, 1.6693983, 1.7219743, 1.7822445, 1.8002650, 1.8033322", \
"1.9279593, 1.9308524, 1.9467648, 2.0025808, 2.0656398, 2.0848206, 2.0880101");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.7285528, 6.7381693, 6.7612584, 6.8806573, 6.7710240, 5.5538414, -0.0865689", \
"6.7141621, 6.7239616, 6.7470165, 6.8650627, 6.7708013, 5.5604830, -0.1026937", \
"6.6997407, 6.7102388, 6.7354899, 6.8536324, 6.7414621, 5.4452559, -0.1094400", \
"6.7004451, 6.7109874, 6.7349669, 6.8541181, 6.7579303, 5.5490375, -0.1193456", \
"6.6995772, 6.7039860, 6.7327434, 6.8527112, 6.7625533, 5.5523456, -0.1054623", \
"6.7000297, 6.7045777, 6.7343308, 6.8524030, 6.7391690, 5.5517525, -0.1040683", \
"6.6988809, 6.7085863, 6.7318728, 6.8510552, 6.7653751, 5.5478923, -0.1118086");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("0.0698854, 0.0699574, 0.0699617, 0.0699678, 0.0699678, 0.0699635, 0.0699615", \
"0.2200170, 0.2200162, 0.2201793, 0.2200055, 0.2199891, 0.2201245, 0.2199796", \
"0.4144868, 0.4144925, 0.4145033, 0.4147138, 0.4151345, 0.4153242, 0.4154388", \
"0.8817550, 0.8820943, 0.8838656, 0.8885409, 0.8878096, 0.8859310, 0.8844309", \
"1.4905077, 1.4929263, 1.5062842, 1.5542249, 1.6090901, 1.6263383, 1.6283162", \
"1.6961586, 1.6975394, 1.7134168, 1.7692407, 1.8318817, 1.8511846, 1.8543225", \
"1.7037856, 1.7067204, 1.7227593, 1.7792290, 1.8425511, 1.8617972, 1.8652541");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("7.3423076, 7.3475950, 7.3717328, 7.4880547, 7.4045477, 6.1742766, 0.5307006", \
"7.3220006, 7.3274494, 7.3490579, 7.4682707, 7.3599000, 6.4325962, 0.4834917", \
"7.2987852, 7.3096429, 7.3335135, 7.4502301, 7.3379449, 6.0427340, 0.4913455", \
"7.3036814, 7.3088387, 7.3334692, 7.4500087, 7.3573797, 6.1406846, 0.4962755", \
"7.3054053, 7.3050662, 7.3348167, 7.4517041, 7.3382402, 6.4223626, 0.4794080", \
"7.3062379, 7.3113345, 7.3355365, 7.4525158, 7.3598096, 6.1462560, 0.3965868", \
"7.3082101, 7.3133310, 7.3376006, 7.4543059, 7.3613533, 6.1295574, 0.4768900");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.7673988, 3.7659274, 3.7781879, 3.8231789, 3.8422070, 3.8549338, 3.8823147", \
"3.6923313, 3.6862203, 3.7023376, 3.7423668, 3.7716780, 3.8044257, 3.7895743", \
"3.6164601, 3.6182846, 3.6259672, 3.6530567, 3.6944546, 3.7179110, 3.7037678", \
"3.6164092, 3.5965986, 3.6259532, 3.6578075, 3.6954809, 3.7287260, 3.7194361", \
"3.6153753, 3.6163298, 3.6243755, 3.6626296, 3.7036701, 3.7179525, 3.7167157", \
"3.6150789, 3.5971865, 3.6246485, 3.6611705, 3.7007270, 3.7072595, 3.7173777", \
"3.6141398, 3.6163503, 3.6243035, 3.6629649, 3.6951184, 3.7132610, 3.7153340");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.7286526, 6.7381857, 6.7627455, 6.8806777, 6.7686401, 5.5582945, -0.1430459", \
"6.7144041, 6.7239386, 6.7481241, 6.8665320, 6.7543170, 5.5435594, -0.0974228", \
"6.7059978, 6.7055295, 6.7359873, 6.8543805, 6.7473654, 5.8252974, -0.2831735", \
"6.7053214, 6.7054688, 6.7366184, 6.8517203, 6.7383050, 5.5502800, -0.1286654", \
"6.7044683, 6.7046838, 6.7348181, 6.8443199, 6.7615363, 5.5453278, -0.1087345", \
"6.6987100, 6.7041137, 6.7338399, 6.8503578, 6.7449712, 5.5434614, -0.0924744", \
"6.6995440, 6.7036818, 6.7305986, 6.8514126, 6.7376854, 5.5255474, -0.1336532");
}
fall_power (scalar) {
values("0.000000");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.5009090, 6.5018584, 6.5076207, 6.5398592, 6.6096519, 6.4571662, 0.7159396", \
"6.4788153, 6.4817495, 6.4871779, 6.5172940, 6.5924357, 6.4926891, 0.6967794", \
"6.4624187, 6.4621285, 6.4701529, 6.5000539, 6.5794305, 6.4396426, 0.6713300", \
"6.4593494, 6.4612285, 6.4683760, 6.4986673, 6.5713965, 6.5091936, 0.6653253", \
"6.4645515, 6.4653043, 6.4699096, 6.5031968, 6.5761584, 6.4401717, 0.6744501", \
"6.4659044, 6.4665077, 6.4786585, 6.5054982, 6.5741811, 6.5048450, 0.6741591", \
"6.4647342, 6.4665535, 6.4716904, 6.5048987, 6.5779162, 6.5523585, 0.3068820");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.7778948, 3.7783922, 3.7910184, 3.8413098, 4.0099388, 4.8839128, 5.6519196", \
"3.6978061, 3.7008926, 3.7126749, 3.7638704, 3.9350248, 4.8039746, 5.5774076", \
"3.6251389, 3.6269803, 3.6378310, 3.6879936, 3.8585785, 4.7303239, 5.4965386", \
"3.6238789, 3.6261067, 3.6370541, 3.6879457, 3.8592240, 4.7184161, 5.4949460", \
"3.6238316, 3.6261163, 3.6366998, 3.6868767, 3.8592193, 4.7277021, 5.4983899", \
"3.6228821, 3.6249196, 3.6356358, 3.6863548, 3.8564184, 4.7271121, 5.4977786", \
"3.6221373, 3.6237454, 3.6352219, 3.6859313, 3.8530589, 4.7376178, 5.5015142");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.3455186, 6.3450363, 6.3434833, 6.3475968, 6.2457538, 5.2545909, -10.9468667", \
"6.3220609, 6.3247267, 6.3260789, 6.3209593, 6.2365325, 5.2714516, -11.1690251", \
"6.3048802, 6.3053269, 6.3087877, 6.3079762, 6.2236956, 5.2517872, -11.1079146", \
"6.3067297, 6.3053012, 6.3086023, 6.3094372, 6.2198725, 5.2534620, -10.9950993", \
"6.3079931, 6.3062449, 6.3057787, 6.3112869, 6.2422245, 5.2561296, -11.1679881", \
"6.3084496, 6.3092337, 6.3077792, 6.3112803, 6.2434769, 5.2287300, -11.2021328", \
"6.3101621, 6.3104357, 6.3088676, 6.3092678, 6.2372816, 5.2298232, -11.0891425");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("3.0292733, 3.0285155, 3.0324060, 3.0522537, 3.1874892, 4.0389481, 4.8139081", \
"2.9514101, 2.9516009, 2.9544352, 2.9768611, 3.1093623, 3.9748805, 4.7433808", \
"2.8754630, 2.8758060, 2.8775388, 2.9011256, 3.0335446, 3.8939135, 4.6658329", \
"2.8754754, 2.8762740, 2.8789645, 2.8985316, 3.0334018, 3.8864839, 4.6612413", \
"2.8744367, 2.8748650, 2.8782616, 2.8984529, 3.0326908, 3.8970710, 4.6516674", \
"2.8734298, 2.8735482, 2.8765937, 2.8980858, 3.0317948, 3.8875142, 4.6640029", \
"2.8712852, 2.8721810, 2.8758773, 2.8982311, 3.0301219, 3.8912966, 4.6593914");
}
}
internal_power () {
related_pin : "OE_N";
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("2.8976943, 2.8980192, 2.9045447, 2.9444636, 3.0743503, 3.6245236, 4.0748479", \
"3.0227302, 3.0254183, 3.0329081, 3.0713147, 3.2016438, 3.7536095, 4.1968208", \
"3.1963948, 3.1972122, 3.2026867, 3.2405850, 3.3704597, 3.9271408, 4.3709830", \
"3.6098023, 3.6119858, 3.6214515, 3.6602966, 3.7896552, 4.3521302, 4.7849381", \
"4.0131463, 4.0147945, 4.0299978, 4.0948512, 4.2517884, 4.8238258, 5.2614924", \
"4.1212176, 4.1230655, 4.1408778, 4.2088627, 4.3715590, 4.9343846, 5.3866908", \
"4.1254466, 4.1270545, 4.1448143, 4.2116606, 4.3765444, 4.9481084, 5.3890147");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("6.2300114, 6.2325318, 6.2385930, 6.2655893, 6.1673170, 5.2282808, -5.3145124", \
"6.3483422, 6.3547420, 6.3608348, 6.3882809, 6.2865499, 5.3573278, -5.1542671", \
"6.4897467, 6.4902758, 6.4975961, 6.5247209, 6.4242678, 5.5207129, -5.0516777", \
"6.9699118, 6.9716121, 6.9778144, 7.0021678, 6.9010070, 5.9911557, -4.6348902", \
"7.1761624, 7.1760430, 7.1829933, 7.2093723, 7.1086822, 6.1906007, -4.3226059", \
"7.2363448, 7.2378443, 7.2424571, 7.2617998, 7.1500223, 6.2303066, -4.3080472", \
"7.2589126, 7.2600739, 7.2623920, 7.2777886, 7.1668805, 6.2451332, -4.3454383");
}
}
internal_power () {
related_pin : "OUT";
rise_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("10.9984339, 11.0004306, 11.0128151, 11.0749821, 10.9675812, 9.5789570, -7.2225896", \
"11.0059431, 11.0101526, 11.0216364, 11.0835435, 10.9800645, 9.6341751, -7.0634478", \
"11.1161855, 11.1196901, 11.1325865, 11.1936275, 11.0897788, 9.6704897, -6.9920822", \
"11.2292064, 11.2330277, 11.2447136, 11.3085446, 11.2021637, 9.8154237, -6.7633353", \
"11.3291105, 11.3294758, 11.3439609, 11.4060698, 11.2997948, 9.9496702, -6.7561366", \
"11.4193602, 11.4209563, 11.4343541, 11.4937059, 11.3906131, 9.9747246, -6.7361819", \
"11.4417252, 11.4425980, 11.4547867, 11.5079699, 11.3969594, 10.0173408, -6.7185719");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("5.9302611, 5.9295104, 5.9510532, 6.0307578, 6.2552812, 7.1384985, 7.8512540", \
"5.8500555, 5.8474141, 5.8678017, 5.9497003, 6.1661472, 7.0619004, 7.7587936", \
"5.7418118, 5.7466286, 5.7626855, 5.8406893, 6.0633903, 6.9502280, 7.6557362", \
"5.8853246, 5.8835892, 5.9072301, 5.9868148, 6.2173718, 7.0968775, 7.8000726", \
"6.0619450, 6.0643817, 6.0855540, 6.1776697, 6.4190438, 7.3122027, 8.0184455", \
"6.1929923, 6.1925996, 6.2183353, 6.3144410, 6.5634620, 7.4509373, 8.1640647", \
"6.2834049, 6.2873224, 6.3092557, 6.4081458, 6.6501023, 7.5506568, 8.2525445");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_fall";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.4875058, 8.4901295, 8.4901300, 8.4901310, 8.4901319, 8.4901329, 8.6584260", \
"9.0070724, 9.0127061, 9.0127063, 9.0127073, 9.0127082, 9.0127092, 9.1170440", \
"9.4097610, 9.4097620, 9.4351869, 9.4582218, 9.4582220, 9.4582229, 9.4679784", \
"9.7647153, 9.7794102, 9.7794109, 9.7794118, 9.7794128, 9.7794138, 9.8136912", \
"10.0826680, 10.0832700, 10.0832706, 10.0832716, 10.0832725, 10.0832735, 10.1675200", \
"10.3844890, 10.3857400, 10.3857408, 10.3857418, 10.3857427, 10.3857437, 10.4387630", \
"10.6771500, 10.6802810, 10.6802812, 10.6802822, 10.6802831, 10.6802841, 10.7324610");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
values("8.3356788, 8.3415541, 8.3778371, 8.6099323, 10.2544870, 20.8911160, 87.4447540", \
"8.8659284, 8.8717904, 8.9080041, 9.1400949, 10.7847250, 21.4341910, 87.9529270", \
"9.2760200, 9.2818855, 9.3181658, 9.5500949, 11.1948060, 21.8454870, 88.3716570", \
"9.6323483, 9.6382182, 9.6745252, 9.9063335, 11.5510850, 22.2024350, 88.7236600", \
"9.9613269, 9.9671897, 10.0034430, 10.2358540, 11.8803780, 22.5558060, 89.0687910", \
"10.2534390, 10.2593130, 10.2956290, 10.5275720, 12.1718970, 22.8231620, 89.3476010", \
"10.5440780, 10.5499600, 10.5816080, 10.8175800, 12.4625300, 23.1073470, 89.6404940");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
values("0.9981459, 1.0134088, 1.1070113, 1.7031777, 5.7542073, 31.6341260, 191.6402700", \
"0.9983635, 1.0134602, 1.1067889, 1.7036785, 5.7543073, 31.6377080, 191.6650400", \
"0.9984794, 1.0135173, 1.1068120, 1.7038566, 5.7543845, 31.6229140, 191.6537700", \
"0.9985076, 1.0134675, 1.1065385, 1.7040797, 5.7544303, 31.6227040, 191.4300200", \
"0.9984611, 1.0135662, 1.1072655, 1.7039614, 5.7541536, 31.6218090, 191.5976200", \
"0.9981606, 1.0135397, 1.1069494, 1.6990969, 5.7545093, 31.6393990, 191.6564300", \
"0.9982026, 1.0128275, 1.1054445, 1.7036902, 5.7545329, 31.6162310, 191.5594200");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
values("151.1954700, 151.2198400, 151.2663500, 151.5401900, 153.3738200, 161.9904400, 198.5995500", \
"151.9096500, 151.9170400, 151.9557200, 152.2525500, 154.0681900, 162.6515200, 199.3564500", \
"152.5984700, 152.6016100, 152.6503900, 152.9707100, 154.7840600, 163.4026500, 200.0124500", \
"153.3766500, 153.3766556, 153.4012000, 153.6982400, 155.5107300, 164.1667900, 200.8211800", \
"154.0582400, 154.0788200, 154.1025200, 154.4287900, 156.2416800, 164.8683300, 201.4822200", \
"154.7529700, 154.7553400, 154.8007600, 155.1057800, 156.9145500, 165.5464800, 202.1679100", \
"155.4337200, 155.4337235, 155.4951000, 155.7776000, 157.5942700, 166.2178700, 202.8753400");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
values("59.3248050, 59.3034490, 59.3217030, 58.9757220, 57.0629980, 47.1983660, 92.3392850", \
"59.3775160, 59.3041850, 59.2758480, 59.0089360, 57.0563720, 47.0467540, 92.2701630", \
"59.3055100, 59.2968910, 59.2560210, 59.0043500, 57.0402610, 46.9241640, 92.3364280", \
"59.4136150, 59.2965530, 59.2757560, 59.0055040, 57.0597050, 46.9048840, 92.2852270", \
"59.3069030, 59.4079130, 59.2490960, 59.0052810, 57.0665580, 46.9059670, 92.2949750", \
"59.3237600, 59.3684920, 59.2715030, 58.9833140, 57.0406510, 47.1105430, 92.2021930", \
"59.4167490, 59.2998150, 59.3187010, 59.0047690, 57.0385160, 46.9067660, 92.2856600");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
values("8.3072029, 8.3130454, 8.3486844, 8.5780947, 10.2002080, 20.9244800, 89.7046850", \
"8.8373335, 8.8429069, 8.8791312, 9.1077634, 10.7300680, 21.4549680, 90.2375920", \
"9.2473860, 9.2541539, 9.2892396, 9.5190380, 11.1400610, 21.8663400, 90.6575010", \
"9.6028829, 9.6043040, 9.6443848, 9.8688044, 11.4957900, 22.2195930, 90.9998690", \
"9.9314530, 9.9352340, 9.9732604, 10.1999480, 11.8241720, 22.5965180, 91.3128990", \
"10.2242040, 10.2351870, 10.2667640, 10.4952570, 12.1168480, 22.8543390, 91.6364730", \
"10.5151240, 10.5310380, 10.5570310, 10.7858540, 12.4080700, 23.1293600, 91.9125260");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
values("1.0212687, 1.0364286, 1.1273462, 1.7216046, 5.7598792, 31.6337460, 191.5863000", \
"1.0178741, 1.0321927, 1.1293517, 1.7183462, 5.7591518, 31.6405960, 191.6882400", \
"1.0204700, 1.0320219, 1.1295442, 1.7180063, 5.7594609, 31.6487170, 191.4303900", \
"1.0176405, 1.0348931, 1.1265978, 1.7201864, 5.7591123, 31.6140710, 191.6894400", \
"1.0200304, 1.0339181, 1.1259048, 1.7202408, 5.7591762, 31.6604130, 191.6776100", \
"1.0203594, 1.0348460, 1.1290828, 1.7205883, 5.7591696, 31.5986210, 191.4001800", \
"1.0191211, 1.0331535, 1.1282948, 1.7203086, 5.7592562, 31.6593930, 191.5815600");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
values("101.9908400, 102.0030800, 102.0668000, 102.4552700, 105.1307100, 122.9261200, 159.3206900", \
"102.6891000, 102.6976400, 102.7649700, 103.1728100, 105.8225200, 123.5317800, 160.0154200", \
"103.4035400, 103.4250500, 103.4785500, 103.8887900, 106.5370900, 124.2499900, 160.7424900", \
"104.1600500, 104.1600533, 104.2062600, 104.6160100, 107.2639300, 125.1285500, 161.4280100", \
"104.8593100, 104.8770500, 104.9382100, 105.3416100, 107.9897300, 125.6951100, 162.1941100", \
"105.5263800, 105.5419400, 105.6035300, 106.0069300, 108.6579600, 126.4750000, 162.8437500", \
"106.2149600, 106.2244100, 106.2896000, 106.6994500, 109.3471100, 127.1727500, 163.5476700");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
values("124.4102400, 124.3995300, 124.3781900, 124.2712800, 123.8861500, 122.0768000, 109.9504300", \
"124.4144800, 124.3989200, 124.3784200, 124.3007300, 123.8902700, 122.1229100, 109.9493800", \
"124.3946700, 124.3981300, 124.3794700, 124.3061500, 123.8754900, 122.1319800, 109.9461700", \
"124.4295800, 124.4066800, 124.3751600, 124.2660400, 123.8786900, 122.1410900, 109.8281100", \
"124.3803600, 124.4028600, 124.3765700, 124.2674300, 123.8793900, 122.1127000, 109.9545400", \
"124.3670500, 124.3891000, 124.3616200, 124.2374700, 123.8569600, 122.0621800, 109.8358700", \
"124.4009400, 124.3884500, 124.3696100, 124.2290900, 123.8784100, 122.0534200, 109.8743700");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("17.2877130, 17.4787140, 18.6862600, 26.0931190, 71.5373290, 350.8073100, 2072.2084000", \
"17.8029290, 18.0011050, 19.2068780, 26.6010910, 72.0748470, 351.2926100, 2072.9265000", \
"18.2222200, 18.4152270, 19.6126400, 27.0388300, 72.3970430, 351.6740900, 2073.3328000", \
"18.5911300, 18.7554520, 19.9651940, 27.3575370, 72.7692180, 352.0293600, 2073.4169000", \
"18.8884870, 19.0781010, 20.2886330, 27.6847680, 73.0661820, 352.3334700, 2074.0058000", \
"19.1936670, 19.3721150, 20.5785740, 27.9779130, 73.4305420, 352.6244800, 2074.6222000", \
"19.4776620, 19.6655810, 20.8760760, 28.2641410, 73.6773270, 352.9336500, 2074.5970000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.5739780, 14.9596600, 17.3086430, 31.9043670, 122.1699500, 679.0688200, 4109.2321000", \
"14.5747430, 14.9652980, 17.3070730, 31.8526470, 122.0278300, 678.6500500, 4109.9743000", \
"14.5786580, 14.9620210, 17.3069840, 31.8942950, 121.8755000, 677.8440700, 4109.8547000", \
"14.5712900, 14.9593480, 17.3075140, 31.8760310, 122.0985100, 677.8486700, 4110.2144000", \
"14.5694790, 14.9600950, 17.3069430, 31.8707050, 121.9654700, 678.2594800, 4109.4854000", \
"14.5711960, 14.9614220, 17.3069290, 31.8654220, 122.1580600, 678.6061700, 4110.7833000", \
"14.5748150, 14.9653460, 17.3121040, 31.8922350, 122.1148400, 678.6494300, 4109.5091000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.5884650, 13.6390050, 13.9485850, 15.7751380, 20.8728070, 40.1196160, 83.1191120", \
"14.2846740, 14.3350170, 14.6440260, 16.4674530, 21.5711240, 40.8124560, 83.8260800", \
"15.0067820, 15.0570540, 15.3668690, 17.1934090, 22.2907560, 41.5384530, 84.5525960", \
"15.7332560, 15.7835430, 16.0938520, 17.9194770, 23.0181030, 42.2682690, 85.2721340", \
"16.4640230, 16.5084160, 16.8241420, 18.6495250, 23.7433200, 42.9956870, 86.0075600", \
"17.1489130, 17.2022180, 17.5090380, 19.3342480, 24.4367850, 43.6803020, 86.6929990", \
"17.8148590, 17.8684940, 18.1782380, 20.0037250, 25.0989170, 44.3488370, 87.3630340");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0226404, 3.0920826, 3.5318757, 5.0916644, 6.9760286, 29.0345450, 48.3429260", \
"3.0220411, 3.0928116, 3.5318080, 5.0924660, 6.9741475, 29.0338100, 48.3891360", \
"3.0226581, 3.0910858, 3.5298728, 5.0926579, 6.9754114, 29.0340270, 48.3862190", \
"3.0226199, 3.0913601, 3.5304109, 5.0930868, 6.9762969, 29.0324390, 48.4011480", \
"3.0225795, 3.0909988, 3.5297578, 5.0933684, 6.9763502, 29.0330920, 48.3945080", \
"3.0225855, 3.0907796, 3.5295995, 5.0933693, 6.9760984, 29.0331990, 48.3922160", \
"3.0226583, 3.0907465, 3.5298693, 5.0926663, 6.9756592, 29.0342350, 48.3867950");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.2063938, 8.2063947, 8.2063957, 8.2063966, 8.2063976, 8.2063985, 8.2063995", \
"8.7367527, 8.7391223, 8.7391229, 8.7391239, 8.7391248, 8.7391258, 8.7391267", \
"9.1491907, 9.1492067, 9.1492076, 9.1492086, 9.1492095, 9.1492105, 9.1492115", \
"9.5052437, 9.5052438, 9.5052448, 9.5052457, 9.5052467, 9.5052476, 9.5052486", \
"9.8238297, 9.8269863, 9.8269868, 9.8269878, 9.8269887, 9.8269897, 9.8269907", \
"10.1274190, 10.1274200, 10.1274210, 10.1274219, 10.1274229, 10.1274238, 10.1274248", \
"10.4066730, 10.4188880, 10.4188886, 10.4188896, 10.4188905, 10.4188915, 10.4188924");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.0003550, 8.0003553, 8.0565119, 8.1015559, 8.1015564, 8.1015573, 8.1015583", \
"8.5218685, 8.5236334, 8.5236335, 8.5236345, 8.5236354, 8.5236364, 8.5236373", \
"8.9232630, 8.9276728, 8.9276729, 8.9276739, 8.9276748, 8.9276758, 8.9276767", \
"9.2689181, 9.2708179, 9.2708183, 9.2708192, 9.2708202, 9.2708211, 9.2708221", \
"9.5953840, 9.5953842, 9.5953851, 9.5953861, 9.5953870, 9.5953880, 9.5953889", \
"9.8917070, 9.8917079, 9.8917089, 9.8917099, 9.8917108, 9.8917118, 9.8917127", \
"10.1669850, 10.1680360, 10.1680370, 10.1680379, 10.1680389, 10.1680398, 10.1680408");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("10.7775540, 10.8221840, 11.1403280, 13.0381190, 24.6538520, 96.1845640, 416.7363300", \
"11.2993280, 11.3486620, 11.6561040, 13.5545180, 25.1686070, 96.6929790, 417.2756900", \
"11.7023790, 11.7514460, 12.0629200, 13.9581310, 25.5723730, 97.1007380, 417.6848400", \
"12.0565210, 12.1056900, 12.4140040, 14.3115070, 25.9255590, 97.4491390, 418.0543300", \
"12.3844230, 12.4337880, 12.7411450, 14.6393440, 26.2537230, 97.7780200, 418.3631000", \
"12.6715510, 12.7266840, 13.0311570, 14.9304250, 26.5463740, 98.0835890, 418.6652200", \
"12.9642320, 13.0112370, 13.3225570, 15.2172940, 26.8313350, 98.3565940, 418.8918000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0364342, 3.1134333, 3.5970187, 6.6035630, 25.0199420, 138.7790100, 350.6142700", \
"3.0363270, 3.1134875, 3.5972527, 6.6015358, 25.0224270, 138.9163500, 350.6383700", \
"3.0361864, 3.1133816, 3.5975458, 6.6010566, 25.0253170, 138.9490200, 350.6377500", \
"3.0361786, 3.1133793, 3.5966146, 6.6010952, 25.0258210, 138.9308700, 350.6450200", \
"3.0363474, 3.1135006, 3.5963381, 6.6015007, 25.0226520, 138.9171600, 350.6376300", \
"3.0360336, 3.1132248, 3.5965229, 6.6022614, 25.0238340, 138.7906400, 350.6455900", \
"3.0361837, 3.1133807, 3.5977408, 6.6010912, 25.0258070, 138.9411800, 350.6181900");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("21.1943760, 21.3953000, 22.5608690, 29.7729470, 73.9044450, 345.4492800, 2019.9348000", \
"21.8997370, 22.0943740, 23.2680280, 30.4673190, 74.5991140, 346.0182800, 2021.2663000", \
"22.6153340, 22.8202900, 23.9844040, 31.1910570, 75.3227380, 346.9118900, 2021.4202000", \
"23.3485570, 23.5399500, 24.7222970, 31.9596720, 76.1147160, 347.5668400, 2021.4973000", \
"24.0690310, 24.2491450, 25.4245870, 32.6393030, 76.7669800, 348.3021100, 2023.1653000", \
"24.7565220, 24.9495070, 26.1287290, 33.3324660, 77.4492720, 349.0457300, 2023.6359000", \
"25.4354480, 25.6266230, 26.8042650, 34.0452320, 78.2011180, 349.6562000, 2024.4254000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.5308180, 13.9054370, 16.1915620, 30.4284880, 118.5011000, 660.4702800, 4007.8403000", \
"13.5351110, 13.8896140, 16.2101670, 30.3869820, 118.4758100, 660.5106400, 4008.0581000", \
"13.5319100, 13.8990400, 16.2082240, 30.4279410, 118.4707400, 660.7267000, 4008.7689000", \
"13.5211690, 13.9044400, 16.1981790, 30.4265090, 118.5743500, 660.6616100, 4007.4256000", \
"13.5344570, 13.8900310, 16.1926350, 30.4214610, 118.4651600, 660.9533300, 4008.4446000", \
"13.5314200, 13.8938190, 16.2078810, 30.4317100, 118.4603600, 660.7410800, 4006.8521000", \
"13.5181170, 13.9061580, 16.2018930, 30.4265840, 118.5741900, 660.6708800, 4007.8139000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.1848945, 8.1946991, 8.1946998, 8.1947008, 8.1947017, 8.1947027, 8.1947036", \
"8.7257508, 8.7257514, 8.7257524, 8.7257534, 8.7257543, 8.7257553, 8.7257562", \
"9.1343377, 9.1343380, 9.1343389, 9.1343399, 9.1343408, 9.1343418, 9.1343427", \
"9.4944514, 9.4944520, 9.4944530, 9.4944540, 9.4944549, 9.4944559, 9.4944568", \
"9.8009671, 9.8026769, 9.8026777, 9.8026786, 9.8026796, 9.8026805, 9.8026815", \
"10.1173020, 10.1173025, 10.1173034, 10.1173044, 10.1173053, 10.1173063, 10.1173072", \
"10.4097930, 10.4097934, 10.4097944, 10.4097953, 10.4097963, 10.4097972, 10.4097982");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.4865643, 8.4865652, 8.4865661, 8.4865671, 8.4865680, 8.4865690, 8.6568033", \
"9.0143137, 9.0143142, 9.0143152, 9.0143161, 9.0143171, 9.0143180, 9.0538084", \
"9.4166488, 9.4202228, 9.4202238, 9.4202247, 9.4202257, 9.4202266, 9.5009094", \
"9.7641051, 9.7680086, 9.7680088, 9.7680097, 9.7680107, 9.7680116, 9.8249915", \
"10.0835470, 10.0853810, 10.0853811, 10.0853820, 10.0853830, 10.0853839, 10.1404930", \
"10.3881580, 10.3881584, 10.3881593, 10.3881603, 10.3881612, 10.3881622, 10.4452830", \
"10.6581350, 10.6767830, 10.6767831, 10.6767841, 10.6767850, 10.6767860, 10.7160020");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.5012350, 13.5550500, 13.8693510, 15.7594420, 27.1399260, 93.8174910, 185.4244800", \
"14.1990610, 14.2477090, 14.5668330, 16.4557670, 27.8360270, 94.5202250, 186.0773600", \
"14.9237760, 14.9735320, 15.2878900, 17.1810090, 28.5599740, 95.2404660, 186.8329200", \
"15.6499060, 15.7041440, 16.0189200, 17.9102420, 29.2978280, 95.9654120, 187.5976100", \
"16.3708640, 16.4069520, 16.7408580, 18.6277520, 30.0089520, 96.6889220, 188.2941900", \
"17.0602020, 17.1155550, 17.4317590, 19.3308920, 30.7114970, 97.3869520, 188.9932800", \
"17.7308640, 17.7858880, 18.1020530, 19.9854730, 31.3779650, 98.0495050, 189.6565900");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0557623, 3.1297094, 3.5771452, 6.3981367, 24.3054000, 97.1961360, 63.6428650", \
"3.0552356, 3.1300484, 3.5769887, 6.3978987, 24.3050500, 97.2250400, 63.7507750", \
"3.0564775, 3.1298513, 3.5771794, 6.3957870, 24.3058320, 97.2146380, 63.6309210", \
"3.0565723, 3.1238421, 3.5694248, 6.3960099, 24.2739800, 97.2271790, 63.6117860", \
"3.0563192, 3.1241396, 3.5693308, 6.3959851, 24.3052090, 97.2275840, 63.6064570", \
"3.0559908, 3.1231731, 3.5688772, 6.3979919, 24.3105090, 97.2146170, 63.6071180", \
"3.0562861, 3.1268323, 3.5694447, 6.3957661, 24.3059440, 97.1810930, 63.6346580");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_fall";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.4952934, 8.4952941, 8.4952951, 8.4952961, 8.4952970, 8.4952980, 8.4952989", \
"9.0180139, 9.0180145, 9.0180154, 9.0180164, 9.0180173, 9.0180183, 9.0722478", \
"9.4190300, 9.4190307, 9.4190317, 9.4190326, 9.4190336, 9.4190345, 9.4616928", \
"9.7640562, 9.7687609, 9.7687612, 9.7763584, 9.7763591, 9.7763601, 9.8086428", \
"10.0864770, 10.0878110, 10.0878120, 10.0878130, 10.0878139, 10.0878149, 10.1428180", \
"10.3900190, 10.3916520, 10.3916526, 10.3916536, 10.3916545, 10.3916555, 10.4650230", \
"10.6770860, 10.6790530, 10.6790538, 10.6790548, 10.6790557, 10.6790567, 10.7163330");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.4626800, 13.5167820, 13.8247340, 15.6500930, 20.8229340, 40.1697660, 142.1047000", \
"14.1599090, 14.2140390, 14.5216640, 16.3467990, 21.5210340, 40.8707730, 142.8540900", \
"14.8857810, 14.9361870, 15.2423730, 17.0686970, 22.2469700, 41.5973920, 143.5304700", \
"15.6125900, 15.6614690, 15.9704180, 17.8021560, 22.9736670, 42.3166210, 144.2734000", \
"16.3355940, 16.3844120, 16.6963310, 18.5206290, 23.6989590, 43.0377440, 144.9969500", \
"17.0305030, 17.0809040, 17.3844090, 19.2193870, 24.3868480, 43.7470150, 145.6356500", \
"17.7021620, 17.7513540, 18.0541930, 19.8908180, 25.0597370, 44.3893350, 146.3486100");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0273359, 3.0988971, 3.5370242, 5.1121076, 7.0325608, 32.0530410, 98.0590900", \
"3.0275349, 3.1013128, 3.5371182, 5.1130846, 7.0323249, 32.0278420, 98.0230720", \
"3.0327238, 3.1010163, 3.5360863, 5.1125904, 7.0359825, 32.0359240, 98.0533910", \
"3.0311729, 3.0987335, 3.5366754, 5.1167790, 7.0431176, 32.0683180, 97.9839780", \
"3.0311396, 3.0995346, 3.5356995, 5.1153037, 7.0417405, 32.0694400, 98.1086640", \
"3.0295688, 3.0998391, 3.5356231, 5.1118318, 7.0510286, 32.0375900, 98.0417600", \
"3.0326401, 3.1029979, 3.5368466, 5.1090612, 7.0394157, 32.0677030, 98.0515440");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("17.3970080, 17.5893270, 18.7894750, 26.1456620, 71.3743090, 350.3799900, 2071.7778000", \
"18.0922420, 18.2876470, 19.4810270, 26.8453330, 72.0737670, 351.0802700, 2072.7477000", \
"18.8152050, 19.0402110, 20.1936940, 27.5550820, 72.8201070, 351.8733800, 2073.3335000", \
"19.5332140, 19.7312870, 20.9212260, 28.2513820, 73.5597750, 352.5391900, 2073.7452000", \
"20.2630180, 20.4521590, 21.6507270, 29.0018440, 74.2442620, 353.4811700, 2075.0590000", \
"20.9502160, 21.1517420, 22.3363320, 29.6936700, 74.9299640, 353.9951800, 2075.3237000", \
"21.6089440, 21.8122480, 22.9919590, 30.3769100, 75.5987630, 354.5956400, 2076.1777000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.5868580, 14.9668260, 17.3236110, 31.9170430, 122.0873300, 678.6978600, 4109.0047000", \
"14.5871070, 14.9667850, 17.3218470, 31.9171460, 122.0879500, 678.6572700, 4111.0857000", \
"14.5779100, 14.9675670, 17.3049490, 31.8837440, 122.0942100, 678.2340200, 4110.6191000", \
"14.5863600, 14.9668120, 17.3124340, 31.8984020, 121.9685400, 678.7370200, 4108.7205000", \
"14.5864310, 14.9646850, 17.3033200, 31.9111630, 122.0984300, 679.9698400, 4111.4104000", \
"14.5867980, 14.9580650, 17.3236290, 31.9119660, 122.0847300, 678.8307000, 4109.5627000", \
"14.5868370, 14.9668380, 17.3220990, 31.9170250, 122.0879400, 678.0217100, 4109.4631000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.9791790, 15.0297000, 15.3391450, 17.1669810, 22.2798790, 41.5268460, 84.5452060", \
"15.6788950, 15.7301410, 16.0379870, 17.8682330, 22.9803710, 42.2296470, 85.2478910", \
"16.3983010, 16.4508230, 16.7614860, 18.5874710, 23.7013610, 42.9410750, 85.9518680", \
"17.1233130, 17.1705670, 17.4803120, 19.3163580, 24.4259880, 43.6738080, 86.6890630", \
"17.8458420, 17.8971180, 18.2049330, 20.0345380, 25.1469780, 44.3946210, 87.4090700", \
"18.5334470, 18.5864750, 18.8961130, 20.7235740, 25.8364070, 45.0946730, 88.1007840", \
"19.1957860, 19.2435950, 19.5627140, 21.3945290, 26.5055120, 45.7506710, 88.7627750");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0286367, 3.0983753, 3.5364875, 5.1065645, 6.9847284, 29.0360640, 48.3884750", \
"3.0284286, 3.0982974, 3.5373053, 5.1068287, 6.9853021, 29.0368120, 48.3897010", \
"3.0229872, 3.0991509, 3.5371337, 5.1029989, 6.9856156, 29.0379470, 48.3775050", \
"3.0283502, 3.0986829, 3.5364869, 5.1070983, 6.9786816, 29.0349860, 48.3980360", \
"3.0282048, 3.0988394, 3.5367766, 5.1070113, 6.9855779, 29.0349700, 48.4045160", \
"3.0282867, 3.0983132, 3.5366878, 5.1018461, 6.9849850, 29.0349810, 48.4015350", \
"3.0287779, 3.0983818, 3.5366130, 5.1065654, 6.9847288, 29.0349860, 48.3893710");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("16.8592700, 17.0540950, 18.2818520, 25.6062410, 70.8382120, 349.8630000, 2070.9438000", \
"17.3814670, 17.5762730, 18.8067020, 26.1286530, 71.3609790, 350.3857900, 2071.7086000", \
"17.7849750, 17.9801150, 19.1698820, 26.5341210, 71.7645180, 350.7919600, 2072.7716000", \
"18.1401950, 18.3345290, 19.5273260, 26.8625030, 72.0950910, 351.1109400, 2072.6372000", \
"18.4662530, 18.6611220, 19.8917430, 27.2153500, 72.4450400, 351.4719500, 2072.6907000", \
"18.7599270, 18.9837340, 20.1423780, 27.5170070, 72.7643870, 351.7382900, 2073.0629000", \
"19.0463010, 19.2393910, 20.4386270, 27.7963840, 73.0200750, 352.0556800, 2073.6682000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.5829050, 14.9626760, 17.3062840, 31.9136110, 122.0812300, 678.6862600, 4109.1766000", \
"14.5829520, 14.9648190, 17.3017580, 31.9134620, 122.0824100, 678.7029700, 4109.9579000", \
"14.5839680, 14.9563260, 17.3115600, 31.9136290, 122.0792100, 678.6352700, 4110.7724000", \
"14.5831060, 14.9651400, 17.3206780, 31.9169190, 122.0605400, 678.6716500, 4110.4795000", \
"14.5840210, 14.9563060, 17.3013830, 31.9136220, 122.0741800, 678.6301000, 4109.9102000", \
"14.5718100, 14.9665220, 17.3025810, 31.9126560, 122.0809900, 678.4165400, 4108.6719000", \
"14.5841290, 14.9644300, 17.3208450, 31.9149580, 122.0690700, 678.9260900, 4110.0466000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("20.7142890, 20.9039990, 22.0662650, 29.2500420, 73.2676500, 344.5261700, 2020.8120000", \
"21.4101110, 21.6006790, 22.7623560, 29.9286820, 73.9036140, 345.2127300, 2020.4413000", \
"22.1318870, 22.3223340, 23.4836490, 30.6723540, 74.6861280, 346.3349000, 2021.1698000", \
"22.8601080, 23.0493810, 24.2118060, 31.3810630, 75.4013240, 346.6650800, 2021.6819000", \
"23.5893030, 23.7745110, 24.9359150, 32.1286570, 76.1383210, 347.3847400, 2022.2774000", \
"24.2758860, 24.4666740, 25.6305310, 32.8028090, 76.7508720, 348.1832500, 2022.1405000", \
"24.9440650, 25.1305680, 26.2908950, 33.4802440, 77.4330500, 348.9905400, 2023.4688000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.5259870, 13.9119880, 16.2000920, 30.3866230, 118.1448900, 660.5931200, 4009.2114000", \
"13.5325360, 13.9096010, 16.2048790, 30.4204480, 118.1438300, 660.5990800, 4008.0413000", \
"13.5322760, 13.9055430, 16.2077140, 30.4037680, 118.1408700, 660.9563500, 4008.2182000", \
"13.5220420, 13.9125930, 16.2074070, 30.4208640, 118.5073100, 660.4627700, 4007.2350000", \
"13.5348590, 13.9073700, 16.2074110, 30.4008730, 118.1437800, 660.5351900, 4007.6447000", \
"13.5346930, 13.9134080, 16.2003650, 30.3835430, 118.1978000, 660.4586800, 4007.8307000", \
"13.5254500, 13.9083010, 16.2035610, 30.3882180, 118.2505000, 660.6033500, 4008.8266000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.5340419, 8.5340429, 8.5547960, 8.5547967, 8.5547977, 8.5547987, 8.5547996", \
"9.0173726, 9.0173727, 9.0173736, 9.0173746, 9.0173755, 9.0173765, 9.0262269", \
"9.4776249, 9.4808188, 9.4808193, 9.4808202, 9.4808212, 9.4808221, 9.4926991", \
"9.8302113, 9.8564268, 9.8564277, 9.8564287, 9.8564296, 9.8564306, 9.8564315", \
"10.1600030, 10.1600032, 10.1600042, 10.1600051, 10.1600061, 10.1600070, 10.1763810", \
"10.3908750, 10.3908754, 10.3911980, 10.3928420, 10.4744770, 10.4744773, 10.4744783", \
"10.6588070, 10.7579990, 10.7579999, 10.7580009, 10.7642060, 10.7642065, 10.7642074");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.0037674, 8.0037675, 8.0413614, 8.0856308, 8.0856309, 8.0856319, 8.0856329", \
"8.5217948, 8.5228873, 8.5228878, 8.5228887, 8.5228897, 8.5228906, 8.5228916", \
"8.9222585, 8.9266887, 8.9266897, 8.9266906, 8.9266916, 8.9266925, 8.9266935", \
"9.2681827, 9.2703756, 9.2703758, 9.2703767, 9.2703777, 9.2703786, 9.2703796", \
"9.5947161, 9.5947166, 9.5947176, 9.5947185, 9.5947195, 9.5947204, 9.5947214", \
"9.8912487, 9.8931290, 9.8931299, 9.8931308, 9.8931318, 9.8931327, 9.8931337", \
"10.1663740, 10.1678230, 10.1678234, 10.1678243, 10.1678253, 10.1678262, 10.1678272");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_rise";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("11.3575000, 11.4149120, 11.7209480, 13.6198260, 25.2348680, 96.7857690, 417.4327400", \
"12.0627730, 12.1138990, 12.4198950, 14.3197680, 25.9347620, 97.4544320, 418.1137300", \
"12.7797170, 12.8247270, 13.1466020, 15.0391010, 26.6515340, 98.1774090, 418.8525800", \
"13.5058440, 13.5538590, 13.8578700, 15.7683660, 27.3782650, 98.8954480, 419.5651400", \
"14.2206390, 14.2767270, 14.5834670, 16.4795640, 28.0948620, 99.6345190, 420.3076000", \
"14.9188430, 14.9633020, 15.2753470, 17.1776990, 28.7847930, 100.3236000, 420.9654500", \
"15.5552660, 15.6378540, 15.9275970, 17.8481730, 29.4277950, 100.9510300, 421.6029200");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0369256, 3.1148468, 3.5969305, 6.6016597, 25.0222150, 138.8672500, 350.7153100", \
"3.0365348, 3.1148308, 3.5969163, 6.6018595, 25.0221850, 138.8212200, 350.7327300", \
"3.0367850, 3.1144851, 3.5983569, 6.6012285, 25.0241780, 138.8999500, 350.7111000", \
"3.0351315, 3.1148562, 3.5968624, 6.6032011, 25.0200300, 138.8996700, 350.7258000", \
"3.0369534, 3.1146734, 3.5976511, 6.6012626, 25.0259120, 138.9200900, 350.7163600", \
"3.0351337, 3.1146781, 3.5982770, 6.6014763, 25.0248990, 138.8985300, 350.6878000", \
"3.0351432, 3.1148608, 3.5969272, 6.6016543, 25.0221550, 138.9009600, 350.6659400");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("10.7177880, 10.7177883, 10.7177892, 10.7177902, 10.7177911, 10.7177921, 10.8462050", \
"11.3041900, 11.4028080, 11.4222790, 11.4222799, 11.4222808, 11.4222818, 11.4222827", \
"11.7123660, 11.8339800, 11.8341590, 11.8341594, 11.8341604, 11.8341613, 11.8341623", \
"12.0616920, 12.0702280, 12.0716570, 12.1769430, 12.1769434, 12.1769443, 12.1901330", \
"12.3305060, 12.5130670, 12.5130678, 12.5130687, 12.5130697, 12.5130706, 12.5349130", \
"12.7465950, 12.7846110, 12.7846113, 12.7870030, 12.7870031, 12.7870040, 12.8135440", \
"12.9708400, 13.0352720, 13.0660130, 13.0660139, 13.0660148, 13.0660158, 13.0660167");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("16.8593480, 17.0541150, 18.2817190, 25.6068890, 70.8383750, 349.8629400, 2070.8821000", \
"17.3814920, 17.5763120, 18.8039170, 26.1304150, 71.3919230, 350.3875200, 2071.9121000", \
"17.7849930, 17.9801330, 19.2103290, 26.5342540, 71.7647030, 350.7787800, 2071.7307000", \
"18.1402290, 18.3345820, 19.5273490, 26.8625030, 72.1513020, 351.1191800, 2072.5083000", \
"18.4662830, 18.6611620, 19.8917700, 27.2154690, 72.4440810, 351.4690800, 2073.4262000", \
"18.7599000, 18.9837830, 20.1424250, 27.4972350, 72.7642370, 351.7744500, 2073.4142000", \
"19.0429020, 19.2394310, 20.4386340, 27.7964110, 73.0201610, 352.0556500, 2073.5247000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.5828500, 14.9647030, 17.3050640, 31.9128230, 122.0802200, 678.6531800, 4108.0198000", \
"14.5829670, 14.9647460, 17.3057390, 31.9128260, 122.1669100, 678.7306000, 4110.4545000", \
"14.5839930, 14.9563280, 17.3015010, 31.9128080, 122.0782900, 678.8710600, 4109.1489000", \
"14.5832860, 14.9649870, 17.3215310, 31.9161830, 122.2300600, 678.4720800, 4111.4745000", \
"14.5839910, 14.9562460, 17.3017550, 31.9127660, 122.0749500, 678.6839900, 4111.8437000", \
"14.5703600, 14.9663410, 17.3028790, 31.8827310, 122.0828200, 678.9048800, 4108.3877000", \
"14.5839850, 14.9645170, 17.3204060, 31.9139910, 122.0677000, 678.9296100, 4109.4181000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("20.7142570, 20.9040730, 22.0655800, 29.2522010, 73.2060950, 344.5162500, 2019.5159000", \
"21.4098570, 21.6006450, 22.7626080, 29.9483030, 73.9614310, 345.2048000, 2021.3022000", \
"22.1350620, 22.3263310, 23.4879940, 30.6745630, 74.6874560, 345.9438200, 2020.4996000", \
"22.8602830, 23.0491130, 24.2116190, 31.3505560, 75.4641650, 346.6580000, 2021.1110000", \
"23.5890210, 23.7745150, 24.9362200, 32.1228980, 76.1449140, 347.4032400, 2022.3283000", \
"24.2758720, 24.4663030, 25.6303330, 32.8045320, 76.7507590, 348.3747000, 2021.9254000", \
"24.9403430, 25.1305450, 26.2928430, 33.4782830, 77.4357570, 348.7487800, 2023.5957000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.5267060, 13.9111430, 16.2046660, 30.3996260, 118.2298500, 660.4104200, 4009.3973000", \
"13.5343150, 13.9102950, 16.2019820, 30.3951600, 118.1413600, 660.4785200, 4007.4683000", \
"13.5366860, 13.9094070, 16.2042970, 30.3989370, 118.1379900, 660.4413400, 4006.9604000", \
"13.5247160, 13.9133300, 16.2085670, 30.4195030, 118.6476600, 660.4170700, 4007.5838000", \
"13.5364800, 13.9085720, 16.2049410, 30.3998210, 118.1440600, 660.4718700, 4007.6225000", \
"13.5320340, 13.9128980, 16.2034170, 30.3840690, 118.1892600, 660.6871900, 4006.2600000", \
"13.5300010, 13.9089020, 16.1997650, 30.3951320, 118.2180800, 660.4365100, 4006.4670000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("10.7464390, 10.8025280, 11.1087730, 13.0073710, 24.6273920, 96.1474670, 416.7494600", \
"11.2759610, 11.3259110, 11.6315850, 13.5303000, 25.1458980, 96.6712430, 417.2899000", \
"11.6720510, 11.7285080, 12.0359560, 13.9314220, 25.5511030, 97.0734110, 417.7370000", \
"12.0355630, 12.0753330, 12.3908540, 14.2861980, 25.9090540, 97.4366260, 418.1220600", \
"12.3506970, 12.4092290, 12.7099660, 14.6221120, 26.2376020, 97.7655720, 418.4429400", \
"12.6500340, 12.6947250, 13.0135850, 14.9069910, 26.5287970, 98.0536680, 418.6829300", \
"12.9326780, 12.9904270, 13.3069300, 15.1965200, 26.8122640, 98.3378070, 418.9593600");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0375151, 3.1147804, 3.5974039, 6.6016694, 25.0192080, 138.9278300, 350.6856400", \
"3.0358116, 3.1149443, 3.5967012, 6.6017405, 25.0242240, 138.9251200, 350.6675600", \
"3.0370604, 3.1148053, 3.5973942, 6.6013839, 25.0248180, 138.9460200, 350.7111600", \
"3.0356637, 3.1143175, 3.5975436, 6.6025745, 25.0245760, 138.8661400, 350.7254300", \
"3.0374234, 3.1146299, 3.5973784, 6.6027397, 25.0201160, 138.9250600, 350.7306000", \
"3.0374416, 3.1144618, 3.5971555, 6.6027797, 25.0195510, 138.8823700, 350.6587500", \
"3.0374165, 3.1147751, 3.5983454, 6.6012769, 25.0227250, 138.8323100, 350.5717500");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("9.5429620, 9.5466284, 9.5466285, 9.5529034, 9.5529037, 9.5529047, 9.6167572", \
"10.0824750, 10.0824752, 10.1259820, 10.1259828, 10.1259838, 10.1259847, 10.1375170", \
"10.4944960, 10.4944969, 10.5020410, 10.5020414, 10.5020423, 10.5020433, 10.5239180", \
"10.8771500, 10.8771501, 10.8798010, 10.8888890, 10.8888898, 10.8888908, 10.8939340", \
"11.1756220, 11.1756225, 11.2234780, 11.2234788, 11.2234798, 11.2234808, 11.2234817", \
"11.4572600, 11.4572607, 11.4572616, 11.4614730, 11.4639670, 11.4639679, 11.5522440", \
"11.7646990, 11.7656780, 11.7656789, 11.7656799, 11.7656808, 11.7656818, 11.7767060");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("10.9181690, 10.9692890, 11.2842410, 13.2484430, 19.5970780, 39.6978020, 161.3150400", \
"11.4402950, 11.4914900, 11.8064940, 13.7698310, 20.1187600, 40.2154930, 161.8495600", \
"11.8446970, 11.8959560, 12.2108890, 14.1780040, 20.5241460, 40.6186940, 162.2418800", \
"12.1965750, 12.2478330, 12.5627570, 14.5244250, 20.8816820, 40.9736240, 162.5954300", \
"12.5256720, 12.5767010, 12.8909100, 14.8523300, 21.2055530, 41.3031600, 162.9310700", \
"12.8157200, 12.8669010, 13.1809820, 15.1458810, 21.4994800, 41.5927350, 163.2131400", \
"13.1042440, 13.1549130, 13.4695600, 15.4337880, 21.7819420, 41.8847180, 163.4985600");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0909093, 3.1765708, 3.7031174, 5.6423790, 8.4205745, 33.4733980, 200.2237900", \
"3.0908867, 3.1766128, 3.7027557, 5.6424874, 8.4208631, 33.4802620, 200.3366700", \
"3.0908744, 3.1766128, 3.7029657, 5.6419287, 8.4205745, 33.4751040, 200.3578000", \
"3.0908174, 3.1765437, 3.7030183, 5.6424030, 8.4105825, 33.4742620, 200.2367300", \
"3.0921099, 3.1757423, 3.7029355, 5.6423461, 8.4148040, 33.4762370, 200.3550900", \
"3.0915590, 3.1756962, 3.7029237, 5.6426330, 8.4106579, 33.4815090, 200.2230000", \
"3.0913774, 3.1763392, 3.7029744, 5.6426619, 8.4185077, 33.4799780, 200.2278700");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.7732130, 13.8247580, 14.1363740, 15.9737610, 20.9416160, 40.1823070, 83.1320360", \
"14.4624870, 14.5130520, 14.8233990, 16.6663290, 21.6347010, 40.8828060, 83.8474390", \
"15.1920240, 15.2426440, 15.5543740, 17.3910590, 22.3649690, 41.6118980, 84.5646540", \
"15.9149090, 15.9664370, 16.2764170, 18.1174030, 23.0821210, 42.3198260, 85.2745570", \
"16.6413480, 16.6904520, 17.0017140, 18.8356600, 23.8084750, 43.0581150, 86.0063660", \
"17.3304450, 17.3844690, 17.6920610, 19.5327030, 24.5114400, 43.7306300, 86.6565340", \
"17.9969480, 18.0512620, 18.3626590, 20.2011210, 25.1779540, 44.4049630, 87.3313230");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("2.9814688, 3.0526879, 3.4892056, 4.9695283, 6.8823307, 29.0084760, 48.4270610", \
"2.9825362, 3.0551097, 3.4965513, 4.9692073, 6.9015300, 29.0091420, 48.4672320", \
"2.9821157, 3.0536755, 3.4977321, 4.9724376, 6.9013724, 29.0061950, 48.3782610", \
"2.9798357, 3.0521938, 3.4950609, 4.9717870, 6.9028729, 29.0167340, 48.3800480", \
"2.9829657, 3.0520957, 3.4964678, 4.9716613, 6.9013808, 29.0085590, 48.3562350", \
"2.9830800, 3.0548268, 3.4953744, 4.9669611, 6.9047457, 29.0011520, 48.3561070", \
"2.9824501, 3.0529776, 3.4975007, 4.9726290, 6.9023653, 29.0039740, 48.4910810");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("9.6625419, 9.6634842, 9.6643857, 9.6647383, 9.6647392, 9.6647401, 9.6918269", \
"10.1904320, 10.1923090, 10.1923099, 10.1923109, 10.1923118, 10.1923128, 10.2136750", \
"10.6019250, 10.6040510, 10.6040512, 10.6040521, 10.6040531, 10.6040540, 10.6248550", \
"10.9501800, 10.9501806, 10.9528740, 10.9535140, 10.9535146, 10.9535156, 10.9884370", \
"11.2289220, 11.2809110, 11.2809120, 11.2809129, 11.2809139, 11.2809148, 11.3163360", \
"11.5643950, 11.5851860, 11.5851865, 11.5851875, 11.5851884, 11.5851894, 11.6046830", \
"11.8562470, 11.8562475, 11.8562484, 11.8562494, 11.8562503, 11.8562513, 11.8913470");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.5332088, 8.5342471, 8.5346095, 8.5368583, 8.5368591, 8.5368600, 8.5589127", \
"9.0962002, 9.0962005, 9.0962015, 9.0962024, 9.0962034, 9.0962043, 9.1103871", \
"9.4804964, 9.4834771, 9.4842099, 9.4842105, 9.4842115, 9.4842124, 9.4958148", \
"9.7823758, 9.7824602, 9.7824607, 9.7838635, 9.8625508, 9.8625513, 9.8711253", \
"10.1705330, 10.1705337, 10.1705347, 10.1705356, 10.1705366, 10.1705375, 10.2014460", \
"10.4928630, 10.4928632, 10.4940740, 10.4940744, 10.4940753, 10.4940763, 10.5040570", \
"10.6872070, 10.6903380, 10.7043410, 10.7043415, 10.7043424, 10.7043434, 10.7043443");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("7.9980600, 8.0011189, 8.0414226, 8.0876168, 8.0876174, 8.0876184, 8.0876194", \
"8.5211509, 8.5253102, 8.5253110, 8.5253120, 8.5253130, 8.5253139, 8.5253149", \
"8.9222771, 8.9222780, 8.9222789, 8.9222799, 8.9222808, 8.9222818, 8.9222827", \
"9.2681498, 9.2681499, 9.2681509, 9.2681518, 9.2681528, 9.2681537, 9.2681547", \
"9.5946842, 9.5946851, 9.5946861, 9.5946870, 9.5946880, 9.5946889, 9.5946899", \
"9.8918305, 9.8918310, 9.8918319, 9.8918329, 9.8918338, 9.8918348, 9.8918357", \
"10.1663360, 10.1663366, 10.1663375, 10.1663385, 10.1663394, 10.1663404, 10.1663414");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("11.3590020, 11.4104090, 11.7216750, 13.6609430, 20.1243450, 40.2091270, 161.8307300", \
"12.0579300, 12.1105000, 12.4198480, 14.3603330, 20.8200110, 40.9066980, 162.5295900", \
"12.7763400, 12.8297950, 13.1419340, 15.0759170, 21.5388330, 41.6323080, 163.2434000", \
"13.4993690, 13.5527620, 13.8604750, 15.8067570, 22.2595740, 42.3564930, 163.9747500", \
"14.2225160, 14.2780910, 14.5795290, 16.5235740, 22.9865740, 43.0830980, 164.6953500", \
"14.9119510, 14.9665560, 15.2771570, 17.2141520, 23.6807800, 43.7657870, 165.3760500", \
"15.5770420, 15.6255200, 15.9580790, 17.8760600, 24.3176060, 44.4305360, 166.0479100");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0899518, 3.1740846, 3.6982890, 5.7406719, 8.5405292, 33.4697670, 200.3621200", \
"3.0886784, 3.1734293, 3.6982890, 5.7397633, 8.5404954, 33.4742120, 200.3620500", \
"3.0886866, 3.1731282, 3.6984242, 5.7406262, 8.5339300, 33.4700730, 200.3577700", \
"3.0890492, 3.1741152, 3.6984797, 5.7403158, 8.5404208, 33.4804080, 200.3620400", \
"3.0891627, 3.1741094, 3.6987027, 5.7403100, 8.5334815, 33.4774060, 200.3546100", \
"3.0892486, 3.1734364, 3.6991150, 5.7396869, 8.5404963, 33.4764250, 200.3539900", \
"3.0892495, 3.1731344, 3.6989880, 5.7396883, 8.5405034, 33.4763970, 200.3620700");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("22.0501040, 22.2323640, 23.3990890, 30.5435110, 74.6786450, 345.9544500, 2020.8402000", \
"22.7526690, 22.9440380, 24.1005060, 31.2740340, 75.3784520, 346.8726100, 2021.9002000", \
"23.4786020, 23.6579340, 24.8230110, 31.9634670, 76.0909840, 347.5909400, 2022.5914000", \
"24.1999420, 24.3709940, 25.5363680, 32.6829760, 76.8203490, 348.3155500, 2022.8051000", \
"24.9207480, 25.1021960, 26.2674330, 33.4064150, 77.5412020, 348.7847900, 2023.4593000", \
"25.6121970, 25.8002420, 26.9603080, 34.1043000, 78.2180360, 349.4851300, 2026.0627000", \
"26.2646060, 26.4564570, 27.6166220, 34.7777340, 78.8957020, 350.0878700, 2024.2035000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.5486410, 13.8940300, 16.1837870, 30.3606240, 118.5178700, 660.3941600, 4009.1345000", \
"13.5313880, 13.9170410, 16.1934090, 30.4246760, 118.3320800, 660.1575800, 4010.9912000", \
"13.5407490, 13.8809170, 16.2012960, 30.4221070, 118.4596200, 660.2113000, 4009.7170000", \
"13.5375510, 13.8940230, 16.1837740, 30.3840760, 118.5166600, 660.1519500, 4010.0781000", \
"13.5303990, 13.8785850, 16.1945480, 30.4119960, 118.3026600, 660.4162200, 4008.7689000", \
"13.5292940, 13.9032310, 16.1905420, 30.4222990, 118.5093300, 660.7649500, 4010.0549000", \
"13.5483000, 13.8940290, 16.1838170, 30.3606280, 118.5168900, 660.5136500, 4008.4633000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.1904057, 8.1926155, 8.1926160, 8.1926170, 8.1926179, 8.1926189, 8.1926199", \
"8.7258423, 8.7258430, 8.7258440, 8.7258449, 8.7258459, 8.7258468, 8.7258478", \
"9.1342578, 9.1342588, 9.1342598, 9.1342607, 9.1342617, 9.1342626, 9.1342636", \
"9.4942614, 9.4942623, 9.4942632, 9.4942642, 9.4942651, 9.4942661, 9.4942670", \
"9.8007371, 9.8007379, 9.8007389, 9.8007398, 9.8007408, 9.8007417, 9.8007427", \
"10.1173030, 10.1198560, 10.1198564, 10.1198574, 10.1198583, 10.1198593, 10.1198602", \
"10.4097170, 10.4097171, 10.4097181, 10.4097190, 10.4097200, 10.4097209, 10.4097219");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.4995957, 8.4995962, 8.4995971, 8.4995981, 8.4995990, 8.4996000, 8.5014182", \
"9.0056389, 9.0110293, 9.0110298, 9.0110307, 9.0110317, 9.0110326, 9.1057988", \
"9.4086170, 9.4086175, 9.4320640, 9.4550652, 9.4550653, 9.4550663, 9.4550672", \
"9.7753121, 9.7753130, 9.7980406, 9.7980409, 9.7980419, 9.7980428, 9.8464756", \
"10.0812770, 10.0818260, 10.0818267, 10.0818277, 10.0818286, 10.0818296, 10.1636860", \
"10.3833530, 10.3839250, 10.3839260, 10.3839269, 10.3839279, 10.3839288, 10.4522590", \
"10.6759430, 10.6777410, 10.6777416, 10.6777425, 10.6777435, 10.6777444, 10.7340200");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.9179300, 14.9698870, 15.2881480, 17.1794490, 28.5437180, 95.2347250, 186.8183400", \
"15.6194030, 15.6704910, 15.9891780, 17.8767900, 29.2435070, 95.9375580, 187.5224700", \
"16.3351430, 16.3873450, 16.7047900, 18.5978270, 29.9619530, 96.6525850, 188.2479800", \
"17.0562830, 17.1127150, 17.4321640, 19.3225360, 30.6835550, 97.3767770, 188.9693900", \
"17.7821420, 17.8327910, 18.1502720, 20.0385890, 31.4220120, 98.1021200, 189.7140600", \
"18.4689170, 18.5280250, 18.8410600, 20.7225990, 32.1268310, 98.7902170, 190.3984100", \
"19.1290270, 19.1897130, 19.5147790, 21.3931310, 32.7771620, 99.4421750, 191.0507900");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0565774, 3.1241205, 3.5694019, 6.3937895, 24.2851710, 97.1857260, 63.6305510", \
"3.0570022, 3.1264140, 3.5692209, 6.3946284, 24.2855300, 97.2310020, 63.6319090", \
"3.0557308, 3.1268719, 3.5690566, 6.3938472, 24.2859420, 97.1898400, 63.6097750", \
"3.0564420, 3.1296814, 3.5690697, 6.3930194, 24.2867260, 97.2270090, 63.7460000", \
"3.0553400, 3.1298970, 3.5766572, 6.3965540, 24.3097600, 97.2220920, 63.6146920", \
"3.0552598, 3.1270306, 3.5758052, 6.3934968, 24.2597110, 97.2233560, 63.6633290", \
"3.0565905, 3.1299718, 3.5693917, 6.3897851, 24.2851910, 97.1840280, 63.5958630");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.5316598, 8.5316606, 8.5316616, 8.5460172, 8.5460182, 8.5460191, 8.5713472", \
"9.0797512, 9.0797515, 9.0797525, 9.0797534, 9.0797544, 9.0797554, 9.0797563", \
"9.4587653, 9.4614343, 9.4614349, 9.4614358, 9.4614368, 9.4614378, 9.4719139", \
"9.7649364, 9.7883106, 9.8181170, 9.8356212, 9.8356214, 9.8356224, 9.8356233", \
"10.1366370, 10.1366373, 10.1366382, 10.1366392, 10.1366401, 10.1366411, 10.1497430", \
"10.4615170, 10.4615179, 10.4615188, 10.4615198, 10.4615207, 10.4615217, 10.4820000", \
"10.6941730, 10.6941734, 10.7084000, 10.7084003, 10.7084013, 10.7084022, 10.7084032");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.4880680, 8.4880682, 8.4880691, 8.4880701, 8.4880710, 8.4880720, 8.6603968", \
"9.0077155, 9.0117860, 9.0117870, 9.0117879, 9.0117889, 9.0117898, 9.1204226", \
"9.4106154, 9.4337249, 9.4362491, 9.4600026, 9.4600034, 9.4600044, 9.4694510", \
"9.7789981, 9.7825268, 9.7825275, 9.7825285, 9.7825294, 9.7825304, 9.8484803", \
"10.0833310, 10.0833316, 10.0833326, 10.0833335, 10.0833345, 10.0833354, 10.1622740", \
"10.3867650, 10.3867651, 10.3867660, 10.3867670, 10.3867679, 10.3867689, 10.4481420", \
"10.6793520, 10.6793523, 10.6793533, 10.6793542, 10.6793552, 10.6793561, 10.7316720");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.2060545, 8.2060552, 8.2060562, 8.2060571, 8.2060581, 8.2060590, 8.2060600", \
"8.7367154, 8.7381800, 8.7381807, 8.7381816, 8.7381826, 8.7381835, 8.7381845", \
"9.1491312, 9.1491313, 9.1491323, 9.1491333, 9.1491342, 9.1491352, 9.1491361", \
"9.5050767, 9.5050769, 9.5050779, 9.5050788, 9.5050798, 9.5050807, 9.5050817", \
"9.8237560, 9.8255235, 9.8255239, 9.8255249, 9.8255258, 9.8255268, 9.8255277", \
"10.1278940, 10.1278949, 10.1278959, 10.1278968, 10.1278978, 10.1278987, 10.1278997", \
"10.4065580, 10.4065586, 10.4136350, 10.4136358, 10.4136367, 10.4136377, 10.4136386");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.0010976, 8.0024103, 8.0024105, 8.1004305, 8.1004310, 8.1004320, 8.1004329", \
"8.5223792, 8.5263565, 8.5333109, 8.5333114, 8.5333124, 8.5333133, 8.5333143", \
"8.9230721, 8.9230724, 8.9298009, 8.9298015, 8.9298025, 8.9298034, 8.9298044", \
"9.2687049, 9.2689509, 9.2753805, 9.2753807, 9.2753816, 9.2753826, 9.2753835", \
"9.5952695, 9.5952697, 9.6002033, 9.6002040, 9.6002050, 9.6002059, 9.6002069", \
"9.8917106, 9.8917108, 9.8969162, 9.8969169, 9.8969179, 9.8969188, 9.8969198", \
"10.1668850, 10.1668859, 10.1703420, 10.1703430, 10.1703439, 10.1703449, 10.1703458");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("10.7474270, 10.7987330, 11.1096650, 13.0508790, 19.5089700, 39.6004260, 161.2221600", \
"11.2731560, 11.3206680, 11.6326780, 13.5725350, 20.0330240, 40.1242200, 161.7399800", \
"11.6728810, 11.7247450, 12.0344970, 13.9752740, 20.4336300, 40.5247820, 162.1508800", \
"12.0260720, 12.0766810, 12.3849150, 14.3281120, 20.7870880, 40.8768700, 162.4869100", \
"12.3577460, 12.4089430, 12.7196490, 14.6514720, 21.1092990, 41.2043200, 162.8254300", \
"12.6498950, 12.6988110, 13.0079240, 14.9485760, 21.4101730, 41.5049260, 163.1230200", \
"12.9392250, 12.9879650, 13.2997230, 15.2354130, 21.6986960, 41.7885180, 163.4069800");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0887191, 3.1740199, 3.6988625, 5.7405658, 8.5337328, 33.4744360, 200.2007400", \
"3.0890690, 3.1733594, 3.6982057, 5.7399228, 8.5410639, 33.4744610, 200.3626800", \
"3.0890097, 3.1732192, 3.6989753, 5.7410836, 8.5397884, 33.4659940, 200.2163300", \
"3.0889209, 3.1733955, 3.6988737, 5.7400773, 8.5396366, 33.4797330, 200.3617000", \
"3.0890606, 3.1733494, 3.6990042, 5.7406382, 8.5351246, 33.4808020, 200.3626500", \
"3.0892888, 3.1733789, 3.6982410, 5.7399321, 8.5381782, 33.4815520, 200.2250900", \
"3.0891514, 3.1732672, 3.6990131, 5.7406289, 8.5386134, 33.4773030, 200.3634900");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("10.9021230, 10.9307310, 10.9307313, 10.9307323, 10.9307333, 10.9307342, 10.9436010", \
"11.4889730, 11.4889732, 11.4889741, 11.4932560, 11.4932561, 11.4932571, 11.5471470", \
"11.8872490, 11.8872495, 11.8930260, 11.8930269, 11.8930278, 11.8930288, 11.9096240", \
"12.2115180, 12.2125040, 12.2599100, 12.2599102, 12.2599111, 12.2599121, 12.2599130", \
"12.5727080, 12.5925030, 12.5925031, 12.5925041, 12.5925050, 12.5925060, 12.5925069", \
"12.8381830, 12.8393650, 12.8393655, 12.8393665, 12.8393674, 12.8393684, 12.8625630", \
"13.0117350, 13.1602050, 13.1602054, 13.1602064, 13.1795420, 13.1795421, 13.1795431");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("11.3601280, 11.4102610, 11.7215690, 13.6621590, 20.1231000, 40.2125440, 161.8308400", \
"12.0591180, 12.1095330, 12.4215030, 14.3603050, 20.8159300, 40.9111960, 162.5327700", \
"12.7806010, 12.8251160, 13.1415810, 15.0828040, 21.5402740, 41.6221940, 163.2467200", \
"13.4968490, 13.5548590, 13.8598180, 15.8045450, 22.2619130, 42.3511290, 163.9675600", \
"14.2253160, 14.2732760, 14.5835740, 16.5245650, 22.9912360, 43.0865480, 164.6912400", \
"14.9153170, 14.9622820, 15.2767790, 17.2158230, 23.6763280, 43.7655920, 165.3855400", \
"15.5748140, 15.6245430, 15.9542320, 17.8687620, 24.3438780, 44.4317440, 166.0442300");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0893237, 3.1736098, 3.6984698, 5.7401279, 8.5413925, 33.4748550, 200.3615400", \
"3.0893270, 3.1736094, 3.6984680, 5.7401315, 8.5387741, 33.4790970, 200.3542400", \
"3.0892604, 3.1735976, 3.6986418, 5.7407963, 8.5387484, 33.4791570, 200.3615800", \
"3.0893277, 3.1731122, 3.6987047, 5.7410965, 8.5367926, 33.4790580, 200.3626900", \
"3.0894958, 3.1736045, 3.6993038, 5.7403855, 8.5399883, 33.4637880, 200.3607900", \
"3.0893228, 3.1736112, 3.6984855, 5.7406564, 8.5412530, 33.4798860, 200.3549000", \
"3.0893277, 3.1736116, 3.6984711, 5.7408736, 8.5361611, 33.4790860, 200.3616000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.9779870, 15.0285950, 15.3374420, 17.1676540, 22.2812450, 41.5281920, 84.5380300", \
"15.6795750, 15.7288000, 16.0379940, 17.8649500, 22.9828600, 42.2174510, 85.2321520", \
"16.3974270, 16.4451990, 16.7577150, 18.5887320, 23.7012930, 42.9314040, 85.9393130", \
"17.1122970, 17.1651800, 17.4834420, 19.3082190, 24.4250740, 43.6475500, 86.6771260", \
"17.8486840, 17.8952440, 18.2054960, 20.0298960, 25.1454730, 44.3770390, 87.3554030", \
"18.5355070, 18.5893100, 18.8961020, 20.7216500, 25.8414180, 45.0635690, 88.0976290", \
"19.1960140, 19.2454120, 19.5597560, 21.3849670, 26.5080830, 45.7292870, 88.7554420");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0266896, 3.0996701, 3.5374750, 5.1054845, 6.9818697, 29.0350680, 48.3948210", \
"3.0287424, 3.0970813, 3.5372318, 5.1054680, 6.9863768, 29.0318760, 48.3610560", \
"3.0274896, 3.0989926, 3.5353740, 5.1017279, 6.9777859, 29.0388000, 48.2967510", \
"3.0287304, 3.0996814, 3.5325389, 5.1042295, 6.9902795, 29.0494310, 48.3913530", \
"3.0279712, 3.0935408, 3.5305727, 5.1072502, 6.9902915, 29.0498810, 48.4378970", \
"3.0275298, 3.0928853, 3.5353671, 5.1035300, 6.9902750, 29.0500350, 48.3866670", \
"3.0266640, 3.0996752, 3.5328231, 5.1053188, 6.9819581, 29.0507100, 48.3916690");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("11.3649370, 11.4147190, 11.7208740, 13.6202760, 25.2348670, 96.7629600, 417.4327400", \
"12.0645580, 12.1144890, 12.4190050, 14.3182270, 25.9352340, 97.4623400, 418.1355600", \
"12.7819060, 12.8300270, 13.1487030, 15.0389050, 26.6536270, 98.1888420, 418.8541700", \
"13.4991030, 13.5512650, 13.8627600, 15.7583720, 27.3794020, 98.8990010, 419.5560700", \
"14.2253170, 14.2745010, 14.5831150, 16.4885940, 28.1024200, 99.6210190, 420.3078000", \
"14.9187080, 14.9636850, 15.2735890, 17.1766600, 28.7898880, 100.3210700, 421.0037000", \
"15.5871350, 15.6371480, 15.9352190, 17.8369600, 29.4519750, 100.9892200, 421.6415400");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0359444, 3.1151817, 3.5971697, 6.6020953, 25.0243950, 138.8637500, 350.7383000", \
"3.0359439, 3.1151928, 3.5971828, 6.6018733, 25.0243430, 138.8587400, 350.7388400", \
"3.0377971, 3.1147935, 3.5985730, 6.6015295, 25.0244310, 138.8514600, 350.7355800", \
"3.0371303, 3.1145209, 3.5971239, 6.6018564, 25.0248410, 138.8993400, 350.7208000", \
"3.0378704, 3.1149818, 3.5985115, 6.6017360, 25.0216400, 138.8634000, 350.7416000", \
"3.0359333, 3.1143830, 3.5977765, 6.6028387, 25.0244040, 138.8669800, 350.7374800", \
"3.0359437, 3.1151945, 3.5971564, 6.6018688, 25.0244060, 138.8612000, 350.7387000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.9907060, 15.0437240, 15.3616320, 17.2419570, 28.6286280, 98.5558440, 525.5681700", \
"15.6944840, 15.7458950, 16.0639750, 17.9440660, 29.3164820, 99.1996390, 526.2749000", \
"16.4087110, 16.4617280, 16.7774360, 18.6674200, 30.0279910, 99.9336440, 527.0197800", \
"17.1393250, 17.1896430, 17.5034830, 19.3811190, 30.7598180, 100.6683100, 527.7541400", \
"17.8658810, 17.9115410, 18.2307770, 20.1144650, 31.4949240, 101.3586700, 528.4726400", \
"18.5544100, 18.6012610, 18.9185170, 20.8000640, 32.1600600, 102.0531600, 529.1501600", \
"19.2096080, 19.2621550, 19.5851830, 21.4593570, 32.8482270, 102.7815300, 529.8074400");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0537353, 3.1312060, 3.5783974, 6.3928156, 24.3074200, 135.4374500, 504.2048800", \
"3.0528664, 3.1305210, 3.5782612, 6.3883898, 24.3048230, 135.4014800, 504.1999300", \
"3.0538256, 3.1305070, 3.5782552, 6.3881660, 24.3088460, 135.4158500, 504.1832800", \
"3.0529308, 3.1305400, 3.5779344, 6.3796586, 24.3041070, 135.4234200, 504.2473400", \
"3.0529299, 3.1305363, 3.5786714, 6.3798593, 24.3038480, 135.3955500, 504.2471700", \
"3.0529110, 3.1305414, 3.5786321, 6.3866699, 24.3064450, 135.3987600, 504.1636700", \
"3.0537335, 3.1312042, 3.5786847, 6.3801822, 24.3073240, 135.4404900, 504.1846400");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.5292848, 8.5298657, 8.5298658, 8.5298667, 8.5298677, 8.5298687, 8.5523215", \
"9.0127061, 9.0296597, 9.0296598, 9.0304848, 9.0304857, 9.0304866, 9.0429335", \
"9.4690984, 9.4717754, 9.4717756, 9.4717765, 9.4717775, 9.4717784, 9.4946842", \
"9.8368798, 9.8368803, 9.8368812, 9.8368822, 9.8368831, 9.8368841, 9.8623989", \
"10.1401030, 10.1401039, 10.1420320, 10.1456610, 10.1456619, 10.1456628, 10.1726000", \
"10.4618600, 10.4618602, 10.4618612, 10.4618621, 10.4618631, 10.4618640, 10.4618650", \
"10.7321800, 10.7321802, 10.7504780, 10.7744430, 10.7744432, 10.7744442, 10.7744451");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("8.4983105, 8.4983106, 8.4983116, 8.4983125, 8.4983135, 8.4983144, 8.6828669", \
"9.0183727, 9.0183731, 9.0183740, 9.0183750, 9.0183759, 9.0183769, 9.0697236", \
"9.4194723, 9.4194732, 9.4194742, 9.4194751, 9.4194761, 9.4194770, 9.4641281", \
"9.7651753, 9.7707185, 9.7707191, 9.7775983, 9.7775989, 9.7775999, 9.8233928", \
"10.0873400, 10.0887310, 10.0887313, 10.0887323, 10.0887332, 10.0887342, 10.1434590", \
"10.3913600, 10.3925990, 10.3925996, 10.3926006, 10.3926015, 10.3926025, 10.4591650", \
"10.6775000, 10.6796690, 10.6796699, 10.6796709, 10.6796718, 10.6796728, 10.7146140");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("7.6687874, 7.6687878, 7.6741165, 7.6903017, 7.6903022, 7.6903027, 7.6903031", \
"8.3104377, 8.3107370, 8.3107372, 8.3107381, 8.3107391, 8.3107400, 8.3246334", \
"9.0295202, 9.0304653, 9.0304657, 9.0304666, 9.0304676, 9.0304685, 9.1284704", \
"9.7508908, 9.7521857, 9.7521863, 9.7521873, 9.7521883, 9.7521892, 9.8000079", \
"10.4500910, 10.4507450, 10.4620550, 10.4639650, 10.4784170, 10.4784179, 10.5707900", \
"11.1110890, 11.1110893, 11.1110902, 11.1587740, 11.1587749, 11.1587759, 11.2381270", \
"11.8445480, 11.8445488, 11.8445497, 11.8445507, 11.8445516, 11.8445526, 11.9264150");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.8820070, 14.9328300, 15.2417460, 17.0681420, 22.2438120, 41.5810070, 143.5577100", \
"15.5825980, 15.6340270, 15.9424650, 17.7702780, 22.9443490, 42.2967510, 144.2635400", \
"16.2983970, 16.3551390, 16.6577030, 18.4881670, 23.6598070, 43.0151100, 144.9826900", \
"17.0226780, 17.0745590, 17.3823500, 19.2098140, 24.3827150, 43.7398210, 145.6983100", \
"17.7427000, 17.7960920, 18.1028280, 19.9387970, 25.1052010, 44.4587870, 146.4182700", \
"18.4389620, 18.4928550, 18.7920170, 20.6299080, 25.7980090, 45.1520740, 147.1087100", \
"19.0946420, 19.1503150, 19.4562610, 21.2859900, 26.4667040, 45.8140160, 147.7731600");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0321787, 3.0995035, 3.5367915, 5.1090887, 7.0414292, 32.0671170, 98.0673250", \
"3.0321416, 3.0982918, 3.5368410, 5.1158708, 7.0441879, 32.0785670, 98.0760860", \
"3.0316389, 3.1028853, 3.5357193, 5.1156204, 7.0394921, 32.0786810, 98.0694420", \
"3.0315643, 3.1009053, 3.5367145, 5.1165383, 7.0418222, 32.0787950, 98.0685900", \
"3.0288285, 3.1015472, 3.5367673, 5.1155573, 7.0395685, 32.0790790, 98.0689380", \
"3.0289882, 3.1029017, 3.5359820, 5.1091820, 7.0460757, 32.0787660, 98.0680780", \
"3.0322023, 3.0994178, 3.5367813, 5.1090865, 7.0413386, 32.0671030, 98.0653920");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("7.6544371, 7.6556992, 7.6585405, 7.6585410, 7.6585415, 7.6585420, 7.6585424", \
"8.3210052, 8.3210054, 8.3210063, 8.3210073, 8.3210083, 8.3210092, 8.3210102", \
"9.0343830, 9.0432621, 9.0432630, 9.0432640, 9.0432649, 9.0432659, 9.0804351", \
"9.7442685, 9.7489163, 9.7535606, 9.7679278, 9.7679287, 9.7679296, 9.8683914", \
"10.4674710, 10.4674716, 10.4736970, 10.4736972, 10.4736982, 10.4736991, 10.5523800", \
"11.1672370, 11.1728950, 11.1743920, 11.1743923, 11.1743932, 11.1743942, 11.2742670", \
"11.8404740, 11.8404747, 11.8592000, 11.8592001, 11.8592010, 11.8592020, 11.9611910");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("17.3964170, 17.5890880, 18.7869120, 26.1463990, 71.3732650, 350.3658400, 2072.1711000", \
"18.0954270, 18.2872140, 19.4861710, 26.8462660, 72.0734970, 351.0647600, 2072.8505000", \
"18.8149180, 19.0071920, 20.2069440, 27.5513640, 72.8262820, 351.8353000, 2073.3535000", \
"19.5339900, 19.7253480, 20.9222170, 28.2516940, 73.5155790, 352.5014400, 2073.9185000", \
"20.2637690, 20.4523240, 21.6519000, 29.0025040, 74.2349470, 353.2355500, 2074.9274000", \
"20.9481500, 21.1434070, 22.3478840, 29.7183010, 74.9293460, 353.9320500, 2075.9960000", \
"21.6195280, 21.8169640, 22.9966660, 30.3332540, 75.5691330, 354.6123300, 2076.5995000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.5805390, 14.9665810, 17.3231100, 31.9160730, 122.0815900, 678.7140600, 4109.8929000", \
"14.5774620, 14.9666340, 17.3234510, 31.9158990, 122.0812900, 678.7213900, 4109.8033000", \
"14.5863880, 14.9587120, 17.3166010, 31.8948070, 122.0299000, 678.1444900, 4110.3344000", \
"14.5865080, 14.9667740, 17.3239330, 31.8950550, 122.0815300, 678.6336800, 4109.3554000", \
"14.5780080, 14.9661030, 17.3205060, 31.9033080, 122.0845600, 678.7972800, 4112.0393000", \
"14.5869890, 14.9579160, 17.3230960, 31.9080550, 122.0863600, 678.6380600, 4110.0702000", \
"14.5869220, 14.9666320, 17.3051140, 31.8856190, 122.0659100, 678.0106200, 4111.4936000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("22.0577000, 22.2460500, 23.4101910, 30.5817420, 74.6010190, 346.1044000, 2023.2121000", \
"22.7558950, 22.9442100, 24.1048500, 31.2953180, 75.2521810, 346.6231200, 2021.3022000", \
"23.4740600, 23.6629510, 24.8261140, 32.0119330, 75.9698720, 347.3049600, 2022.1012000", \
"24.2008670, 24.3870880, 25.5545240, 32.7418450, 76.7005940, 348.0261100, 2023.2674000", \
"24.9263370, 25.1196410, 26.2773020, 33.4632620, 77.4211060, 348.7487600, 2023.1748000", \
"25.6054890, 25.8046030, 26.9743980, 34.1583260, 78.1529650, 349.4062100, 2023.5382000", \
"26.2679600, 26.4679000, 27.6337850, 34.7950180, 78.7682740, 350.3309100, 2025.2346000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.5347630, 13.9158410, 16.2086060, 30.4239120, 118.5175700, 660.5330300, 4007.4142000", \
"13.5387650, 13.8999040, 16.2101660, 30.3921940, 118.1571200, 660.8779600, 4008.1659000", \
"13.5438740, 13.9018780, 16.2145300, 30.4113250, 118.2467600, 661.2166900, 4006.8180000", \
"13.5442130, 13.9064050, 16.2078230, 30.4037120, 118.1564900, 660.6468300, 4008.1322000", \
"13.5442790, 13.9016960, 16.2077640, 30.4038570, 118.1562700, 660.5247900, 4008.2282000", \
"13.5459560, 13.9007760, 16.2073930, 30.4042160, 118.5141200, 660.6396100, 4007.6761000", \
"13.5443110, 13.9156980, 16.2088400, 30.4239120, 118.1570000, 660.9561800, 4007.9294000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("10.7729030, 10.8238840, 11.1338600, 13.0756930, 19.5177140, 39.6098270, 161.2248900", \
"11.2949790, 11.3462820, 11.6568290, 13.5984600, 20.0403590, 40.1297060, 161.7522500", \
"11.6990100, 11.7505080, 12.0610990, 14.0028490, 20.4440320, 40.5325980, 162.1555200", \
"12.0535600, 12.1042540, 12.4146390, 14.3553920, 20.8040320, 40.8909320, 162.5018600", \
"12.3799810, 12.4313320, 12.7374800, 14.6835270, 21.1306530, 41.2145840, 162.8179300", \
"12.6694990, 12.7203430, 13.0382070, 14.9726560, 21.4211120, 41.5080270, 163.1247500", \
"12.9592650, 13.0099340, 13.3207100, 15.2611810, 21.7096530, 41.7971150, 163.4117800");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0885656, 3.1729583, 3.6986452, 5.7277165, 8.5265244, 33.4708550, 200.3410900", \
"3.0887026, 3.1729532, 3.6995440, 5.7274647, 8.5230667, 33.4719670, 200.3426000", \
"3.0897702, 3.1728620, 3.6991117, 5.7279803, 8.5251415, 33.4787950, 200.3616700", \
"3.0885905, 3.1730913, 3.6990981, 5.7280771, 8.5278922, 33.4705310, 200.3618000", \
"3.0886835, 3.1731484, 3.6991514, 5.7277392, 8.5227665, 33.4735830, 200.3614700", \
"3.0897831, 3.1729441, 3.6991246, 5.7275522, 8.5285281, 33.4697390, 200.2511600", \
"3.0886413, 3.1731184, 3.6987930, 5.7278888, 8.5276790, 33.4751750, 200.3607200");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("21.1964330, 21.3834780, 22.5791790, 29.8077050, 73.9645070, 345.5553200, 2019.1903000", \
"21.8928410, 22.0823640, 23.2629060, 30.5025020, 74.6585440, 345.9388200, 2020.1660000", \
"22.6261130, 22.8119370, 23.9894380, 31.2385500, 75.3854440, 346.7778500, 2021.9966000", \
"23.3494700, 23.5302820, 24.7192540, 31.9184680, 76.1075400, 347.4594900, 2020.8274000", \
"24.0716960, 24.2680490, 25.4349790, 32.6843940, 76.7621700, 348.1922000, 2024.8922000", \
"24.7669850, 24.9458230, 26.1345190, 33.3796600, 77.5328320, 348.9505800, 2024.1041000", \
"25.4350250, 25.6252300, 26.8027790, 34.0363510, 78.0710250, 349.6433300, 2024.3501000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.5305090, 13.8876000, 16.1934290, 30.4245660, 118.3791300, 660.9705600, 4004.8640000", \
"13.5296540, 13.8932090, 16.2085860, 30.4221610, 118.6309900, 660.7330100, 4003.1196000", \
"13.5183330, 13.9027120, 16.2081420, 30.4298060, 118.2824900, 660.6721900, 4004.2096000", \
"13.5197020, 13.8909440, 16.2014930, 30.4224310, 118.6037400, 660.5004600, 4005.4792000", \
"13.5197040, 13.8922700, 16.1956160, 30.4272230, 118.4910500, 660.5030200, 4003.2228000", \
"13.5222900, 13.8959030, 16.1988470, 30.4299060, 118.5758500, 660.7710400, 4003.0591000", \
"13.5160900, 13.9063600, 16.2027390, 30.4227290, 118.2310200, 661.0829400, 4005.3524000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("11.3651080, 11.4077970, 11.7261620, 13.6190770, 25.2369110, 96.7629460, 417.4189900", \
"12.0625070, 12.1072050, 12.4266140, 14.3178640, 25.9331060, 97.4613030, 418.1127900", \
"12.7818920, 12.8245280, 13.1423770, 15.0452410, 26.6477840, 98.1848700, 418.8389700", \
"13.5102280, 13.5536500, 13.8606300, 15.7566550, 27.3731950, 98.9011040, 419.5762200", \
"14.2375220, 14.2703360, 14.5865550, 16.4889840, 28.1013680, 99.6311440, 420.3020000", \
"14.9197600, 14.9650140, 15.2784220, 17.1790300, 28.7882730, 100.3146000, 420.9368300", \
"15.5855150, 15.6327380, 15.9430180, 17.8350290, 29.4783750, 100.9768300, 421.6394700");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0356753, 3.1131540, 3.5973993, 6.6016992, 25.0142200, 138.8641200, 350.7145200", \
"3.0384528, 3.1137968, 3.5977912, 6.6016610, 25.0238020, 138.8633400, 350.6857000", \
"3.0374365, 3.1128482, 3.5971326, 6.6042505, 25.0249550, 138.8690400, 350.7092300", \
"3.0365925, 3.1139227, 3.5971408, 6.6011867, 25.0227180, 138.8641700, 350.7136100", \
"3.0374656, 3.1139058, 3.5973933, 6.6032784, 25.0167020, 138.8577000, 350.6711700", \
"3.0356320, 3.1142058, 3.5972663, 6.6036794, 25.0243560, 138.8641000, 350.6714800", \
"3.0356244, 3.1129892, 3.5969676, 6.6011991, 25.0229860, 138.8631600, 350.6762600");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("22.0615280, 22.2439650, 23.4046610, 30.5895680, 74.6834980, 346.1752800, 2020.1232000", \
"22.7553730, 22.9355880, 24.0966430, 31.2479780, 75.3785230, 346.8071800, 2021.4768000", \
"23.4746910, 23.6584900, 24.8292480, 31.9738480, 76.0825780, 347.6295900, 2022.5566000", \
"24.1984100, 24.3900920, 25.5528310, 32.7214590, 76.8196320, 348.2269100, 2023.5602000", \
"24.9238640, 25.1125730, 26.2750440, 33.4501710, 77.5434050, 348.9844900, 2023.6614000", \
"25.6125520, 25.7896570, 26.9651180, 34.1521580, 78.2314590, 349.6553800, 2024.8310000", \
"26.2789590, 26.4603560, 27.6323990, 34.8280840, 78.8969600, 350.3878400, 2025.5782000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.5349860, 13.8995570, 16.2152120, 30.4098650, 118.4534100, 660.7820600, 4006.9253000", \
"13.5360550, 13.8851590, 16.1908690, 30.4259440, 118.3363700, 660.8127600, 4007.3560000", \
"13.5372930, 13.8858820, 16.2133380, 30.4262570, 118.5020100, 660.7878600, 4008.5433000", \
"13.5306800, 13.9155950, 16.2050090, 30.4169670, 118.4471400, 660.8228800, 4009.8125000", \
"13.5311780, 13.9156940, 16.2047090, 30.4093280, 118.3167600, 660.7779100, 4008.0349000", \
"13.5295530, 13.8852870, 16.2034580, 30.4052780, 118.4154800, 660.7622800, 4007.8626000", \
"13.5455790, 13.8981410, 16.2138870, 30.4070870, 118.4485700, 660.7883700, 4008.7211000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("17.3960580, 17.5882140, 18.7874820, 26.1446100, 71.3782880, 350.3784300, 2072.1363000", \
"18.0957200, 18.2881750, 19.4809480, 26.8446700, 72.0740370, 351.0785700, 2072.7207000", \
"18.8157510, 19.0049080, 20.2077290, 27.5625260, 72.8119290, 351.7992600, 2073.5386000", \
"19.5432740, 19.7290310, 20.9189570, 28.2543060, 73.5110670, 352.5082700, 2074.2214000", \
"20.2587880, 20.4511340, 21.6502320, 29.0058910, 74.2416190, 353.2441600, 2074.3626000", \
"20.9507680, 21.1362200, 22.3322390, 29.6923930, 74.9218500, 353.9324200, 2075.3698000", \
"21.6269850, 21.8077610, 22.9987800, 30.3615510, 75.5884240, 354.5984000, 2075.5704000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.5817300, 14.9635860, 17.3172690, 31.8980110, 122.0683400, 678.6449900, 4116.4312000", \
"14.5806450, 14.9596230, 17.3055220, 31.9032690, 122.0075300, 678.4856600, 4110.1043000", \
"14.5820830, 14.9562500, 17.3169480, 31.8971550, 122.1002600, 678.2667600, 4110.8515000", \
"14.5721040, 14.9632560, 17.3095550, 31.8797010, 122.0522000, 678.4932200, 4110.7114000", \
"14.5816200, 14.9630710, 17.3021120, 31.8977090, 122.0725900, 678.5762700, 4109.9911000", \
"14.5815760, 14.9635490, 17.3111180, 31.9135190, 122.0591500, 678.5542200, 4110.0461000", \
"14.5817270, 14.9636270, 17.3053200, 31.8979080, 122.0619800, 678.5069800, 4109.1766000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.9980330, 15.0496110, 15.3655830, 17.2574930, 28.6264030, 98.6078130, 525.6218900", \
"15.6952990, 15.7455200, 16.0598240, 17.9541570, 29.3261260, 99.3009980, 526.2792800", \
"16.4113650, 16.4636230, 16.7843570, 18.6566550, 30.0446780, 100.0190200, 527.0313700", \
"17.1316310, 17.1931090, 17.5020890, 19.3918980, 30.7716020, 100.6898000, 527.7511800", \
"17.8587700, 17.9116830, 18.2355200, 20.1234580, 31.4934780, 101.4606400, 528.4883900", \
"18.5476630, 18.6016710, 18.9148150, 20.8106460, 32.1813860, 102.1576400, 529.1372500", \
"19.2162660, 19.2613640, 19.5828600, 21.4756760, 32.8512290, 102.8277800, 529.8515500");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0547924, 3.1271787, 3.5733039, 6.3953336, 24.2949060, 135.4240900, 504.3367000", \
"3.0547997, 3.1272538, 3.5720187, 6.3947865, 24.2797570, 135.4191000, 504.2551300", \
"3.0565261, 3.1309484, 3.5785226, 6.3925176, 24.3052480, 135.4194400, 504.2133500", \
"3.0551284, 3.1272156, 3.5731405, 6.3940235, 24.2855410, 135.4334800, 504.2950900", \
"3.0543497, 3.1272587, 3.5722172, 6.3949646, 24.2886640, 135.4224100, 504.2944100", \
"3.0532195, 3.1269098, 3.5697012, 6.3951218, 24.2763140, 135.4198000, 504.2485300", \
"3.0544383, 3.1272100, 3.5732040, 6.3953234, 24.2947400, 135.4237600, 504.3375500");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_rise";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("11.3602790, 11.4103910, 11.7217630, 13.6622020, 20.1228370, 40.2111520, 161.8236400", \
"12.0600150, 12.1109870, 12.4213320, 14.3629770, 20.8209330, 40.9107630, 162.5242200", \
"12.7794060, 12.8313260, 13.1361850, 15.0813390, 21.5410130, 41.6302050, 163.2446500", \
"13.5039850, 13.5531750, 13.8621090, 15.8001880, 22.2619790, 42.3536190, 163.9731400", \
"14.2229170, 14.2722870, 14.5664490, 16.5253910, 22.9869580, 43.0780530, 164.6826500", \
"14.9254560, 14.9542370, 15.2786620, 17.2332210, 23.6743250, 43.7639190, 165.3777700", \
"15.5778550, 15.6313200, 15.9373920, 17.8773710, 24.3415920, 44.4311040, 166.0473000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0890051, 3.1732927, 3.6984322, 5.7400689, 8.5414342, 33.4689570, 200.3516100", \
"3.0890059, 3.1732932, 3.6984371, 5.7400724, 8.5414431, 33.4689820, 200.3574900", \
"3.0894831, 3.1733851, 3.6991163, 5.7400071, 8.5345366, 33.4745850, 200.2405800", \
"3.0886611, 3.1733935, 3.6984207, 5.7400720, 8.5407343, 33.4794020, 200.3583700", \
"3.0884759, 3.1734804, 3.6984975, 5.7410072, 8.5389527, 33.4702650, 200.3412500", \
"3.0888740, 3.1733254, 3.6984262, 5.7400626, 8.5349647, 33.4702470, 200.3622700", \
"3.0890055, 3.1733811, 3.6992125, 5.7400698, 8.5416021, 33.4690040, 200.3573200");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("17.3922490, 17.5890950, 18.7879450, 26.1460350, 71.3739960, 350.3748200, 2072.2730000", \
"18.0925850, 18.2889510, 19.4859420, 26.8276170, 72.0722540, 351.0713800, 2073.0693000", \
"18.8152000, 19.0249610, 20.2055990, 27.5484040, 72.8174850, 351.8667000, 2073.6406000", \
"19.5352370, 19.7348540, 20.9240250, 28.2523230, 73.5161190, 352.5646300, 2074.0526000", \
"20.2613250, 20.4571670, 21.6541430, 29.0042430, 74.2593330, 353.2681200, 2075.1652000", \
"20.9520990, 21.1563300, 22.3610730, 29.6935830, 74.9278400, 353.9293500, 2075.8268000", \
"21.6226950, 21.8108780, 23.0193390, 30.2944300, 75.5903000, 354.5924300, 2076.2848000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.5858020, 14.9662110, 17.3234490, 31.9168870, 122.0843300, 679.5245900, 4110.6277000", \
"14.5832290, 14.9662170, 17.3233890, 31.8914320, 122.0844000, 678.6658000, 4111.6050000", \
"14.5863820, 14.9535730, 17.3158870, 31.8941210, 122.0653200, 679.0448900, 4110.6923000", \
"14.5858080, 14.9519030, 17.3112480, 31.9069140, 122.0848700, 678.4326300, 4111.2048000", \
"14.5744970, 14.9643340, 17.3143370, 31.9182580, 122.1038700, 678.7743700, 4109.8428000", \
"14.5784510, 14.9641050, 17.3158840, 31.9177960, 122.0863800, 678.7289500, 4110.7296000", \
"14.5867900, 14.9643840, 17.3234490, 31.8918260, 122.0845400, 678.6393700, 4111.3740000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("22.0576270, 22.2460020, 23.4095620, 30.5817660, 74.6013170, 346.1054500, 2020.1232000", \
"22.7506780, 22.9486350, 24.1099870, 31.2790080, 75.2440600, 346.6795700, 2021.3806000", \
"23.4745330, 23.6667180, 24.8266830, 32.0107250, 75.9694030, 347.5769600, 2022.7094000", \
"24.1959800, 24.3858910, 25.5511630, 32.7313320, 76.6940430, 348.0355100, 2022.9188000", \
"24.9205950, 25.1142820, 26.2740920, 33.4763680, 77.4190240, 348.7504300, 2023.4793000", \
"25.6124530, 25.7931510, 26.9627750, 34.1556330, 78.1066940, 349.4448600, 2023.6555000", \
"26.2966480, 26.4800550, 27.6259020, 34.8043120, 78.7645220, 350.3238600, 2024.5648000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.5350550, 13.9157660, 16.2081030, 30.4239090, 118.5185100, 660.5446900, 4007.8385000", \
"13.5438840, 13.9010360, 16.2026070, 30.4239120, 118.2033700, 660.7244200, 4008.5870000", \
"13.5438450, 13.9029210, 16.2126850, 30.4013990, 118.2528200, 660.5645800, 4007.8326000", \
"13.5344970, 13.9158670, 16.2071810, 30.3879480, 118.2610400, 660.5036400, 4006.2873000", \
"13.5443430, 13.9010780, 16.2121130, 30.4038500, 118.1570200, 660.5157500, 4007.6620000", \
"13.5443580, 13.9155780, 16.2082220, 30.4038960, 118.1573900, 660.5639600, 4008.1682000", \
"13.5444060, 13.9157810, 16.2082290, 30.4239120, 118.1566900, 660.5388900, 4008.8530000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("10.9201460, 10.9779890, 11.2854860, 13.2020830, 24.8638140, 96.4874400, 416.9616000", \
"11.4407390, 11.4918030, 11.8076530, 13.7248900, 25.3862370, 97.0079060, 417.4158100", \
"11.8447780, 11.9028330, 12.2115790, 14.1353930, 25.7929140, 97.4034650, 417.8958200", \
"12.2033530, 12.2491070, 12.5627670, 14.4785770, 26.1390430, 97.7458110, 418.2338400", \
"12.5261540, 12.5734200, 12.8959620, 14.8090210, 26.4717970, 98.0805840, 418.5911000", \
"12.8167030, 12.8679470, 13.1850230, 15.1046060, 26.7574120, 98.3555850, 418.8620200", \
"13.1053130, 13.1546010, 13.4770830, 15.3905880, 27.0509370, 98.6630510, 419.1041100");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0294369, 3.1078824, 3.5923915, 6.6020540, 25.0238660, 138.8173700, 350.4814300", \
"3.0292804, 3.1073100, 3.5925372, 6.6022126, 25.0244430, 138.8806900, 350.4636900", \
"3.0296914, 3.1085967, 3.5925680, 6.6023054, 25.0179860, 138.8946900, 350.4902700", \
"3.0293648, 3.1084995, 3.5920664, 6.6007351, 25.0238960, 138.8510800, 350.4918600", \
"3.0298493, 3.1070957, 3.5935408, 6.6020993, 25.0243650, 138.8636500, 350.5004400", \
"3.0303564, 3.1078817, 3.5932211, 6.6033237, 25.0225260, 138.8066700, 350.4912900", \
"3.0306515, 3.1078635, 3.5932053, 6.6022090, 25.0194030, 138.7614800, 350.3692800");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.7907620, 13.8418010, 14.1560450, 16.0535210, 27.4453990, 97.3393240, 524.4209000", \
"14.4819740, 14.5343910, 14.8484200, 16.7454550, 28.1395440, 98.0628270, 525.1086500", \
"15.2076240, 15.2600330, 15.5742390, 17.4700840, 28.8667770, 98.7480890, 525.8106600", \
"15.9292100, 15.9816620, 16.3042950, 18.2030440, 29.5862070, 99.5756650, 526.5631000", \
"16.6620300, 16.7088070, 17.0286740, 18.9246950, 30.3198600, 100.2063400, 527.2688100", \
"17.3473590, 17.4028450, 17.7140150, 19.6098600, 31.0059850, 100.8873000, 527.9548000", \
"18.0127170, 18.0652880, 18.3933920, 20.2892000, 31.6869660, 101.6676400, 528.6148600");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0105176, 3.0850451, 3.5347010, 6.3796186, 24.3058180, 135.4050000, 504.0357100", \
"3.0101608, 3.0849050, 3.5347920, 6.3785706, 24.3075090, 135.4210200, 504.0434400", \
"3.0106340, 3.0851595, 3.5347325, 6.3799694, 24.3053080, 135.3969500, 504.0275300", \
"3.0104161, 3.0896048, 3.5387131, 6.3797030, 24.3057010, 135.4193100, 504.0450300", \
"3.0105849, 3.0851488, 3.5347323, 6.3798362, 24.3053880, 135.4011800, 503.9863700", \
"3.0106577, 3.0852179, 3.5347316, 6.3799770, 24.3052850, 135.3972200, 504.0450900", \
"3.0128384, 3.0856619, 3.5391912, 6.3776913, 24.2828710, 135.4191400, 504.0333800");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("17.2892630, 17.4785790, 18.6849340, 26.0811000, 71.5679040, 350.7487100, 2072.7637000", \
"17.8416300, 18.0009290, 19.2077780, 26.5976680, 72.0767730, 351.2717800, 2072.5636000", \
"18.2161560, 18.4081190, 19.6148590, 27.0257350, 72.4956590, 351.6957200, 2073.7943000", \
"18.5663790, 18.7542600, 19.9611140, 27.3772650, 72.7678680, 352.0505100, 2073.7461000", \
"18.9240530, 19.0972750, 20.2917260, 27.6958860, 73.1425870, 352.4055500, 2074.1893000", \
"19.1952920, 19.4145180, 20.5850090, 27.9813610, 73.4473960, 352.6767200, 2074.0001000", \
"19.4708090, 19.7020570, 20.8713370, 28.2788670, 73.6581640, 352.9058900, 2074.2298000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("14.5803960, 14.9601740, 17.3082310, 31.8657990, 122.0189700, 678.9391600, 4111.4736000", \
"14.5697020, 14.9626710, 17.3083200, 31.8968990, 122.0258100, 678.3273000, 4109.4272000", \
"14.5751600, 14.9653020, 17.3089610, 31.8898470, 122.1180800, 678.9228500, 4110.3881000", \
"14.5792690, 14.9617810, 17.3080250, 31.8951160, 122.0853100, 678.0268800, 4110.2035000", \
"14.5813870, 14.9599360, 17.3046540, 31.8695650, 122.1245000, 678.1510800, 4110.3212000", \
"14.5759760, 14.9627740, 17.3045350, 31.8969920, 121.9308500, 678.7251400, 4109.4122000", \
"14.5738270, 14.9626850, 17.3041210, 31.9046090, 122.1013300, 678.6053700, 4109.9220000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("13.6033410, 13.6544060, 13.9703810, 15.8618590, 27.2333320, 97.2095440, 524.2192200", \
"14.2965740, 14.3511450, 14.6664580, 16.5572390, 27.9286230, 97.9049660, 524.9111700", \
"15.0233920, 15.0739230, 15.3863390, 17.2792430, 28.6536750, 98.6256050, 525.6362100", \
"15.7489240, 15.8004950, 16.1164610, 18.0068650, 29.3740340, 99.3546790, 526.3594900", \
"16.4789210, 16.5304930, 16.8403550, 18.7366620, 30.1021470, 100.0842900, 527.0725900", \
"17.1642270, 17.2158840, 17.5325410, 19.4214870, 30.7954050, 100.7681500, 527.7663000", \
"17.8334240, 17.8851170, 18.1949190, 20.0879690, 31.4602210, 101.4376300, 528.4408100");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
values("3.0491087, 3.1209098, 3.5690650, 6.3935870, 24.2832190, 135.4180600, 504.2754800", \
"3.0491003, 3.1215324, 3.5689272, 6.3936145, 24.2835640, 135.4181300, 504.2780300", \
"3.0476228, 3.1211829, 3.5653429, 6.3912036, 24.2668770, 135.4165400, 504.2100500", \
"3.0492395, 3.1213343, 3.5706964, 6.3937877, 24.2974000, 135.4182200, 504.3177700", \
"3.0491452, 3.1214842, 3.5691226, 6.3936869, 24.2848510, 135.4170700, 504.2679700", \
"3.0482652, 3.1214655, 3.5669367, 6.3926389, 24.2729180, 135.4167300, 504.2708200", \
"3.0481462, 3.1214382, 3.5661814, 6.3849943, 24.3028530, 135.4167600, 504.2069800");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-1.0899689, -1.1239359, -1.1579029, -1.1563565, -1.1547914, -1.1532450, -1.1516986");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("1.0926041, 1.1376474, 1.1826908, 1.1808204, 1.1789276, 1.1770572, 1.1751869");
}
}
}
pin ("TIE_LO_ESD") {
function : "0";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("AMUXBUS_A") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.159472;
capacitance : 0.159012;
fall_capacitance : 0.158551;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0553354, -0.0513033, -0.0472712, -0.0467615, -0.0462456, -0.0457359, -0.0452261");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0473644, 0.0473468, 0.0473293, 0.0467815, 0.0462272, 0.0456795, 0.0451317");
}
}
}
pin ("TIE_HI_ESD") {
function : "1";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("AMUXBUS_B") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.152376;
capacitance : 0.151946;
fall_capacitance : 0.151516;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("-0.0560032, -0.0516065, -0.0472098, -0.0469818, -0.0467510, -0.0465231, -0.0462951");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
values("0.0476294, 0.0474382, 0.0472471, 0.0467482, 0.0462433, 0.0457444, 0.0452454");
}
}
}
}
default_operating_conditions : "ss_ss_1p35v_x_1p65v_n40C";
}