blob: c794811d1d6b88d00e2254f7bcb440bb87d6c2a2 [file] [log] [blame]
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
library ("sky130_ef_io__gpiov2_pad_wrapped_ff_ff_100C_1v95_5v50") {
define(three_state_pullup_res,library,string);
define(three_state_pulldn_res,library,string);
define(zstate_leak_threshold_pct,library,string);
define(clk_width,library,string);
define(driver_model,library,string);
define(def_sim_opt,library,string);
define(simulator,library,string);
define(signal_voltage_type,pin,string);
technology("cmos");
delay_model : "table_lookup";
revision : "1.0";
date : "localtime";
default_leakage_power_density : 0.000000;
default_cell_leakage_power : 0.000000;
default_fanout_load : 0.000000;
default_inout_pin_cap : 0.000000;
default_input_pin_cap : 0.000000;
default_output_pin_cap : 0.000000;
default_max_transition : 1.500000;
bus_naming_style : "%s[%d]";
in_place_swap_mode : "match_footprint";
library_features("report_delay_calculation");
voltage_unit : "1V";
current_unit : "1mA";
leakage_power_unit : "1nW";
pulling_resistance_unit : "1kohm";
time_unit : "1ns";
resistance_unit : "1ohm";
capacitive_load_unit(1.000000, \
"pf");
input_threshold_pct_rise : 50.000000;
input_threshold_pct_fall : 50.000000;
output_threshold_pct_rise : 50.000000;
output_threshold_pct_fall : 50.000000;
slew_lower_threshold_pct_fall : 20.000000;
slew_lower_threshold_pct_rise : 20.000000;
slew_upper_threshold_pct_fall : 80.000000;
slew_upper_threshold_pct_rise : 80.000000;
slew_derate_from_library : 1.000000;
three_state_pullup_res : "1";
three_state_pulldn_res : "1";
zstate_leak_threshold_pct : "0.1";
clk_width : "1.00E-05";
nom_process : 1.000000;
nom_temperature : 100.000000;
nom_voltage : 1.950000;
driver_model : "snps_predriver";
def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
voltage_map("VSS",0.000000);
voltage_map("VCCD",1.950000);
voltage_map("VCCHIB",1.950000);
voltage_map("VDDA",5.500000);
voltage_map("VDDIO",5.500000);
voltage_map("VDDIO_Q",5.500000);
voltage_map("VSSA",0.000000);
voltage_map("VSSD",0.000000);
voltage_map("VSSIO",0.000000);
voltage_map("VSSIO_Q",0.000000);
voltage_map("VSWITCH",5.500000);
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
}
output_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vol : 0.550;
voh : 4.950;
vomax : 5.775;
vomin : -0.275;
}
output_voltage (GENERAL_CORE_VOLTAGE) {
vol : 0.195;
voh : 1.755;
vomax : 2.047;
vomin : -0.098;
}
input_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vil : 1.375;
vih : 4.125;
vimax : 5.775;
vimin : -0.275;
}
input_voltage (GENERAL_CORE_VOLTAGE) {
vil : 0.585;
vih : 1.365;
vimax : 2.047;
vimin : -0.098;
}
operating_conditions ("ff_ff_1p95v_x_5p50v_100C") {
process : 1.000000;
temperature : 100.000000;
voltage : 1.950000;
tree_type : "balanced_tree";
}
lu_table_template ("vio_7_7_1") {
variable_1 : "related_pin_transition";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "constrained_pin_transition";
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_12_7") {
variable_1 : "input_net_transition";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}lu_table_template ("del_1_7_7") {
variable_1 : "input_net_transition";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
base_type : array;
data_type : bit;
bit_width : 3 ;
bit_from : 2;
bit_to : 0;
downto : true;
}
cell ("sky130_ef_io__gpiov2_pad_wrapped") {
is_macro_cell : true
dont_use : true;
interface_timing : true;
pad_cell : true;
dont_touch : true;
area : 15000.000000;
cell_leakage_power : 1.986820e+03;
leakage_power (lkgGroup1) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1984.4800000";
}
leakage_power (lkgGroup2) {
when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "685.6870000";
}
leakage_power (lkgGroup3) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1825.5600000";
}
leakage_power (lkgGroup4) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1978.3400000";
}
leakage_power (lkgGroup5) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1986.8200000";
}
leakage_power (lkgGroup6) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1817.0800000";
}
leakage_power (lkgGroup7) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1821.2600000";
}
leakage_power (lkgGroup8) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1823.2200000";
}
leakage_power (lkgGroup9) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1982.5100000";
}
leakage_power (lkgGroup10) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1805.2400000";
}
leakage_power (lkgGroup11) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1958.1600000";
}
leakage_power (lkgGroup12) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1816.3200000";
}
leakage_power (lkgGroup13) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1816.4500000";
}
leakage_power (lkgGroup14) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1812.5900000";
}
leakage_power (lkgGroup15) {
when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1804.6000000";
}
leakage_power (lkgGroup16) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1973.8500000";
}
leakage_power (lkgGroup17) {
when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1977.7100000";
}
leakage_power (lkgGroup18) {
when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
value : "1977.5800000";
}
bus(DM) {
bus_type : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
direction : input;
related_power_pin : VCCD;
related_ground_pin : VSSD;
pin ("DM[2]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.006905;
capacitance : 0.006776;
fall_capacitance : 0.006648;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3544162, 0.2854593, 0.2586238, 0.2442987, 0.2384207, 0.2363045, 0.2387641", \
"0.4035896, 0.3397306, 0.3095143, 0.2991401, 0.2959092, 0.2979569, 0.2993903", \
"0.3999496, 0.3216500, 0.3158738, 0.3007289, 0.2917365, 0.2787635, 0.2931124", \
"0.3791312, 0.2963632, 0.2670267, 0.2632066, 0.2676919, 0.2644888, 0.2527765", \
"0.3374250, 0.2737530, 0.2211375, 0.2281022, 0.2130690, 0.2081434, 0.2103606", \
"0.3134259, 0.2340454, 0.1703557, 0.1940029, 0.1928678, 0.1872775, 0.1737277", \
"0.2649045, 0.1940241, 0.1356870, 0.1505467, 0.1408741, 0.1421937, 0.1522827");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1972970, 0.0867916, 0.0114313, -0.0498097, -0.1020991, -0.1479605, -0.1928617", \
"0.2597356, 0.1536752, 0.0710379, 0.0160878, -0.0388826, -0.0919247, -0.1381937", \
"0.2427616, 0.1366490, 0.0662726, -0.0004490, -0.0367949, -0.1039938, -0.1536372", \
"0.2265892, 0.1017658, 0.0529096, -0.0200360, -0.0822198, -0.1204716, -0.1790473", \
"0.1678833, 0.0716522, 0.0305642, -0.0558443, -0.1269821, -0.1361326, -0.2339033", \
"0.1320753, 0.0071639, -0.0140877, -0.0962605, -0.1274450, -0.1718272, -0.2549664", \
"0.0738976, -0.0077881, -0.0610831, -0.1226543, -0.2019070, -0.2139485, -0.2768490");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1001743, -0.0309116, -0.0059053, 0.0038422, 0.0066684, 0.0011551, 0.0093768", \
"-0.1564603, -0.0877434, -0.0562869, -0.0505348, -0.0353185, -0.0389874, -0.0374379", \
"-0.1351075, -0.0872391, -0.0700307, -0.0392763, -0.0459951, -0.0374711, -0.0520315", \
"-0.1233623, -0.0509248, -0.0406687, -0.0243639, -0.0421940, -0.0157001, -0.0032607", \
"-0.0696945, -0.0207160, -0.0009180, 0.0131096, -0.0031126, -0.0081009, 0.0285418", \
"-0.0469757, 0.0376687, 0.0422258, 0.0515181, 0.0157400, 0.0125104, 0.0234323", \
"-0.0030320, 0.0983971, 0.0876954, 0.1049853, 0.0619964, 0.0401773, 0.0485485");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1765133, -0.0660068, 0.0032499, 0.0662468, 0.1217556, 0.1650531, 0.2027351", \
"-0.2373769, -0.1360762, -0.0543083, -0.0056749, 0.0553318, 0.1059400, 0.1620185", \
"-0.2314929, -0.1280459, -0.0531102, 0.0139499, 0.0696762, 0.1166854, 0.1670533", \
"-0.1858218, -0.0947834, -0.0439549, 0.0422611, 0.0967974, 0.1488857, 0.1984301", \
"-0.1468222, -0.0569451, -0.0143248, 0.0779923, 0.1368991, 0.1877991, 0.2410947", \
"-0.1010479, -0.0122933, 0.0303270, 0.1125767, 0.1717090, 0.1830667, 0.2666433", \
"-0.0520182, 0.0408057, 0.0834260, 0.1361753, 0.2177412, 0.2616112, 0.2999088");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0444465, 0.0448841, 0.0453217, 0.0477679, 0.0501163, 0.0525625, 0.0550087");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0559635, 0.0570456, 0.0581278, 0.0609150, 0.0635907, 0.0663779, 0.0691651");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("DM[1]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.005135;
capacitance : 0.005008;
fall_capacitance : 0.004881;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0757812, -0.0034458, 0.0223568, 0.0381159, 0.0314891, 0.0433119, 0.0515447", \
"-0.1354154, -0.0655857, -0.0387939, -0.0103247, -0.0069030, 0.0003964, 0.0019098", \
"-0.1232022, -0.0660907, -0.0275653, -0.0111582, 0.0020101, 0.0534840, 0.0139815", \
"-0.0925100, -0.0278501, -0.0240454, 0.0192560, 0.0268020, 0.0334470, 0.0249154", \
"-0.0552722, 0.0034842, 0.0326182, 0.0669421, 0.0312896, 0.0109256, 0.0649935", \
"-0.0117053, 0.0481360, 0.0773794, 0.1064364, 0.0757348, 0.0948019, 0.0296667", \
"0.0366198, 0.0966573, 0.1173118, 0.1442538, 0.1209227, 0.0906322, 0.0863483");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1627456, -0.0537998, 0.0314863, 0.0879067, 0.1485967, 0.2012928, 0.2503641", \
"-0.2175056, -0.1150958, -0.0367552, 0.0395637, 0.0906747, 0.1503170, 0.2025592", \
"-0.2005132, -0.1043935, -0.0238858, 0.0321517, 0.0996145, 0.1518895, 0.2020274", \
"-0.1889853, -0.0680792, -0.0038976, 0.0662647, 0.1269331, 0.1861092, 0.2368408", \
"-0.1288707, -0.0409221, 0.0002956, 0.0997378, 0.1504147, 0.2197147, 0.2636588", \
"-0.1110728, 0.0189885, 0.0437320, 0.1394638, 0.2054875, 0.2024193, 0.3156806", \
"-0.0656033, 0.0385181, 0.0898013, 0.1857730, 0.2432494, 0.2882394, 0.3352865");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3574914, 0.2854577, 0.2510236, 0.2259883, 0.2170586, 0.2134165, 0.2143502", \
"0.4036923, 0.3385496, 0.3067757, 0.2810371, 0.2803813, 0.2784559, 0.2739402", \
"0.4014281, 0.3217049, 0.3081466, 0.2885219, 0.2700780, 0.2627443, 0.2504042", \
"0.3822062, 0.2962306, 0.2704661, 0.2493267, 0.2493813, 0.2351110, 0.2222589", \
"0.3456324, 0.2751646, 0.2180520, 0.2281022, 0.2176466, 0.2109827, 0.2073088", \
"0.3165008, 0.2325140, 0.1673795, 0.1895539, 0.1547195, 0.1427004, 0.1372289", \
"0.2679795, 0.1857786, 0.1372508, 0.1374968, 0.1306714, 0.1185847, 0.1281806");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1820048, 0.0684814, -0.0068236, -0.0729986, -0.1292417, -0.1879788, -0.2357782", \
"0.2459768, 0.1311929, 0.0556016, -0.0077740, -0.0740692, -0.1261055, -0.1778990", \
"0.2214112, 0.1236852, 0.0411906, -0.0229476, -0.0767624, -0.1307408, -0.1880003", \
"0.2082456, 0.0843191, 0.0234159, -0.0457564, -0.1076103, -0.1760248, -0.2102349", \
"0.1499105, 0.0602138, 0.0189844, -0.0822158, -0.1387377, -0.1988686, -0.2524909", \
"0.1318591, -0.0027486, -0.0265597, -0.1248727, -0.1871222, -0.1907889, -0.2883551", \
"0.0879154, -0.0207524, -0.0706288, -0.1710717, -0.2241854, -0.2624789, -0.3193653");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0531662, 0.0535960, 0.0540257, 0.0563422, 0.0585659, 0.0608824, 0.0631988");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0651380, 0.0660632, 0.0669884, 0.0697852, 0.0724700, 0.0752667, 0.0780635");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("DM[0]") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.006797;
capacitance : 0.006671;
fall_capacitance : 0.006545;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3727263, 0.3038207, 0.2723567, 0.2580316, 0.2491019, 0.2500375, 0.2524970", \
"0.4309903, 0.3576525, 0.3231489, 0.3100500, 0.3146640, 0.3014984, 0.3197059", \
"0.4198314, 0.3394933, 0.3252627, 0.3145859, 0.3047701, 0.2949396, 0.3049701", \
"0.3974415, 0.3122727, 0.2822081, 0.2768427, 0.2768471, 0.2751820, 0.2708651", \
"0.3558972, 0.2925805, 0.2338395, 0.2418351, 0.2374830, 0.2292634, 0.2210417", \
"0.3317361, 0.2593371, 0.1843405, 0.2140287, 0.2065641, 0.2074997, 0.1826610", \
"0.2832148, 0.2123416, 0.1510933, 0.1594852, 0.1533065, 0.1482972, 0.1677173");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.2018690, 0.0929131, 0.0160098, -0.0470571, -0.1059486, -0.1545740, -0.1936587", \
"0.2554736, 0.1507429, 0.0712426, 0.0161833, -0.0368673, -0.0933470, -0.1425571", \
"0.2332740, 0.1337362, 0.0680255, 0.0026435, -0.0597549, -0.0935281, -0.1551580", \
"0.2310963, 0.1031618, 0.0639191, -0.0286006, -0.0851946, -0.1199563, -0.1805731", \
"0.1689133, 0.0733169, 0.0352521, -0.0554288, -0.1241878, -0.1674992, -0.2121595", \
"0.1517271, 0.0088286, -0.0093461, -0.0959647, -0.1728730, -0.1675410, -0.2504239", \
"0.1093093, -0.0015457, -0.0563416, -0.1207112, -0.2031350, -0.2100363, -0.2676220");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1032260, -0.0324375, -0.0089571, 0.0007904, 0.0020907, -0.0018966, 0.0108642", \
"-0.1595135, -0.0975251, -0.0662402, -0.0526361, -0.0410081, -0.0433202, -0.0435957", \
"-0.1381468, -0.0902917, -0.0745680, -0.0444357, -0.0459956, -0.0393523, 0.0231368", \
"-0.1248881, -0.0509256, -0.0440616, -0.0300332, -0.0393077, -0.0203999, -0.0096920", \
"-0.0712149, -0.0222426, -0.0039673, 0.0100731, -0.0030951, -0.0081037, 0.0252066", \
"-0.0500274, 0.0376680, 0.0391738, 0.0483809, 0.0142527, 0.0110367, 0.0234285", \
"-0.0060837, 0.0968705, 0.0846434, 0.1049867, 0.0604797, 0.0416418, 0.0485514");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1719356, -0.0644810, 0.0032499, 0.0692993, 0.1262281, 0.1664999, 0.2027149", \
"-0.2343250, -0.1330246, -0.0525531, 0.0052820, 0.0586030, 0.1090885, 0.1635599", \
"-0.2172968, -0.1158386, -0.0472859, 0.0175084, 0.0734538, 0.1235478, 0.1686211", \
"-0.1997012, -0.0867632, -0.0423185, 0.0437634, 0.0965513, 0.1504116, 0.1984175", \
"-0.1425747, -0.0523676, -0.0158507, 0.0806511, 0.1369117, 0.1910606, 0.2395541", \
"-0.1233146, 0.0121210, 0.0288011, 0.1155821, 0.1611365, 0.1860369, 0.2752321", \
"-0.0793709, 0.0316506, 0.0757966, 0.1409244, 0.2206550, 0.2627973, 0.2642110");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0444765, 0.0449360, 0.0453955, 0.0478237, 0.0501547, 0.0525828, 0.0550109");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0558366, 0.0569161, 0.0579955, 0.0607866, 0.0634661, 0.0662572, 0.0690483");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
}
pin ("PAD_A_NOESD_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pin ("PAD_A_ESD_1_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pin ("PAD_A_ESD_0_H") {
signal_voltage_type : "analog";
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
}
pg_pin (VDDA) {
voltage_name : "VDDA";
pg_type : "primary_power";
}
pg_pin ("VDDIO_Q") {
voltage_name : "VDDIO_Q";
pg_type : "primary_power";
}
pg_pin (VSWITCH) {
voltage_name : "VSWITCH";
pg_type : "primary_power";
}
pg_pin (VDDIO) {
voltage_name : "VDDIO";
pg_type : "primary_power";
}
pg_pin (VCCD) {
voltage_name : "VCCD";
pg_type : "primary_power";
}
pg_pin (VCCHIB) {
voltage_name : "VCCHIB";
pg_type : "primary_power";
}
pg_pin (VSSD) {
voltage_name : "VSSD";
pg_type : "primary_ground";
}
pg_pin ("VSSIO_Q") {
voltage_name : "VSSIO_Q";
pg_type : "primary_ground";
}
pg_pin (VSSA) {
voltage_name : "VSSA";
pg_type : "primary_ground";
}
pg_pin (VSSIO) {
voltage_name : "VSSIO";
pg_type : "primary_ground";
}
pin ("ENABLE_VSWITCH_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VSWITCH";
related_ground_pin : "VSSA";
always_on : true;
rise_capacitance : 0.028535;
capacitance : 0.028478;
fall_capacitance : 0.028421;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0526147, 0.0428694, 0.1383535, 0.2696870, 0.3957671, 0.5271006, 0.6584340");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3253130, 0.4274158, 0.5295187, 0.6585681, 0.7824556, 0.9115050, 1.0405545");
}
}
}
pin ("ANALOG_EN") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.033399;
capacitance : 0.033147;
fall_capacitance : 0.032895;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1620832, 0.1715677, 0.1810523, 0.1936545, 0.2057527, 0.2183549, 0.2309571");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1506218, 0.1601252, 0.1696286, 0.1839903, 0.1977776, 0.2121393, 0.2265011");
}
}
}
pin ("ENABLE_VDDIO") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : true;
rise_capacitance : 0.053139;
capacitance : 0.052701;
fall_capacitance : 0.052264;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0213208, -0.0215074, -0.0216941, -0.0217055, -0.0217165, -0.0217280, -0.0217395");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0222071, 0.0220684, 0.0219297, 0.0219776, 0.0220235, 0.0220714, 0.0221192");
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_H";
timing_type : non_seq_hold_falling;
fall_constraint (scalar) {
values ("-100.0");
}
}
}
pin ("ANALOG_SEL") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.016868;
capacitance : 0.016830;
fall_capacitance : 0.016791;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0263417, 0.0329462, 0.0395508, 0.0489434, 0.0579602, 0.0673528, 0.0767454");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0312378, 0.0374381, 0.0436384, 0.0526211, 0.0612445, 0.0702272, 0.0792099");
}
}
}
pin (OUT) {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.037954;
capacitance : 0.037550;
fall_capacitance : 0.037146;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.5243962, -0.4666785, -0.4346896, -0.4142486, -0.4098966, -0.4093063, -0.4117658", \
"-0.5847088, -0.5191066, -0.4949306, -0.4832874, -0.4788713, -0.4810518, -0.4869081", \
"-0.5651538, -0.5019982, -0.4914451, -0.4783526, -0.4864002, -0.4809374, -0.4866053", \
"-0.5460583, -0.4777363, -0.4620859, -0.4437117, -0.4530388, -0.4523106, -0.4456149", \
"-0.5035871, -0.4602156, -0.4133601, -0.4059429, -0.4028556, -0.4255036, -0.4184578", \
"-0.4834047, -0.4079347, -0.3673796, -0.3522989, -0.3681785, -0.3670576, -0.3753318", \
"-0.4364092, -0.3624649, -0.3438593, -0.2880946, -0.3227532, -0.3140281, -0.3329143");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.5564437, -0.4598554, -0.3921280, -0.3333771, -0.2863004, -0.2414596, -0.1950910", \
"-0.6152313, -0.5191875, -0.4409327, -0.3960875, -0.3429247, -0.2986068, -0.2500658", \
"-0.5956797, -0.5028992, -0.4222637, -0.3846600, -0.3429333, -0.2848125, -0.2498913", \
"-0.5781059, -0.4771021, -0.4154875, -0.3616620, -0.3064165, -0.2726841, -0.2060519", \
"-0.5371548, -0.4610862, -0.3736038, -0.3224641, -0.2873435, -0.2237375, -0.1788948", \
"-0.5093487, -0.4072798, -0.3304196, -0.2763954, -0.2377571, -0.2032152, -0.1379123", \
"-0.4715086, -0.3602837, -0.2474881, -0.2153439, -0.1927780, -0.1427714, -0.1106493");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.7420174, 0.6715324, 0.6419784, 0.6333762, 0.6351495, 0.6437145, 0.6461740", \
"0.7993203, 0.7300105, 0.7079564, 0.6963329, 0.6889290, 0.6913905, 0.6899722", \
"0.7814861, 0.7093669, 0.6982391, 0.6742691, 0.6839500, 0.6833598, 0.6839794", \
"0.7636808, 0.6775333, 0.6726617, 0.6619355, 0.6583448, 0.6623043, 0.6678155", \
"0.7265251, 0.6530582, 0.6347624, 0.6234347, 0.6082716, 0.6275177, 0.6345549", \
"0.7132342, 0.6303102, 0.6086939, 0.5878974, 0.5804044, 0.5844071, 0.5853255", \
"0.6662387, 0.5875346, 0.5599911, 0.5443362, 0.5257796, 0.5480775, 0.5474853");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.5650203, 0.4685204, 0.4039569, 0.3465602, 0.2948784, 0.2485118, 0.2036691", \
"0.6253660, 0.5268292, 0.4491227, 0.4033641, 0.3516603, 0.3027202, 0.2601890", \
"0.6059236, 0.5116131, 0.4318789, 0.3971031, 0.3497826, 0.2918829, 0.2585732", \
"0.5866834, 0.4858236, 0.4240669, 0.3702384, 0.3149941, 0.2777828, 0.2146295", \
"0.5424516, 0.4672364, 0.3897293, 0.3310100, 0.2944075, 0.2384186, 0.1859465", \
"0.5286074, 0.4174147, 0.3406610, 0.2819043, 0.2447346, 0.2059739, 0.1435063", \
"0.4816120, 0.3704186, 0.2573720, 0.2237185, 0.1977156, 0.1498231, 0.1150597");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.2178832, 0.2826795, 0.3474757, 0.3517733, 0.3558990, 0.3601967, 0.3644943");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.5204402, 0.5962112, 0.6719823, 0.6882009, 0.7037708, 0.7199894, 0.7362081");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("ENABLE_INP_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
rise_capacitance : 0.013197;
capacitance : 0.012983;
fall_capacitance : 0.012769;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("5.2982028, 13.3656850, 21.4331660, 23.7516660, 25.9774270, 28.2959270, 30.6144280");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("4.0000620, 13.4792750, 22.9584880, 23.5941980, 24.2044810, 24.8401920, 25.4759030");
}
}
}
pin ("VTRIP_SEL") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.007195;
capacitance : 0.007070;
fall_capacitance : 0.006944;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.4429170, 0.3724567, 0.3534216, 0.3404293, 0.3345513, 0.3324352, 0.3333688", \
"0.5032733, 0.4337272, 0.4062782, 0.4016568, 0.3841280, 0.3922570, 0.3879187", \
"0.4869199, 0.4190601, 0.3857503, 0.3886396, 0.3635155, 0.3705546, 0.3747820", \
"0.4676322, 0.3889413, 0.3477312, 0.3369137, 0.3333047, 0.3464473, 0.3503245", \
"0.4274455, 0.3695951, 0.3355083, 0.3007806, 0.3004377, 0.2933503, 0.2899341", \
"0.4034527, 0.3279950, 0.2954900, 0.2789870, 0.2589013, 0.2746384, 0.2427437", \
"0.3564572, 0.2791527, 0.2269320, 0.1972197, 0.2115206, 0.2062807, 0.2209472");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1957708, 0.0928971, 0.0099055, -0.0483876, -0.1058634, -0.1567988, -0.1930944", \
"0.2582158, 0.1521834, 0.0754189, 0.0157909, -0.0388944, -0.0938080, -0.1426540", \
"0.2427777, 0.1366517, 0.0664927, -0.0005409, -0.0570347, -0.1055196, -0.1552050", \
"0.2250633, 0.1048960, 0.0576763, -0.0229736, -0.0796783, -0.1203612, -0.1836249", \
"0.1651405, 0.0701289, 0.0290417, -0.0572280, -0.1269859, -0.1742555, -0.2338919", \
"0.1456249, 0.0117438, -0.0156101, -0.0993191, -0.1274436, -0.1732660, -0.2549639", \
"0.0977541, -0.0047337, -0.0656573, -0.1242395, -0.1974700, -0.2138717, -0.2768459");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0925449, -0.0263340, 0.0032499, 0.0084198, 0.0110895, 0.0087845, 0.0197714", \
"-0.1473050, -0.0852008, -0.0522137, -0.0471817, -0.0312791, -0.0327884, -0.0315229", \
"-0.1244811, -0.0811350, -0.0624835, -0.0327007, -0.0290386, -0.0217060, -0.0211137", \
"-0.1157329, -0.0432948, -0.0340268, -0.0187189, -0.0362898, 0.0008118, -0.0032607", \
"-0.0621193, -0.0146119, 0.0112853, 0.0207040, -0.0010196, -0.0081014, 0.0372315", \
"-0.0408722, 0.0437728, 0.0529070, 0.0592771, 0.0231544, 0.0125101, 0.0322137", \
"0.0030715, 0.1045012, 0.0983766, 0.1186521, 0.0680472, 0.0416440, 0.1283140");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1688838, -0.0583774, 0.0093535, 0.0754078, 0.1322059, 0.1767606, 0.2099069", \
"-0.2312732, -0.1268333, -0.0519123, 0.0068769, 0.0618251, 0.1169441, 0.1712659", \
"-0.2142512, -0.1112604, -0.0412300, 0.0241033, 0.0734535, 0.1235479, 0.1717562", \
"-0.1951236, -0.0703684, -0.0211039, 0.0528136, 0.0965495, 0.1549892, 0.1984220", \
"-0.1364970, -0.0447372, -0.0082208, 0.0865865, 0.1384173, 0.1927329, 0.2410958", \
"-0.1172111, 0.0166993, 0.0349051, 0.1231705, 0.1611375, 0.1890120, 0.2826179", \
"-0.0732674, 0.0377548, 0.0834264, 0.1424945, 0.2250674, 0.2627971, 0.3062390");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0445592, 0.0450262, 0.0454933, 0.0479235, 0.0502564, 0.0526865, 0.0551166");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0559187, 0.0569985, 0.0580783, 0.0608648, 0.0635398, 0.0663262, 0.0691127");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("ANALOG_POL") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.017788;
capacitance : 0.017746;
fall_capacitance : 0.017705;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1375098, 0.2010625, 0.2646153, 0.2628468, 0.2611490, 0.2593806, 0.2576121");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.4068724, 0.4790928, 0.5513131, 0.5608438, 0.5699933, 0.5795240, 0.5890547");
}
}
}
pin ("IB_MODE_SEL") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.005788;
capacitance : 0.005666;
fall_capacitance : 0.005544;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0986804, -0.0263340, 0.0032499, 0.0125946, 0.0180249, 0.0072586, 0.0245085", \
"-0.1564923, -0.0862175, -0.0564322, -0.0438811, -0.0277649, -0.0259124, -0.0196163", \
"-0.1321026, -0.0792705, -0.0624850, -0.0276963, -0.0246653, -0.0123682, -0.0026110", \
"-0.1233943, -0.0399044, -0.0373183, -0.0074741, -0.0143274, 0.0023598, 0.0731840", \
"-0.0697265, -0.0112215, -0.0036960, 0.0372534, 0.0267385, 0.0049787, 0.0450881", \
"-0.0470078, 0.0410597, 0.0394300, 0.0775940, 0.0620686, 0.0391099, 0.0265434", \
"-0.0030641, 0.1017881, 0.0848996, 0.1234877, 0.1057243, 0.0814755, 0.1106378");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1261127, -0.0171790, 0.0562068, 0.1206616, 0.1801031, 0.2218264, 0.2530623", \
"-0.1839215, -0.0809198, -0.0020263, 0.0622330, 0.1241030, 0.1772678, 0.2326540", \
"-0.1654980, -0.0751982, 0.0017818, 0.0543133, 0.1302917, 0.1812360, 0.2324958", \
"-0.1508268, -0.0358322, 0.0281779, 0.0924756, 0.1559248, 0.2203547, 0.2444021", \
"-0.0939474, -0.0056233, 0.0295219, 0.1160134, 0.1571059, 0.2456665, 0.2893008", \
"-0.0744402, 0.0512356, 0.0680816, 0.1664888, 0.2366931, 0.2299624, 0.3326760", \
"-0.0274448, 0.1119639, 0.1135512, 0.2118618, 0.2547583, 0.2987533, 0.3509577");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.4124323, 0.3376762, 0.3135557, 0.2870235, 0.2750421, 0.2668224, 0.2631784", \
"0.4697413, 0.4020953, 0.3734387, 0.3559485, 0.3392640, 0.3450160, 0.3268790", \
"0.4549297, 0.3795894, 0.3582281, 0.3266688, 0.3438736, 0.3097127, 0.3196084", \
"0.4371476, 0.3506473, 0.3219843, 0.3215880, 0.2844765, 0.2782181, 0.2832940", \
"0.3970303, 0.3326126, 0.2700532, 0.2562641, 0.2618971, 0.2431075, 0.2607146", \
"0.3699163, 0.2925713, 0.2557912, 0.2325043, 0.2432033, 0.2026843, 0.1856187", \
"0.3259726, 0.2440499, 0.1958056, 0.1563224, 0.1774218, 0.1544008, 0.1492309");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1499463, 0.0379639, -0.0295910, -0.0997057, -0.1609751, -0.2044754, -0.2376428", \
"0.2154849, 0.1079569, 0.0232503, -0.0323640, -0.0920684, -0.1539464, -0.2053901", \
"0.1879570, 0.1005959, 0.0260151, -0.0454335, -0.0970650, -0.1536149, -0.2042909", \
"0.1792395, 0.0551263, 0.0033755, -0.0678702, -0.1337020, -0.1982829, -0.2398485", \
"0.1181516, 0.0264433, -0.0086086, -0.1001149, -0.1452817, -0.2259438, -0.2691152", \
"0.0998012, -0.0319414, -0.0517448, -0.1459961, -0.2100082, -0.2172427, -0.3192538", \
"0.0558575, -0.0484192, -0.0956885, -0.1864886, -0.2433539, -0.2670734, -0.3334781");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0525792, 0.0531812, 0.0537832, 0.0560446, 0.0582154, 0.0604768, 0.0627381");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0630425, 0.0639262, 0.0648100, 0.0676631, 0.0704021, 0.0732552, 0.0761083");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("HLD_H_N") {
min_pulse_width_high : 15.5 ;
min_pulse_width_low : 15.5 ;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
rise_capacitance : 0.005734;
capacitance : 0.005563;
fall_capacitance : 0.005392;
max_transition : 3.750000;
timing() { /* As per CDT 150283 */
related_pin : "ENABLE_H";
timing_type : non_seq_setup_rising;
fall_constraint (scalar) {
values ("1");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1039792, -0.1034393, -0.1028993, -0.1025761, -0.1022659, -0.1019426, -0.1016195");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1095761, 0.1094684, 0.1093606, 0.1090696, 0.1087902, 0.1084992, 0.1082082");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[2] group */
timing () {
related_pin : "DM[2]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.3544162, 0.4035896, 0.3999496, 0.3791312, 0.3374250, 0.3134259, 0.2649045", \
"0.2854593, 0.3397306, 0.3216500, 0.2963632, 0.2737530, 0.2340454, 0.1940241", \
"0.2586238, 0.3095143, 0.3158738, 0.2670267, 0.2211375, 0.1703557, 0.1356870", \
"0.2442987, 0.2991401, 0.3007289, 0.2632066, 0.2281022, 0.1940029, 0.1505467", \
"0.2384207, 0.2959092, 0.2917365, 0.2676919, 0.2130690, 0.1928678, 0.1408741", \
"0.2363045, 0.2979569, 0.2787635, 0.2644888, 0.2081434, 0.1872775, 0.1421937", \
"0.2387641, 0.2993903, 0.2931124, 0.2527765, 0.2103606, 0.1737277, 0.1522827");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[2] group */
timing () {
related_pin : "DM[2]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.1001743, -0.1564603, -0.1351075, -0.1233623, -0.0696945, -0.0469757, -0.0030320", \
"-0.0309116, -0.0877434, -0.0872391, -0.0509248, -0.0207160, 0.0376687, 0.0983971", \
"-0.0059053, -0.0562869, -0.0700307, -0.0406687, -0.0009180, 0.0422258, 0.0876954", \
"0.0038422, -0.0505348, -0.0392763, -0.0243639, 0.0131096, 0.0515181, 0.1049853", \
"0.0066684, -0.0353185, -0.0459951, -0.0421940, -0.0031126, 0.0157400, 0.0619964", \
"0.0011551, -0.0389874, -0.0374711, -0.0157001, -0.0081009, 0.0125104, 0.0401773", \
"0.0093768, -0.0374379, -0.0520315, -0.0032607, 0.0285418, 0.0234323, 0.0485485");
}
}
/* Copied from non_seq_setup_falling arc in pin out group */
timing () {
related_pin : "OUT";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.5243962, -0.5847088, -0.5651538, -0.5460583, -0.5035871, -0.4834047, -0.4364092", \
"-0.4666785, -0.5191066, -0.5019982, -0.4777363, -0.4602156, -0.4079347, -0.3624649", \
"-0.4346896, -0.4949306, -0.4914451, -0.4620859, -0.4133601, -0.3673796, -0.3438593", \
"-0.4142486, -0.4832874, -0.4783526, -0.4437117, -0.4059429, -0.3522989, -0.2880946", \
"-0.4098966, -0.4788713, -0.4864002, -0.4530388, -0.4028556, -0.3681785, -0.3227532", \
"-0.4093063, -0.4810518, -0.4809374, -0.4523106, -0.4255036, -0.3670576, -0.3140281", \
"-0.4117658, -0.4869081, -0.4866053, -0.4456149, -0.4184578, -0.3753318, -0.3329143");
}
}
/* Copied from non_seq_hold_falling arc in pin out group */
timing () {
related_pin : "OUT";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.7420174, 0.7993203, 0.7814861, 0.7636808, 0.7265251, 0.7132342, 0.6662387", \
"0.6715324, 0.7300105, 0.7093669, 0.6775333, 0.6530582, 0.6303102, 0.5875346", \
"0.6419784, 0.7079564, 0.6982391, 0.6726617, 0.6347624, 0.6086939, 0.5599911", \
"0.6333762, 0.6963329, 0.6742691, 0.6619355, 0.6234347, 0.5878974, 0.5443362", \
"0.6351495, 0.6889290, 0.6839500, 0.6583448, 0.6082716, 0.5804044, 0.5257796", \
"0.6437145, 0.6913905, 0.6833598, 0.6623043, 0.6275177, 0.5844071, 0.5480775", \
"0.6461740, 0.6899722, 0.6839794, 0.6678155, 0.6345549, 0.5853255, 0.5474853");
}
}
/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
timing () {
related_pin : "VTRIP_SEL";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.4429170, 0.5032733, 0.4869199, 0.4676322, 0.4274455, 0.4034527, 0.3564572", \
"0.3724567, 0.4337272, 0.4190601, 0.3889413, 0.3695951, 0.3279950, 0.2791527", \
"0.3534216, 0.4062782, 0.3857503, 0.3477312, 0.3355083, 0.2954900, 0.2269320", \
"0.3404293, 0.4016568, 0.3886396, 0.3369137, 0.3007806, 0.2789870, 0.1972197", \
"0.3345513, 0.3841280, 0.3635155, 0.3333047, 0.3004377, 0.2589013, 0.2115206", \
"0.3324352, 0.3922570, 0.3705546, 0.3464473, 0.2933503, 0.2746384, 0.2062807", \
"0.3333688, 0.3879187, 0.3747820, 0.3503245, 0.2899341, 0.2427437, 0.2209472");
}
}
/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
timing () {
related_pin : "VTRIP_SEL";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.0925449, -0.1473050, -0.1244811, -0.1157329, -0.0621193, -0.0408722, 0.0030715", \
"-0.0263340, -0.0852008, -0.0811350, -0.0432948, -0.0146119, 0.0437728, 0.1045012", \
"0.0032499, -0.0522137, -0.0624835, -0.0340268, 0.0112853, 0.0529070, 0.0983766", \
"0.0084198, -0.0471817, -0.0327007, -0.0187189, 0.0207040, 0.0592771, 0.1186521", \
"0.0110895, -0.0312791, -0.0290386, -0.0362898, -0.0010196, 0.0231544, 0.0680472", \
"0.0087845, -0.0327884, -0.0217060, 0.0008118, -0.0081014, 0.0125101, 0.0416440", \
"0.0197714, -0.0315229, -0.0211137, -0.0032607, 0.0372315, 0.0322137, 0.1283140");
}
}
/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
timing () {
related_pin : "IB_MODE_SEL";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.0986804, -0.1564923, -0.1321026, -0.1233943, -0.0697265, -0.0470078, -0.0030641", \
"-0.0263340, -0.0862175, -0.0792705, -0.0399044, -0.0112215, 0.0410597, 0.1017881", \
"0.0032499, -0.0564322, -0.0624850, -0.0373183, -0.0036960, 0.0394300, 0.0848996", \
"0.0125946, -0.0438811, -0.0276963, -0.0074741, 0.0372534, 0.0775940, 0.1234877", \
"0.0180249, -0.0277649, -0.0246653, -0.0143274, 0.0267385, 0.0620686, 0.1057243", \
"0.0072586, -0.0259124, -0.0123682, 0.0023598, 0.0049787, 0.0391099, 0.0814755", \
"0.0245085, -0.0196163, -0.0026110, 0.0731840, 0.0450881, 0.0265434, 0.1106378");
}
}
/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
timing () {
related_pin : "IB_MODE_SEL";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.4124323, 0.4697413, 0.4549297, 0.4371476, 0.3970303, 0.3699163, 0.3259726", \
"0.3376762, 0.4020953, 0.3795894, 0.3506473, 0.3326126, 0.2925713, 0.2440499", \
"0.3135557, 0.3734387, 0.3582281, 0.3219843, 0.2700532, 0.2557912, 0.1958056", \
"0.2870235, 0.3559485, 0.3266688, 0.3215880, 0.2562641, 0.2325043, 0.1563224", \
"0.2750421, 0.3392640, 0.3438736, 0.2844765, 0.2618971, 0.2432033, 0.1774218", \
"0.2668224, 0.3450160, 0.3097127, 0.2782181, 0.2431075, 0.2026843, 0.1544008", \
"0.2631784, 0.3268790, 0.3196084, 0.2832940, 0.2607146, 0.1856187, 0.1492309");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[1] group */
timing () {
related_pin : "DM[1]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.0757812, -0.1354154, -0.1232022, -0.0925100, -0.0552722, -0.0117053, 0.0366198", \
"-0.0034458, -0.0655857, -0.0660907, -0.0278501, 0.0034842, 0.0481360, 0.0966573", \
"0.0223568, -0.0387939, -0.0275653, -0.0240454, 0.0326182, 0.0773794, 0.1173118", \
"0.0381159, -0.0103247, -0.0111582, 0.0192560, 0.0669421, 0.1064364, 0.1442538", \
"0.0314891, -0.0069030, 0.0020101, 0.0268020, 0.0312896, 0.0757348, 0.1209227", \
"0.0433119, 0.0003964, 0.0534840, 0.0334470, 0.0109256, 0.0948019, 0.0906322", \
"0.0515447, 0.0019098, 0.0139815, 0.0249154, 0.0649935, 0.0296667, 0.0863483");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[1] group */
timing () {
related_pin : "DM[1]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.3574914, 0.4036923, 0.4014281, 0.3822062, 0.3456324, 0.3165008, 0.2679795", \
"0.2854577, 0.3385496, 0.3217049, 0.2962306, 0.2751646, 0.2325140, 0.1857786", \
"0.2510236, 0.3067757, 0.3081466, 0.2704661, 0.2180520, 0.1673795, 0.1372508", \
"0.2259883, 0.2810371, 0.2885219, 0.2493267, 0.2281022, 0.1895539, 0.1374968", \
"0.2170586, 0.2803813, 0.2700780, 0.2493813, 0.2176466, 0.1547195, 0.1306714", \
"0.2134165, 0.2784559, 0.2627443, 0.2351110, 0.2109827, 0.1427004, 0.1185847", \
"0.2143502, 0.2739402, 0.2504042, 0.2222589, 0.2073088, 0.1372289, 0.1281806");
}
}
/* Copied from non_seq_setup_falling arc in pin oe_n group */
timing () {
related_pin : "OE_N";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.5213572, -0.5831957, -0.5621149, -0.5430194, -0.5005481, -0.4803657, -0.4333703", \
"-0.4596705, -0.5239941, -0.5004657, -0.4746862, -0.4602906, -0.4064836, -0.3610139", \
"-0.4336410, -0.4964693, -0.4719192, -0.4528235, -0.4146595, -0.3705618, -0.3390898", \
"-0.4218780, -0.4787098, -0.4798344, -0.4578843, -0.4077562, -0.3553258, -0.2930060", \
"-0.4175260, -0.4773313, -0.4712014, -0.4315386, -0.3906485, -0.3527470, -0.3212805", \
"-0.4169357, -0.4812695, -0.4840248, -0.4492588, -0.4209482, -0.3779364, -0.3125123", \
"-0.4193952, -0.4837914, -0.4680707, -0.4394781, -0.4154060, -0.3722801, -0.3283364");
}
}
/* Copied from non_seq_hold_falling arc in pin oe_n group */
timing () {
related_pin : "OE_N";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.7588162, 0.8176392, 0.8072370, 0.7804794, 0.7453796, 0.7300328, 0.6815115", \
"0.6864407, 0.7473113, 0.7278868, 0.6913355, 0.6654513, 0.6480927, 0.5980001", \
"0.6600661, 0.7144776, 0.7180698, 0.6876752, 0.6483111, 0.6173922, 0.5749744", \
"0.6608422, 0.7147028, 0.7050868, 0.6704048, 0.6324589, 0.6091706, 0.5514940", \
"0.6549858, 0.7071013, 0.7007347, 0.6827309, 0.6387892, 0.5971891, 0.5410384", \
"0.6513438, 0.7097010, 0.7062479, 0.6806148, 0.6458283, 0.6042283, 0.5541810", \
"0.6553292, 0.7133412, 0.7026040, 0.6937555, 0.6513396, 0.6051619, 0.5627441");
}
}
/* Copied from non_seq_hold_falling arc in pin inp_dis group */
timing () {
related_pin : "INP_DIS";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.1041794, 0.1620681, 0.1391908, 0.1258429, 0.0724373, 0.0525098, 0.0085661", \
"0.0349121, 0.1029375, 0.0928893, 0.0550491, 0.0263661, -0.0320186, -0.0927469", \
"0.0114317, 0.0545984, 0.0770599, 0.0480716, 0.0064734, -0.0352499, -0.0807195", \
"0.0047359, 0.0551187, 0.0449036, 0.0341685, -0.0075742, -0.0458922, -0.1021459", \
"0.0003838, 0.0465340, 0.0357716, 0.0450459, 0.0015155, -0.0116591, -0.0533368", \
"0.0104747, 0.0502723, 0.0416083, 0.0242542, 0.0140154, -0.0069607, -0.0160261", \
"-0.0007989, 0.0446244, 0.0559640, 0.0137046, -0.0212633, -0.0175671, -0.0429882");
}
}
/* Copied from non_seq_setup_falling arc in pin inp_dis group */
timing () {
related_pin : "INP_DIS";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.0772927, -0.1291699, -0.1123544, -0.1004807, -0.0484978, -0.0256200, 0.0183220", \
"-0.0064976, -0.0686120, -0.0674950, -0.0311807, -0.0009719, 0.0558870, 0.1150895", \
"0.0121860, -0.0408098, -0.0367969, -0.0164285, 0.0249938, 0.0651623, 0.1106319", \
"0.0153123, -0.0261309, -0.0210059, 0.0007764, 0.0328737, 0.0731111, 0.1170954", \
"0.0194466, -0.0152912, -0.0117621, -0.0231002, -0.0010257, 0.0365938, 0.0756313", \
"0.0164139, -0.0204031, -0.0140564, 0.0104653, -0.0080242, 0.0125492, 0.0387696", \
"0.0288321, -0.0211088, -0.0191215, 0.0149234, 0.0417268, 0.0234929, 0.0485067");
}
}
/* Copied from non_seq_hold_falling arc in pin dm[0] group */
timing () {
related_pin : "DM[0]";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.3727263, 0.4309903, 0.4198314, 0.3974415, 0.3558972, 0.3317361, 0.2832148", \
"0.3038207, 0.3576525, 0.3394933, 0.3122727, 0.2925805, 0.2593371, 0.2123416", \
"0.2723567, 0.3231489, 0.3252627, 0.2822081, 0.2338395, 0.1843405, 0.1510933", \
"0.2580316, 0.3100500, 0.3145859, 0.2768427, 0.2418351, 0.2140287, 0.1594852", \
"0.2491019, 0.3146640, 0.3047701, 0.2768471, 0.2374830, 0.2065641, 0.1533065", \
"0.2500375, 0.3014984, 0.2949396, 0.2751820, 0.2292634, 0.2074997, 0.1482972", \
"0.2524970, 0.3197059, 0.3049701, 0.2708651, 0.2210417, 0.1826610, 0.1677173");
}
}
/* Copied from non_seq_setup_falling arc in pin dm[0] group */
timing () {
related_pin : "DM[0]";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.1032260, -0.1595135, -0.1381468, -0.1248881, -0.0712149, -0.0500274, -0.0060837", \
"-0.0324375, -0.0975251, -0.0902917, -0.0509256, -0.0222426, 0.0376680, 0.0968705", \
"-0.0089571, -0.0662402, -0.0745680, -0.0440616, -0.0039673, 0.0391738, 0.0846434", \
"0.0007904, -0.0526361, -0.0444357, -0.0300332, 0.0100731, 0.0483809, 0.1049867", \
"0.0020907, -0.0410081, -0.0459956, -0.0393077, -0.0030951, 0.0142527, 0.0604797", \
"-0.0018966, -0.0433202, -0.0393523, -0.0203999, -0.0081037, 0.0110367, 0.0416418", \
"0.0108642, -0.0435957, 0.0231368, -0.0096920, 0.0252066, 0.0234285, 0.0485514");
}
}
/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
timing () {
related_pin : "HLD_OVR";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.0919723, 0.1513817, 0.1194068, 0.1105841, 0.0541870, 0.0311458, -0.0127979", \
"0.0257566, 0.0898981, 0.0806794, 0.0428392, 0.0126304, -0.0472803, -0.1080086", \
"0.0007503, 0.0533036, 0.0575145, 0.0331648, -0.0072470, -0.0489891, -0.0944586", \
"-0.0059033, 0.0511614, 0.0350435, 0.0081368, -0.0197323, -0.0598400, -0.1166917", \
"-0.0112617, 0.0373787, 0.0343948, 0.0094746, -0.0302477, -0.0685975, -0.1122718", \
"-0.0063101, 0.0294803, 0.0416166, 0.0009734, -0.0347860, -0.0726317, -0.1190995", \
"-0.0158506, 0.0327024, 0.0449502, -0.0020453, -0.0363290, -0.0718022, -0.1101685");
}
}
/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
timing () {
related_pin : "HLD_OVR";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.2949748, 0.2396942, 0.2507767, 0.2809892, 0.3218792, 0.3650051, 0.4181041", \
"0.3614134, 0.3088057, 0.3162010, 0.3411345, 0.3820246, 0.4251505, 0.4736719", \
"0.3867045, 0.3385556, 0.3492850, 0.3763573, 0.4141952, 0.4603734, 0.5073688", \
"0.3875011, 0.3606582, 0.3735077, 0.3957258, 0.4213446, 0.4764293, 0.5129919", \
"0.3734373, 0.3559028, 0.3575359, 0.3751758, 0.4304490, 0.4780022, 0.5250120", \
"0.3917801, 0.3502408, 0.3687717, 0.3839147, 0.6839268, 0.4545955, 0.5242611", \
"0.3923724, 0.3433279, 0.3888210, 0.4226102, 0.3947193, 0.4866819, 0.5063194");
}
}
/* Copied from non_seq_setup_falling arc in pin slow group */
timing () {
related_pin : "SLOW";
timing_type : "non_seq_hold_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("-0.0971219, -0.1564597, -0.1320699, -0.1233616, -0.0696939, -0.0469751, -0.0030314", \
"-0.0309116, -0.0892839, -0.0888264, -0.0494604, -0.0253550, 0.0315038, 0.0556111", \
"-0.0074312, -0.0644935, -0.0730508, -0.0372855, 0.0036329, 0.0468292, 0.0907729", \
"0.0023163, -0.0505348, -0.0409263, -0.0285752, 0.0131173, 0.0531359, 0.1096491", \
"0.0051425, -0.0353185, -0.0303725, -0.0392677, -0.0010238, 0.0157655, 0.0620244", \
"-0.0018966, -0.0432554, -0.0374747, -0.0171595, 0.0064440, 0.0480334, 0.0918908", \
"0.0108703, -0.0420440, -0.0348466, -0.0078897, 0.0269035, 0.0234726, 0.1283352");
}
}
/* Copied from non_seq_hold_falling arc in pin slow group */
timing () {
related_pin : "SLOW";
timing_type : "non_seq_setup_rising";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
values("0.4719083, 0.5322607, 0.5143673, 0.4966234, 0.4563806, 0.4324439, 0.3854484", \
"0.4061342, 0.4663161, 0.4455328, 0.4301061, 0.4062748, 0.3662007, 0.3146276", \
"0.3760955, 0.4289270, 0.4069406, 0.3704592, 0.3586305, 0.3343823, 0.2408687", \
"0.3572139, 0.4216746, 0.4029967, 0.3731229, 0.3309232, 0.2834632, 0.2264967", \
"0.3513360, 0.4172933, 0.4169212, 0.3747962, 0.3276566, 0.2791002, 0.2451908", \
"0.3507457, 0.4128050, 0.4224345, 0.3617061, 0.3299714, 0.2929490, 0.2584813", \
"0.3532052, 0.4207177, 0.3964789, 0.3548579, 0.3475304, 0.3078823, 0.2499389");
}
}
}
pin ("OE_N") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.024896;
capacitance : 0.025494;
rise_capacitance : 0.025702;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.5213572, -0.4596705, -0.4336410, -0.4218780, -0.4175260, -0.4169357, -0.4193952", \
"-0.5831957, -0.5239941, -0.4964693, -0.4787098, -0.4773313, -0.4812695, -0.4837914", \
"-0.5621149, -0.5004657, -0.4719192, -0.4798344, -0.4712014, -0.4840248, -0.4680707", \
"-0.5430194, -0.4746862, -0.4528235, -0.4578843, -0.4315386, -0.4492588, -0.4394781", \
"-0.5005481, -0.4602906, -0.4146595, -0.4077562, -0.3906485, -0.4209482, -0.4154060", \
"-0.4803657, -0.4064836, -0.3705618, -0.3553258, -0.3527470, -0.3779364, -0.3722801", \
"-0.4333703, -0.3610139, -0.3390898, -0.2930060, -0.3212805, -0.3125123, -0.3283364");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.5594640, -0.4643899, -0.3921268, -0.3333771, -0.2847745, -0.2368820, -0.1935651", \
"-0.6182515, -0.5197741, -0.4450827, -0.3976686, -0.3488243, -0.3001051, -0.2546482", \
"-0.5986999, -0.5065676, -0.4237529, -0.3870477, -0.3490636, -0.2924988, -0.2438921", \
"-0.5796002, -0.4792801, -0.4124957, -0.3600243, -0.3125200, -0.2651232, -0.2045260", \
"-0.5417620, -0.4631709, -0.3794510, -0.3238683, -0.2797308, -0.2237375, -0.1759382", \
"-0.5047395, -0.4107433, -0.3394126, -0.2734574, -0.2438539, -0.2047227, -0.1332511", \
"-0.4745288, -0.3652166, -0.2551254, -0.2167073, -0.1795133, -0.1381937, -0.1311137");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.7588162, 0.6864407, 0.6600661, 0.6608422, 0.6549858, 0.6513438, 0.6553292", \
"0.8176392, 0.7473113, 0.7144776, 0.7147028, 0.7071013, 0.7097010, 0.7133412", \
"0.8072370, 0.7278868, 0.7180698, 0.7050868, 0.7007347, 0.7062479, 0.7026040", \
"0.7804794, 0.6913355, 0.6876752, 0.6704048, 0.6827309, 0.6806148, 0.6937555", \
"0.7453796, 0.6654513, 0.6483111, 0.6324589, 0.6387892, 0.6458283, 0.6513396", \
"0.7300328, 0.6480927, 0.6173922, 0.6091706, 0.5971891, 0.6042283, 0.6051619", \
"0.6815115, 0.5980001, 0.5749744, 0.5514940, 0.5410384, 0.5541810, 0.5627441");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.5680396, 0.4722963, 0.4039589, 0.3450227, 0.2964043, 0.2469859, 0.2036691", \
"0.6283897, 0.5249485, 0.4518613, 0.4094676, 0.3552811, 0.3010780, 0.2647738", \
"0.6074375, 0.5151314, 0.4319036, 0.3999442, 0.3558861, 0.2995816, 0.2486538", \
"0.5897028, 0.4895244, 0.4226835, 0.3791266, 0.3210976, 0.2721378, 0.2146295", \
"0.5454391, 0.4676240, 0.3896497, 0.3414106, 0.2882988, 0.2323151, 0.1813689", \
"0.5316268, 0.4206170, 0.3423657, 0.2804909, 0.2525043, 0.2090256, 0.1419257", \
"0.4861573, 0.3750859, 0.2615484, 0.2250586, 0.1893730, 0.1467714, 0.1382309");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("INP_DIS") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
fall_capacitance : 0.006842;
capacitance : 0.006975;
rise_capacitance : 0.007108;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1041794, 0.0349121, 0.0114317, 0.0047359, 0.0003838, 0.0104747, -0.0007989", \
"0.1620681, 0.1029375, 0.0545984, 0.0551187, 0.0465340, 0.0502723, 0.0446244", \
"0.1391908, 0.0928893, 0.0770599, 0.0449036, 0.0357716, 0.0416083, 0.0559640", \
"0.1258429, 0.0550491, 0.0480716, 0.0341685, 0.0450459, 0.0242542, 0.0137046", \
"0.0724373, 0.0263661, 0.0064734, -0.0075742, 0.0015155, 0.0140154, -0.0212633", \
"0.0525098, -0.0320186, -0.0352499, -0.0458922, -0.0116591, -0.0069607, -0.0175671", \
"0.0085661, -0.0927469, -0.0807195, -0.1021459, -0.0533368, -0.0160261, -0.0429882");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.4963717, 0.3957109, 0.3166075, 0.2488766, 0.1895929, 0.1371227, 0.0861765", \
"0.5597963, 0.4520940, 0.3749646, 0.3133372, 0.2467078, 0.2046655, 0.1465239", \
"0.5419758, 0.4371609, 0.3655454, 0.2976771, 0.2474561, 0.1935526, 0.1366604", \
"0.5210873, 0.4062344, 0.3338440, 0.2769008, 0.2127602, 0.1607175, 0.1005356", \
"0.4796243, 0.3860861, 0.2790484, 0.2311540, 0.1772634, 0.1148225, 0.0715056", \
"0.4584337, 0.3444860, 0.2710177, 0.1984701, 0.1378996, 0.0849503, 0.0451644", \
"0.4099123, 0.2959644, 0.1957810, 0.1447620, 0.0832747, 0.0583658, -0.0170899");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0772927, -0.0064976, 0.0121860, 0.0153123, 0.0194466, 0.0164139, 0.0288321", \
"-0.1291699, -0.0686120, -0.0408098, -0.0261309, -0.0152912, -0.0204031, -0.0211088", \
"-0.1123544, -0.0674950, -0.0367969, -0.0210059, -0.0117621, -0.0140564, -0.0191215", \
"-0.1004807, -0.0311807, -0.0164285, 0.0007764, -0.0231002, 0.0104653, 0.0149234", \
"-0.0484978, -0.0009719, 0.0249938, 0.0328737, -0.0010257, -0.0080242, 0.0417268", \
"-0.0256200, 0.0558870, 0.0651623, 0.0731111, 0.0365938, 0.0125492, 0.0234929", \
"0.0183220, 0.1150895, 0.1106319, 0.1170954, 0.0756313, 0.0387696, 0.0485067");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1810941, -0.0721104, 0.0047758, 0.0647548, 0.1203370, 0.1654823, 0.5410106", \
"-0.2389059, -0.1346276, -0.0541648, 0.0052818, 0.0569672, 0.1091353, 0.1650671", \
"-0.2203459, -0.1189674, -0.0503243, 0.0139266, 0.0718074, 0.1165946, 0.1670533", \
"-0.2088597, -0.0868129, -0.0422598, 0.0422617, 0.0967969, 0.1488857, 0.1984073", \
"-0.1513440, -0.0554960, -0.0159102, 0.0778775, 0.1368479, 0.1894160, 0.2299029", \
"-0.1055401, -0.0093184, 0.0302675, 0.1139985, 0.1719423, 0.1844925, 0.2665996", \
"-0.0564782, 0.0330995, 0.0803147, 0.1393643, 0.2191853, 0.2616402, 0.3000283");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0436415, 0.0439836, 0.0443257, 0.0468937, 0.0493591, 0.0519272, 0.0544952");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0568904, 0.0579716, 0.0590528, 0.0618550, 0.0645450, 0.0673472, 0.0701494");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin ("ENABLE_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
rise_capacitance : 0.020091;
capacitance : 0.019231;
fall_capacitance : 0.018371;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.5533684, 0.8272487, 1.1011290, 1.3368005, 1.5630451, 1.7987166, 2.0343881");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("7.6293364, 27.2034940, 46.7776510, 47.1429650, 47.4936660, 47.8589790, 48.2242930");
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_VDDIO";
timing_type : non_seq_hold_rising;
rise_constraint (scalar) {
values ("-100.0");
}
}
}
pin ("ENABLE_VDDA_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : true;
rise_capacitance : 0.033213;
capacitance : 0.033190;
fall_capacitance : 0.033167;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0564922, 0.0871894, 0.2308711, 0.4598392, 0.6796485, 0.9086165, 1.1375846");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("1.1551235, 1.3113689, 1.4676142, 1.6967678, 1.9167552, 2.1459088, 2.3750624");
}
}
}
pin ("HLD_OVR") {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.007342;
capacitance : 0.007221;
fall_capacitance : 0.007099;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0919723, 0.0257566, 0.0007503, -0.0059033, -0.0112617, -0.0063101, -0.0158506", \
"0.1513817, 0.0898981, 0.0533036, 0.0511614, 0.0373787, 0.0294803, 0.0327024", \
"0.1194068, 0.0806794, 0.0575145, 0.0350435, 0.0343948, 0.0416166, 0.0449502", \
"0.1105841, 0.0428392, 0.0331648, 0.0081368, 0.0094746, 0.0009734, -0.0020453", \
"0.0541870, 0.0126304, -0.0072470, -0.0197323, -0.0302477, -0.0347860, -0.0363290", \
"0.0311458, -0.0472803, -0.0489891, -0.0598400, -0.0685975, -0.0726317, -0.0718022", \
"-0.0127979, -0.1080086, -0.0944586, -0.1166917, -0.1122718, -0.1190995, -0.1101685");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1979096, -0.3059160, -0.3745885, -0.4399295, -0.5026841, -0.5507795, -0.4974182", \
"-0.1425755, -0.2505547, -0.3208362, -0.4008112, -0.4524321, -0.5002129, -0.5455476", \
"-0.1551839, -0.2645760, -0.3215080, -0.3968538, -0.4483954, -0.5044991, -0.5614445", \
"-0.1853947, -0.2932609, -0.3435230, -0.4315920, -0.4845664, -0.5177254, -0.5581392", \
"-0.2354400, -0.3310991, -0.3798353, -0.4349763, -0.5166882, -0.5696178, -0.6288728", \
"-0.2724624, -0.3757513, -0.4229611, -0.5132210, -0.5357190, -0.6047801, -0.6637492", \
"-0.3331907, -0.4227468, -0.4714825, -0.5281493, -0.6328675, -0.6637882, -0.6845933");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.2949748, 0.3614134, 0.3867045, 0.3875011, 0.3734373, 0.3917801, 0.3923724", \
"0.2396942, 0.3088057, 0.3385556, 0.3606582, 0.3559028, 0.3502408, 0.3433279", \
"0.2507767, 0.3162010, 0.3492850, 0.3735077, 0.3575359, 0.3687717, 0.3888210", \
"0.2809892, 0.3411345, 0.3763573, 0.3957258, 0.3751758, 0.3839147, 0.4226102", \
"0.3218792, 0.3820246, 0.4141952, 0.4213446, 0.4304490, 0.6839268, 0.3947193", \
"0.3650051, 0.4251505, 0.4603734, 0.4764293, 0.4780022, 0.4545955, 0.4866819", \
"0.4181041, 0.4736719, 0.5073688, 0.5129919, 0.5250120, 0.5242611, 0.5063194");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.2186962, 0.3236752, 0.3909211, 0.4558905, 0.5142777, 0.5669713, 0.5136021", \
"0.1664120, 0.2686760, 0.3385595, 0.4169811, 0.4721907, 0.5194105, 0.5604585", \
"0.1774945, 0.2839397, 0.3428632, 0.4117088, 0.4690408, 0.5429982, 0.5735775", \
"0.2077053, 0.3156023, 0.3582396, 0.4485545, 0.4910782, 0.5450782, 0.5663077", \
"0.2546988, 0.3534401, 0.3945519, 0.4497671, 0.5338853, 0.5894372, 0.6309282", \
"0.2947730, 0.3980923, 0.4376778, 0.5296980, 0.5213102, 0.5644411, 0.6703836", \
"0.3539755, 0.4450878, 0.4861991, 0.5532549, 0.5667798, 0.6632625, 0.6879294");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0441341, 0.0445634, 0.0449927, 0.0475440, 0.0499933, 0.0525446, 0.0550959");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0557310, 0.0567881, 0.0578453, 0.0606501, 0.0633428, 0.0661477, 0.0689525");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin (SLOW) {
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCD";
related_ground_pin : "VSSD";
always_on : false;
rise_capacitance : 0.014520;
capacitance : 0.014451;
fall_capacitance : 0.014382;
max_transition : 1.500000;
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_setup_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0971219, -0.0309116, -0.0074312, 0.0023163, 0.0051425, -0.0018966, 0.0108703", \
"-0.1564597, -0.0892839, -0.0644935, -0.0505348, -0.0353185, -0.0432554, -0.0420440", \
"-0.1320699, -0.0888264, -0.0730508, -0.0409263, -0.0303725, -0.0374747, -0.0348466", \
"-0.1233616, -0.0494604, -0.0372855, -0.0285752, -0.0392677, -0.0171595, -0.0078897", \
"-0.0696939, -0.0253550, 0.0036329, 0.0131173, -0.0010238, 0.0064440, 0.0269035", \
"-0.0469751, 0.0315038, 0.0468292, 0.0531359, 0.0157655, 0.0480334, 0.0234726", \
"-0.0030314, 0.0556111, 0.0907729, 0.1096491, 0.0620244, 0.0918908, 0.1283352");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1749900, -0.0675327, 0.0047758, 0.0707810, 0.1247893, 0.1726405, 0.2056708", \
"-0.2358535, -0.1346013, -0.0542378, 0.0052818, 0.0553324, 0.1075467, 0.1619962", \
"-0.2218684, -0.1219930, -0.0518405, 0.0145352, 0.0671790, 0.1166246, 0.1654854", \
"-0.2042815, -0.0867953, -0.0274848, 0.0437629, 0.0967969, 0.1488857, 0.1983630", \
"-0.1456187, -0.0524181, -0.0143660, 0.0805912, 0.1368778, 0.1861813, 0.2363227", \
"-0.1278949, 0.0105447, 0.0302858, 0.1139959, 0.1374764, 0.1860045, 0.2737630", \
"-0.0839512, 0.0315998, 0.0772813, 0.1378183, 0.2176908, 0.2628123, 0.2730669");
}
}
timing () {
related_pin : "HLD_H_N";
timing_type : "non_seq_hold_falling";
when : "( ENABLE_H )";
sdf_cond : " ENABLE_H==1'b1 ";
rise_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.4719083, 0.4061342, 0.3760955, 0.3572139, 0.3513360, 0.3507457, 0.3532052", \
"0.5322607, 0.4663161, 0.4289270, 0.4216746, 0.4172933, 0.4128050, 0.4207177", \
"0.5143673, 0.4455328, 0.4069406, 0.4029967, 0.4169212, 0.4224345, 0.3964789", \
"0.4966234, 0.4301061, 0.3704592, 0.3731229, 0.3747962, 0.3617061, 0.3548579", \
"0.4563806, 0.4062748, 0.3586305, 0.3309232, 0.3276566, 0.3299714, 0.3475304", \
"0.4324439, 0.3662007, 0.3343823, 0.2834632, 0.2791002, 0.2929490, 0.3078823", \
"0.3854484, 0.3146276, 0.2408687, 0.2264967, 0.2451908, 0.2584813, 0.2499389");
}
fall_constraint ("vio_7_7_1") {
index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.1942476, 0.0822143, 0.0099057, -0.0498488, -0.1103647, -0.1585327, -0.1946059", \
"0.2566933, 0.1491921, 0.0683446, 0.0051097, -0.0406633, -0.0968868, -0.1457065", \
"0.2382112, 0.1336533, 0.0649751, -0.0002853, -0.0583642, -0.1070455, -0.1595620", \
"0.2220143, 0.0986592, 0.0562049, -0.0332367, -0.0847054, -0.1374045, -0.1836249", \
"0.1621172, 0.0656042, 0.0290840, -0.0586432, -0.1269565, -0.1312437, -0.2153226", \
"0.1425760, 0.0102712, -0.0170937, -0.1007795, -0.1394231, -0.1746905, -0.2500784", \
"0.1001582, -0.0046808, -0.0625633, -0.1258575, -0.2018332, -0.2564454, -0.2506033");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0445693, 0.0448718, 0.0451743, 0.0476771, 0.0500798, 0.0525826, 0.0550854");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0558986, 0.0570101, 0.0581216, 0.0609192, 0.0636050, 0.0664026, 0.0692003");
}
}
timing() { /* This is for power up */
related_pin : "HLD_H_N";
timing_type : non_seq_setup_rising;
sdf_cond : "ENABLE_H==1'b1";
when : "( ENABLE_H )";
rise_constraint (scalar) {
values ("5.0");
}
fall_constraint (scalar) {
values ("5.0");
}
}
}
pin (IN) {
output_voltage : GENERAL_CORE_VOLTAGE;
direction : "output";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : true;
function : "(PAD&!INP_DIS)";
max_capacitance : 1.948250;
max_transition : 1.503775;
internal_power () {
related_pin : "PAD";
when : "( IB_MODE_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
values("2.2933166, 2.4039251, 2.8331324, 6.6127078, 9.1686806, 9.1756069, 9.1874434", \
"6.8814086, 6.8830328, 6.8913308, 6.8944503, 6.8967245, 6.7352625, 6.7068447", \
"7.0718752, 7.0700182, 7.0713804, 7.0745471, 7.0769159, 7.0834645, 6.9909937", \
"7.2283468, 7.2239098, 7.2689107, 7.2175213, 7.2210658, 7.2133042, 7.2214804", \
"7.4517285, 7.4698693, 7.4682300, 7.4696438, 7.4703473, 7.3480918, 7.3580820", \
"7.4314045, 7.5400771, 7.5040460, 7.5677268, 7.4137137, 7.6780093, 7.4764899", \
"7.7296572, 7.5972631, 7.6276407, 7.7582385, 7.7663691, 7.6260239, 7.7848318");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
values("4.5350472, 5.1013668, 6.1026578, 6.8287584, 7.0431156, 7.0531137, 7.0561902", \
"9.7599116, 9.7610548, 9.7622691, 9.7636075, 9.7657438, 9.7682539, 9.9435963", \
"9.8042815, 9.8044472, 9.8421341, 9.8461586, 9.8496437, 9.8162303, 9.8152450", \
"9.8929554, 9.8953198, 9.8128363, 9.8145771, 9.8321863, 9.8521356, 9.8440058", \
"9.9516888, 9.9535406, 9.9546638, 9.9571454, 9.9476608, 9.9747658, 9.9147088", \
"10.0302340, 10.0340010, 10.0367220, 10.0395160, 9.9680177, 9.9724248, 9.9631805", \
"9.9999661, 10.0019920, 10.0068280, 10.0074230, 10.0111520, 9.9758960, 10.1251300");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
values("11.2924622, 11.6655310, 12.1618937, 12.3866963, 12.3936708, 12.3931508, 12.4364935", \
"41.0146710, 41.0203920, 41.0129330, 41.0094840, 41.0177340, 41.0199170, 40.2360470", \
"73.6901170, 73.6931280, 73.7062560, 73.7030640, 73.7069090, 73.7886140, 73.6860780", \
"105.3681100, 105.3319400, 105.3883200, 105.3832300, 105.3436600, 104.9492400, 105.2677200", \
"136.3871000, 136.3831100, 136.4442300, 136.3681900, 136.3674000, 136.3708700, 136.4148700", \
"166.8820900, 166.8703000, 166.9363300, 166.9247900, 166.8946200, 166.9223800, 166.7348200", \
"197.2673400, 197.3196300, 197.2149700, 197.2135400, 197.2389600, 197.6110900, 197.2750400");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
values("11.0820399, 11.1119219, 11.1669109, 11.2295119, 11.2700539, 11.2907179, 11.3027969", \
"35.1845430, 35.1882720, 35.1902990, 35.1916240, 35.1826620, 35.1803030, 35.7485880", \
"52.0918170, 52.0935660, 52.0932880, 52.0989540, 52.1110100, 52.0657370, 52.0299980", \
"69.2065290, 69.2035600, 69.2361170, 69.2258400, 69.2318630, 69.1951980, 69.1297850", \
"86.5242950, 86.5328090, 86.5284090, 86.5103960, 86.4577580, 86.4957410, 86.4578480", \
"103.7799400, 103.8454800, 103.8892200, 103.7779700, 103.8284000, 103.8572800, 103.6970400", \
"121.2126500, 121.2316600, 121.2108500, 121.2134000, 121.1852300, 121.1319400, 121.0922600");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
values("9.7281239, 10.0810835, 10.5905410, 10.8096725, 10.8240140, 10.7956616, 10.8493268", \
"12.5011030, 12.4992920, 12.5113100, 12.5046740, 12.5049810, 12.5041720, 11.7718760", \
"22.8994670, 22.8902570, 22.9143820, 22.8954490, 22.8971690, 22.8404590, 22.9058530", \
"32.7718370, 32.7629660, 32.8057230, 32.7990970, 32.7847920, 32.7192440, 32.7360710", \
"42.3558270, 42.3689870, 42.3398890, 42.3478410, 42.4092290, 42.3615830, 42.3399540", \
"51.8175050, 51.8098170, 51.8494760, 51.8494970, 51.8343220, 51.7716110, 51.8373330", \
"61.1550460, 61.1118730, 61.2510600, 61.2517810, 61.1941470, 61.2299930, 61.1653780");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
values("11.2042459, 11.2538499, 11.3329159, 11.4367209, 11.5164479, 11.5588009, 11.5829049", \
"29.2080040, 29.2096240, 29.2080840, 29.2128820, 29.2178260, 29.7260240, 29.8458790", \
"39.1404580, 39.1415820, 39.1438100, 39.1468800, 39.1442330, 39.1383280, 39.3294810", \
"49.1680830, 49.1867280, 49.1621290, 49.1646720, 49.1735370, 49.1493410, 49.1424020", \
"59.2756750, 59.3755050, 59.2775970, 59.2649120, 59.2701100, 59.2691870, 59.2170760", \
"69.3743400, 69.5694540, 69.4002560, 69.4100820, 69.3920130, 69.4043990, 69.3540090", \
"79.4976520, 79.6460470, 79.4967980, 79.5532950, 79.5068660, 79.5014960, 79.5031330");
}
}
internal_power () {
related_pin : "INP_DIS";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
values("25.2305446, 25.6731946, 26.2641176, 26.6505826, 26.8392146, 26.9641446, 27.0215306", \
"25.1873624, 25.6717434, 26.2393794, 26.6572084, 26.8627214, 26.9440364, 27.0041064", \
"25.2045182, 25.6448042, 26.2757752, 26.7071842, 26.9012022, 26.9002132, 26.9976112", \
"25.2027080, 25.6349700, 26.2469440, 26.6635260, 26.8779100, 26.9799510, 27.0227750", \
"25.3088530, 25.7080180, 26.2893120, 26.7086690, 26.9283000, 26.9086810, 27.0048240", \
"25.2251638, 25.6850688, 26.2302648, 26.7406128, 26.9334188, 26.9133188, 26.9339568", \
"25.2475066, 25.6953986, 26.3089646, 26.6883606, 26.8811006, 27.0275916, 27.0609036");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
values("17.9534535, 18.3123485, 19.0744925, 19.8582145, 20.4445515, 20.7276925, 20.7575265", \
"17.9529344, 18.3121684, 19.0368474, 19.8585894, 20.4347394, 20.7261744, 20.7492134", \
"17.9541483, 18.3135313, 19.0645013, 19.8594683, 20.4306933, 20.7205883, 20.7465593", \
"17.9522723, 18.3063923, 19.0347163, 19.8502703, 20.4254173, 20.7159183, 20.7350243", \
"17.9414809, 18.2865049, 19.0644179, 19.8577359, 20.4233009, 20.7112059, 20.7311309", \
"17.9506788, 18.3177848, 19.0132908, 19.8450878, 20.4201468, 20.7084768, 20.7396928", \
"17.9560038, 18.3172708, 19.0204708, 19.8477268, 20.4280218, 20.7169558, 20.7358808");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( IB_MODE_SEL )";
sdf_cond : " IB_MODE_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
values("1.1066030, 1.1162445, 1.1354254, 1.1778742, 1.2767344, 1.5099827, 2.0700957", \
"2.4697020, 2.4783173, 2.4977918, 2.5407503, 2.6395088, 2.8726965, 3.4327823", \
"3.4138106, 3.4225076, 3.4419383, 3.4847787, 3.5834902, 3.8167056, 4.3767465", \
"3.6266965, 3.6355261, 3.6547172, 3.6976038, 3.7961279, 4.0295038, 4.5896238", \
"4.7415982, 4.7503104, 4.7697002, 4.8125401, 4.9112563, 5.1444689, 5.7044964", \
"5.6359419, 5.6447322, 5.6642002, 5.7069647, 5.8056653, 6.0400049, 6.5989725", \
"6.2785581, 6.2872072, 6.3122672, 6.3551049, 6.4538139, 6.6486683, 7.2470638", \
"7.2727819, 7.2814754, 7.3011188, 7.3437474, 7.4424578, 7.6756663, 8.2357099", \
"20.0800280, 20.0887800, 20.1161330, 20.1583500, 20.2275030, 20.4899740, 21.0429540", \
"28.1924260, 28.2007840, 28.2209950, 28.2657950, 28.3631310, 28.5910140, 29.1552790", \
"63.7367560, 63.7443380, 63.7677860, 63.7803770, 63.8902260, 64.1283790, 64.6709640", \
"197.8049200, 197.8135900, 197.8135911, 197.9498900, 198.0524500, 198.3279800, 198.7670300");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
values("0.0598353, 0.0693109, 0.0930049, 0.1508335, 0.2951070, 0.6466007, 1.5028891", \
"0.0599556, 0.0700929, 0.0936530, 0.1512986, 0.2952634, 0.6466868, 1.5029554", \
"0.0602005, 0.0700716, 0.0933858, 0.1512716, 0.2951661, 0.6467234, 1.5057668", \
"0.0602966, 0.0698044, 0.0932655, 0.1515792, 0.2953446, 0.6464448, 1.4967113", \
"0.0602041, 0.0700391, 0.0933993, 0.1512387, 0.2951702, 0.6467232, 1.4995237", \
"0.0601938, 0.0700126, 0.0934133, 0.1512589, 0.2951111, 0.6467632, 1.4995000", \
"0.0600943, 0.0700835, 0.0933838, 0.1512193, 0.2952393, 0.6467238, 1.4995082", \
"0.0602019, 0.0700473, 0.0933938, 0.1512457, 0.2951772, 0.6467217, 1.4995245", \
"0.0600234, 0.0697329, 0.0928489, 0.1511478, 0.2948954, 0.6467228, 1.5004364", \
"0.0598891, 0.0700820, 0.0932845, 0.1513063, 0.2951866, 0.6463618, 1.4995657", \
"0.0601433, 0.0700879, 0.0932801, 0.1511050, 0.2951728, 0.6467175, 1.4994115", \
"0.0600997, 0.0700851, 0.0932352, 0.1507380, 0.2954334, 0.6467217, 1.4995717");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
values("0.9088198, 0.9222449, 0.9504771, 1.0065466, 1.1141682, 1.3347305, 1.8342103", \
"1.7225918, 1.7359761, 1.7643339, 1.8201984, 1.9278985, 2.1483073, 2.6477789", \
"2.1134925, 2.1268016, 2.1550175, 2.2110052, 2.3186879, 2.5391311, 3.0383103", \
"2.1915421, 2.2048952, 2.2331879, 2.2891464, 2.3968667, 2.6172711, 3.1163656", \
"2.6076346, 2.6209865, 2.6492744, 2.7052631, 2.8129554, 3.0333265, 3.5323624", \
"2.9213065, 2.9346621, 2.9629386, 3.0189156, 3.1266345, 3.3470151, 3.8461794", \
"3.1420946, 3.1554794, 3.1838256, 3.2396876, 3.3473933, 3.5677845, 4.0668380", \
"3.4464525, 3.4598142, 3.4880678, 3.5440699, 3.6517904, 3.8721590, 4.3712030", \
"6.8188832, 6.8357728, 6.8663382, 6.9226473, 7.0293300, 7.2523205, 7.7493620", \
"8.6870138, 8.6936822, 8.7283762, 8.7891880, 8.8902086, 9.1151646, 9.6154311", \
"15.9966970, 16.0133200, 16.0451740, 16.1027800, 16.1969960, 16.4263480, 16.9292370", \
"40.5080200, 40.5300500, 40.6747560, 40.7243550, 40.8213230, 41.0457410, 41.5322350");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
values("0.0841781, 0.0942175, 0.1169678, 0.1650790, 0.2735281, 0.5388179, 1.2073705", \
"0.0837934, 0.0943884, 0.1173007, 0.1656583, 0.2745601, 0.5388962, 1.2086997", \
"0.0843226, 0.0948523, 0.1171660, 0.1650504, 0.2745701, 0.5390366, 1.2103161", \
"0.0837862, 0.0945264, 0.1175814, 0.1656857, 0.2746571, 0.5386249, 1.2098537", \
"0.0838101, 0.0945498, 0.1176071, 0.1656125, 0.2746957, 0.5388872, 1.2080728", \
"0.0837536, 0.0944928, 0.1175688, 0.1657038, 0.2746240, 0.5388457, 1.2100353", \
"0.0837450, 0.0944227, 0.1173674, 0.1657226, 0.2745352, 0.5403038, 1.2055775", \
"0.0836871, 0.0944279, 0.1175378, 0.1657404, 0.2745667, 0.5403055, 1.2078325", \
"0.0843050, 0.0949437, 0.1170822, 0.1660219, 0.2738301, 0.5404895, 1.2071708", \
"0.0843177, 0.0949032, 0.1171342, 0.1652236, 0.2745621, 0.5395269, 1.2095568", \
"0.0838948, 0.0947474, 0.1171006, 0.1654155, 0.2744750, 0.5400792, 1.2085823", \
"0.0836919, 0.0946267, 0.1173210, 0.1652365, 0.2740823, 0.5397072, 1.2088455");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
values("0.8008330, 0.8095675, 0.8287095, 0.8714676, 0.9694893, 1.2033936, 1.7654014", \
"1.3489863, 1.3577932, 1.3770800, 1.4198031, 1.5178695, 1.7517463, 2.3135842", \
"1.6153805, 1.6239443, 1.6434479, 1.6862061, 1.7843282, 2.0181550, 2.5803315", \
"1.6662162, 1.6745627, 1.6942823, 1.7369907, 1.8351239, 2.0689883, 2.6309868", \
"1.9567168, 1.9773061, 1.9847721, 2.0274951, 2.1258222, 2.3594904, 2.9218490", \
"2.1818678, 2.1912929, 2.2098887, 2.2525459, 2.3506368, 2.5845952, 3.1466711", \
"2.3310969, 2.3466304, 2.3553091, 2.4017115, 2.4965234, 2.7337579, 3.2959357", \
"2.5521882, 2.5605542, 2.5908977, 2.6223861, 2.7309881, 2.9544422, 3.5172989", \
"5.1224656, 5.1309623, 5.1502731, 5.1790230, 5.2910685, 5.5253344, 6.0752714", \
"6.6569901, 6.6660313, 6.6854406, 6.7164940, 6.8145156, 7.0573773, 7.6198940", \
"13.0354900, 13.0354906, 13.0608760, 13.1102200, 13.2076060, 13.4308730, 13.9951510", \
"36.1968380, 36.2051080, 36.2523060, 36.2652630, 36.3345580, 36.6412360, 37.1308460");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
values("0.0587826, 0.0682589, 0.0923548, 0.1499070, 0.2945882, 0.6469614, 1.5047911", \
"0.0587459, 0.0681893, 0.0920038, 0.1502416, 0.2956428, 0.6507243, 1.5062877", \
"0.0587513, 0.0682163, 0.0918437, 0.1502655, 0.2956232, 0.6507346, 1.5042673", \
"0.0587524, 0.0683845, 0.0918245, 0.1502686, 0.2956278, 0.6507360, 1.4992401", \
"0.0587483, 0.0682660, 0.0918389, 0.1502274, 0.2956610, 0.6507091, 1.5018301", \
"0.0587521, 0.0682729, 0.0918065, 0.1502663, 0.2956130, 0.6507232, 1.5027060", \
"0.0587464, 0.0682622, 0.0918934, 0.1502346, 0.2956571, 0.6507067, 1.5051187", \
"0.0586837, 0.0682688, 0.0918720, 0.1502549, 0.2956288, 0.6504750, 1.5020990", \
"0.0586570, 0.0682025, 0.0917970, 0.1502281, 0.2956057, 0.6507329, 1.5018384", \
"0.0587528, 0.0681254, 0.0918448, 0.1502636, 0.2956188, 0.6506439, 1.5020184", \
"0.0587460, 0.0682974, 0.0918356, 0.1502488, 0.2957060, 0.6506081, 1.5022684", \
"0.0585700, 0.0683801, 0.0918639, 0.1502233, 0.2956588, 0.6506710, 1.5002747");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
values("0.9317739, 0.9444909, 0.9710739, 1.0247700, 1.1291432, 1.3472938, 1.8458952", \
"2.2827387, 2.2951792, 2.3221016, 2.3754534, 2.4799396, 2.6983376, 3.1982497", \
"3.4865815, 3.4990237, 3.5259460, 3.5792962, 3.6948524, 3.9021937, 4.4023079", \
"3.7680161, 3.7804657, 3.8073873, 3.8607260, 3.9633741, 4.1836303, 4.6836903", \
"5.4812248, 5.4936544, 5.5205800, 5.5739453, 5.6823275, 5.8968355, 6.3968502", \
"6.9463524, 6.9588681, 6.9856028, 7.0392283, 7.1419444, 7.3619382, 7.8609261", \
"8.0692786, 8.0817282, 8.1086489, 8.1619902, 8.2684251, 8.4848901, 8.9854577", \
"9.6850101, 9.6974571, 9.7243777, 9.7777253, 9.8783124, 10.1006230, 10.6101170", \
"33.1251720, 33.1268590, 33.1527050, 33.2075030, 33.2466210, 33.5282340, 34.0442660", \
"48.7434660, 48.7571230, 48.7842160, 48.8370480, 48.9416760, 49.1607640, 49.6652280", \
"118.5569500, 118.5987600, 118.6360700, 118.6826700, 118.7796800, 118.9802200, 119.5159300", \
"388.7128100, 388.7206200, 388.7206269, 388.7741400, 388.8991100, 389.1249800, 389.6250000");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
values("0.0780554, 0.0884784, 0.1113161, 0.1583315, 0.2672391, 0.5347632, 1.2098427", \
"0.0783490, 0.0899057, 0.1125357, 0.1601879, 0.2696612, 0.5390674, 1.2179587", \
"0.0783500, 0.0899086, 0.1125398, 0.1611246, 0.2715030, 0.5378369, 1.2227626", \
"0.0783556, 0.0899205, 0.1125703, 0.1611089, 0.2713557, 0.5377674, 1.2207106", \
"0.0783507, 0.0898926, 0.1125137, 0.1611412, 0.2714578, 0.5380524, 1.2170756", \
"0.0786497, 0.0886238, 0.1120807, 0.1612155, 0.2713822, 0.5388693, 1.2156214", \
"0.0783542, 0.0899176, 0.1125658, 0.1611087, 0.2712546, 0.5377059, 1.2171592", \
"0.0783508, 0.0899101, 0.1125513, 0.1611166, 0.2713879, 0.5378146, 1.2169217", \
"0.0783542, 0.0890346, 0.1122600, 0.1611019, 0.2713908, 0.5381431, 1.2171814", \
"0.0783567, 0.0899485, 0.1125096, 0.1611458, 0.2713583, 0.5379591, 1.2159490", \
"0.0785089, 0.0890344, 0.1122552, 0.1613545, 0.2712548, 0.5394020, 1.2164851", \
"0.0786057, 0.0889832, 0.1125055, 0.1611066, 0.2709873, 0.5388082, 1.2165653");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
values("0.7879991, 0.7968522, 0.8157136, 0.8584763, 0.9569051, 1.1905917, 1.7520754", \
"0.2920361, 0.3008349, 0.3201336, 0.3628438, 0.4609156, 0.6948001, 1.2566530", \
"-0.5261921, -0.5174998, -0.4983168, -0.4556018, -0.3576578, -0.1240214, 0.4378757", \
"-0.7348716, -0.7261605, -0.7069591, -0.6642333, -0.5660967, -0.3321735, 0.2302630", \
"-2.1004416, -2.0938682, -2.0729007, -2.0298987, -1.9318513, -1.6981671, -1.1402415", \
"-3.3414049, -3.3318550, -3.3136667, -3.2708081, -3.1729741, -2.9392766, -2.3771642", \
"-4.3256341, -4.3161776, -4.2986037, -4.2556971, -4.1572497, -3.9235162, -3.3651231", \
"-5.7762612, -5.7624256, -5.7481517, -5.7053824, -5.6019731, -5.3734790, -4.8071183", \
"-28.2621140, -28.2573320, -28.2341970, -28.1916480, -28.0934690, -27.8596810, -27.2980070", \
"-43.7777890, -43.7756750, -43.7503510, -43.7074950, -43.6150560, -43.3800870, -42.8167580", \
"-114.4389300, -114.4297800, -114.4082100, -114.3654700, -114.2749800, -114.0331800, -113.4745100", \
"-392.2908400, -392.2908172, -392.2907867, -392.2907562, -392.1566400, -391.8885600, -391.3680500");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
values("0.0586350, 0.0683823, 0.0920521, 0.1501006, 0.2944152, 0.6472687, 1.5078429", \
"0.0587471, 0.0681634, 0.0919700, 0.1502581, 0.2956325, 0.6507244, 1.5013022", \
"0.0588478, 0.0683298, 0.0923015, 0.1506947, 0.2941937, 0.6493589, 1.4979323", \
"0.0586554, 0.0683342, 0.0920343, 0.1502629, 0.2957976, 0.6502987, 1.5014744", \
"0.0587762, 0.0683081, 0.0923342, 0.1502017, 0.2960012, 0.6487804, 1.5022490", \
"0.0587428, 0.0681120, 0.0924878, 0.1509165, 0.2941408, 0.6494679, 1.5023673", \
"0.0588153, 0.0685668, 0.0923073, 0.1502350, 0.2944018, 0.6495458, 1.5009181", \
"0.0587469, 0.0682609, 0.0919755, 0.1502505, 0.2942056, 0.6507097, 1.4988297", \
"0.0588180, 0.0684881, 0.0922219, 0.1504861, 0.2942089, 0.6499127, 1.4976593", \
"0.0587468, 0.0681838, 0.0921861, 0.1500798, 0.2955821, 0.6506891, 1.5014513", \
"0.0587461, 0.0681657, 0.0919886, 0.1502441, 0.2959160, 0.6491393, 1.5012236", \
"0.0588562, 0.0681968, 0.0918829, 0.1506523, 0.2941423, 0.6493719, 1.5020357");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
values("1.0758834, 1.0886143, 1.1152727, 1.1688162, 1.2734029, 1.4915451, 1.9900255", \
"2.9870264, 2.9994527, 3.0263831, 3.0797540, 3.1844265, 3.4026435, 3.9021555", \
"4.7997921, 4.8122315, 4.8374189, 4.8924940, 4.9973252, 5.2154272, 5.7146989", \
"5.2185221, 5.2309668, 5.2591775, 5.3112421, 5.4160174, 5.6341816, 6.1335332", \
"7.8065678, 7.8191889, 7.8455784, 7.8994740, 8.0066185, 8.2222016, 8.7210106", \
"10.0139300, 10.0264050, 10.0532240, 10.1068070, 10.2122050, 10.4295340, 10.9283830", \
"11.7218940, 11.7344130, 11.7620060, 11.8145320, 11.9190610, 12.1373530, 12.6369990", \
"14.1667420, 14.1792950, 14.2094660, 14.2594060, 14.3674590, 14.5821250, 15.0811170", \
"49.8488290, 49.8605100, 49.8880370, 49.9406380, 50.0461720, 50.2639640, 50.7627610", \
"73.6696390, 73.6834880, 73.7086480, 73.7625500, 73.8685910, 74.0841270, 74.5851030", \
"180.2393300, 180.2540200, 180.2804100, 180.3329200, 180.4383100, 180.6563600, 181.1560700", \
"592.4264900, 592.4612200, 592.4635500, 592.5266500, 592.6360100, 592.8540600, 593.3489400");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
values("0.0782271, 0.0888854, 0.1118501, 0.1583570, 0.2677389, 0.5350536, 1.2089378", \
"0.0783583, 0.0899501, 0.1125115, 0.1611481, 0.2713827, 0.5381567, 1.2101354", \
"0.0783488, 0.0883516, 0.1110449, 0.1605463, 0.2715622, 0.5391786, 1.2119409", \
"0.0783479, 0.0898986, 0.1125190, 0.1611297, 0.2710014, 0.5377852, 1.2124475", \
"0.0785707, 0.0890239, 0.1125988, 0.1610664, 0.2714423, 0.5387801, 1.2160003", \
"0.0785848, 0.0886993, 0.1120638, 0.1613046, 0.2716145, 0.5392799, 1.2098159", \
"0.0786956, 0.0894132, 0.1127221, 0.1611430, 0.2711507, 0.5385703, 1.2162747", \
"0.0785093, 0.0886476, 0.1117922, 0.1610682, 0.2712374, 0.5388579, 1.2143105", \
"0.0783823, 0.0886709, 0.1122177, 0.1610630, 0.2706443, 0.5381047, 1.2149551", \
"0.0783756, 0.0895156, 0.1123323, 0.1613278, 0.2702731, 0.5378385, 1.2153324", \
"0.0782977, 0.0894622, 0.1120414, 0.1611388, 0.2713472, 0.5364541, 1.2084137", \
"0.0791295, 0.0895249, 0.1122534, 0.1612309, 0.2710655, 0.5369679, 1.2125547");
}
}
timing () {
related_pin : "INP_DIS";
timing_type : "combinational";
timing_sense : "negative_unate";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
values("3.3576297, 3.3662237, 3.3853746, 3.4281866, 3.5258729, 3.7590482, 4.3190607", \
"3.4638392, 3.4724486, 3.4915522, 3.5343997, 3.6320815, 3.8652930, 4.4252921", \
"3.5399550, 3.5488321, 3.5686325, 3.6104173, 3.7084082, 3.9415555, 4.5024193", \
"3.6056200, 3.6144896, 3.6335093, 3.6764689, 3.7741938, 4.0073456, 4.5672435", \
"3.6596139, 3.6684049, 3.6870806, 3.7301087, 3.8279993, 4.0611430, 4.6208757", \
"3.7137444, 3.7226213, 3.7414853, 3.7842063, 3.8821972, 4.1153445, 4.6752060", \
"3.7634078, 3.7719809, 3.7890109, 3.8339611, 3.9316412, 4.1648245, 4.7228013");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
values("0.0587747, 0.0684048, 0.0924714, 0.1508330, 0.2935447, 0.6483735, 1.4943419", \
"0.0587924, 0.0683768, 0.0922252, 0.1508077, 0.2935600, 0.6484884, 1.4955768", \
"0.0588996, 0.0686000, 0.0924593, 0.1504259, 0.2940585, 0.6483826, 1.4978855", \
"0.0588631, 0.0684676, 0.0922168, 0.1507403, 0.2935965, 0.6484386, 1.4955773", \
"0.0589039, 0.0685157, 0.0924727, 0.1504919, 0.2939965, 0.6483586, 1.4966176", \
"0.0588996, 0.0685998, 0.0921605, 0.1504260, 0.2940581, 0.6483822, 1.4953890", \
"0.0587494, 0.0684548, 0.0924708, 0.1507495, 0.2935609, 0.6484147, 1.4971312");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
values("2.4550644, 2.4675664, 2.4940605, 2.5471283, 2.6508167, 2.8673259, 3.3594594", \
"2.5253544, 2.5377640, 2.5644689, 2.6174141, 2.7211036, 2.9376430, 3.4297731", \
"2.5509019, 2.5634150, 2.5903757, 2.6429687, 2.7471494, 2.9630194, 3.4551377", \
"2.5655946, 2.5780038, 2.6047100, 2.6576523, 2.7613412, 2.9778804, 3.4700129", \
"2.5688414, 2.5811600, 2.6078193, 2.6608362, 2.7645664, 2.9810125, 3.4731358", \
"2.5726825, 2.5850944, 2.6117764, 2.6647431, 2.7684335, 2.9850131, 3.4771579", \
"2.5703530, 2.5827700, 2.6094591, 2.6624178, 2.7661053, 2.9826634, 3.4747971");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
values("0.0786288, 0.0887530, 0.1110270, 0.1582967, 0.2693212, 0.5337629, 1.2036268", \
"0.0785144, 0.0889812, 0.1102591, 0.1583055, 0.2669252, 0.5336410, 1.2035853", \
"0.0785858, 0.0887220, 0.1110899, 0.1582795, 0.2693110, 0.5348707, 1.2012561", \
"0.0785142, 0.0889828, 0.1102787, 0.1583005, 0.2668727, 0.5336345, 1.2035039", \
"0.0780823, 0.0887992, 0.1108983, 0.1582140, 0.2691470, 0.5345052, 1.2027385", \
"0.0785164, 0.0889368, 0.1103456, 0.1583222, 0.2670753, 0.5326144, 1.2033826", \
"0.0785175, 0.0889066, 0.1103034, 0.1583545, 0.2670342, 0.5334141, 1.2034881");
}
}
}
pin ("IN_H") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO_Q";
related_ground_pin : "VSSD";
always_on : true;
function : "(PAD&!INP_DIS)";
max_capacitance : 8.214010;
max_transition : 3.761060;
internal_power () {
related_pin : "PAD";
when : "( IB_MODE_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.03059946, 0.09363272, 0.2865111, 0.8767085, 2.682681, 8.20886");
values("8.9671131, 8.8510440, 8.5406816, 8.0081679, 7.3368534, 6.5208668, 5.2313659", \
"6.8163101, 6.6927059, 6.3472261, 5.7637350, 4.8996085, 4.2361795, 2.6011031", \
"6.9980697, 6.8741154, 6.5404058, 5.9533095, 5.3357973, 4.3452321, 3.6571792", \
"7.2181163, 7.0399507, 6.6780470, 6.1371481, 5.4883047, 4.9679202, 2.9043007", \
"7.3673385, 7.2085593, 6.9079217, 6.2208047, 5.6405288, 5.0889874, 3.6330346", \
"7.3862912, 7.3508127, 6.9258752, 6.4767375, 5.7721701, 5.2110490, 4.5977202", \
"7.4437843, 7.4447228, 7.2500291, 6.4967133, 5.9231175, 5.3600453, 4.9667527");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.03059946, 0.09363272, 0.2865111, 0.8767085, 2.682681, 8.20886");
values("6.6627072, 6.5673521, 6.3992687, 6.1743748, 5.8797164, 5.6999626, 5.6058564", \
"9.7028991, 9.5939307, 9.3353901, 8.9360134, 8.6108108, 8.5785224, 8.4993956", \
"9.7356524, 9.6430615, 9.4402940, 8.9993525, 8.6674704, 8.4751737, 8.5448879", \
"9.7710798, 9.6809904, 9.3682857, 9.0905729, 8.7181165, 8.5273663, 8.4597216", \
"9.8532892, 9.6800909, 9.4939083, 9.1030638, 8.7314149, 8.5866210, 8.5068697", \
"9.9629393, 9.8539614, 9.5535160, 9.1297204, 8.8561112, 8.6024599, 8.5489367", \
"9.9757000, 9.9118396, 9.6133691, 9.1226115, 8.9201285, 8.7244248, 8.5779318");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
values("10.6656162, 10.5396766, 10.2033735, 9.5728840, 8.8188141, 7.9878826, 6.4025515", \
"12.4201260, 12.2963990, 11.9460410, 11.3031430, 10.6455300, 9.2714673, 7.8339939", \
"22.7947520, 22.6728340, 22.3232660, 21.6805270, 20.9987630, 20.4056200, 18.2633800", \
"32.6737770, 32.5365530, 32.2220860, 31.5503770, 30.8636800, 30.2429820, 29.0760820", \
"42.3112900, 42.0472930, 41.8097150, 41.1660740, 40.4285080, 39.8459490, 39.1956610", \
"51.7429400, 51.6548470, 51.2820830, 50.6176590, 49.9210150, 49.3216790, 48.6960260", \
"61.1765920, 60.9239530, 60.6860260, 59.9313580, 59.3052200, 58.6793950, 58.0315730");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
values("10.6442249, 10.5663169, 10.4609879, 10.4565869, 10.2926179, 10.1250189, 10.0467499", \
"29.1519170, 29.0424040, 28.7773020, 28.3511890, 27.9799620, 28.3761230, 28.2986520", \
"39.0849570, 38.9719920, 38.7082970, 38.2832720, 37.9116810, 37.6798900, 38.1701270", \
"49.1007170, 49.0008590, 48.7244790, 48.3108800, 47.9499740, 47.6718350, 48.1318320", \
"59.2112330, 59.0994060, 58.8323070, 58.4128440, 58.0450440, 57.7611360, 57.9977700", \
"69.3571310, 69.2343340, 68.9497630, 68.5158800, 68.2137120, 67.8948770, 67.7370450", \
"79.4357980, 79.3072070, 79.0606890, 78.6309350, 78.2777800, 78.0373610, 77.8386040");
}
}
internal_power () {
related_pin : "PAD";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
values("12.2315841, 12.1085560, 11.7764360, 11.1472576, 10.3994913, 9.5430707, 7.9738980", \
"40.9539730, 40.8244510, 40.4632160, 39.8228220, 39.1789730, 37.4419560, 36.3214560", \
"73.6117210, 73.4674060, 73.1327640, 72.5002140, 71.8576800, 71.2170810, 69.1650070", \
"105.2333600, 105.1129200, 104.8083400, 104.1617700, 103.4470000, 102.6820300, 100.6083500", \
"136.3476600, 136.1238900, 135.8532000, 135.2838100, 134.5355900, 133.9803300, 133.0099600", \
"166.7674100, 166.7044300, 166.3370300, 165.6754500, 164.9791700, 164.4232400, 163.6490100", \
"197.2215700, 197.0590400, 196.7080500, 196.4159500, 195.4321400, 194.6312400, 194.0816400");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
values("10.6488409, 10.5646449, 10.4264509, 10.2850749, 10.0367559, 9.8449889, 9.7541559", \
"35.1254060, 35.0197890, 34.7503620, 34.3269790, 33.9475770, 34.2839190, 34.2098430", \
"52.0320310, 51.9030270, 51.6666400, 51.2295660, 50.8720670, 50.5615830, 51.0166180", \
"69.1299100, 69.0168270, 68.7766020, 68.3522410, 67.9838200, 67.6850240, 68.0136090", \
"86.4137930, 86.3688080, 86.0716220, 85.6555040, 85.2446170, 85.1220410, 84.7286340", \
"103.7068600, 103.6316900, 103.3302900, 102.8299700, 102.4918700, 102.4247600, 102.0088700", \
"121.1443400, 120.8965200, 120.7286200, 120.3391300, 119.9492000, 119.7225000, 119.4044200");
}
}
internal_power () {
related_pin : "INP_DIS";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
values("26.4066976, 26.3064776, 26.0078766, 25.5278606, 24.9395956, 24.1603346, 22.7027386", \
"26.4087944, 26.3014514, 26.0134774, 25.5142204, 24.9503974, 24.1580104, 22.6600244", \
"26.4482712, 26.2983132, 26.0424572, 25.5457292, 24.9553802, 24.1602512, 22.7743252", \
"26.4106770, 26.3106880, 26.0199430, 25.5397200, 24.9613320, 24.1855410, 22.7200760", \
"26.4611360, 26.2975430, 26.0483010, 25.5650390, 24.9730210, 24.1738820, 22.8080750", \
"26.4810098, 26.3180518, 26.0749838, 25.5729098, 24.9804498, 24.1832938, 22.7956558", \
"26.4445856, 26.3481196, 26.0490996, 25.5422346, 24.9891106, 24.2095326, 22.7517336");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
values("19.8273925, 19.6453415, 19.2221805, 18.4273145, 17.5394465, 16.5748845, 16.0315045", \
"19.8269464, 19.6490384, 19.2202284, 18.4250724, 17.5456614, 16.5641254, 15.9610504", \
"19.8090013, 19.6459963, 19.2074563, 18.4232303, 17.5309363, 16.5637363, 16.0152633", \
"19.8202823, 19.6441223, 19.2167373, 18.4214863, 17.5310423, 16.5573673, 15.9899173", \
"19.8101469, 19.6557479, 19.2100959, 18.4207619, 17.5226469, 16.5643179, 15.9971579", \
"19.8184248, 19.6475548, 19.2208198, 18.4204458, 17.5345958, 16.5640318, 15.9718278", \
"19.8125538, 19.6426288, 19.2208278, 18.4269368, 17.5240338, 16.5573698, 15.9769378");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( IB_MODE_SEL )";
sdf_cond : " IB_MODE_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
values("1.5587988, 1.5695608, 1.5988529, 1.6696534, 1.8485642, 2.3636857, 3.9487031", \
"2.9208536, 2.9315730, 2.9608453, 3.0316754, 3.2101066, 3.7262988, 5.3065512", \
"3.8645354, 3.8749950, 3.9041632, 3.9748089, 4.1531703, 4.6707531, 6.2541257", \
"4.0775161, 4.0882719, 4.1170818, 4.1877355, 4.3663024, 4.8843170, 6.4682917", \
"5.1922928, 5.2027547, 5.2319167, 5.3025588, 5.4809481, 5.9984626, 7.5864168", \
"6.0866721, 6.0972147, 6.1263901, 6.1970047, 6.3753811, 6.8929049, 8.4813445", \
"6.7292638, 6.7396906, 6.7744983, 6.8451524, 7.0177109, 7.5411428, 9.1280308", \
"7.7235214, 7.7339832, 7.7631537, 7.8337967, 8.0121545, 8.5297387, 10.1172690", \
"20.5308360, 20.5308371, 20.5703280, 20.6486120, 20.8445690, 21.3374010, 22.9216910", \
"28.6436260, 28.6537820, 28.6829530, 28.7555010, 28.9321060, 29.4494030, 31.0330960", \
"64.1653980, 64.1833750, 64.1931660, 64.2841870, 64.3797900, 64.9662510, 66.5775130", \
"197.5995500, 198.3856200, 198.3856278, 198.4043500, 198.5459800, 198.8309500, 200.7018500");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
values("0.1115243, 0.1212792, 0.1475373, 0.2197050, 0.4601461, 1.2563474, 3.7466643", \
"0.1112161, 0.1211938, 0.1478719, 0.2195609, 0.4604868, 1.2558806, 3.7397152", \
"0.1117528, 0.1207631, 0.1475030, 0.2197172, 0.4604537, 1.2562309, 3.7446570", \
"0.1114387, 0.1212874, 0.1472692, 0.2193698, 0.4597429, 1.2595919, 3.7544914", \
"0.1117733, 0.1206494, 0.1475081, 0.2197124, 0.4604688, 1.2518090, 3.7617647", \
"0.1117824, 0.1206009, 0.1475109, 0.2197129, 0.4604742, 1.2515307, 3.7555181", \
"0.1117323, 0.1213976, 0.1474952, 0.2197129, 0.4603063, 1.2526307, 3.7573105", \
"0.1117500, 0.1207790, 0.1475022, 0.2197176, 0.4604508, 1.2521820, 3.7571435", \
"0.1116128, 0.1211229, 0.1474561, 0.2197152, 0.4604112, 1.2559110, 3.7475831", \
"0.1114884, 0.1212537, 0.1474340, 0.2196808, 0.4603685, 1.2551929, 3.7478620", \
"0.1115387, 0.1213830, 0.1472337, 0.2197091, 0.4599397, 1.2577769, 3.7478332", \
"0.1117433, 0.1206077, 0.1474019, 0.2197146, 0.4602893, 1.2517841, 3.7460497");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
values("1.0775525, 1.0878671, 1.1162334, 1.1876262, 1.3718937, 1.8962627, 3.5000129", \
"1.8913073, 1.9016253, 1.9298831, 2.0016524, 2.1858013, 2.7114826, 4.3102295", \
"2.2824238, 2.2927720, 2.3210371, 2.3926641, 2.5768223, 3.1010194, 4.7006234", \
"2.3606330, 2.3710092, 2.3992097, 2.4710027, 2.6550873, 3.1793046, 4.7815840", \
"2.7767939, 2.7871778, 2.8153844, 2.8871805, 3.0712599, 3.5954817, 5.1985607", \
"3.0905769, 3.1009499, 3.1291485, 3.2009411, 3.3850278, 3.9092436, 5.5118701", \
"3.3115024, 3.3218146, 3.3500309, 3.4218006, 3.6059340, 4.1301198, 5.7294955", \
"3.6160419, 3.6263565, 3.6545762, 3.7263641, 3.9104622, 4.4346686, 6.0414735", \
"6.9921988, 7.0038957, 7.0403487, 7.1113408, 7.2891781, 7.8105700, 9.4063779", \
"8.8554524, 8.8735224, 8.8950634, 8.9663521, 9.1465804, 9.6775477, 11.2766130", \
"16.1773390, 16.1895330, 16.2103040, 16.2835770, 16.4649840, 16.9920290, 18.5894430", \
"40.6939940, 40.8267060, 40.8440390, 40.8492580, 41.0968330, 41.6056770, 43.2149920");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
values("0.0932405, 0.1007861, 0.1231549, 0.1898428, 0.4000480, 1.0861804, 3.2520524", \
"0.0928370, 0.1006152, 0.1235817, 0.1898995, 0.4001364, 1.0882434, 3.2310898", \
"0.0925929, 0.1007004, 0.1237633, 0.1895835, 0.4005639, 1.0871396, 3.2402963", \
"0.0922128, 0.1017827, 0.1234381, 0.1899570, 0.4001476, 1.0872275, 3.2379532", \
"0.0921976, 0.1017783, 0.1234422, 0.1899607, 0.4001605, 1.0872321, 3.2513940", \
"0.0922179, 0.1017844, 0.1234356, 0.1899556, 0.4001378, 1.0872265, 3.2509742", \
"0.0926751, 0.1004367, 0.1233256, 0.1899166, 0.3999875, 1.0872061, 3.2537768", \
"0.0922357, 0.1005348, 0.1234233, 0.1899481, 0.4001015, 1.0872210, 3.2511800", \
"0.0927190, 0.1007413, 0.1243827, 0.1890222, 0.4006765, 1.0866957, 3.2452021", \
"0.0927140, 0.1006813, 0.1243464, 0.1890150, 0.4006722, 1.0866914, 3.2385949", \
"0.0926845, 0.1008866, 0.1238926, 0.1898517, 0.4006712, 1.0872373, 3.2360998", \
"0.0926797, 0.1007812, 0.1242685, 0.1898845, 0.4000293, 1.0867685, 3.2316509");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
values("0.8599844, 0.8709203, 0.9003863, 0.9723907, 1.1529829, 1.6687882, 3.2559180", \
"0.3638686, 0.3749883, 0.4045318, 0.4769094, 0.6569110, 1.1747094, 2.7573155", \
"-0.4545571, -0.4436031, -0.4141400, -0.3415788, -0.1621114, 0.3567431, 1.9418021", \
"-0.6630699, -0.6521189, -0.6224585, -0.5500816, -0.3698690, 0.1472139, 1.7341264", \
"-2.0287638, -2.0178439, -1.9883097, -1.9159747, -1.7353705, -1.2194189, 0.3668487", \
"-3.2697185, -3.2587568, -3.2293119, -3.1567322, -2.9769593, -2.4585944, -0.8720643", \
"-4.2540180, -4.2430752, -4.2135953, -4.1411967, -3.9608050, -3.4432701, -1.8588271", \
"-5.7043286, -5.6931833, -5.6636589, -5.5912590, -5.4108567, -4.8934816, -3.3103948", \
"-28.1901740, -28.1844660, -28.1550480, -28.0771480, -27.9006520, -27.3806650, -25.7969880", \
"-43.7066990, -43.7008830, -43.6855170, -43.6001210, -43.4217280, -42.8936810, -41.3178720", \
"-114.3644500, -114.3528200, -114.3250800, -114.2536200, -114.0715300, -113.5556400, -111.9735100", \
"-392.2099800, -392.2031600, -392.2031402, -392.0968100, -392.0372600, -391.4403500, -389.8540500");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
values("0.1151543, 0.1249846, 0.1515620, 0.2235028, 0.4635108, 1.2594820, 3.7591934", \
"0.1151969, 0.1245692, 0.1517702, 0.2242587, 0.4634127, 1.2597300, 3.7534091", \
"0.1150867, 0.1251460, 0.1517757, 0.2244677, 0.4624340, 1.2596296, 3.7531929", \
"0.1151641, 0.1249905, 0.1514628, 0.2240641, 0.4638548, 1.2587765, 3.7497041", \
"0.1149438, 0.1252361, 0.1518628, 0.2240004, 0.4635444, 1.2592117, 3.7510564", \
"0.1149843, 0.1252412, 0.1519032, 0.2243620, 0.4631810, 1.2570127, 3.7535752", \
"0.1147877, 0.1252264, 0.1520053, 0.2238619, 0.4635189, 1.2539613, 3.7497849", \
"0.1151987, 0.1245820, 0.1517817, 0.2242629, 0.4639970, 1.2597267, 3.7554218", \
"0.1152869, 0.1245997, 0.1517921, 0.2240856, 0.4640056, 1.2567540, 3.7593879", \
"0.1151293, 0.1245976, 0.1517987, 0.2240748, 0.4640195, 1.2564493, 3.7582759", \
"0.1148026, 0.1251488, 0.1517543, 0.2242765, 0.4634145, 1.2595132, 3.7617993", \
"0.1151763, 0.1245324, 0.1519230, 0.2242589, 0.4633628, 1.2592423, 3.7621706");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
values("0.8559089, 0.8665669, 0.8956982, 0.9689596, 1.1558083, 1.6832200, 3.2872820", \
"2.7669331, 2.7775053, 2.8066889, 2.8801272, 3.0669254, 3.5943379, 5.1958375", \
"4.5793755, 4.5899760, 4.6191073, 4.6924975, 4.8777173, 5.4064300, 7.0108328", \
"4.9979185, 5.0087814, 5.0378484, 5.1109748, 5.2992828, 5.8251177, 7.4286186", \
"7.5856184, 7.5963751, 7.6253501, 7.6987963, 7.8855846, 8.4131493, 10.0148350", \
"9.7928678, 9.8036788, 9.8327506, 9.9060937, 10.0930070, 10.6201490, 12.2226390", \
"11.5008860, 11.5115040, 11.5406500, 11.6140570, 11.7997570, 12.3282900, 13.9310790", \
"13.9453810, 13.9561480, 13.9852510, 14.0573480, 14.2490320, 14.7729060, 16.3757470", \
"49.6246560, 49.6358510, 49.6649410, 49.7381510, 49.9253370, 50.4526270, 52.0538030", \
"73.4470620, 73.4568740, 73.4845000, 73.5605430, 73.7453550, 74.2741900, 75.8762580", \
"180.0154200, 180.0244000, 180.0540000, 180.1265200, 180.3123800, 180.8390500, 182.4412500", \
"592.1958700, 592.2056500, 592.2506700, 592.3088200, 592.5189200, 593.0382900, 594.6361100");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
values("0.0967295, 0.1046299, 0.1276561, 0.1929822, 0.4039568, 1.0881004, 3.2504814", \
"0.0966703, 0.1048130, 0.1281043, 0.1933991, 0.4039901, 1.0893779, 3.2388254", \
"0.0964048, 0.1049827, 0.1280872, 0.1935586, 0.4038390, 1.0886740, 3.2433527", \
"0.0964612, 0.1050643, 0.1278714, 0.1936201, 0.4044889, 1.0892247, 3.2536942", \
"0.0967579, 0.1050306, 0.1279785, 0.1936835, 0.4040199, 1.0873794, 3.2451957", \
"0.0967405, 0.1049030, 0.1279158, 0.1932791, 0.4042911, 1.0868082, 3.2439194", \
"0.0968527, 0.1048507, 0.1280021, 0.1934616, 0.4040609, 1.0877538, 3.2427254", \
"0.0967978, 0.1050640, 0.1279016, 0.1934528, 0.4039523, 1.0886381, 3.2459151", \
"0.0966111, 0.1048986, 0.1280268, 0.1937635, 0.4040950, 1.0883860, 3.2388074", \
"0.0966487, 0.1050371, 0.1280954, 0.1934379, 0.4038899, 1.0867012, 3.2410672", \
"0.0969752, 0.1053110, 0.1280429, 0.1936212, 0.4037010, 1.0876494, 3.2408762", \
"0.0970701, 0.1052386, 0.1285384, 0.1937018, 0.4039177, 1.0888132, 3.2384011");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !IB_MODE_SEL & !VTRIP_SEL )";
sdf_cond : " IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
cell_rise ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
values("0.8727888, 0.8836995, 0.9134262, 0.9853620, 1.1658066, 1.6822775, 3.2684504", \
"1.4207563, 1.4319004, 1.4614358, 1.5337976, 1.7133571, 2.2315805, 3.8158183", \
"1.6872668, 1.6982143, 1.7279794, 1.8003014, 1.9841404, 2.4978888, 4.0872545", \
"1.7380524, 1.7490215, 1.7787221, 1.8510637, 2.0373379, 2.5487925, 4.1376635", \
"2.0287934, 2.0396789, 2.0695683, 2.1416358, 2.3307885, 2.8389195, 4.4324175", \
"2.2535713, 2.2645985, 2.2942366, 2.3666380, 2.5472773, 3.0644340, 4.6497677", \
"2.4027365, 2.4139875, 2.4436102, 2.5157514, 2.7113078, 3.2134355, 4.8118354", \
"2.6235702, 2.6346552, 2.6647122, 2.7365428, 2.9243203, 3.4338676, 5.0202891", \
"5.1969500, 5.2050804, 5.2332489, 5.3017222, 5.4841585, 5.9955800, 7.5856450", \
"6.7266344, 6.7369093, 6.7694783, 6.8412644, 7.0182002, 7.5368201, 9.1236805", \
"13.0889200, 13.0940160, 13.1478090, 13.2202680, 13.4043650, 13.9166570, 15.4820370", \
"36.2149170, 36.2621040, 36.3071140, 36.3708850, 36.5762420, 37.0607470, 38.6888420");
}
rise_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
values("0.1151926, 0.1251652, 0.1515550, 0.2233776, 0.4634637, 1.2570996, 3.7482537", \
"0.1152052, 0.1245841, 0.1517791, 0.2242565, 0.4634125, 1.2598474, 3.7583718", \
"0.1151447, 0.1247369, 0.1516409, 0.2242568, 0.4635909, 1.2600765, 3.7652810", \
"0.1151693, 0.1245876, 0.1517109, 0.2242430, 0.4639876, 1.2599781, 3.7730850", \
"0.1151083, 0.1248464, 0.1515727, 0.2241439, 0.4636412, 1.2601589, 3.7469583", \
"0.1151544, 0.1246857, 0.1516584, 0.2242112, 0.4636264, 1.2601422, 3.7474570", \
"0.1151368, 0.1247700, 0.1516217, 0.2241737, 0.4636195, 1.2601471, 3.7605821", \
"0.1151537, 0.1248461, 0.1514784, 0.2240940, 0.4635996, 1.2592700, 3.7477350", \
"0.1151570, 0.1246437, 0.1515357, 0.2242256, 0.4634143, 1.2596707, 3.7554670", \
"0.1151404, 0.1248043, 0.1516880, 0.2242540, 0.4634210, 1.2600826, 3.7575383", \
"0.1151278, 0.1250124, 0.1517713, 0.2242602, 0.4637098, 1.2597914, 3.7580006", \
"0.1149779, 0.1249541, 0.1515322, 0.2244232, 0.4634222, 1.2597419, 3.7457548");
}
cell_fall ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
values("0.7119807, 0.7227309, 0.7518853, 0.8252807, 1.0119861, 1.5393465, 3.1427645", \
"2.0629844, 2.0736910, 2.1027329, 2.1761928, 2.3629925, 2.8904492, 4.4916537", \
"3.2667027, 3.2774092, 3.3064447, 3.3799292, 3.5647540, 4.0941037, 5.6991318", \
"3.5478700, 3.5585532, 3.5876582, 3.6609724, 3.8601575, 4.3753330, 5.9787175", \
"5.2612319, 5.2718074, 5.3009876, 5.3744529, 5.5644329, 6.0886554, 7.6958697", \
"6.7259704, 6.7367574, 6.7658523, 6.8391923, 7.0247261, 7.5535214, 9.1598595", \
"7.8490388, 7.8596996, 7.8888576, 7.9621696, 8.1502733, 8.6772296, 10.2822930", \
"9.4646495, 9.4737995, 9.5044692, 9.5778567, 9.7607540, 10.2734070, 11.8924770", \
"32.8911230, 32.9020830, 32.9316980, 33.0143170, 33.1363910, 33.7300780, 35.3349600", \
"48.5047170, 48.5346160, 48.5649670, 48.6374320, 48.8058090, 49.3555700, 50.9488910", \
"118.3563000, 118.3760900, 118.4021900, 118.4525700, 118.6505200, 119.1947100, 120.7854000", \
"388.4954900, 388.5117800, 388.5117951, 388.6104600, 388.7994400, 389.2507700, 390.8504000");
}
fall_transition ("del_1_12_7") {
index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
values("0.0965422, 0.1050207, 0.1275719, 0.1930123, 0.4030265, 1.0896209, 3.2497027", \
"0.0967071, 0.1046941, 0.1281593, 0.1934269, 0.4036527, 1.0884317, 3.2438887", \
"0.0967244, 0.1046845, 0.1281554, 0.1934067, 0.4040189, 1.0889813, 3.2514376", \
"0.0966308, 0.1051406, 0.1279458, 0.1936909, 0.4037585, 1.0881553, 3.2506093", \
"0.0967139, 0.1048594, 0.1281596, 0.1934328, 0.4037574, 1.0887836, 3.2540866", \
"0.0967901, 0.1049203, 0.1279985, 0.1935953, 0.4040300, 1.0896293, 3.2531509", \
"0.0964326, 0.1050767, 0.1279929, 0.1936603, 0.4041688, 1.0885914, 3.2518079", \
"0.0964412, 0.1051335, 0.1279952, 0.1934965, 0.4040401, 1.0886412, 3.2549399", \
"0.0968852, 0.1048604, 0.1281588, 0.1934578, 0.4040384, 1.0886976, 3.2562666", \
"0.0968740, 0.1048393, 0.1281678, 0.1934852, 0.4042518, 1.0882512, 3.2562189", \
"0.0968016, 0.1048762, 0.1280381, 0.1933404, 0.4041510, 1.0882988, 3.2546923", \
"0.0968387, 0.1049595, 0.1279276, 0.1933821, 0.4042908, 1.0883610, 3.2562197");
}
}
timing () {
related_pin : "INP_DIS";
timing_type : "combinational";
timing_sense : "negative_unate";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
values("3.4299439, 3.4409202, 3.4704384, 3.5429939, 3.7228109, 4.2410200, 5.8275655", \
"3.5361876, 3.5471599, 3.5766605, 3.6492489, 3.8290233, 4.3474837, 5.9344063", \
"3.6125678, 3.6235586, 3.6531020, 3.7256473, 3.9055190, 4.4237285, 6.0149317", \
"3.6782852, 3.6893475, 3.7188390, 3.7914090, 3.9712047, 4.4893755, 6.0738010", \
"3.7321439, 3.7431325, 3.7726591, 3.8452255, 4.0249648, 4.5433821, 6.1342957", \
"3.7863570, 3.7973478, 3.8268917, 3.8994368, 4.0793084, 4.5975184, 6.1887220", \
"3.8358530, 3.8468260, 3.8763281, 3.9488763, 4.1287667, 4.6468869, 6.2316698");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
values("0.1152326, 0.1255608, 0.1522633, 0.2246623, 0.4632792, 1.2565040, 3.7584047", \
"0.1152592, 0.1255599, 0.1522323, 0.2246947, 0.4632344, 1.2569217, 3.7585681", \
"0.1153624, 0.1253610, 0.1522123, 0.2246557, 0.4633009, 1.2602402, 3.7492063", \
"0.1152000, 0.1255616, 0.1522669, 0.2247024, 0.4633612, 1.2558501, 3.7563184", \
"0.1153633, 0.1254063, 0.1521894, 0.2246975, 0.4629534, 1.2603114, 3.7460919", \
"0.1153624, 0.1253610, 0.1522123, 0.2246557, 0.4633019, 1.2602401, 3.7492129", \
"0.1152021, 0.1255670, 0.1522702, 0.2245803, 0.4633523, 1.2560358, 3.7567256");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
values("2.4667313, 2.4801079, 2.5157221, 2.6028910, 2.8102018, 3.3508674, 4.9477302", \
"2.5369531, 2.5503952, 2.5859019, 2.6731075, 2.8804217, 3.4210765, 5.0179110", \
"2.5625910, 2.5759785, 2.6115210, 2.6990312, 2.9058942, 3.4467065, 5.0439857", \
"2.5771345, 2.5905762, 2.6260718, 2.7132694, 2.9205807, 3.4612393, 5.0580584", \
"2.5806457, 2.5938103, 2.6293783, 2.7167053, 2.9240217, 3.4646401, 5.0605733", \
"2.5843814, 2.5978284, 2.6333231, 2.7205225, 2.9278309, 3.4687733, 5.0653202", \
"2.5819911, 2.5954510, 2.6309268, 2.7181477, 2.9254597, 3.4662844, 5.0629829");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
values("0.1904954, 0.2002009, 0.2263142, 0.2903530, 0.4784280, 1.1244351, 3.2510332", \
"0.1905873, 0.2004147, 0.2263228, 0.2901622, 0.4783145, 1.1247570, 3.2514407", \
"0.1897900, 0.1992489, 0.2261200, 0.2902446, 0.4784155, 1.1244823, 3.2522158", \
"0.1905259, 0.2003516, 0.2262518, 0.2901107, 0.4782995, 1.1247323, 3.2511387", \
"0.1892083, 0.1999397, 0.2256313, 0.2902403, 0.4783288, 1.1227209, 3.2594558", \
"0.1905563, 0.2003808, 0.2262693, 0.2900868, 0.4782803, 1.1252718, 3.2515157", \
"0.1906108, 0.2004675, 0.2263106, 0.2900935, 0.4782465, 1.1233585, 3.2521772");
}
}
}
pin (PAD) {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
function : "(OUT)";
is_pad : true;
three_state : "OE_N";
capacitance : 1.040736;
max_capacitance : 551.041000;
max_transition : 25.0;
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("16.1413217, 16.1578847, 16.2524237, 16.6271437, 17.1159587, 17.2865137, 17.3188607", \
"21.8397685, 21.8801175, 22.1168335, 23.2364275, 25.4028315, 26.4227445, 26.6339265", \
"22.0986572, 22.1389572, 22.3767732, 23.5001632, 25.6652802, 26.6879032, 26.9015202", \
"22.1072661, 22.1421491, 22.3828871, 23.5067711, 25.6735451, 26.6974411, 26.9066711", \
"22.1072450, 22.1485160, 22.3839190, 23.5073120, 25.6734750, 26.6957270, 26.9097090", \
"22.1074169, 22.1477459, 22.3838539, 23.5091419, 25.6791279, 26.7001529, 26.9152689", \
"22.1124848, 22.1542868, 22.3903858, 23.5135398, 25.6797338, 26.7033038, 26.9166798");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("63.6601692, 63.6907352, 63.9629622, 64.7844572, 65.1922292, 64.3874352, -23.1233398", \
"63.5883577, 63.7177267, 63.9949427, 64.7040627, 65.4307107, 63.8240797, -15.0877593", \
"63.6866262, 63.7336992, 63.9453082, 64.7672822, 65.5699732, 63.2457772, -16.9058458", \
"63.6761534, 63.7083284, 64.0168944, 64.7669744, 65.3298374, 63.0631644, -19.1541906", \
"63.6729790, 63.7369560, 63.9543650, 64.8801800, 65.5935610, 63.4749710, -14.8143420", \
"63.6987132, 63.7443162, 64.0822542, 64.8118782, 65.2889272, 61.7912252, -13.1607208", \
"63.6585905, 63.7100975, 64.0406325, 64.7583285, 65.8655055, 65.0950035, -20.0400405");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("70.7027408, 69.8950528, 70.3215388, 71.3091788, 71.2791958, 57.1303848, -131.0380732", \
"71.1556475, 69.9635665, 70.4776075, 70.9927225, 72.9055195, 50.3401735, -130.2744995", \
"70.3885313, 70.1493133, 70.7463633, 70.2435223, 71.8515003, 84.5291993, -131.8332557", \
"70.3992427, 70.4328687, 70.4986217, 70.4500427, 73.0102857, 62.3112677, -144.4281233", \
"70.4497820, 70.1067920, 70.6814640, 70.2977990, 72.7259190, 52.6004660, -134.8503690", \
"70.4300723, 70.4057793, 70.5039653, 70.6427573, 70.7616823, 59.3831113, -131.8934267", \
"70.5584187, 70.4609347, 70.4579577, 70.7083477, 70.9050927, 59.6913407, -132.3808243");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("55.3610118, 55.7322358, 57.6759898, 67.5098078, 95.8468008, 163.3806698, 211.6503298", \
"55.2699788, 55.6490748, 57.6061378, 67.4110068, 95.7705918, 163.2557088, 211.7022988", \
"55.2252417, 55.5958967, 57.5581047, 67.3588277, 95.6944537, 163.2328077, 211.6931577", \
"55.2389721, 55.6008661, 57.5646811, 67.3679571, 95.7061501, 163.2130791, 211.6561491", \
"55.2524032, 55.6751372, 57.5697262, 67.3917102, 95.7703082, 163.2481492, 211.6583692", \
"55.2987076, 55.5637626, 57.5228656, 67.3564236, 95.8986406, 163.2551906, 211.5872706", \
"55.3640519, 55.6642529, 57.4422049, 67.3871459, 95.3474049, 163.2789019, 211.6867919");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("12.1889857, 12.1891197, 12.1894827, 12.1906177, 12.1914057, 12.1916347, 12.1916087", \
"15.6084025, 15.6082495, 15.6074985, 15.6056835, 15.6043615, 15.6042695, 15.6038725", \
"15.7019032, 15.7009682, 15.6992612, 15.6972952, 15.6922982, 15.6910902, 15.6887862", \
"15.7053491, 15.7047691, 15.7019021, 15.6938021, 15.6877641, 15.6861631, 15.6861761", \
"15.7114410, 15.7118400, 15.7126660, 15.7068660, 15.7004790, 15.6971870, 15.6967230", \
"15.7135929, 15.7137769, 15.7101319, 15.7015549, 15.6942779, 15.6934789, 15.6923459", \
"15.7169988, 15.7167938, 15.7135568, 15.7055838, 15.6985668, 15.6958658, 15.6956878");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("27.2844272, 27.3717802, 27.6055032, 27.9487112, 27.3480352, 24.5779372, 26.6609582", \
"27.2547187, 27.5993057, 27.3710857, 27.3834527, 30.1440137, 25.7465417, 26.6273247", \
"27.3889092, 27.4550212, 27.6147812, 27.2226102, 28.4174582, 28.7811712, 30.1894172", \
"27.2533174, 27.5961754, 27.1155734, 27.8186834, 28.2249644, 20.3503534, 26.3536834", \
"27.3621660, 27.1093970, 27.5007460, 26.5992460, 25.3047660, 28.3304240, 21.4546100", \
"27.4146942, 27.4581942, 27.5166282, 27.4923332, 28.1670702, 28.9896962, 26.9556222", \
"27.4371605, 27.4190215, 27.4227675, 27.7540005, 27.4186935, 31.1010535, 27.2653215");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("70.9750778, 71.0574848, 71.3402858, 71.9731198, 73.0074658, 69.0191708, -9.4749592", \
"70.9646415, 70.9489245, 71.2653035, 71.9515325, 72.9110075, 68.6695115, -15.0767555", \
"70.8394623, 70.8477403, 71.1241303, 71.9430933, 72.5385343, 71.6613603, -12.5526027", \
"70.8533097, 70.8642947, 71.1510167, 71.9535347, 72.5878667, 70.5473817, -13.7965153", \
"70.8996510, 70.9459200, 71.1663610, 71.9598720, 72.9223110, 68.4524070, -14.6691210", \
"70.8925913, 70.9172183, 71.1351403, 72.0395283, 72.8966483, 68.8034713, -11.9951557", \
"70.9206087, 70.9468447, 71.2217637, 72.0498787, 72.9985717, 75.0690467, -13.7651663");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("40.8571038, 41.2783118, 41.3647908, 42.4531948, 44.9948838, 69.6877528, 46.3859548", \
"40.9623998, 40.9310908, 41.3991748, 42.8014148, 45.6736138, 30.9044488, 44.2902168", \
"40.9173957, 40.9563327, 41.1726847, 42.3073257, 44.1686977, 44.7360287, 48.9550637", \
"40.9237211, 40.9777651, 41.1157931, 42.3577821, 44.5314951, 46.8781211, 45.2833731", \
"40.9450842, 41.0104242, 41.1735642, 42.1907812, 44.4976272, 44.5834932, 44.4541202", \
"40.8931156, 41.2946246, 41.2980386, 42.0366426, 44.1191496, 45.1325096, 45.0859006", \
"40.9818449, 41.0047709, 41.2032199, 42.1630559, 44.0327759, 44.9046789, 45.0832759");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("12.1791427, 12.1792167, 12.1796057, 12.1806427, 12.1814667, 12.1816947, 12.1817217", \
"15.6023915, 15.6022365, 15.6014975, 15.5996695, 15.5982795, 15.5978425, 15.5977565", \
"15.7004822, 15.7000332, 15.6981822, 15.6926602, 15.6879212, 15.6870592, 15.6868702", \
"15.7050661, 15.7045441, 15.7015511, 15.6940711, 15.6880381, 15.6862151, 15.6859511", \
"15.7117770, 15.7123410, 15.7084870, 15.7046320, 15.6984840, 15.6947600, 15.6959130", \
"15.7134749, 15.7131819, 15.7101629, 15.7020079, 15.6940889, 15.6919939, 15.6919609", \
"15.7169068, 15.7166308, 15.7131198, 15.7050128, 15.6979688, 15.6957078, 15.6953198");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("27.2773252, 27.2410952, 27.3974132, 27.0961922, 26.6133682, 23.1059772, 26.7433402", \
"27.3305317, 27.1656457, 27.3716547, 27.5984547, 26.5986497, 26.6673507, 27.6760507", \
"27.5096552, 27.3932842, 27.3655722, 26.8506442, 28.8715552, 28.4957322, 27.9062922", \
"27.1273314, 27.4492564, 27.3392614, 27.6489144, 30.0147004, 28.1584724, 27.7730274", \
"27.3176330, 27.1916800, 27.6580730, 27.3647780, 26.5943320, 15.7318200, 27.8275050", \
"27.4542282, 27.4161772, 27.4203092, 27.2929632, 27.4510282, 25.2913002, 26.8987252", \
"27.4128025, 27.4688555, 27.4154955, 27.7773325, 27.9875355, 29.8253695, 27.1531215");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("90.0072008, 91.1284148, 98.3388138, 132.2068598, 173.4902498, 194.6931298, 199.9560598", \
"89.9229228, 91.1144418, 98.2566178, 131.2067988, 173.1833488, 194.7628488, 199.8625188", \
"89.6322607, 91.0649967, 97.7170577, 131.0725377, 173.2188477, 194.6005977, 199.6334977", \
"89.9116041, 91.0721341, 98.2314631, 131.1104591, 173.1829991, 194.6190291, 199.9565091", \
"89.6747052, 90.9899022, 98.1693472, 132.1306492, 173.1967092, 194.5153192, 199.9541392", \
"89.7079326, 90.7023456, 97.6367986, 131.0978906, 173.1585606, 194.6208606, 199.9228706", \
"89.9565369, 90.7980929, 98.4579239, 132.0698119, 173.2411619, 194.6273119, 200.0414219");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("13.9733648, 13.9733388, 13.9729768, 13.9716878, 13.9689888, 13.9663728, 13.9656278", \
"21.4344415, 21.4049205, 21.4029705, 21.4218385, 21.3995705, 21.3749595, 21.3640895", \
"21.7851883, 21.7771993, 21.7653303, 21.7232863, 21.6703613, 21.6302703, 21.6187983", \
"21.8390107, 21.8078337, 21.7947197, 21.7810117, 21.7247217, 21.6563407, 21.6729647", \
"21.8009140, 21.8064220, 21.7928210, 21.7491440, 21.6947700, 21.6533290, 21.6391320", \
"21.8194433, 21.8187583, 21.8086723, 21.7606833, 21.7031043, 21.6698353, 21.6511493", \
"21.8448237, 21.8412967, 21.8316417, 21.7875327, 21.7307597, 21.6923217, 21.6782427");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("16.1510297, 16.1673587, 16.2625137, 16.6371287, 17.1241207, 17.2960197, 17.3282667", \
"21.8893605, 21.9296495, 22.1665885, 23.2865905, 25.4526885, 26.4768545, 26.6884725", \
"22.1470282, 22.1865052, 22.4256162, 23.5470072, 25.7181982, 26.7358112, 26.9481132", \
"22.1498971, 22.1927321, 22.4259361, 23.5482851, 25.7175501, 26.7370541, 26.9542671", \
"22.1558740, 22.1929300, 22.4302800, 23.5521930, 25.7157730, 26.7429050, 26.9576030", \
"22.1555129, 22.1952979, 22.4330249, 23.5548599, 25.7222829, 26.7490459, 26.9597409", \
"22.1619508, 22.2023178, 22.4396378, 23.5612788, 25.7280218, 26.7514598, 26.9640068");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("86.9751792, 87.0469832, 87.2315232, 87.2775972, 90.1869922, 88.9511122, 10.4105042", \
"87.0700817, 87.1155387, 87.2164157, 87.2672087, 90.1852007, 88.6215907, 10.6518997", \
"87.1127412, 87.1202422, 87.1827272, 87.2823552, 90.1681162, 89.4733802, 7.9101384", \
"87.0516624, 87.0775934, 87.2184944, 87.3175754, 90.0162224, 89.3989724, 5.6254103", \
"87.1273910, 87.1305140, 87.2028860, 87.3281270, 89.9730660, 89.0095940, 12.0976360", \
"87.0647102, 87.0607552, 87.2374192, 87.3029642, 90.2393372, 88.7615822, 8.4809680", \
"87.0017445, 87.1315155, 87.2405185, 87.3762845, 90.2060355, 90.5698835, 9.7379083");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("51.4914368, 51.7455468, 53.4460958, 62.5493798, 107.4385298, 182.9918998, 206.8127098", \
"51.4200758, 51.7040398, 53.3906138, 62.4765718, 107.6260188, 183.0295588, 206.6535888", \
"51.3654547, 51.6458037, 53.3480907, 62.4300347, 107.5227877, 182.9236577, 206.6785277", \
"51.3846381, 51.6445761, 53.3417601, 62.4389411, 107.4913591, 182.8856391, 206.6868291", \
"51.3684782, 51.6095392, 53.2778782, 62.4105972, 107.2904592, 182.9352592, 206.6517192", \
"51.2861806, 51.6510276, 53.2568786, 62.3935666, 107.6316306, 182.9671206, 206.6510206", \
"51.3634189, 51.6412759, 53.2882569, 62.4042779, 107.8175719, 182.9743519, 206.6480819");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("14.5921558, 14.5943918, 14.5879578, 14.5909668, 14.5868798, 14.5752088, 14.5779028", \
"22.0877975, 22.1008565, 22.0618375, 22.0820905, 22.0481035, 22.0293635, 22.0448425", \
"22.4325853, 22.4346723, 22.4249473, 22.3782983, 22.3226503, 22.2891473, 22.2766633", \
"22.4788237, 22.4542567, 22.4410017, 22.4217057, 22.3660227, 22.3277327, 22.3139897", \
"22.4548370, 22.4535860, 22.4402200, 22.3962710, 22.3417260, 22.3030560, 22.2894870", \
"22.4597123, 22.4608313, 22.4476123, 22.3985043, 22.3381173, 22.3017243, 22.2915453", \
"22.4881487, 22.4820517, 22.4691727, 22.4305907, 22.3761277, 22.3365637, 22.3230537");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("60.4222182, 60.5908952, 60.5013352, 60.0399192, 58.0593302, 53.4942772, -154.6935158", \
"60.5446607, 60.4185747, 59.8451717, 59.1423387, 58.0698727, 42.1136647, -164.9697613", \
"60.0627542, 61.0519972, 60.0315572, 58.9311662, 59.0396972, 36.4378502, -171.9642468", \
"60.6237404, 60.4934764, 60.3162714, 59.2303064, 59.5398994, 49.8890354, -110.3300776", \
"60.7127430, 60.1876840, 60.0753290, 60.2389690, 56.3936320, 50.8048530, -159.8539780", \
"60.5849122, 60.4932362, 59.6811352, 59.7182722, 54.2196152, 77.1283112, -147.5181388", \
"60.4712655, 60.4425385, 60.1966025, 59.9226625, 58.0765485, 44.6049605, -146.6645995");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("13.7562507, 13.7561867, 13.7560597, 13.7537897, 13.7516457, 13.7510687, 13.7511427", \
"15.1489035, 15.1494825, 15.1526725, 15.1603235, 15.1670905, 15.1711425, 15.1693745", \
"15.1560492, 15.1576542, 15.1622352, 15.1749892, 15.1861002, 15.1893342, 15.1897882", \
"15.1648211, 15.1471411, 15.1662101, 15.1699121, 15.1849001, 15.1855211, 15.1884581", \
"15.1620040, 15.1601130, 15.1688520, 15.1844200, 15.1976680, 15.1981640, 15.2026380", \
"15.1634389, 15.1647229, 15.1698419, 15.1846229, 15.1974069, 15.2021009, 15.2025429", \
"15.1655978, 15.1676998, 15.1733448, 15.1892368, 15.2015528, 15.2057028, 15.2067658");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("67.7874958, 67.8243558, 67.6430628, 67.3124728, 65.7308698, 52.9024828, -141.4149632", \
"68.1089115, 67.7960505, 67.7259605, 67.1474235, 68.2433525, 44.0511385, -129.4078495", \
"67.8178793, 67.6972673, 67.4609083, 67.0245283, 60.2136633, 32.9012233, -142.5636957", \
"67.6788707, 67.6732357, 67.6341487, 67.2607677, 65.6521097, 54.9139597, -159.5253933", \
"67.6173460, 67.6748970, 67.3134710, 68.0146950, 61.9510520, 75.2594340, -139.8111790", \
"67.7325643, 67.6763723, 67.6889253, 67.2047503, 65.7551183, 51.9232793, -141.5845867", \
"67.7608447, 67.7110787, 67.6332867, 67.1780957, 65.4533777, 51.6890337, -141.8119843");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("33.4554788, 33.4777048, 34.0610158, 34.3425538, 35.5638278, 41.3627898, 34.4858528", \
"33.5059438, 33.5217748, 33.6619928, 33.6302508, 34.6442768, 33.6573718, 33.2902308", \
"33.5458827, 33.4660367, 33.5233867, 33.4984547, 33.4150497, 32.1255327, 33.3263427", \
"33.5005201, 33.5636891, 33.5166251, 33.5841651, 33.1326241, 31.9890521, 33.5332481", \
"33.4308482, 33.5053822, 33.5067002, 33.8509772, 33.7971032, 34.5848972, 33.0267752", \
"33.4915296, 33.5886776, 33.5221506, 33.5317026, 33.3904506, 35.6061646, 33.4645406", \
"33.5334799, 33.5323179, 33.5867949, 33.4587409, 33.5810559, 31.7562449, 33.3604379");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("12.2336887, 12.2344657, 12.2347227, 12.2330567, 12.2311767, 12.2310987, 12.2305597", \
"16.7225715, 16.7219955, 16.7219315, 16.7189595, 16.7095125, 16.6913935, 16.6908835", \
"17.4354262, 17.4377452, 17.4261672, 17.3932532, 17.3392282, 17.3100542, 17.2955292", \
"17.5402771, 17.5386561, 17.5254221, 17.4869251, 17.4342281, 17.3941991, 17.3815551", \
"17.5508290, 17.5464830, 17.5367380, 17.4942070, 17.4413350, 17.4037070, 17.3876870", \
"17.5525849, 17.5502019, 17.5353229, 17.4956149, 17.4318239, 17.4023749, 17.3875239", \
"17.5549278, 17.5454538, 17.5327648, 17.4941268, 17.4382538, 17.4027628, 17.3875298");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("46.7253302, 46.9631332, 48.6813872, 57.7726842, 102.8714242, 178.3665442, 201.9266842", \
"46.6845917, 46.9569707, 48.6387467, 57.8201907, 103.1203287, 178.3890987, 202.1182487", \
"46.7283512, 46.9517872, 48.6878912, 57.7768122, 102.9174232, 178.3097532, 202.0163332", \
"46.7143594, 47.0173674, 48.7169174, 57.8074414, 102.9451524, 178.2369324, 202.0869424", \
"46.6858940, 46.9600880, 48.7125400, 57.8477150, 103.1884020, 178.3112420, 201.9806620", \
"46.7370002, 47.0119012, 48.7386562, 57.8708062, 103.1454412, 178.3306512, 202.0653212", \
"46.7499245, 46.9879465, 48.7094965, 57.8616895, 103.1993805, 178.3824905, 202.0518705");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("67.7845888, 67.8268118, 67.6430628, 67.3123278, 65.7352278, 52.7719068, -141.9896132", \
"68.1086275, 67.7940595, 67.7237195, 66.9934705, 67.8048565, 44.8349935, -157.7318995", \
"67.7324893, 67.6977533, 67.4476823, 66.8992113, 61.3258923, 30.0233723, -139.7447657", \
"67.6788007, 67.6736867, 67.5804767, 67.2615997, 66.3102217, 51.9149867, -144.1937933", \
"67.5633340, 67.6811770, 68.0384260, 68.0364340, 68.5844540, 14.5725780, -139.6842090", \
"67.7333273, 67.6683163, 67.6543553, 67.2039243, 65.4701653, 53.1809093, -141.9952067", \
"67.7567647, 67.7103917, 67.6377827, 67.1839097, 65.4695797, 51.7525147, -142.1308043");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("33.4590108, 33.5134748, 34.0638358, 34.2739528, 35.5717968, 23.3176338, 29.1038868", \
"33.5849918, 33.5249908, 33.5853668, 33.6355208, 33.7694138, 33.6366658, 33.2851488", \
"33.5837137, 33.4697727, 33.5453067, 33.4971227, 33.4026987, 33.4643057, 33.2937477", \
"33.4981651, 33.5661971, 33.5100791, 33.3714081, 33.2330291, 30.2414021, 33.6098461", \
"33.5120332, 33.4516852, 33.7219552, 33.7963752, 33.7500262, 30.6035302, 33.5949182", \
"33.4922476, 33.5882406, 33.5233376, 33.5373296, 34.1204506, 33.0804346, 33.4843266", \
"33.5361789, 33.5321199, 33.5803239, 33.4733439, 33.5817399, 32.7780179, 33.3532139");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("70.5722888, 70.5352558, 70.7643298, 71.5311958, 72.1314658, 69.8162408, -12.1701902", \
"70.3236055, 70.4780585, 70.6248715, 71.4525565, 72.3472985, 64.6050265, -15.2671895", \
"70.3767563, 70.4481233, 70.7188783, 71.3769983, 72.1175373, 69.9599233, -14.2108927", \
"70.4097417, 70.4198237, 70.6494737, 71.4403617, 72.4872467, 69.7847207, -14.1700003", \
"70.3890940, 70.4003350, 70.7100180, 71.4474350, 72.5384790, 68.1912270, -12.9856140", \
"70.4439853, 70.4721293, 70.6651283, 71.5840043, 72.5652123, 67.9228283, -14.4015707", \
"70.4537247, 70.4802527, 70.7710617, 71.5030387, 72.5768667, 68.3586227, -14.8552353");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("18.6176278, 18.6509588, 18.8355608, 19.7118778, 21.3133918, 22.0322628, 22.1787318", \
"26.6707518, 26.7116048, 26.9511368, 28.0753958, 30.2380788, 31.2528778, 31.4620648", \
"27.0331767, 27.0737207, 27.3122867, 28.4391067, 30.6028547, 31.6185837, 31.8278257", \
"27.0614231, 27.1018851, 27.3405361, 28.4667901, 30.6316141, 31.6477971, 31.8573211", \
"27.0656412, 27.1060952, 27.3441972, 28.4740802, 30.6391642, 31.6529752, 31.8572702", \
"27.0767846, 27.1170366, 27.3557016, 28.4812496, 30.6455526, 31.6631376, 31.8745796", \
"27.0979329, 27.1382759, 27.3769099, 28.5020219, 30.6662729, 31.6835639, 31.8937049");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("97.1050238, 97.1488638, 97.4465488, 98.0390958, 103.2936368, 103.3691668, 26.2249368", \
"97.0991595, 97.1290315, 97.3597905, 98.0734835, 102.9461105, 105.3971005, 26.1485945", \
"96.9719613, 97.0575253, 97.3234513, 97.9222223, 102.8609243, 105.4592743, 26.2999253", \
"97.0110597, 97.0252147, 97.3558747, 97.9848987, 102.8835267, 105.2992267, 23.5314227", \
"97.0022710, 97.0774400, 97.2902770, 97.9822720, 102.7238010, 103.9991410, 20.7229690", \
"97.0424723, 97.0901913, 97.3514063, 97.9930593, 102.8229833, 104.4770633, 23.7579153", \
"97.0887107, 97.1485037, 97.3620897, 97.9795957, 102.8252957, 105.3611657, 27.5287367");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("63.1142408, 63.4971008, 65.5781378, 76.4630488, 106.8317598, 174.9417898, 223.4355498", \
"63.0304348, 63.3179478, 65.5135458, 76.3974638, 106.8078788, 174.8365988, 223.4387988", \
"62.9407857, 63.3146257, 65.4227657, 76.3248507, 106.7303377, 174.8810377, 223.5123677", \
"63.0368941, 63.2900311, 65.4753671, 76.3519301, 106.7755291, 174.7646491, 223.4500491", \
"62.9952262, 63.3111272, 65.4879062, 76.3470462, 106.7629692, 174.8727392, 223.4403592", \
"62.9664696, 63.3867026, 65.4616406, 76.3588536, 106.8032606, 174.8902206, 223.3682306", \
"62.9970879, 63.3164159, 65.5242339, 76.3608499, 106.4428819, 174.9163019, 223.4413419");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("12.4221397, 12.4234427, 12.4221777, 12.4190777, 12.4170607, 12.4168487, 12.4179037", \
"17.1866725, 17.1917255, 17.1797005, 17.1753675, 17.1503715, 17.1153635, 17.1035165", \
"17.4199422, 17.4174902, 17.4047992, 17.3632822, 17.3088882, 17.2640892, 17.2498292", \
"17.4175761, 17.4080861, 17.4047501, 17.3654951, 17.3109811, 17.2554071, 17.2485931", \
"17.4232160, 17.4208840, 17.4079480, 17.3588520, 17.3115320, 17.2640670, 17.2504930", \
"17.4205289, 17.4187439, 17.4061029, 17.3630649, 17.3122839, 17.2597329, 17.2492689", \
"17.4214428, 17.4190278, 17.4060868, 17.3665628, 17.3110778, 17.2641788, 17.2497328");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("29.7725512, 29.8236422, 30.2936272, 31.9880602, 36.1759112, 49.7014462, 82.0295732", \
"29.7618507, 29.8657227, 30.2849707, 31.9468177, 36.0447167, 49.5711787, 83.0751177", \
"29.8029172, 29.8628422, 30.3118332, 31.9578362, 36.1732632, 49.4217872, 82.6827082", \
"29.8219934, 29.8626414, 30.1950394, 31.9473564, 36.0779414, 49.5928944, 83.0081884", \
"29.8663550, 29.8942560, 30.3092820, 31.9624010, 36.1451560, 49.5065510, 82.6178390", \
"29.8342122, 29.9264502, 30.3184082, 31.9707352, 36.1489102, 49.6497602, 82.6538282", \
"29.8424595, 29.9300395, 30.3208375, 31.9466765, 36.1485335, 49.6487695, 82.6066215");
}
}
internal_power () {
related_pin : "OE_N";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("12.1794847, 12.1795607, 12.1799627, 12.1810377, 12.1818397, 12.1820557, 12.1820857", \
"15.6025105, 15.6023635, 15.6016285, 15.5997855, 15.5984045, 15.5987695, 15.5978865", \
"15.7007792, 15.7002082, 15.6983002, 15.6925772, 15.6879592, 15.6907472, 15.6869612", \
"15.7051061, 15.7045561, 15.7015741, 15.6940821, 15.6880411, 15.6857291, 15.6859631", \
"15.7130990, 15.7153990, 15.7089600, 15.7043940, 15.6949070, 15.6971810, 15.6957400", \
"15.7138229, 15.7129529, 15.7101319, 15.7012919, 15.6952459, 15.6921829, 15.6920839", \
"15.7169898, 15.7167468, 15.7133048, 15.7048848, 15.6984788, 15.6957398, 15.6955918");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("27.2828552, 27.2523062, 27.3887082, 27.0798892, 26.4893352, 27.9925602, 27.6801522", \
"27.2737107, 27.3104257, 27.5169187, 27.2060547, 26.5909097, 14.7461117, 26.2742187", \
"27.4554602, 27.4056112, 27.3679382, 26.7870872, 27.4480082, 30.7869252, 27.5427582", \
"27.5270674, 27.5598084, 27.4711384, 27.6505764, 29.4752504, 24.6330614, 27.7546234", \
"27.2662910, 27.3376990, 27.6215990, 26.7267190, 27.5603850, 26.0366490, 28.0552990", \
"27.4154282, 27.4031652, 27.3801042, 27.4784052, 26.6458782, 27.9393682, 27.1631042", \
"27.4113855, 27.4325825, 27.4194315, 27.1409625, 27.8794345, 27.0652445, 27.2562765");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("85.1890522, 86.3379182, 93.5572752, 127.4909342, 168.7311442, 189.8976842, 195.0583742", \
"85.0744627, 86.0504247, 93.8209177, 127.3449787, 168.6839087, 189.8930387, 195.3475387", \
"85.1649522, 86.3281672, 93.5449362, 127.4889332, 168.5625932, 190.0462032, 195.4834532", \
"85.2848884, 86.4347464, 93.5940054, 127.2924124, 168.5989524, 189.9791924, 195.3822824", \
"85.2845180, 86.0618550, 93.8740220, 127.3790120, 168.5817720, 190.0500220, 195.2535220", \
"85.1548492, 86.0839942, 93.0862752, 126.8571612, 168.6428912, 190.0001312, 195.3505112", \
"85.1950925, 86.0819595, 93.0059555, 126.7814405, 168.7055905, 190.0006005, 195.3512605");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("12.0305877, 12.0305057, 12.0303947, 12.0301547, 12.0301767, 12.0301197, 12.0260757", \
"16.0776935, 16.0746975, 16.0652575, 16.0678405, 16.0552285, 16.0435675, 16.0429815", \
"16.8011242, 16.7862492, 16.7755682, 16.7557452, 16.7091822, 16.6703952, 16.6545242", \
"16.9161511, 16.9093991, 16.8986631, 16.8601371, 16.8053651, 16.7646071, 16.7542111", \
"16.9285210, 16.9166730, 16.9040790, 16.8737190, 16.8168690, 16.7687150, 16.7643730", \
"16.9201289, 16.9225909, 16.9101599, 16.8650999, 16.8135299, 16.7794409, 16.7630169", \
"16.9264648, 16.9232488, 16.9098188, 16.8713888, 16.8165808, 16.7785918, 16.7647858");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("12.4288807, 12.4338377, 12.4272677, 12.4282507, 12.4270097, 12.4241807, 12.4257897", \
"17.1921855, 17.1966145, 17.1872905, 17.1795965, 17.1539215, 17.1193655, 17.1070765", \
"17.4223482, 17.4199542, 17.4051602, 17.3636432, 17.3096102, 17.2646702, 17.2503782", \
"17.4281611, 17.4216891, 17.4005201, 17.3602261, 17.3071091, 17.2564741, 17.2438071", \
"17.4188490, 17.4152670, 17.4099150, 17.3701370, 17.3079850, 17.2641430, 17.2522000", \
"17.4166659, 17.4174659, 17.4066259, 17.3663569, 17.3088129, 17.2579809, 17.2496689", \
"17.4218028, 17.4193868, 17.4071168, 17.3667758, 17.3112808, 17.2645538, 17.2501438");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("29.7721072, 29.8224832, 30.3100902, 31.9031282, 36.1670052, 49.7015332, 82.0613462", \
"29.7907547, 29.8635297, 30.2834677, 31.9442747, 36.0778327, 49.5049017, 82.3978607", \
"29.7973322, 29.8442462, 30.2380592, 31.9106172, 36.1133592, 49.7320732, 82.6508592", \
"29.7781054, 29.9126084, 30.2993374, 31.9435814, 36.1084864, 49.5952984, 82.4821794", \
"29.8168320, 29.8413200, 30.3582460, 31.9395610, 36.0404580, 49.6138020, 83.0248470", \
"29.8438152, 29.9235882, 30.3201112, 31.9865702, 36.1661952, 49.6123012, 82.6382852", \
"29.8423735, 29.9257895, 30.3322525, 31.9506315, 36.2173225, 49.6447515, 82.6958065");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("12.1886507, 12.1886947, 12.1890847, 12.1901767, 12.1910587, 12.1912387, 12.1912747", \
"15.6083485, 15.6082235, 15.6074705, 15.6056405, 15.6043515, 15.6039525, 15.6038605", \
"15.7014712, 15.7015042, 15.6995692, 15.6940272, 15.6893092, 15.6886322, 15.6908652", \
"15.7052771, 15.7048071, 15.7019071, 15.6942271, 15.6881231, 15.6865371, 15.6857841", \
"15.7123030, 15.7146240, 15.7095480, 15.7052910, 15.6963610, 15.6960490, 15.6976840", \
"15.7136069, 15.7133579, 15.7098959, 15.7014549, 15.6933809, 15.6927309, 15.6920179", \
"15.7170958, 15.7168298, 15.7137078, 15.7055618, 15.6988428, 15.6955558, 15.6956358");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("27.3118602, 27.3867772, 27.6163172, 27.9311872, 27.1800732, 30.3197212, 24.5765282", \
"27.2499187, 27.5890937, 27.3510397, 27.7691027, 30.2988547, 10.7500617, 26.5937497", \
"27.3241592, 27.4191492, 27.3669742, 27.9417532, 28.5855422, 45.4756862, 29.7769522", \
"27.5130804, 27.6077964, 27.1920264, 27.2163544, 24.9741144, 25.7406494, 32.1746814", \
"27.4965560, 27.3729170, 27.5010910, 27.7879740, 26.3541960, 38.2392170, 26.2325430", \
"27.4338732, 27.2627702, 27.3410342, 27.5068042, 27.7298642, 25.4502672, 27.1046832", \
"27.4170735, 27.4252475, 27.3229735, 27.9977915, 26.3923985, 27.7402805, 27.3126265");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("93.8236618, 93.8970898, 94.0039758, 94.1563818, 96.8615378, 96.5228568, 16.2790128", \
"93.7912775, 93.8289765, 93.9649645, 94.0671055, 96.6905415, 97.2487135, 12.2679245", \
"93.7580113, 93.7559643, 93.9135123, 93.9807783, 96.7891983, 97.2521403, 17.5319133", \
"93.7841137, 93.7937097, 93.9013527, 94.0516217, 96.8802057, 95.9062417, 15.0144387", \
"93.7261420, 93.7509000, 93.9152890, 94.0058000, 96.9314740, 96.4146230, 17.9367200", \
"93.7938973, 93.7899423, 93.9605143, 94.0302643, 96.9548063, 95.6834973, 17.5497703", \
"93.7701367, 93.8142607, 93.9677697, 94.0196247, 96.9496767, 95.8104347, 23.0357547");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("18.6465208, 18.6783078, 18.8629458, 19.7376658, 21.3359048, 22.0552578, 22.2138708", \
"26.7235238, 26.7639338, 27.0025268, 28.1237978, 30.2845208, 31.3028658, 31.5128888", \
"27.0796207, 27.1200047, 27.3596587, 28.4834557, 30.6465037, 31.6663757, 31.8766027", \
"27.1076011, 27.1546611, 27.3872661, 28.5374591, 30.6749061, 31.7174781, 31.9170751", \
"27.1243202, 27.1661872, 27.3964912, 28.5245502, 30.6892322, 31.7055652, 31.9155012", \
"27.1226016, 27.1634526, 27.4010266, 28.5256546, 30.6905896, 31.7095766, 31.9202176", \
"27.1454699, 27.1857539, 27.4243349, 28.5487069, 30.7126109, 31.7308069, 31.9410379");
}
}
internal_power () {
related_pin : "OE_N";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("86.9777052, 87.0494742, 87.2386772, 87.2648362, 90.2488312, 88.8921322, 10.6554322", \
"87.0653217, 87.1153997, 87.1683707, 87.2677777, 90.1052647, 89.1667027, 8.5321971", \
"87.0812802, 87.0626012, 87.2184762, 87.2757362, 90.2228572, 89.3878732, 8.1152391", \
"87.0508304, 87.0892304, 87.2514054, 87.2794874, 90.1724654, 90.4352754, 7.7378555", \
"87.1377720, 87.1413390, 87.1985840, 87.3482010, 89.9526240, 89.0450170, 11.8980420", \
"86.9842812, 87.0612062, 87.1811172, 87.3566152, 90.2147532, 90.4545262, 11.6901732", \
"87.0074685, 87.1369835, 87.2195975, 87.3789285, 90.2035235, 90.5340225, 8.5166716");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("16.1506067, 16.1670877, 16.2624057, 16.6369727, 17.1240407, 17.2959277, 17.3282367", \
"21.8888785, 21.9293775, 22.1663195, 23.2863505, 25.4526235, 26.4767985, 26.6884555", \
"22.1524422, 22.1862702, 22.4242912, 23.5469942, 25.7126002, 26.7357282, 26.9509462", \
"22.1519891, 22.1860001, 22.4258011, 23.5524241, 25.7134971, 26.7408961, 26.9497741", \
"22.1524190, 22.1945340, 22.4301120, 23.5584330, 25.7210540, 26.7432380, 26.9559310", \
"22.1551859, 22.1972289, 22.4326969, 23.5553889, 25.7233129, 26.7468549, 26.9601869", \
"22.1612928, 22.2015368, 22.4393918, 23.5610758, 25.7271528, 26.7513788, 26.9647688");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("94.1853868, 94.2566908, 94.4059738, 94.5312908, 97.2908608, 96.2950118, 14.0768418", \
"94.1738045, 94.1994855, 94.3583095, 94.4236185, 97.4569975, 96.2764075, 17.1266865", \
"94.1118193, 94.1267443, 94.3182093, 94.3596133, 97.2484483, 96.2027923, 16.5313383", \
"94.0931717, 94.1850357, 94.3121837, 94.4175507, 97.2819337, 96.2196377, 14.8331827", \
"94.1180300, 94.1326990, 94.2849790, 94.3710910, 97.2823730, 96.2343090, 16.4332270", \
"94.0950663, 94.1570303, 94.2312143, 94.4421773, 97.0329593, 96.1614063, 16.6982653", \
"94.1914727, 94.1934577, 94.3487427, 94.4131497, 97.3202337, 96.2149377, 23.0458457");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("41.1078058, 41.1199978, 41.3763128, 42.4037588, 44.1212148, 45.3662358, 45.0191108", \
"40.8124398, 41.1801768, 41.8320688, 43.0015778, 44.6005938, 37.9853818, 45.9892118", \
"40.9379447, 40.9502127, 41.2985487, 42.1757847, 43.7791267, 44.4156007, 44.7721177", \
"40.9727901, 41.0592691, 41.3291431, 43.0396541, 47.6831511, 46.3768801, 44.0499251", \
"40.9380542, 41.0808332, 41.2255992, 42.2494322, 44.4639422, 47.7905382, 45.5727012", \
"40.8767086, 41.1111216, 41.3611166, 42.0124396, 44.1679056, 44.9048166, 45.0747706", \
"41.0099019, 41.0178989, 41.2573359, 42.2365149, 44.4268739, 45.0436369, 45.1103579");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("73.6752928, 73.7516768, 74.1329058, 75.5293518, 77.6941198, 73.5291388, 11.6102758", \
"73.5979655, 73.6370655, 74.0506725, 75.5861805, 78.6862495, 75.4290355, -1.0491038", \
"73.6272113, 73.5735183, 73.9913443, 75.2847333, 78.1906723, 74.3979283, -3.5091025", \
"73.4898747, 73.5484457, 74.0346547, 75.2514167, 78.1324527, 73.4113677, -1.4096774", \
"73.5385260, 73.6016150, 74.0089490, 75.1684310, 77.8597090, 76.8269650, -1.7619090", \
"73.5284413, 73.6276883, 74.0183553, 75.2787493, 78.4728963, 76.3453823, -6.9830986", \
"73.5769077, 73.6388717, 73.9863297, 75.3053097, 77.9189967, 76.3583627, -2.0136138");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("43.5807448, 43.6379418, 44.2707378, 46.8911168, 53.1559118, 67.5175238, 100.5090598", \
"43.4596938, 43.5896898, 44.2842808, 46.8477408, 52.9565448, 67.5233338, 100.4218888", \
"43.4092907, 43.5215727, 44.1323477, 46.8199617, 52.9351507, 67.3435277, 100.5613977", \
"43.4243771, 43.5261741, 44.0984041, 46.8295801, 52.9148171, 67.2884721, 100.5328791", \
"43.4266612, 43.5368122, 44.1494992, 46.8306152, 52.9102592, 67.3085822, 100.4292792", \
"43.4318416, 43.5423996, 44.1783006, 46.8419856, 53.0099756, 67.2986846, 100.4783606", \
"43.4221289, 43.5194709, 44.1787599, 46.8489189, 53.0076279, 67.3280889, 100.4783319");
}
}
internal_power () {
related_pin : "OUT";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("70.4575888, 70.5008048, 70.7066538, 70.7626298, 70.7686528, 59.6250808, -133.5789732", \
"70.2673375, 70.5058895, 70.2263355, 70.5935485, 71.2909215, 57.6907135, -133.8245695", \
"70.2337803, 70.3519633, 70.3846733, 70.6278953, 70.7335893, 58.3539223, -133.8521557", \
"70.3625427, 70.3490327, 70.3162047, 70.2599997, 71.2330067, 59.3777817, -138.0736933", \
"70.2763440, 70.2836370, 70.5643220, 70.1953050, 70.8737620, 36.4608450, -133.4676590", \
"70.4775073, 70.4424383, 70.4663983, 70.5534043, 70.6567453, 59.4006103, -132.9634267", \
"70.3770157, 70.3228787, 70.3064057, 70.5725537, 70.7313917, 59.5462347, -132.4376343");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("35.9868018, 36.1627368, 36.4629308, 38.2073998, 42.4578128, 55.9874898, 88.8286368", \
"35.9930408, 36.0680718, 36.4403228, 38.1113818, 42.2551568, 55.8188278, 88.7469748", \
"35.9465977, 36.0182167, 36.4191807, 38.0716127, 42.2144827, 55.8035707, 88.7130447", \
"35.9517021, 36.0276061, 36.4021961, 38.0749241, 42.2499831, 55.7814721, 88.6021521", \
"35.9344212, 36.0131922, 36.3972012, 38.0964462, 42.2195332, 55.6907572, 88.7621152", \
"35.9684896, 36.0344746, 36.3945616, 38.0815876, 42.2731716, 55.7933706, 88.6539566", \
"35.9806259, 36.0440719, 36.4433599, 38.1137289, 42.2629029, 55.7640689, 88.8071989");
}
}
internal_power () {
related_pin : "OE_N";
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("55.0713427, 55.3137014, 56.8248948, 63.6503698, 78.8638040, 104.6552775, 123.6731966", \
"59.0353942, 59.3328392, 60.8911411, 68.1668048, 84.9570696, 107.8824051, 130.1811443", \
"59.2735747, 59.5204165, 61.0370344, 68.2269373, 85.1237292, 113.6223161, 131.1729386", \
"59.2591089, 59.5975723, 60.9752605, 68.3687770, 85.0650504, 110.1539741, 131.0480697", \
"59.2746414, 59.4423759, 61.1523922, 68.2084584, 84.4075715, 111.1103054, 129.9876872", \
"59.2944525, 59.5167817, 61.0147237, 68.2902549, 84.8392522, 111.0614442, 130.4243047", \
"59.3284396, 59.5516584, 60.9937140, 68.3965184, 84.7785360, 111.8921617, 130.5425205");
}
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("81.6239973, 81.7343020, 81.7644810, 81.7014185, 82.2116738, 79.8599294, -50.1519106", \
"85.7828833, 85.8340640, 85.8647346, 85.6347135, 86.3408068, 79.4193073, -48.5701245", \
"86.0594785, 86.1388722, 86.0322022, 86.0545003, 86.8105937, 80.9715257, -51.9244711", \
"86.1543978, 86.2585255, 86.1644604, 86.3051635, 87.6157208, 83.3699666, -43.3607590", \
"86.1997220, 85.9926405, 86.0903724, 86.4129487, 86.6546332, 82.7168938, -43.5809408", \
"86.1583123, 86.3065794, 86.1880922, 86.3144223, 87.5129084, 77.8902946, -45.2593454", \
"86.1172098, 86.1725708, 86.1945514, 86.3316743, 86.7373448, 81.3903704, -46.0029616");
}
}
internal_power () {
related_pin : "OUT";
rise_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("134.2724444, 134.2103029, 134.4948788, 135.1699499, 137.0581503, 126.5871704, -78.8220291", \
"136.8522028, 136.6311475, 136.8737238, 137.4642618, 140.7884215, 124.6578562, -80.6132882", \
"136.6792793, 136.6529641, 136.9365718, 137.2940301, 137.9771619, 127.4434256, -79.0013054", \
"136.6797604, 136.7045731, 136.9449549, 137.4224186, 140.0916001, 130.6117742, -86.3718663", \
"136.6393785, 136.6525700, 137.0344328, 137.6040915, 139.7383050, 122.1613967, -79.7014208", \
"136.7415134, 136.7544493, 136.9886146, 137.5219678, 139.5717398, 129.5421864, -79.9779711", \
"136.7979296, 136.7918039, 136.9990007, 137.5219379, 139.5426617, 130.6467632, -76.9639382");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
values("87.6141641, 88.1037888, 90.7228613, 102.7917694, 130.3536808, 177.2224059, 205.0966256", \
"90.2260329, 90.6794729, 93.3206309, 105.2864869, 132.9683396, 172.9944148, 208.4426384", \
"90.2695609, 90.6995321, 93.1349032, 105.0798459, 132.3884986, 176.1352711, 209.1406156", \
"90.3354014, 90.7641424, 93.2188857, 105.2551750, 133.0346095, 176.2338452, 208.5225594", \
"90.2779794, 90.7427196, 93.2366776, 105.3822101, 132.6645556, 176.5819676, 208.5528779", \
"90.2687682, 90.7906444, 93.1520695, 105.0425544, 132.6515564, 176.8203479, 208.5943409", \
"90.3981098, 90.7324530, 93.2939431, 105.2611863, 132.5201468, 176.1309958, 208.6408661");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_fall";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7006315, 1.7006316, 1.7006317, 1.7006534, 1.7006535, 1.7006537, 1.9050530", \
"1.7588107, 1.7588109, 1.7588110, 1.7588111, 1.7588112, 1.7588113, 1.9185622", \
"1.7733782, 1.7734919, 1.7748438, 1.7775422, 1.7775423, 1.7775425, 1.9285815", \
"1.7833689, 1.7839253, 1.7848308, 1.7885061, 1.7886973, 1.7886974, 1.9295974", \
"1.7871220, 1.7882101, 1.7882103, 1.7882104, 1.7882105, 1.7882106, 1.9341790", \
"1.7826487, 1.7837363, 1.7860859, 1.7860860, 1.7860861, 1.7860862, 1.9239057", \
"1.7871905, 1.7872661, 1.7872662, 1.7872664, 1.7872665, 1.7872666, 1.9146598");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.3890432, 8.5941068, 9.8647011, 17.7049380, 65.7666420, 360.1784600, 2173.2696000", \
"8.4692804, 8.6569285, 9.9293498, 17.7602400, 66.0210660, 360.4264400, 2174.1728000", \
"8.4774383, 8.6828846, 9.9544888, 17.8215630, 65.9303150, 360.4758700, 2173.3640000", \
"8.4873308, 8.7085485, 9.9630313, 17.7948090, 66.0308500, 360.5054000, 2173.4654000", \
"8.5101259, 8.6975334, 9.9770929, 17.8050850, 65.8674540, 360.8372200, 2174.1930000", \
"8.5093710, 8.6974197, 9.9876978, 17.8348320, 65.8496760, 360.8584200, 2173.4454000", \
"8.5010843, 8.7051601, 9.9721440, 17.8040500, 65.8697840, 360.8243400, 2175.0193000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.4098670, 13.8199370, 16.3023690, 31.6591620, 126.9541600, 714.9544700, 4341.1601000", \
"13.3586890, 13.7513100, 16.2318530, 31.6175740, 126.9790700, 714.7343700, 4340.7281000", \
"13.3499040, 13.7560660, 16.3105300, 31.6159860, 127.2124600, 714.8592500, 4341.1619000", \
"13.3412230, 13.7626210, 16.2293010, 31.6165830, 127.2130400, 715.0933900, 4341.0323000", \
"13.3346330, 13.7500540, 16.2442860, 31.6178760, 126.9372700, 715.4665700, 4344.6676000", \
"13.3431120, 13.7499250, 16.2398560, 31.5927200, 127.0203100, 715.5594500, 4342.0418000", \
"13.3556300, 13.7598030, 16.2428840, 31.6160150, 127.0411000, 715.4561100, 4338.8873000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.2253590, 2.2382234, 2.3141078, 2.6784372, 3.9971138, 7.6906073, 19.3884270", \
"2.3277433, 2.3406093, 2.4166908, 2.7808988, 4.0995962, 7.7933908, 19.4919830", \
"2.3954043, 2.4082618, 2.4843823, 2.8485687, 4.1672741, 7.8617095, 19.5598560", \
"2.4510856, 2.4640232, 2.5400593, 2.9042502, 4.2229482, 7.9173486, 19.6160100", \
"2.4997393, 2.5136149, 2.5887017, 2.9528966, 4.2715844, 7.9633020, 19.6654200", \
"2.5468017, 2.5598113, 2.6352207, 2.9999843, 4.3178687, 8.0115514, 19.7167690", \
"2.5926057, 2.6052625, 2.6806903, 3.0458116, 4.3635149, 8.0550899, 19.7592150");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("0.6893184, 0.6950752, 0.7352413, 0.9799989, 2.0243807, 4.7508024, 17.2227030", \
"0.6897699, 0.6955563, 0.7341591, 0.9797948, 2.0242941, 4.7689359, 17.2448880", \
"0.6899273, 0.6957337, 0.7339523, 0.9797511, 2.0245434, 4.7689106, 17.2356480", \
"0.6899216, 0.6957365, 0.7339558, 0.9797510, 2.0245312, 4.7688369, 17.2516720", \
"0.6893221, 0.6913365, 0.7347275, 0.9800424, 2.0239956, 4.7568660, 17.2300310", \
"0.6865217, 0.6924611, 0.7374060, 0.9780612, 2.0226452, 4.7557749, 17.2602610", \
"0.6855251, 0.6935603, 0.7347557, 0.9784730, 2.0274655, 4.7610342, 17.2446700");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("3.3937453, 3.3940006, 3.3940007, 3.3940009, 3.3940012, 3.3940014, 3.3940017", \
"3.4797421, 3.4797422, 3.4797425, 3.4797427, 3.4797429, 3.4797432, 3.4797434", \
"3.4764196, 3.4764199, 3.5012802, 3.5012805, 3.5012807, 3.5012810, 3.5012812", \
"3.5122931, 3.5122933, 3.5122935, 3.5122937, 3.5122940, 3.5122942, 3.5122945", \
"3.5159688, 3.5159690, 3.5159692, 3.5159694, 3.5159697, 3.5159699, 3.5159702", \
"3.5213250, 3.5213253, 3.5213255, 3.5213258, 3.5213260, 3.5213262, 3.5213265", \
"3.5152024, 3.5152025, 3.5152027, 3.5152029, 3.5152032, 3.5152034, 3.5152036");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("3.0890950, 3.0894760, 3.0894763, 3.0894765, 3.0894768, 3.0894770, 3.0894772", \
"3.1414067, 3.1485976, 3.1485979, 3.1485981, 3.1485984, 3.1485986, 3.1485988", \
"3.1703904, 3.1703905, 3.1703908, 3.1703910, 3.1703913, 3.1703915, 3.1703917", \
"3.1729477, 3.1729478, 3.1770453, 3.1770455, 3.1770458, 3.1770460, 3.1770462", \
"3.1835206, 3.1835207, 3.1835210, 3.1835212, 3.1835214, 3.1835217, 3.1835219", \
"3.1790379, 3.1790380, 3.1909759, 3.1909761, 3.1909763, 3.1909766, 3.1909768", \
"3.1908516, 3.1910550, 3.1912950, 3.1912951, 3.1912953, 3.1912956, 3.1912958");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.2588595, 2.2863884, 2.4543894, 3.4589946, 9.5879162, 23.6631690, 51.7879290", \
"2.3250886, 2.3525895, 2.5206353, 3.5252665, 9.6600905, 23.7278160, 51.8316090", \
"2.3485727, 2.3760454, 2.5441167, 3.5487635, 9.6833768, 23.7462260, 51.8681810", \
"2.3598117, 2.3872853, 2.5553279, 3.5599297, 9.6893293, 23.7303870, 51.8719570", \
"2.3639715, 2.3915718, 2.5594864, 3.5639935, 9.6928998, 23.7645140, 51.8778160", \
"2.3640117, 2.3916114, 2.5595444, 3.5640708, 9.6917523, 23.7644980, 51.8797950", \
"2.3619415, 2.3894782, 2.5574352, 3.5615180, 9.6917399, 23.7629560, 51.8840080");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7050572, 1.7544398, 2.0698774, 4.0269201, 11.9751760, 17.0601740, 40.1852310", \
"1.7059864, 1.7554279, 2.0685875, 4.0281809, 11.9765420, 17.0587860, 40.2218240", \
"1.7064288, 1.7550865, 2.0706257, 4.0261008, 11.9757740, 17.0780830, 40.2209430", \
"1.7064316, 1.7548237, 2.0688444, 4.0258747, 11.9752920, 17.0987220, 40.1991860", \
"1.7066266, 1.7566675, 2.0711710, 4.0290975, 11.9761240, 17.0997210, 40.2318070", \
"1.7066635, 1.7559745, 2.0692865, 4.0265826, 11.9745430, 17.1218290, 40.2274050", \
"1.7064598, 1.7548865, 2.0706648, 4.0298906, 11.9747500, 17.1115390, 40.2229220");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.6441183, 8.8579704, 10.1552960, 18.0975450, 66.3006930, 361.4029800, 2178.7441000", \
"8.7394509, 8.9582572, 10.2548870, 18.2051560, 66.3458910, 361.8660000, 2180.2725000", \
"8.8149914, 9.0282120, 10.3165170, 18.2588750, 66.3813180, 361.8104400, 2179.1052000", \
"8.8625134, 9.0810488, 10.3780870, 18.3286200, 66.5124200, 362.1375400, 2180.5629000", \
"8.9231005, 9.1280281, 10.4264760, 18.3647760, 66.5296010, 361.9460900, 2180.2261000", \
"8.9592067, 9.1763646, 10.4688050, 18.4162340, 66.6088550, 361.8466500, 2180.0151000", \
"9.0132071, 9.2245305, 10.5191320, 18.4606660, 66.6510260, 362.1700600, 2181.8346000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.1481640, 13.5579650, 16.0581500, 31.4518400, 127.1674500, 716.7806200, 4352.8571000", \
"13.1390040, 13.5551720, 16.0555500, 31.4788480, 127.2882700, 717.1880700, 4355.9698000", \
"13.1496000, 13.5575840, 16.0425080, 31.4300830, 127.1528400, 716.9853100, 4351.8344000", \
"13.1386910, 13.5544100, 16.0555270, 31.4802050, 127.0011300, 716.5577900, 4352.5047000", \
"13.1515260, 13.5559380, 16.0261490, 31.4878900, 127.2987900, 716.0130600, 4352.0085000", \
"13.1410790, 13.5536870, 16.0460600, 31.4602990, 127.0264200, 716.9497800, 4355.5251000", \
"13.1521860, 13.5559880, 16.0541000, 31.4579050, 127.1193200, 717.1332200, 4350.0249000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("3.4053291, 3.4053292, 3.4053294, 3.4053296, 3.4053299, 3.4053301, 3.4053304", \
"3.4776435, 3.4804399, 3.4804401, 3.4804403, 3.4804405, 3.4804408, 3.4804410", \
"3.4810310, 3.5042478, 3.5042481, 3.5042483, 3.5042485, 3.5042488, 3.5042490", \
"3.5102210, 3.5102212, 3.5102214, 3.5102217, 3.5102219, 3.5102221, 3.5102224", \
"3.5207997, 3.5207998, 3.5208000, 3.5208003, 3.5208005, 3.5208008, 3.5208010", \
"3.5201384, 3.5201387, 3.5201389, 3.5201391, 3.5201394, 3.5201396, 3.5201399", \
"3.5140297, 3.5140299, 3.5140301, 3.5140304, 3.5140306, 3.5140309, 3.5140311");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7001494, 1.7010533, 1.7010534, 1.7010535, 1.7010536, 1.7010537, 1.9413973", \
"1.7576735, 1.7576736, 1.7576737, 1.7576738, 1.7576740, 1.7576741, 1.9417274", \
"1.7764890, 1.7770729, 1.7770730, 1.7770731, 1.7770733, 1.7770734, 1.9274999", \
"1.7841800, 1.7841802, 1.7841803, 1.7841804, 1.7841805, 1.7841806, 1.9232971", \
"1.7889104, 1.7889105, 1.7889106, 1.7889107, 1.7889109, 1.7889110, 1.9403670", \
"1.7839014, 1.7839528, 1.7839529, 1.7839531, 1.7839532, 1.7839533, 1.9263231", \
"1.7875066, 1.7875067, 1.7875068, 1.7875069, 1.7875070, 1.7875072, 1.9154005");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.4416666, 2.4700710, 2.6454550, 3.7158512, 9.4996446, 34.6400060, 97.8161760", \
"2.5445581, 2.5723987, 2.7475526, 3.8197179, 9.6029416, 34.7415110, 97.9140820", \
"2.6128240, 2.6394777, 2.8165406, 3.8870120, 9.6682307, 34.8094710, 97.9876730", \
"2.6678244, 2.6951603, 2.8707523, 3.9425525, 9.7240367, 34.8652240, 98.0474370", \
"2.7173748, 2.7449769, 2.9211322, 3.9879144, 9.7746140, 34.9170080, 98.0234840", \
"2.7633069, 2.7927554, 2.9686802, 4.0376382, 9.8219379, 34.9608380, 98.1107460", \
"2.8100009, 2.8381819, 3.0139324, 4.0805199, 9.8652180, 35.0065720, 98.1799180");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7674920, 1.8227505, 2.1516993, 4.0705799, 11.4069050, 42.5438170, 59.5429730", \
"1.7671740, 1.8213623, 2.1510864, 4.0705803, 11.4068340, 42.5606040, 59.5491270", \
"1.7688082, 1.8219419, 2.1528499, 4.0706056, 11.4076510, 42.5614420, 59.3933150", \
"1.7664014, 1.8219315, 2.1503070, 4.0705959, 11.4076690, 42.5704310, 59.3829590", \
"1.7675661, 1.8230462, 2.1542621, 4.0680415, 11.4078190, 42.5835650, 59.4319940", \
"1.7681371, 1.8231143, 2.1517408, 4.0684687, 11.4051120, 42.5785880, 59.5440960", \
"1.7668628, 1.8221005, 2.1531434, 4.0665484, 11.4024870, 42.5688040, 59.4071570");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_fall";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7005889, 1.7005891, 1.7005892, 1.7005893, 1.7005894, 1.7005895, 1.9420119", \
"1.7580943, 1.7580944, 1.7583428, 1.7583429, 1.7583430, 1.7583431, 1.9325102", \
"1.7769636, 1.7775275, 1.7775276, 1.7775277, 1.7775278, 1.7775279, 1.9289539", \
"1.7846502, 1.7846503, 1.7846504, 1.7846506, 1.7846507, 1.7846508, 1.9235218", \
"1.7891327, 1.7891328, 1.7894355, 1.7894356, 1.7894357, 1.7894359, 1.9404924", \
"1.7843018, 1.7843480, 1.7843481, 1.7843482, 1.7843484, 1.7843485, 1.9269797", \
"1.7878985, 1.7878987, 1.7878988, 1.7878989, 1.7878990, 1.7878991, 1.9156492");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.2050848, 2.2178934, 2.2935800, 2.6639990, 4.0692361, 11.0358180, 49.6532880", \
"2.3072544, 2.3202158, 2.3956397, 2.7665821, 4.1717638, 11.1355680, 49.7730030", \
"2.3749616, 2.3880997, 2.4634617, 2.8343123, 4.2393151, 11.2034430, 49.8353180", \
"2.4307969, 2.4436624, 2.5193103, 2.8899370, 4.2949488, 11.2618110, 49.8942190", \
"2.4794231, 2.4919151, 2.5683742, 2.9379639, 4.3439803, 11.3078100, 49.8692680", \
"2.5260416, 2.5388402, 2.6154434, 2.9843403, 4.3910067, 11.3547800, 49.9882870", \
"2.5711235, 2.5845368, 2.6606206, 3.0297844, 4.4368003, 11.4005180, 49.9406210");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("0.6890050, 0.6974832, 0.7412581, 0.9848139, 2.3375066, 11.2833120, 46.4378370", \
"0.6886426, 0.6959653, 0.7426413, 0.9844352, 2.3379751, 11.2813410, 46.5100560", \
"0.6885193, 0.6963276, 0.7429293, 0.9841770, 2.3379594, 11.2863510, 46.4187200", \
"0.6884733, 0.6970324, 0.7420144, 0.9839507, 2.3379259, 11.2836310, 46.5105070", \
"0.6899072, 0.6966900, 0.7397489, 0.9860438, 2.3370792, 11.2720060, 46.4253350", \
"0.6913433, 0.7020117, 0.7396606, 0.9870249, 2.3387512, 11.2694450, 46.4751470", \
"0.6912757, 0.6967471, 0.7423107, 0.9865553, 2.3340612, 11.2780860, 46.5266940");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.2346077, 8.4274348, 9.6935659, 17.4224850, 65.1324900, 359.6547600, 2172.0903000", \
"8.3283513, 8.5331111, 9.7769606, 17.5514390, 65.3114680, 359.3288800, 2172.2772000", \
"8.3897893, 8.6059888, 9.8627995, 17.6205680, 65.3906160, 359.2958500, 2172.2706000", \
"8.4568752, 8.6622221, 9.9185202, 17.6268080, 65.3027850, 359.6439900, 2172.3611000", \
"8.4900229, 8.6900629, 9.9520108, 17.7054370, 65.5758970, 359.6641400, 2172.5048000", \
"8.5547232, 8.7570076, 9.9977715, 17.7225700, 65.4269240, 359.5372400, 2176.1728000", \
"8.5824574, 8.7833687, 10.0431150, 17.7724700, 65.4577970, 359.9903400, 2172.4704000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.3438920, 13.7589450, 16.2327450, 31.6054280, 127.1360900, 715.4385500, 4341.7022000", \
"13.3543770, 13.7580500, 16.2305510, 31.5717020, 127.1118200, 715.1086300, 4341.4993000", \
"13.3625080, 13.7649830, 16.2471090, 31.5990790, 126.8560900, 715.2536300, 4341.5598000", \
"13.3507490, 13.7377570, 16.2511620, 31.6158480, 127.0993800, 715.3681200, 4341.5366000", \
"13.3418390, 13.7511510, 16.2365320, 31.6249070, 127.1139900, 715.3371400, 4341.4830000", \
"13.3349080, 13.7573560, 16.2295550, 31.6158970, 126.7184000, 714.6497900, 4341.7995000", \
"13.3421270, 13.7530910, 16.2315190, 31.5888040, 127.1195100, 715.6018600, 4339.7058000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.4467837, 2.4597298, 2.5359315, 2.9006535, 4.2200652, 7.9159559, 19.6152690", \
"2.5464266, 2.5613718, 2.6379636, 3.0033342, 4.3218500, 8.0174098, 19.7195560", \
"2.6137574, 2.6280789, 2.7035689, 3.0677672, 4.3872097, 8.0842852, 19.7834420", \
"2.6712739, 2.6846030, 2.7602058, 3.1253238, 4.4421005, 8.1385849, 19.8390920", \
"2.7183309, 2.7313185, 2.8085978, 3.1740743, 4.4933146, 8.1905762, 19.8868710", \
"2.7674989, 2.7805946, 2.8570057, 3.2183620, 4.5406008, 8.2370777, 19.9378970", \
"2.8101741, 2.8260236, 2.9014116, 3.2679754, 4.5844666, 8.2767420, 19.9816840");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("0.6912314, 0.6971049, 0.7363625, 0.9812581, 2.0237760, 4.7576716, 17.2260000", \
"0.6915001, 0.6973854, 0.7363643, 0.9802517, 2.0290933, 4.7499049, 17.2367050", \
"0.6915440, 0.6973269, 0.7362007, 0.9811083, 2.0241877, 4.7575179, 17.2420210", \
"0.6918805, 0.6973707, 0.7423360, 0.9810466, 2.0222011, 4.7591362, 17.2407880", \
"0.6911866, 0.6974262, 0.7353392, 0.9812767, 2.0236841, 4.7637290, 17.2239590", \
"0.6916863, 0.6975311, 0.7358664, 0.9809812, 2.0243263, 4.7590061, 17.2366650", \
"0.6867511, 0.6949375, 0.7389649, 0.9777831, 2.0227806, 4.7425566, 17.2452790");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.1907681, 8.3868485, 9.6445882, 17.3645130, 65.0019200, 359.1115400, 2172.3160000", \
"8.2524121, 8.4632390, 9.7210044, 17.4301840, 65.1429130, 359.3559900, 2172.0807000", \
"8.2749247, 8.4854515, 9.7207664, 17.4493580, 65.1499830, 359.1077600, 2172.7706000", \
"8.2858325, 8.4978691, 9.7496482, 17.4750690, 65.1061640, 359.5645800, 2172.0784000", \
"8.2808169, 8.4824165, 9.7627533, 17.4658760, 65.1408170, 359.6999500, 2173.6671000", \
"8.2913010, 8.4822913, 9.7388080, 17.4574810, 65.1089210, 359.6770500, 2172.2437000", \
"8.2871985, 8.4860279, 9.7568797, 17.4535230, 65.1072440, 359.7267600, 2175.6186000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.3558850, 13.7588110, 16.2466980, 31.5776770, 127.0692800, 715.2647200, 4340.9959000", \
"13.3545250, 13.7524310, 16.2216360, 31.5941410, 127.1294800, 715.0430300, 4341.1142000", \
"13.3467230, 13.7632510, 16.2322990, 31.5609940, 127.1141600, 714.5249000, 4341.0619000", \
"13.3601560, 13.7455780, 16.2446590, 31.6116870, 127.1340300, 715.4142200, 4341.3461000", \
"13.3412050, 13.7522670, 16.2442570, 31.5583260, 127.1935200, 715.4942000, 4344.0737000", \
"13.3582940, 13.7489120, 16.2441700, 31.6112650, 127.1876200, 715.3604400, 4338.3975000", \
"13.3568130, 13.7585360, 16.2429110, 31.6089660, 126.9351100, 715.5495000, 4341.0359000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.3139744, 8.5225489, 9.7747783, 17.5326010, 65.2895200, 360.5995900, 2177.1707000", \
"8.4205478, 8.6161860, 9.8823572, 17.6229220, 65.4267610, 360.8467700, 2177.6223000", \
"8.4882723, 8.6842080, 9.9508082, 17.6910810, 65.4955130, 360.9441700, 2179.7271000", \
"8.5439495, 8.7399386, 10.0063550, 17.7468970, 65.5504950, 360.8811900, 2181.0881000", \
"8.5927665, 8.7893728, 10.0541390, 17.7993660, 65.5890910, 360.7130700, 2178.0606000", \
"8.6397236, 8.8357472, 10.1020470, 17.8423070, 65.6460840, 360.3275900, 2178.0759000", \
"8.6755669, 8.8872767, 10.1374060, 17.9008860, 65.6711020, 360.1882700, 2180.1097000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.1384790, 13.5602560, 16.0377350, 31.4952790, 127.3331100, 717.1913100, 4351.9062000", \
"13.1536500, 13.5466630, 16.0596890, 31.4510050, 126.8962400, 717.1171900, 4351.2391000", \
"13.1540280, 13.5457100, 16.0600550, 31.4492360, 126.8988000, 716.8287100, 4350.6466000", \
"13.1540960, 13.5455190, 16.0600170, 31.4487350, 126.8952200, 716.5040200, 4351.2191000", \
"13.1548730, 13.5434810, 16.0484510, 31.4335760, 127.3173500, 717.0924600, 4353.7539000", \
"13.1541200, 13.5454700, 16.0599210, 31.4497580, 126.8947800, 716.2292400, 4353.4228000", \
"13.1431160, 13.5600390, 16.0480090, 31.4907500, 126.8083000, 716.3658300, 4348.9831000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7754322, 1.7754323, 1.7754325, 1.7756456, 1.7756457, 1.7756458, 1.8849962", \
"1.8402156, 1.8402157, 1.8402158, 1.8402159, 1.8402160, 1.8402162, 1.9303372", \
"1.8569736, 1.8569738, 1.8569739, 1.8583706, 1.8583707, 1.8599157, 1.9516946", \
"1.8699182, 1.8699183, 1.8699185, 1.8699186, 1.8699187, 1.8699188, 1.9629960", \
"1.8655448, 1.8655590, 1.8669399, 1.8671655, 1.8705277, 1.8705279, 1.9709330", \
"1.8673110, 1.8673111, 1.8673112, 1.8673113, 1.8673115, 1.8674415, 1.9634805", \
"1.8661435, 1.8663344, 1.8663345, 1.8663347, 1.8663348, 1.8663424, 1.9656750");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("3.0820688, 3.0868472, 3.0868475, 3.0868477, 3.0868480, 3.0868482, 3.0868484", \
"3.1196179, 3.1310095, 3.1395662, 3.1395664, 3.1395666, 3.1395668, 3.1395671", \
"3.1477123, 3.1759460, 3.1759462, 3.1759464, 3.1759466, 3.1759469, 3.1759471", \
"3.1738894, 3.1898171, 3.1898174, 3.1898176, 3.1898178, 3.1898181, 3.1898183", \
"3.1827552, 3.1885621, 3.1885623, 3.1885626, 3.1885628, 3.1885630, 3.1885633", \
"3.1791336, 3.2057141, 3.2057142, 3.2057144, 3.2057146, 3.2057149, 3.2057151", \
"3.1914507, 3.1997724, 3.1997725, 3.1997728, 3.1997730, 3.1997732, 3.1997735");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_rise";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.3103379, 2.3378381, 2.5058156, 3.5103953, 9.6504555, 23.7737070, 51.8989120", \
"2.4122715, 2.4396736, 2.6082496, 3.6129426, 9.7487929, 23.8591970, 52.0007930", \
"2.4779698, 2.5064835, 2.6732745, 3.6791821, 9.8200186, 23.9395690, 52.0734250", \
"2.5346376, 2.5624578, 2.7292497, 3.7340033, 9.8771702, 23.9686530, 52.1090800", \
"2.5824862, 2.6113316, 2.7783003, 3.7847991, 9.9137853, 24.0445480, 52.1893780", \
"2.6313411, 2.6581615, 2.8266423, 3.8319290, 9.9729238, 24.0975220, 52.2193520", \
"2.6755189, 2.7037868, 2.8707703, 3.8757126, 10.0079740, 24.1473990, 52.2883820");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7053244, 1.7561664, 2.0701874, 4.0253059, 12.0276950, 17.1155680, 40.2201370", \
"1.7050160, 1.7557893, 2.0690420, 4.0275316, 12.0313510, 17.0975020, 40.1862150", \
"1.7042336, 1.7557790, 2.0696069, 4.0297188, 12.0298940, 17.1089670, 40.1938140", \
"1.7053027, 1.7562901, 2.0677835, 4.0177923, 12.0299660, 17.1165540, 40.2263180", \
"1.7029625, 1.7556552, 2.0677418, 4.0268993, 12.0315020, 17.1064870, 40.2268970", \
"1.7050606, 1.7557571, 2.0675734, 4.0179753, 12.0298350, 17.0855080, 40.1994490", \
"1.7027622, 1.7534938, 2.0703641, 4.0259369, 12.0293820, 17.1304800, 40.2383440");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.0530406, 2.0530407, 2.0530409, 2.0530412, 2.0530414, 2.0547000, 2.1486102", \
"2.1180653, 2.1180655, 2.1180658, 2.1180660, 2.1180662, 2.1190998, 2.2034388", \
"2.1362467, 2.1373221, 2.1373224, 2.1373226, 2.1373228, 2.1394992, 2.2183928", \
"2.1516346, 2.1516349, 2.1516351, 2.1516353, 2.1516356, 2.1516358, 2.2340196", \
"2.1505484, 2.1505486, 2.1505489, 2.1505491, 2.1508917, 2.1526061, 2.2358921", \
"2.1468596, 2.1508633, 2.1514603, 2.1529805, 2.1529807, 2.1529810, 2.2304678", \
"2.1470934, 2.1477147, 2.1477148, 2.1477150, 2.1477153, 2.1490270, 2.2277469");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.1908347, 8.3869125, 9.6447170, 17.3644510, 65.0022190, 359.0413900, 2172.3226000", \
"8.2523188, 8.4632994, 9.7210258, 17.4351660, 65.2576090, 359.4250600, 2172.1271000", \
"8.2744958, 8.4853440, 9.7205826, 17.4506360, 65.0940630, 359.0824600, 2172.8063000", \
"8.2859168, 8.4979037, 9.7580086, 17.4753460, 65.1392040, 359.3102900, 2172.0625000", \
"8.2807761, 8.4823855, 9.7418438, 17.4656790, 65.2234960, 359.6965100, 2172.6498000", \
"8.2913338, 8.4822496, 9.7379553, 17.4571020, 65.1173980, 359.8016500, 2174.7426000", \
"8.2872393, 8.4861576, 9.7562793, 17.4533210, 65.1064770, 359.7233700, 2171.8827000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.3556230, 13.7589010, 16.2466400, 31.5780010, 127.0696300, 715.3681200, 4341.2297000", \
"13.3544570, 13.7519440, 16.2212820, 31.5988160, 127.1890400, 715.1455700, 4341.0209000", \
"13.3461660, 13.7632220, 16.2317110, 31.5666070, 127.0479700, 714.4575400, 4339.5330000", \
"13.3601460, 13.7451720, 16.2243640, 31.6114600, 126.9326500, 715.0782700, 4341.3329000", \
"13.3412610, 13.7522250, 16.2377050, 31.5572710, 127.1421200, 715.5354100, 4342.2060000", \
"13.3583150, 13.7488310, 16.2409770, 31.6114890, 127.0586600, 715.5602500, 4342.3920000", \
"13.3568560, 13.7587340, 16.2428280, 31.6091830, 126.9320800, 715.5528600, 4338.7240000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.3142782, 8.5224743, 9.7751816, 17.5301680, 65.2977190, 360.3369400, 2177.3606000", \
"8.4200877, 8.6167793, 9.8828812, 17.6228470, 65.4266330, 360.6614000, 2177.5759000", \
"8.4884615, 8.6847809, 9.9506510, 17.6910890, 65.4956550, 360.9476700, 2179.2532000", \
"8.5440188, 8.7405061, 10.0062850, 17.7467660, 65.5506650, 360.9773400, 2181.0645000", \
"8.5921625, 8.7893248, 10.0547130, 17.7993140, 65.5892980, 360.7123300, 2178.3781000", \
"8.6397520, 8.8362890, 10.1020430, 17.8421970, 65.6463680, 360.5585700, 2181.8153000", \
"8.6757739, 8.8870040, 10.1370890, 17.9007640, 65.6726730, 360.1624000, 2177.9210000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.1395770, 13.5606100, 16.0392090, 31.4977060, 127.3242600, 716.9718400, 4352.6620000", \
"13.1539880, 13.5453330, 16.0594880, 31.4512580, 126.8950700, 717.1165600, 4348.0268000", \
"13.1541250, 13.5443370, 16.0599360, 31.4492470, 126.8992700, 717.0682500, 4350.0340000", \
"13.1540690, 13.5441410, 16.0599840, 31.4491790, 126.8958300, 716.8961800, 4351.1168000", \
"13.1475870, 13.5436850, 16.0598380, 31.4338490, 127.3181300, 717.0916100, 4354.2054000", \
"13.1541220, 13.5441580, 16.0598930, 31.4501380, 126.8960800, 715.8353100, 4350.5133000", \
"13.1430200, 13.5600440, 16.0484280, 31.4904970, 126.8153700, 716.3406500, 4352.3605000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.2558393, 2.2834246, 2.4513156, 3.4560665, 9.5847410, 23.7166180, 51.8515360", \
"2.3219948, 2.3495088, 2.5174838, 3.5218377, 9.6612389, 23.7566480, 51.8987410", \
"2.3457893, 2.3733460, 2.5410911, 3.5456198, 9.6853201, 23.7788150, 51.9223740", \
"2.3568834, 2.3844475, 2.5523823, 3.5570344, 9.6955795, 23.8011260, 51.9533640", \
"2.3610043, 2.3885023, 2.5563405, 3.5610113, 9.6927844, 23.8257040, 51.9512890", \
"2.3613826, 2.3889919, 2.5567619, 3.5611825, 9.6907762, 23.8225300, 51.9450510", \
"2.3592956, 2.3867943, 2.5550018, 3.5588639, 9.6930117, 23.8222830, 51.9448840");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7040269, 1.7563501, 2.0679229, 4.0263513, 12.0307110, 17.0935710, 40.2228900", \
"1.7050783, 1.7560822, 2.0691953, 4.0295203, 12.0312250, 17.1527930, 40.2120110", \
"1.7051632, 1.7541412, 2.0674684, 4.0290340, 12.0302560, 17.1131780, 40.2335520", \
"1.7048762, 1.7541409, 2.0677067, 4.0249968, 12.0273940, 17.1085630, 40.2386990", \
"1.7045477, 1.7560149, 2.0675857, 4.0268828, 12.0310890, 17.1646240, 40.2359710", \
"1.7055343, 1.7550427, 2.0684749, 4.0233474, 12.0303360, 17.1468690, 40.2378220", \
"1.7052887, 1.7540814, 2.0702988, 4.0296038, 12.0298100, 17.1288140, 40.2347240");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7705440, 1.7705442, 1.7705443, 1.7705444, 1.7708296, 1.7771707, 1.8828161", \
"1.8317562, 1.8379051, 1.8417423, 1.8417424, 1.8417425, 1.8417426, 1.9288475", \
"1.8624641, 1.8624642, 1.8624643, 1.8624644, 1.8624645, 1.8624647, 1.9442097", \
"1.8725792, 1.8726487, 1.8729078, 1.8729079, 1.8729080, 1.8729081, 1.9530775", \
"1.8747688, 1.8747690, 1.8747691, 1.8747692, 1.8747693, 1.8756392, 1.9557396", \
"1.8688926, 1.8689910, 1.8692292, 1.8692293, 1.8692294, 1.8704351, 1.9536248", \
"1.8701352, 1.8705013, 1.8705415, 1.8705416, 1.8705417, 1.8705418, 1.9499715");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.2916700, 2.3135984, 2.4288052, 2.8364857, 4.1673731, 10.8017730, 35.7567340", \
"2.3579536, 2.3796771, 2.4949971, 2.9028746, 4.2344959, 10.8727550, 35.8604200", \
"2.3812865, 2.4030480, 2.5182334, 2.9262002, 4.2575361, 10.8931580, 35.8816980", \
"2.3923235, 2.4142386, 2.5294713, 2.9373017, 4.2687271, 10.9031950, 35.9058700", \
"2.3962652, 2.4186100, 2.5337954, 2.9415059, 4.2731774, 10.9120680, 35.8877760", \
"2.3970665, 2.4187206, 2.5340146, 2.9416183, 4.2736445, 10.9109490, 35.9061190", \
"2.3940139, 2.4168278, 2.5321687, 2.9399232, 4.2717163, 10.9055510, 35.9106980");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.0557810, 1.0623743, 1.0943216, 1.1671870, 2.5800646, 10.5882870, 38.1770170", \
"1.0560537, 1.0627703, 1.0944842, 1.1680724, 2.5843661, 10.5713860, 38.2387380", \
"1.0548333, 1.0615487, 1.0933917, 1.1669397, 2.5837550, 10.5783440, 38.2399530", \
"1.0562409, 1.0629835, 1.0943870, 1.1682461, 2.5837266, 10.5675790, 38.2051010", \
"1.0562242, 1.0629659, 1.0940928, 1.1679960, 2.5868152, 10.5786300, 38.2489670", \
"1.0559907, 1.0627925, 1.0941787, 1.1680863, 2.5891818, 10.5773300, 38.2218740", \
"1.0551043, 1.0619693, 1.0937148, 1.1678031, 2.5866502, 10.5601470, 38.2236930");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.2493625, 2.2629638, 2.3383011, 2.7055613, 4.0229300, 7.7061975, 19.4041920", \
"2.3513069, 2.3649427, 2.4409454, 2.8080149, 4.1251447, 7.8082198, 19.5037230", \
"2.4198319, 2.4327760, 2.5084324, 2.8756262, 4.1929011, 7.8760793, 19.5733920", \
"2.4760323, 2.4884528, 2.5640556, 2.9313072, 4.2485171, 7.9333118, 19.6294390", \
"2.5237916, 2.5368483, 2.6129214, 2.9799134, 4.2971555, 7.9796871, 19.6775310", \
"2.5710298, 2.5842999, 2.6596838, 3.0272975, 4.3436890, 8.0261602, 19.7297680", \
"2.6164182, 2.6291529, 2.7060139, 3.0724798, 4.3898836, 8.0693772, 19.7727220");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("0.6598104, 0.6642031, 0.7122621, 0.9545501, 2.0067663, 4.7596109, 17.2448860", \
"0.6594307, 0.6648432, 0.7096869, 0.9535132, 2.0051352, 4.7463851, 17.2201010", \
"0.6572314, 0.6643615, 0.7111653, 0.9540282, 2.0060582, 4.7529412, 17.2513560", \
"0.6565529, 0.6643597, 0.7116512, 0.9540846, 2.0054343, 4.7503339, 17.2407760", \
"0.6597323, 0.6654320, 0.7088999, 0.9536335, 2.0055426, 4.7414352, 17.2405250", \
"0.6571473, 0.6639762, 0.7121734, 0.9545007, 2.0052520, 4.7458264, 17.2580530", \
"0.6595387, 0.6684698, 0.7065635, 0.9539886, 2.0095396, 4.7528368, 17.2543240");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.8023854, 1.8023856, 1.8023857, 1.8023858, 1.8023859, 1.8023860, 1.9235906", \
"1.8639792, 1.8687702, 1.8687704, 1.8687705, 1.8687706, 1.8687707, 1.9700632", \
"1.8898387, 1.8898388, 1.8898389, 1.8898390, 1.8898392, 1.8898393, 1.9852786", \
"1.8920550, 1.8931114, 1.8931115, 1.8931116, 1.8931117, 1.8985999, 1.9924098", \
"1.8998048, 1.8998049, 1.8998051, 1.8998052, 1.8998053, 1.8998054, 1.9924744", \
"1.9063675, 1.9063917, 1.9065194, 1.9065195, 1.9065196, 1.9065198, 1.9884705", \
"1.8972177, 1.8972179, 1.8985589, 1.8985590, 1.8985591, 1.8985592, 1.9840012");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7745797, 1.7745798, 1.7745799, 1.7745800, 1.7745801, 1.7745802, 1.8864854", \
"1.8350614, 1.8350615, 1.8350617, 1.8380276, 1.8380277, 1.8380278, 1.9431334", \
"1.8613302, 1.8613303, 1.8613304, 1.8613305, 1.8613306, 1.8613307, 1.9614976", \
"1.8624846, 1.8680821, 1.8697675, 1.8697677, 1.8697678, 1.8698192, 1.9691031", \
"1.8648831, 1.8649418, 1.8694759, 1.8694760, 1.8694761, 1.8694762, 1.9700506", \
"1.8671613, 1.8674047, 1.8674048, 1.8674049, 1.8674050, 1.8674052, 1.9678994", \
"1.8648674, 1.8648676, 1.8648677, 1.8650492, 1.8650494, 1.8651771, 1.9637960");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("3.0822533, 3.0831264, 3.0831265, 3.0831267, 3.0831270, 3.0831272, 3.0831274", \
"3.1195737, 3.1455436, 3.1455437, 3.1455440, 3.1455442, 3.1455445, 3.1455447", \
"3.1664071, 3.1664073, 3.1664075, 3.1664078, 3.1664080, 3.1664082, 3.1664085", \
"3.1738587, 3.1764902, 3.1764905, 3.1764907, 3.1764910, 3.1764912, 3.1764914", \
"3.1827543, 3.1842513, 3.1842515, 3.1842517, 3.1842520, 3.1842522, 3.1842524", \
"3.1791370, 3.1805956, 3.1805958, 3.1805960, 3.1805963, 3.1805965, 3.1805968", \
"3.1914487, 3.1943281, 3.1943282, 3.1943285, 3.1943287, 3.1943290, 3.1943292");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.3206561, 2.3434257, 2.4622853, 2.8754576, 4.1983226, 10.8303220, 35.8405150", \
"2.4210709, 2.4454947, 2.5641078, 2.9780030, 4.2997881, 10.9343560, 35.9188630", \
"2.4882132, 2.5108489, 2.6308782, 3.0439731, 4.3655346, 10.9965130, 35.9770100", \
"2.5453555, 2.5669145, 2.6869309, 3.0993588, 4.4223580, 11.0546430, 36.0529560", \
"2.5952280, 2.6158544, 2.7356959, 3.1493885, 4.4700039, 11.1026730, 36.1187100", \
"2.6389082, 2.6648701, 2.7833316, 3.1947007, 4.5186295, 11.1533640, 36.1486800", \
"2.6867766, 2.7094722, 2.8266405, 3.2407248, 4.5617132, 11.1971760, 36.1832980");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.0757495, 1.0834269, 1.1178838, 1.2027245, 2.5883089, 10.5864670, 38.2454990", \
"1.0755227, 1.0821158, 1.1179893, 1.2024166, 2.5902973, 10.5864230, 38.2561040", \
"1.0760109, 1.0829802, 1.1179926, 1.2030540, 2.5906308, 10.5772890, 38.2501180", \
"1.0753018, 1.0825260, 1.1183383, 1.2018051, 2.5869631, 10.5881910, 38.2453680", \
"1.0756552, 1.0828711, 1.1178272, 1.2032232, 2.5884392, 10.5839530, 38.2327090", \
"1.0753982, 1.0825917, 1.1181257, 1.2026633, 2.5854257, 10.5898600, 38.2533470", \
"1.0750277, 1.0821580, 1.1179075, 1.2016852, 2.5859488, 10.5806430, 38.2563990");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.5188736, 8.7205105, 9.9814459, 17.7324680, 65.5323120, 360.3393800, 2178.7382000", \
"8.6166514, 8.8241485, 10.0781160, 17.8166020, 65.6343600, 360.8703600, 2179.5379000", \
"8.6852854, 8.8851930, 10.1456100, 17.8844000, 65.7061680, 361.1911000, 2179.2250000", \
"8.7433483, 8.9473362, 10.2020180, 17.9569800, 65.7690220, 361.2532900, 2181.7018000", \
"8.7912353, 8.9919459, 10.2524680, 17.9979920, 65.8034480, 360.6837100, 2178.9531000", \
"8.8376524, 9.0367944, 10.2986740, 18.0578570, 65.8613360, 361.0998400, 2182.8816000", \
"8.8845811, 9.0801713, 10.3467860, 18.0822130, 65.9011550, 360.7492000, 2179.7193000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.1536100, 13.5378900, 16.0573630, 31.4735790, 127.3473900, 716.2909700, 4355.0349000", \
"13.1278310, 13.5445850, 16.0270750, 31.4901310, 127.3512000, 716.0142000, 4352.4719000", \
"13.1352020, 13.5436360, 16.0352960, 31.4825360, 127.3759100, 717.1349200, 4350.6966000", \
"13.1537020, 13.5616000, 16.0355530, 31.4738140, 127.2159400, 717.1102500, 4353.8271000", \
"13.1551600, 13.5383900, 16.0567450, 31.4995780, 127.3467200, 716.1654000, 4356.2845000", \
"13.1510920, 13.5347700, 16.0419430, 31.4778000, 127.3656100, 717.1135500, 4349.8048000", \
"13.1556600, 13.5546950, 16.0582210, 31.4623700, 126.8939700, 716.7545800, 4350.3219000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("3.4052825, 3.4052827, 3.4052829, 3.4052831, 3.4052834, 3.4052836, 3.4052839", \
"3.4775229, 3.4803722, 3.4803724, 3.4803726, 3.4803728, 3.4803731, 3.4803733", \
"3.4821006, 3.5042775, 3.5042776, 3.5042779, 3.5042781, 3.5042784, 3.5042786", \
"3.5102277, 3.5102278, 3.5102281, 3.5102283, 3.5102286, 3.5102288, 3.5102290", \
"3.5201240, 3.5201241, 3.5201244, 3.5201246, 3.5201248, 3.5201251, 3.5201253", \
"3.5201175, 3.5201177, 3.5201179, 3.5201182, 3.5201184, 3.5201186, 3.5201189", \
"3.5140233, 3.5140235, 3.5140237, 3.5140240, 3.5140242, 3.5140244, 3.5140247");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7004106, 1.7004107, 1.7004108, 1.7004110, 1.7004111, 1.7004112, 1.9041766", \
"1.7582227, 1.7582780, 1.7582781, 1.7582782, 1.7582784, 1.7582785, 1.9145794", \
"1.7730700, 1.7731848, 1.7743802, 1.7771081, 1.7771082, 1.7771083, 1.9280391", \
"1.7825812, 1.7834506, 1.7841010, 1.7877770, 1.7882128, 1.7882129, 1.9291391", \
"1.7868251, 1.7879263, 1.7879264, 1.7879265, 1.7879267, 1.7879268, 1.9277668", \
"1.7822281, 1.7833405, 1.7855968, 1.7855970, 1.7855971, 1.7855972, 1.9233901", \
"1.7867957, 1.7869142, 1.7869143, 1.7869145, 1.7869146, 1.7869147, 1.9141491");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.6647222, 2.6941636, 2.8697937, 3.9374628, 9.7222612, 34.8665810, 98.0209050", \
"2.7680087, 2.7959675, 2.9720579, 4.0441721, 9.8256283, 34.9628590, 98.0598930", \
"2.8317246, 2.8629052, 3.0371683, 4.1040171, 9.8909698, 35.0315260, 98.1944690", \
"2.8881013, 2.9174934, 3.0935479, 4.1659303, 9.9457615, 35.0863590, 98.2578360", \
"2.9383151, 2.9674430, 3.1421690, 4.2086232, 9.9943067, 35.1376740, 98.3028410", \
"2.9858884, 3.0141014, 3.1906107, 4.2557073, 10.0450790, 35.1819910, 98.3480670", \
"3.0314167, 3.0610521, 3.2310947, 4.3026076, 10.0884680, 35.2280270, 98.3937620");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7690606, 1.8230801, 2.1539694, 4.0675738, 11.4053040, 42.5368750, 59.4762320", \
"1.7685823, 1.8208770, 2.1534539, 4.0681485, 11.4061760, 42.5774370, 59.4842010", \
"1.7693318, 1.8230615, 2.1541491, 4.0685464, 11.4048190, 42.5591860, 59.4605110", \
"1.7677687, 1.8220214, 2.1535160, 4.0674983, 11.4078110, 42.5415190, 59.5263960", \
"1.7679124, 1.8220042, 2.1534317, 4.0670525, 11.4078350, 42.5820730, 59.5302900", \
"1.7694153, 1.8220406, 2.1521545, 4.0694608, 11.4036600, 42.5574100, 59.3985270", \
"1.7695354, 1.8212113, 2.1526163, 4.0697765, 11.4083090, 42.5434730, 59.3811150");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7726999, 1.7727001, 1.7727002, 1.7727003, 1.7727004, 1.7727005, 1.8867916", \
"1.8327656, 1.8327657, 1.8327658, 1.8361082, 1.8361083, 1.8361084, 1.9416786", \
"1.8585007, 1.8585008, 1.8585009, 1.8585011, 1.8585012, 1.8585013, 1.9537831", \
"1.8613311, 1.8649959, 1.8671047, 1.8671048, 1.8671049, 1.8677515, 1.9660538", \
"1.8644761, 1.8645419, 1.8690489, 1.8694104, 1.8694105, 1.8694106, 1.9626907", \
"1.8656461, 1.8656462, 1.8656464, 1.8656465, 1.8656466, 1.8656467, 1.9668445", \
"1.8657942, 1.8657971, 1.8657972, 1.8657973, 1.8657974, 1.8669857, 1.9625683");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7005650, 1.7005651, 1.7008919, 1.7017320, 1.7017321, 1.7017323, 1.9063042", \
"1.7562953, 1.7586760, 1.7586762, 1.7586763, 1.7586764, 1.7586765, 1.9144668", \
"1.7734125, 1.7735237, 1.7749405, 1.7776427, 1.7776428, 1.7776430, 1.9289610", \
"1.7834735, 1.7840508, 1.7848817, 1.7886683, 1.7886684, 1.7886685, 1.9297761", \
"1.7873671, 1.7884586, 1.7884587, 1.7884588, 1.7884589, 1.7884591, 1.9340001", \
"1.7830811, 1.7840001, 1.7861694, 1.7861695, 1.7861696, 1.7861698, 1.9242026", \
"1.7876901, 1.7879375, 1.7879376, 1.7879378, 1.7879379, 1.7879380, 1.9148154");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("3.3937413, 3.3940064, 3.3940067, 3.3940069, 3.3940071, 3.3940074, 3.3940076", \
"3.4797416, 3.4797417, 3.4797420, 3.4797422, 3.4797425, 3.4797427, 3.4797429", \
"3.4866305, 3.5043115, 3.5043117, 3.5043120, 3.5043122, 3.5043124, 3.5043127", \
"3.5122976, 3.5122978, 3.5122980, 3.5122983, 3.5122985, 3.5122988, 3.5122990", \
"3.5159591, 3.5159592, 3.5159594, 3.5159597, 3.5159599, 3.5159601, 3.5159604", \
"3.5211321, 3.5211322, 3.5211324, 3.5211326, 3.5211329, 3.5211331, 3.5211334", \
"3.5152043, 3.5152044, 3.5152046, 3.5152048, 3.5152051, 3.5152053, 3.5152056");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("3.0888798, 3.0902498, 3.0902499, 3.0902502, 3.0902504, 3.0902507, 3.0902509", \
"3.1413914, 3.1457137, 3.1457140, 3.1457142, 3.1457145, 3.1457147, 3.1457149", \
"3.1643053, 3.1643054, 3.1643056, 3.1643059, 3.1643061, 3.1643063, 3.1643066", \
"3.1732852, 3.1754381, 3.1754383, 3.1754386, 3.1754388, 3.1754391, 3.1754393", \
"3.1835128, 3.1849312, 3.1849314, 3.1849317, 3.1849319, 3.1849322, 3.1849324", \
"3.1790361, 3.1805012, 3.1805014, 3.1805016, 3.1805019, 3.1805021, 3.1805023", \
"3.1908587, 3.1945990, 3.1945991, 3.1945993, 3.1945996, 3.1945998, 3.1946000");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_enable_rise";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.2661863, 2.2890314, 2.4080031, 2.8211744, 4.1434070, 10.7769450, 35.7669090", \
"2.3329143, 2.3553224, 2.4741809, 2.8872049, 4.2098849, 10.8451080, 35.8335160", \
"2.3563715, 2.3788214, 2.4978069, 2.9111020, 4.2339252, 10.8679070, 35.8478330", \
"2.3668212, 2.3897524, 2.5089746, 2.9221949, 4.2440504, 10.8786410, 35.8852860", \
"2.3715017, 2.3941635, 2.5130789, 2.9262104, 4.2482622, 10.8771380, 35.8828840", \
"2.3719118, 2.3943250, 2.5135469, 2.9267726, 4.2484305, 10.8777560, 35.8633480", \
"2.3692053, 2.3925675, 2.5112332, 2.9246909, 4.2472474, 10.8769660, 35.8585450");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.0754297, 1.0824284, 1.1176674, 1.2021766, 2.5885365, 10.5865270, 38.2477980", \
"1.0756613, 1.0827039, 1.1176876, 1.2033246, 2.5875664, 10.5747920, 38.2583670", \
"1.0757674, 1.0826703, 1.1177435, 1.2026218, 2.5909490, 10.5811780, 38.2427570", \
"1.0756979, 1.0827391, 1.1178560, 1.2015077, 2.5860978, 10.5805120, 38.2255050", \
"1.0758583, 1.0826589, 1.1178660, 1.2031393, 2.5856126, 10.5822800, 38.2048240", \
"1.0756571, 1.0819575, 1.1168252, 1.2030384, 2.5854578, 10.5790580, 38.2425500", \
"1.0751419, 1.0824099, 1.1175829, 1.2024532, 2.5868210, 10.5838440, 38.2491190");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable_rise";
timing_sense : "positive_unate";
when : "( DM[2] & !DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.0766733, 2.0766734, 2.0766737, 2.0766739, 2.0766742, 2.0766744, 2.1756907", \
"2.1330557, 2.1330559, 2.1333533, 2.1333534, 2.1368001, 2.1445821, 2.2371369", \
"2.1568489, 2.1679345, 2.1679346, 2.1679348, 2.1687037, 2.1687040, 2.2644504", \
"2.1667639, 2.1773074, 2.1773075, 2.1773078, 2.1773080, 2.1787276, 2.2652951", \
"2.1821758, 2.1825899, 2.1825902, 2.1825904, 2.1825907, 2.1825909, 2.2795978", \
"2.1748430, 2.1748432, 2.1748435, 2.1748437, 2.1748440, 2.1748442, 2.2688464", \
"2.1753044, 2.1767224, 2.1767225, 2.1769020, 2.1769022, 2.1769025, 2.2690219");
}
rise_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.3206199, 2.3434088, 2.4622659, 2.8753304, 4.1982950, 10.8301000, 35.8400420", \
"2.4234519, 2.4457332, 2.5648910, 2.9771989, 4.2998467, 10.9337840, 35.9144390", \
"2.4882010, 2.5122229, 2.6299352, 3.0445131, 4.3656736, 10.9995830, 35.9900840", \
"2.5437141, 2.5662497, 2.6860076, 3.1006164, 4.4221862, 11.0556770, 36.0356650", \
"2.5938041, 2.6175422, 2.7355793, 3.1488958, 4.4701061, 11.1007620, 36.1226850", \
"2.6415345, 2.6650122, 2.7825959, 3.1957652, 4.5180228, 11.1516700, 36.1398700", \
"2.6864202, 2.7087566, 2.8272718, 3.2406404, 4.5632751, 11.1972080, 36.1963290");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.0758271, 1.0834604, 1.1179425, 1.2025244, 2.5882838, 10.5863740, 38.2458400", \
"1.0754209, 1.0821767, 1.1182382, 1.2024325, 2.5901297, 10.5859580, 38.2487360", \
"1.0760423, 1.0827560, 1.1188791, 1.2024255, 2.5861280, 10.5872960, 38.2493040", \
"1.0754453, 1.0826599, 1.1185159, 1.2024760, 2.5864522, 10.5765500, 38.2487360", \
"1.0756962, 1.0829400, 1.1178971, 1.2034186, 2.5885030, 10.5837410, 38.2389340", \
"1.0752941, 1.0827184, 1.1187967, 1.2018515, 2.5859779, 10.5742560, 38.2409200", \
"1.0750978, 1.0821758, 1.1179645, 1.2018962, 2.5864269, 10.5773080, 38.2361730");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.4465669, 2.4594975, 2.5357396, 2.9004552, 4.2198498, 7.9152702, 19.6152140", \
"2.5478948, 2.5608333, 2.6371980, 3.0017979, 4.3211803, 8.0184028, 19.7172550", \
"2.6135856, 2.6266669, 2.7043003, 3.0691336, 4.3870441, 8.0831279, 19.7838650", \
"2.6714488, 2.6843794, 2.7598277, 3.1232161, 4.4440380, 8.1408267, 19.8432310", \
"2.7192739, 2.7310609, 2.8092144, 3.1736755, 4.4927972, 8.1898035, 19.8867800", \
"2.7666436, 2.7802645, 2.8558802, 3.2200111, 4.5377742, 8.2368485, 19.9378540", \
"2.8112630, 2.8237752, 2.9017035, 3.2683849, 4.5841557, 8.2794092, 19.9834440");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("0.6913426, 0.6971149, 0.7361660, 0.9811943, 2.0234818, 4.7561817, 17.2204010", \
"0.6916943, 0.6975809, 0.7360158, 0.9810234, 2.0245623, 4.7599187, 17.2406840", \
"0.6913504, 0.6972839, 0.7361363, 0.9811916, 2.0241635, 4.7578412, 17.2204220", \
"0.6920449, 0.6981271, 0.7362084, 0.9811602, 2.0252848, 4.7599102, 17.2231170", \
"0.6917051, 0.6970968, 0.7361597, 0.9812123, 2.0244952, 4.7603748, 17.2206500", \
"0.6918454, 0.6977130, 0.7359367, 0.9809595, 2.0246462, 4.7645980, 17.2617320", \
"0.6867993, 0.6949452, 0.7391411, 0.9777980, 2.0286746, 4.7425481, 17.2296120");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.3102791, 2.3377866, 2.5057780, 3.5103878, 9.6504049, 23.7727010, 51.8993200", \
"2.4126763, 2.4395750, 2.6079172, 3.6119636, 9.7507122, 23.8569340, 52.0009780", \
"2.4779105, 2.5068461, 2.6731652, 3.6777610, 9.8154382, 23.9450420, 52.0554980", \
"2.5346880, 2.5630422, 2.7288756, 3.7340167, 9.8636175, 23.9970830, 52.1367520", \
"2.5834577, 2.6109024, 2.7796410, 3.7826613, 9.9243067, 24.0433180, 52.1879850", \
"2.6303768, 2.6581928, 2.8259122, 3.8294417, 9.9704591, 24.0943280, 52.2213380", \
"2.6758220, 2.7039242, 2.8705291, 3.8770120, 10.0073760, 24.1438460, 52.2574690");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7057766, 1.7563113, 2.0703259, 4.0259662, 12.0276130, 17.1124360, 40.2370010", \
"1.7048015, 1.7559324, 2.0703308, 4.0275250, 12.0306290, 17.1115100, 40.1859030", \
"1.7042971, 1.7559304, 2.0696356, 4.0251225, 12.0312440, 17.1276450, 40.1921550", \
"1.7052891, 1.7563231, 2.0691506, 4.0187338, 12.0314540, 17.0939240, 40.2482070", \
"1.7047038, 1.7558128, 2.0676731, 4.0270294, 12.0301560, 17.1083360, 40.2274840", \
"1.7053108, 1.7554421, 2.0676425, 4.0274490, 12.0302350, 17.1170860, 40.2037830", \
"1.7037505, 1.7536713, 2.0704873, 4.0258974, 12.0293110, 17.1322510, 40.2372290");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.6795410, 2.7093150, 2.8850262, 3.9518273, 10.0162100, 21.9336390, 43.3686940", \
"2.7817226, 2.8116074, 2.9866516, 4.0514592, 10.1132900, 22.0366040, 43.4638670", \
"2.8466796, 2.8766789, 3.0523684, 4.1204995, 10.1851530, 22.1008420, 43.5362960", \
"2.9045710, 2.9341098, 3.1093115, 4.1726134, 10.2364800, 22.1538090, 43.5868340", \
"2.9525913, 2.9808376, 3.1563279, 4.2258090, 10.2857270, 22.2008010, 43.6396340", \
"3.0026479, 3.0297187, 3.2050742, 4.2687072, 10.3315220, 22.2481610, 43.6815100", \
"3.0475980, 3.0720126, 3.2478513, 4.3138924, 10.3768270, 22.2953100, 43.7304590");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7621545, 1.8162984, 2.1414226, 4.1971058, 11.6080700, 13.9567390, 26.9050100", \
"1.7627665, 1.8161829, 2.1435043, 4.1936548, 11.6069230, 13.9582360, 26.8976180", \
"1.7622663, 1.8163688, 2.1417308, 4.1971888, 11.6082090, 13.9618330, 26.9010020", \
"1.7598490, 1.8141878, 2.1435322, 4.1984110, 11.6071250, 13.9634610, 26.9044340", \
"1.7620810, 1.8162861, 2.1446522, 4.1972563, 11.6068540, 13.9643190, 26.9041860", \
"1.7609292, 1.8140812, 2.1459090, 4.1974033, 11.6057470, 13.9550770, 26.8841700", \
"1.7625296, 1.8132784, 2.1458058, 4.1947952, 11.6043690, 13.9644390, 26.8930260");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_disable";
timing_sense : "positive_unate";
when : "( DM[2] & DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7686430, 1.7700135, 1.7700136, 1.7700137, 1.7700138, 1.7700139, 1.8825341", \
"1.8342622, 1.8369656, 1.8369657, 1.8369658, 1.8369659, 1.8369660, 1.9249045", \
"1.8559938, 1.8559939, 1.8559940, 1.8606015, 1.8606017, 1.8606018, 1.9517781", \
"1.8683937, 1.8683938, 1.8683939, 1.8683940, 1.8683941, 1.8683942, 1.9568966", \
"1.8634716, 1.8634916, 1.8663031, 1.8682809, 1.8682810, 1.8682811, 1.9604889", \
"1.8638699, 1.8643607, 1.8643608, 1.8643609, 1.8647497, 1.8659805, 1.9645687", \
"1.8666617, 1.8666618, 1.8666619, 1.8666620, 1.8666621, 1.8666623, 1.9634858");
}
rise_transition (scalar) {
values("0.0000000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7010687, 1.7018881, 1.7018882, 1.7018883, 1.7018884, 1.7018886, 1.9428326", \
"1.7583011, 1.7583012, 1.7583014, 1.7583015, 1.7583016, 1.7583017, 1.9370967", \
"1.7775134, 1.7780381, 1.7780383, 1.7780384, 1.7780385, 1.7780386, 1.9286071", \
"1.7853879, 1.7853880, 1.7853881, 1.7853882, 1.7853883, 1.7853885, 1.9262099", \
"1.7894024, 1.7894025, 1.7894026, 1.7894027, 1.7894028, 1.7894030, 1.9410371", \
"1.7846336, 1.7846766, 1.7846767, 1.7846768, 1.7846769, 1.7846770, 1.9272888", \
"1.7884126, 1.7884127, 1.7884128, 1.7884129, 1.7884130, 1.7884132, 1.9151747");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.5627410, 1.5628520, 1.5629181, 1.5629182, 1.5629183, 1.5629184, 1.8357963", \
"1.6515050, 1.6515051, 1.6515052, 1.6515053, 1.6515055, 1.6515056, 1.8392855", \
"1.7126498, 1.7126499, 1.7126501, 1.7126502, 1.7126503, 1.7126504, 1.8595240", \
"1.7650283, 1.7651314, 1.7651315, 1.7651316, 1.7651317, 1.7651318, 1.8928221", \
"1.8111099, 1.8115285, 1.8115286, 1.8115287, 1.8115288, 1.8115289, 1.9369781", \
"1.8572792, 1.8572793, 1.8572794, 1.8572795, 1.8572796, 1.8572798, 1.9781519", \
"1.9009305, 1.9009306, 1.9009308, 1.9009309, 1.9009310, 1.9009311, 2.0196971");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_fall";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & !DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.4284146, 2.4412463, 2.5172742, 2.8871134, 4.2929900, 11.2593940, 49.8974910", \
"2.5289542, 2.5420410, 2.6186875, 2.9876064, 4.3945731, 11.3584260, 49.9005280", \
"2.5972235, 2.6097349, 2.6858222, 3.0542786, 4.4602766, 11.4266140, 49.9743540", \
"2.6526714, 2.6658746, 2.7411955, 3.1116780, 4.5175641, 11.4831210, 50.0889610", \
"2.7013001, 2.7138285, 2.7907663, 3.1576484, 4.5660604, 11.5326450, 50.1241680", \
"2.7473408, 2.7616265, 2.8377027, 3.2067624, 4.6126090, 11.5773880, 50.1204620", \
"2.7921845, 2.8065179, 2.8823866, 3.2512375, 4.6591522, 11.6216330, 50.2556890");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("0.6898208, 0.6980665, 0.7403143, 0.9856734, 2.3363191, 11.2823380, 46.4873010", \
"0.6905936, 0.6967946, 0.7434653, 0.9908868, 2.3340385, 11.2857090, 46.5271700", \
"0.6898899, 0.6982369, 0.7402771, 0.9859116, 2.3367581, 11.2820160, 46.4887120", \
"0.6890652, 0.6969711, 0.7423233, 0.9840474, 2.3380033, 11.2831440, 46.4522000", \
"0.6891846, 0.6966695, 0.7404803, 0.9909186, 2.3371074, 11.2836350, 46.4625600", \
"0.6923691, 0.6968148, 0.7422731, 0.9916378, 2.3377211, 11.2830160, 46.5305800", \
"0.6919206, 0.6970327, 0.7443844, 0.9893999, 2.3339428, 11.2797150, 46.5445460");
}
}
timing () {
related_pin : "OUT";
timing_type : "three_state_disable_fall";
timing_sense : "negative_unate";
when : "( !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.5637237, 1.5637238, 1.5637239, 1.5637240, 1.5637242, 1.5637243, 1.8394148", \
"1.6521238, 1.6521239, 1.6521240, 1.6521242, 1.6521243, 1.6521244, 1.8332709", \
"1.7128595, 1.7130957, 1.7138133, 1.7138134, 1.7138135, 1.7138137, 1.8626636", \
"1.7657102, 1.7657922, 1.7657924, 1.7657925, 1.7657926, 1.7657927, 1.8972999", \
"1.8119383, 1.8119384, 1.8119385, 1.8119386, 1.8119388, 1.8119389, 1.9336190", \
"1.8580678, 1.8580679, 1.8580680, 1.8580681, 1.8580682, 1.8580683, 1.9784439", \
"1.9013768, 1.9013811, 1.9013812, 1.9013814, 1.9013815, 1.9013816, 2.0188475");
}
fall_transition (scalar) {
values("0.0000000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.2345863, 8.4378575, 9.6764712, 17.4030000, 65.0735640, 359.5754700, 2172.5691000", \
"8.3296836, 8.5332950, 9.7939026, 17.5515620, 65.3125620, 359.3359000, 2172.2722000", \
"8.3881817, 8.5965954, 9.8440029, 17.6201740, 65.2283210, 359.5835900, 2172.3486000", \
"8.4597840, 8.6537364, 9.9207265, 17.6280930, 65.3174870, 359.4243800, 2172.2567000", \
"8.4887484, 8.6901979, 9.9516324, 17.7059820, 65.5705530, 359.7036800, 2172.4575000", \
"8.5563876, 8.7598426, 9.9945874, 17.7231260, 65.3949290, 359.9104400, 2173.0089000", \
"8.5986418, 8.7916572, 10.0587470, 17.7739800, 65.4907740, 360.0328300, 2174.1175000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.3593510, 13.7508720, 16.2413120, 31.6228180, 127.0313400, 715.3699900, 4340.0250000", \
"13.3538660, 13.7567200, 16.2510790, 31.5704690, 127.1156400, 715.0787800, 4341.5494000", \
"13.3645670, 13.7656730, 16.2283800, 31.5782420, 127.0596400, 715.2687000, 4341.5521000", \
"13.3288650, 13.7623910, 16.2195430, 31.5673530, 126.9832000, 714.3499900, 4341.1515000", \
"13.3433990, 13.7493190, 16.2369890, 31.6244490, 127.0463900, 715.4068300, 4341.4616000", \
"13.3301060, 13.7319300, 16.2329620, 31.5727920, 127.0316000, 715.1645600, 4340.3152000", \
"13.3459370, 13.7583890, 16.2346230, 31.5852160, 127.1323400, 715.5214200, 4343.3693000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.5179206, 8.7237648, 9.9806092, 17.7370280, 65.5515540, 360.9578400, 2178.3055000", \
"8.6204910, 8.8172412, 10.0826720, 17.8241300, 65.6372380, 360.9507100, 2177.8135000", \
"8.6865040, 8.8911207, 10.1491250, 17.8996120, 65.7227230, 361.2673500, 2179.0504000", \
"8.7426155, 8.9438554, 10.2060710, 17.9467300, 65.7756300, 361.0805900, 2179.5577000", \
"8.7914236, 8.9967402, 10.2536630, 18.0103110, 65.8232580, 361.2945800, 2179.2937000", \
"8.8406020, 9.0386321, 10.3001380, 18.0424550, 65.8462440, 361.0037700, 2180.9965000", \
"8.8760981, 9.0849470, 10.3459340, 18.1075370, 65.8408080, 360.6600100, 2181.7307000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.1507300, 13.5622000, 16.0566420, 31.4758250, 127.2166100, 717.2705000, 4354.6120000", \
"13.1551990, 13.5463160, 16.0600400, 31.4578050, 126.8982400, 716.2821000, 4352.7830000", \
"13.1564940, 13.5622290, 16.0564340, 31.4429940, 127.0885500, 717.1386200, 4351.9326000", \
"13.1539930, 13.5480520, 16.0637320, 31.4581110, 127.0155800, 716.3087000, 4350.5270000", \
"13.1505380, 13.5623680, 16.0559670, 31.4848700, 127.2439200, 717.1855100, 4349.3742000", \
"13.1566750, 13.5510740, 16.0560450, 31.4684170, 126.8636700, 715.8370700, 4348.3910000", \
"13.1517860, 13.5601600, 16.0630760, 31.5017270, 127.1693900, 716.0404000, 4347.9513000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.2672584, 2.2898683, 2.4068014, 2.8179954, 4.1435682, 10.7918260, 35.8140680", \
"2.3334870, 2.3560034, 2.4729814, 2.8841314, 4.2107553, 10.8544120, 35.8565690", \
"2.3572315, 2.3794386, 2.4964801, 2.9076046, 4.2331374, 10.8776740, 35.8786030", \
"2.3683506, 2.3906350, 2.5075440, 2.9188520, 4.2444559, 10.8936530, 35.9221880", \
"2.3726701, 2.3948998, 2.5119697, 2.9230651, 4.2485140, 10.8958060, 35.8937310", \
"2.3724578, 2.3947369, 2.5117954, 2.9229767, 4.2487001, 10.8935390, 35.8904760", \
"2.3703686, 2.3928344, 2.5096498, 2.9211489, 4.2470618, 10.8907660, 35.8901670");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.0692150, 1.0766538, 1.1116087, 1.1965963, 2.5962932, 10.6052540, 38.2619450", \
"1.0694322, 1.0764739, 1.1118451, 1.1968961, 2.5976810, 10.6027040, 38.2634550", \
"1.0698282, 1.0763803, 1.1117038, 1.1974239, 2.5936808, 10.6027760, 38.2634440", \
"1.0696551, 1.0766723, 1.1119405, 1.1960279, 2.5942177, 10.6067000, 38.2284280", \
"1.0699125, 1.0763715, 1.1119147, 1.1974848, 2.5939615, 10.6089760, 38.2636710", \
"1.0691554, 1.0761103, 1.1122085, 1.1975739, 2.5978255, 10.6031650, 38.2647120", \
"1.0691145, 1.0766181, 1.1119363, 1.1965695, 2.5949392, 10.6030430, 38.2641790");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.6364569, 8.8556247, 10.1509450, 18.1021170, 66.2858850, 361.9033200, 2181.4506000", \
"8.7488061, 8.9578061, 10.2543910, 18.2005420, 66.3284470, 361.6345100, 2178.7905000", \
"8.8094101, 9.0270786, 10.3209930, 18.2719510, 66.4321650, 361.8157800, 2179.8958000", \
"8.8623260, 9.0823944, 10.3765910, 18.3259680, 66.4903230, 361.9779800, 2179.3567000", \
"8.9129939, 9.1280592, 10.4283100, 18.3795200, 66.5345890, 361.3496300, 2179.9872000", \
"8.9674312, 9.1786676, 10.4770150, 18.4164790, 66.6090680, 361.7771800, 2183.3325000", \
"9.0148822, 9.2183736, 10.5238540, 18.4672850, 66.6545430, 362.2474200, 2183.1966000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.1367450, 13.5553050, 16.0534150, 31.4778180, 126.9949200, 716.5252800, 4349.3942000", \
"13.1318580, 13.5592780, 16.0306030, 31.4801550, 127.2113500, 715.7738100, 4353.1841000", \
"13.1392560, 13.5573420, 16.0535420, 31.4766350, 127.2692600, 717.1563000, 4353.6993000", \
"13.1386030, 13.5570280, 16.0534520, 31.4991300, 127.3065400, 717.0756400, 4352.5506000", \
"13.1423110, 13.5512560, 16.0579180, 31.4844900, 126.8208600, 716.1297600, 4350.9121000", \
"13.1517950, 13.5537470, 16.0562050, 31.4613370, 127.0496000, 716.8874300, 4347.5379000", \
"13.1527860, 13.5526170, 16.0597420, 31.4745240, 127.0591500, 716.6613600, 4350.6152000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.3103328, 2.3378341, 2.5058042, 3.5104033, 9.6504831, 23.7771600, 51.9041770", \
"2.4120852, 2.4395261, 2.6076952, 3.6121399, 9.7468300, 23.8597910, 52.0007110", \
"2.4793283, 2.5068922, 2.6731750, 3.6778998, 9.8119779, 23.9319940, 52.0547130", \
"2.5339750, 2.5630857, 2.7284215, 3.7348609, 9.8658131, 24.0015150, 52.1345560", \
"2.5833076, 2.6123166, 2.7794953, 3.7838843, 9.9248458, 24.0441090, 52.1882950", \
"2.6308120, 2.6593523, 2.8270051, 3.8316670, 9.9730340, 24.0952270, 52.2213100", \
"2.6760139, 2.7035376, 2.8714946, 3.8735575, 10.0081240, 24.1485890, 52.2876460");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7053594, 1.7561755, 2.0701922, 4.0257904, 12.0277360, 17.0753190, 40.2066010", \
"1.7050267, 1.7558219, 2.0702062, 4.0274366, 12.0292050, 17.0981910, 40.1852450", \
"1.7024477, 1.7557841, 2.0680415, 4.0297046, 12.0294890, 17.0945210, 40.2102000", \
"1.7044197, 1.7557740, 2.0673891, 4.0259365, 12.0314030, 17.0779500, 40.2385790", \
"1.7045340, 1.7556484, 2.0679889, 4.0242552, 12.0300930, 17.1068940, 40.2270960", \
"1.7053142, 1.7557764, 2.0678057, 4.0180734, 12.0298780, 17.1170510, 40.2028420", \
"1.7037326, 1.7534634, 2.0703661, 4.0263193, 12.0293170, 17.1268050, 40.2376410");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.5188727, 8.7206029, 9.9814317, 17.7325940, 65.5322410, 360.4152100, 2178.0984000", \
"8.6166478, 8.8223215, 10.0826450, 17.8348770, 65.6329180, 360.8828600, 2181.3839000", \
"8.6839886, 8.8850367, 10.1455070, 17.8837580, 65.7072830, 361.1877200, 2179.5252000", \
"8.7409235, 8.9466612, 10.2043070, 17.9549250, 65.7579800, 360.6634500, 2178.8496000", \
"8.7920942, 8.9955856, 10.2554970, 18.0083720, 65.7935710, 361.1314700, 2178.9351000", \
"8.8356487, 9.0335321, 10.2978840, 18.0384190, 65.8579960, 360.5821900, 2178.5934000", \
"8.8847125, 9.0813641, 10.3456030, 18.0872150, 65.8888410, 360.8163900, 2180.9715000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.1536070, 13.5384430, 16.0561910, 31.4738850, 127.3460400, 716.1853000, 4349.2810000", \
"13.1263180, 13.5523610, 16.0630640, 31.4747410, 127.3835200, 716.0519400, 4348.5543000", \
"13.1357900, 13.5430900, 16.0346540, 31.4812710, 127.3776000, 717.1398100, 4352.8235000", \
"13.1298550, 13.5623690, 16.0563740, 31.4431180, 127.3826100, 716.1506200, 4353.2532000", \
"13.1538840, 13.5530840, 16.0638150, 31.4839570, 127.3809100, 716.3031300, 4349.7603000", \
"13.1420070, 13.5346790, 16.0277850, 31.4925720, 127.3799300, 716.2807400, 4348.9340000", \
"13.1555950, 13.5465680, 16.0610510, 31.4543240, 126.8353000, 716.7984100, 4348.7339000");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.2346121, 8.4273646, 9.6937089, 17.3997950, 65.1340170, 359.6553300, 2174.0543000", \
"8.3297467, 8.5331440, 9.7970156, 17.5513770, 65.3124200, 359.3282300, 2172.3160000", \
"8.3932949, 8.6056895, 9.8613961, 17.6065670, 65.2434410, 359.5696100, 2172.3804000", \
"8.4588612, 8.6613321, 9.9088568, 17.6346170, 65.3015630, 359.8696900, 2172.3647000", \
"8.4905079, 8.6899998, 9.9520872, 17.7049450, 65.4078320, 359.6969100, 2172.4513000", \
"8.5561691, 8.7605319, 9.9961390, 17.7228370, 65.4130690, 360.0064500, 2171.9941000", \
"8.5817859, 8.8001686, 10.0437260, 17.8139440, 65.4880170, 359.9903700, 2174.2451000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.3439300, 13.7588910, 16.2318500, 31.6163980, 127.1373000, 715.4428100, 4341.2683000", \
"13.3547530, 13.7578420, 16.2495530, 31.5755320, 127.1148400, 715.1092500, 4341.4743000", \
"13.3591200, 13.7498130, 16.2144080, 31.6276180, 127.0986400, 714.2346000, 4341.4771000", \
"13.3462960, 13.7652830, 16.2481550, 31.6200540, 127.0987400, 715.5188100, 4341.4525000", \
"13.3429660, 13.7510390, 16.2361180, 31.6250990, 127.0465500, 715.4135300, 4341.4989000", \
"13.3493310, 13.7627040, 16.2451550, 31.6161110, 127.2029800, 715.5313100, 4340.3643000", \
"13.3429860, 13.7641130, 16.2315050, 31.5996650, 127.1405900, 715.5928200, 4341.6353000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.6798406, 2.7096103, 2.8853042, 3.9521217, 10.0168650, 21.9328820, 43.3676740", \
"2.7818892, 2.8120339, 2.9866696, 4.0552184, 10.1156580, 22.0336320, 43.4614440", \
"2.8488667, 2.8769713, 3.0525600, 4.1204760, 10.1843770, 22.1008370, 43.5337310", \
"2.9055787, 2.9339000, 3.1096901, 4.1715471, 10.2365080, 22.1535480, 43.5907490", \
"2.9533576, 2.9825000, 3.1572727, 4.2212700, 10.2897970, 22.2031050, 43.6341740", \
"3.0013727, 3.0313005, 3.2065204, 4.2686565, 10.3333480, 22.2483100, 43.6810980", \
"3.0470266, 3.0765150, 3.2512641, 4.3138026, 10.3769850, 22.2942480, 43.7288110");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7619703, 1.8161221, 2.1414022, 4.1979131, 11.6078430, 13.9569100, 26.9063170", \
"1.7625629, 1.8160964, 2.1426039, 4.1992920, 11.6070510, 13.9609230, 26.8868870", \
"1.7621512, 1.8161822, 2.1414994, 4.1992911, 11.6077120, 13.9640430, 26.8927800", \
"1.7609344, 1.8162176, 2.1450470, 4.1993817, 11.6070810, 13.9593070, 26.8920180", \
"1.7626480, 1.8161260, 2.1433988, 4.1975743, 11.6077460, 13.9549930, 26.8692250", \
"1.7620967, 1.8145441, 2.1460780, 4.1984514, 11.6026540, 13.9530540, 26.9198090", \
"1.7622335, 1.8137449, 2.1456690, 4.1951087, 11.6044990, 13.9642200, 26.8825320");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable_rise";
timing_sense : "negative_unate";
when : "( DM[2] & !DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.3206539, 2.3434283, 2.4622924, 2.8754634, 4.1982799, 10.8301450, 35.8417120", \
"2.4208955, 2.4454985, 2.5651226, 2.9774005, 4.3001220, 10.9336230, 35.9388220", \
"2.4882334, 2.5109075, 2.6299165, 3.0429612, 4.3651096, 10.9987770, 35.9778340", \
"2.5434577, 2.5669662, 2.6865188, 3.0998677, 4.4226605, 11.0510530, 36.0388550", \
"2.5940308, 2.6179676, 2.7348255, 3.1477025, 4.4700044, 11.1008770, 36.1191430", \
"2.6402811, 2.6642617, 2.7834075, 3.1965521, 4.5190061, 11.1508420, 36.1545070", \
"2.6856006, 2.7086942, 2.8267915, 3.2402527, 4.5627018, 11.1941660, 36.1927700");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.0757657, 1.0834270, 1.1178860, 1.2027271, 2.5875690, 10.5859790, 38.2163990", \
"1.0756084, 1.0821171, 1.1182453, 1.2024095, 2.5861748, 10.5850690, 38.2410940", \
"1.0759478, 1.0829845, 1.1180008, 1.2030646, 2.5862803, 10.5874810, 38.2498190", \
"1.0754874, 1.0829656, 1.1178897, 1.2032757, 2.5889222, 10.5840930, 38.2544770", \
"1.0756205, 1.0829104, 1.1178419, 1.2032855, 2.5883680, 10.5837070, 38.2320630", \
"1.0754826, 1.0824892, 1.1180975, 1.2026874, 2.5860756, 10.5838050, 38.2394170", \
"1.0750361, 1.0821457, 1.1178790, 1.2018654, 2.5863596, 10.5798400, 38.2470060");
}
}
timing () {
related_pin : "OE_N";
timing_type : "three_state_enable";
timing_sense : "negative_unate";
when : "( DM[2] & DM[1] & DM[0] & !SLOW )";
sdf_cond : " DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.2346263, 8.4378966, 9.6770094, 17.4029110, 65.0727690, 359.6673300, 2173.5489000", \
"8.3298284, 8.5333260, 9.7991952, 17.5515620, 65.3115390, 359.3289900, 2172.2769000", \
"8.3903826, 8.5971079, 9.8443502, 17.6207480, 65.2943730, 359.6543400, 2172.4504000", \
"8.4589669, 8.6557490, 9.9028581, 17.6276100, 65.3215580, 359.4317400, 2172.5514000", \
"8.4932328, 8.6920249, 9.9680051, 17.7081430, 65.4951510, 359.7003800, 2172.4861000", \
"8.5521910, 8.7397476, 9.9952358, 17.7544180, 65.3946090, 359.9941700, 2173.3017000", \
"8.6000744, 8.7853866, 10.0387840, 17.8193320, 65.4853380, 359.9856800, 2173.2044000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.3593850, 13.7510800, 16.2307000, 31.6226940, 127.0334600, 715.5929300, 4338.8318000", \
"13.3549280, 13.7565350, 16.2125030, 31.5696090, 127.1108200, 715.1083400, 4341.5043000", \
"13.3574670, 13.7610890, 16.2287160, 31.5703660, 127.1178700, 715.4181400, 4341.6412000", \
"13.3293650, 13.7624280, 16.2375800, 31.5661520, 126.9727900, 714.3579000, 4341.3979000", \
"13.3634190, 13.7496200, 16.2260130, 31.6239660, 126.7860300, 715.4143900, 4341.4057000", \
"13.3555660, 13.7572820, 16.2458370, 31.5773110, 127.0325100, 715.6537500, 4344.2415000", \
"13.3457820, 13.7509750, 16.2293950, 31.5867510, 127.1280500, 715.4808900, 4339.6790000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.5179597, 8.7237426, 9.9806856, 17.7369730, 65.5542610, 360.8505800, 2178.6047000", \
"8.6203498, 8.8165049, 10.0828820, 17.8399550, 65.6344740, 360.9592300, 2181.2489000", \
"8.6866718, 8.8875183, 10.1497650, 17.9053390, 65.7232210, 361.2619800, 2178.8926000", \
"8.7440331, 8.9432781, 10.2065250, 17.9581420, 65.7709190, 361.2769600, 2179.0295000", \
"8.7906935, 8.9920018, 10.2521970, 18.0083020, 65.7632380, 361.0797100, 2182.6718000", \
"8.8367731, 9.0339389, 10.3013620, 18.0429270, 65.8720580, 361.2516100, 2180.7775000", \
"8.8799466, 9.0884491, 10.3396020, 18.1074530, 65.8239330, 360.7363200, 2180.9064000");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.1508660, 13.5621370, 16.0568800, 31.4748410, 127.1950900, 717.2922100, 4354.9157000", \
"13.1552170, 13.5478070, 16.0602980, 31.4887460, 126.8819100, 716.3122300, 4349.1664000", \
"13.1508420, 13.5517050, 16.0638450, 31.4756010, 127.0698700, 717.1440800, 4353.8384000", \
"13.1569220, 13.5460990, 16.0632110, 31.4900320, 127.2147500, 716.8586600, 4354.8798000", \
"13.1514640, 13.5458420, 16.0563300, 31.4764390, 127.2207200, 717.2769800, 4349.1141000", \
"13.1428860, 13.5561220, 16.0577840, 31.4693840, 127.0227000, 717.1628900, 4356.1286000", \
"13.1556820, 13.5543530, 16.0611900, 31.5017100, 127.0310000, 715.9181300, 4347.4092000");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.2856674, 2.3136557, 2.4839091, 3.5006975, 9.6815009, 23.7617210, 51.8373220", \
"2.3519620, 2.3798885, 2.5500797, 3.5670669, 9.7482271, 23.8282370, 51.9105150", \
"2.3752478, 2.4033036, 2.5734908, 3.5905994, 9.7744302, 23.8452170, 51.9397540", \
"2.3861770, 2.4141387, 2.5846383, 3.6018648, 9.7794741, 23.8411650, 51.9699520", \
"2.3903270, 2.4181439, 2.5888791, 3.6064640, 9.7937409, 23.8692980, 51.9801230", \
"2.3910371, 2.4190783, 2.5892024, 3.6063925, 9.7845616, 23.8672550, 51.9700690", \
"2.3880804, 2.4159263, 2.5871989, 3.6046786, 9.7931636, 23.8649560, 51.9881100");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7063108, 1.7576958, 2.0724129, 4.0386001, 11.9973670, 16.9317540, 40.1119810", \
"1.7094495, 1.7568097, 2.0714224, 4.0327399, 11.9942860, 16.9708990, 40.1512460", \
"1.7104059, 1.7560270, 2.0749993, 4.0313988, 11.9909260, 16.9532370, 40.1862400", \
"1.7037147, 1.7542656, 2.0685369, 4.0292689, 11.9906670, 17.0661640, 40.1845060", \
"1.7045720, 1.7557226, 2.0695607, 4.0247063, 11.9905760, 17.0399210, 40.2194830", \
"1.7027266, 1.7527346, 2.0692907, 4.0291011, 11.9896240, 17.0927110, 40.2068740", \
"1.7019290, 1.7553775, 2.0675526, 4.0297068, 11.9892070, 17.1127020, 40.2057270");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.5101496, 2.5405451, 2.7220337, 3.8137231, 9.9178630, 21.7428170, 43.1560070", \
"2.6129308, 2.6412983, 2.8222791, 3.9129948, 10.0135930, 21.8399790, 43.2567260", \
"2.6807094, 2.7091593, 2.8902247, 3.9812535, 10.0803520, 21.9074680, 43.3234890", \
"2.7365610, 2.7650719, 2.9461318, 4.0371368, 10.1361940, 21.9654980, 43.3804030", \
"2.7856761, 2.8145424, 2.9959617, 4.0864401, 10.1835170, 22.0157870, 43.4301590", \
"2.8325751, 2.8614007, 3.0429583, 4.1335739, 10.2323980, 22.0648480, 43.4736260", \
"2.8779661, 2.9077087, 3.0888532, 4.1786974, 10.2787000, 22.1078300, 43.5205220");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7581929, 1.8118741, 2.1439259, 4.1986477, 11.5562250, 13.9142570, 26.8809720", \
"1.7590660, 1.8139337, 2.1446405, 4.1970023, 11.5549190, 13.9598730, 26.8821850", \
"1.7584503, 1.8137687, 2.1451374, 4.1935668, 11.5599020, 13.9572830, 26.9141760", \
"1.7593094, 1.8134502, 2.1453217, 4.1931507, 11.5582670, 13.9099500, 26.8963250", \
"1.7613664, 1.8124970, 2.1427786, 4.1977946, 11.5576680, 13.9118480, 26.8899870", \
"1.7611879, 1.8122721, 2.1429498, 4.1984949, 11.5512620, 13.9179800, 26.8973430", \
"1.7600436, 1.8140437, 2.1395583, 4.1974699, 11.5555240, 13.9190090, 26.8746060");
}
}
timing () {
related_pin : "OUT";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
sdf_cond : " OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("8.3868956, 8.5938936, 9.8634700, 17.7009780, 65.7843910, 360.1757300, 2173.2726000", \
"8.4563370, 8.6637915, 9.9340447, 17.7750580, 65.8843580, 360.2139300, 2173.3433000", \
"8.4824139, 8.6915861, 9.9604094, 17.8084110, 65.9167090, 360.2576200, 2173.3738000", \
"8.5037248, 8.7086658, 9.9874846, 17.8316610, 66.0273970, 360.6966700, 2173.4211000", \
"8.5009297, 8.7054550, 9.9903605, 17.8055530, 65.8601560, 360.8616900, 2174.2003000", \
"8.5086640, 8.7158254, 9.9847464, 17.8352940, 65.8511820, 360.8561200, 2175.1923000", \
"8.4987359, 8.7029566, 9.9698729, 17.8093010, 65.8436220, 360.8358800, 2174.7444000");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("13.4065860, 13.8089310, 16.2997810, 31.7523820, 127.0126500, 714.9387200, 4341.1646000", \
"13.3743360, 13.7830120, 16.2612750, 31.6612760, 126.8797700, 714.9752700, 4341.1546000", \
"13.3681030, 13.7618640, 16.2527040, 31.6187680, 127.1282500, 714.9810100, 4341.1492000", \
"13.3257880, 13.7564570, 16.2236430, 31.6174860, 127.1823700, 714.5080200, 4341.1196000", \
"13.3574900, 13.7601700, 16.2450320, 31.6170060, 127.0874000, 715.5857100, 4344.3465000", \
"13.3469820, 13.7491350, 16.2448920, 31.5933060, 127.0138100, 715.5623500, 4338.5758000", \
"13.3575710, 13.7596600, 16.2276790, 31.6108210, 127.0821700, 715.4768600, 4340.8713000");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("2.4605524, 2.4893549, 2.6648155, 3.7356958, 9.7938013, 21.7067450, 43.1350990", \
"2.5645137, 2.5928186, 2.7680616, 3.8315497, 9.8923563, 21.8027800, 43.2368130", \
"2.6321343, 2.6604148, 2.8363782, 3.8991752, 9.9602619, 21.8711270, 43.3042400", \
"2.6878189, 2.7160896, 2.8919820, 3.9548467, 10.0155470, 21.9267040, 43.3597580", \
"2.7362022, 2.7652467, 2.9407954, 4.0044670, 10.0644580, 21.9770480, 43.4052440", \
"2.7835132, 2.8118048, 2.9876350, 4.0501331, 10.1122650, 22.0242150, 43.4544280", \
"2.8286833, 2.8564451, 3.0313301, 4.1010053, 10.1571670, 22.0700540, 43.5024920");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
values("1.7594518, 1.8153711, 2.1459061, 4.1951971, 11.6043160, 13.9653210, 26.8607640", \
"1.7616860, 1.8146551, 2.1472266, 4.1995252, 11.6049320, 13.9912160, 26.9054200", \
"1.7614533, 1.8148461, 2.1472257, 4.1995087, 11.6043250, 13.9894270, 26.9036950", \
"1.7614300, 1.8148627, 2.1472266, 4.1995349, 11.6040910, 13.9925430, 26.9027840", \
"1.7631930, 1.8166247, 2.1474098, 4.1961035, 11.6029980, 13.9618240, 26.9190180", \
"1.7615185, 1.8148101, 2.1472182, 4.1994368, 11.5987580, 14.0041720, 26.9251060", \
"1.7617179, 1.8153111, 2.1452105, 4.2003596, 11.5986470, 13.9708920, 26.9255460");
}
}
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-9.1737330, -9.2863894, -9.3990458, -9.3922269, -9.3856808, -9.3788619, -9.3720431");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("9.4726241, 9.5650059, 9.6573877, 9.6508731, 9.6446191, 9.6381044, 9.6315898");
}
}
}
pin ("TIE_LO_ESD") {
function : "0";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("AMUXBUS_A") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.141255;
capacitance : 0.140795;
fall_capacitance : 0.140336;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.3671943, -0.3645276, -0.3618609, -0.3622296, -0.3625836, -0.3629523, -0.3633210");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3683677, 0.3652009, 0.3620342, 0.3617445, 0.3614663, 0.3611766, 0.3608869");
}
}
}
pin ("TIE_HI_ESD") {
function : "1";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("AMUXBUS_B") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.133914;
capacitance : 0.133693;
fall_capacitance : 0.133473;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.3683791, -0.3638686, -0.3593581, -0.3591852, -0.3590192, -0.3588463, -0.3586734");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.3676195, 0.3638811, 0.3601427, 0.3607328, 0.3612993, 0.3618895, 0.3624796");
}
}
}
}
default_operating_conditions : "ff_ff_1p95v_x_5p50v_100C";
}