blob: 36a1b4a162203746707a70fa75dc86253133ab78 [file] [log] [blame]
module \$_TBUF_ (input A, input E, output Y);
sky130_fd_sc_ls__ebufn_2 _TECHMAP_EBUF_N_ (
.A(A),
.Z(Y),
.TE_B(~E));
endmodule