blob: ac1b04bce6bb7bdda154e3590b93d1d97eecd614 [file] [log] [blame]
module \$_MUX_ (
output Y,
input A,
input B,
input S
);
sky130_fd_sc_ls__mux2_1 _TECHMAP_MUX (
.X(Y),
.A0(A),
.A1(B),
.S(S)
);
endmodule