blob: 2985a0a4a75677b2c42276ba19b6c6bfa7b2d476 [file] [log] [blame]
module \$_TBUF_ (input A, input E, output Y);
sky130_fd_sc_hs__ebufn_2 _TECHMAP_EBUF_N_ (
.A(A),
.Z(Y),
.TE_B(~E));
endmodule