blob: 2ef247179e0cfc4eae4f5e399eba58c6dc370b72 [file] [log] [blame]
module user_project_wrapper (user_clock2,
wb_clk_i,
wb_rst_i,
wbs_ack_o,
wbs_cyc_i,
wbs_stb_i,
wbs_we_i,
vssa2,
vdda2,
vssa1,
vdda1,
vssd2,
vccd2,
vssd1,
vccd1,
analog_io,
io_in,
io_oeb,
io_out,
la_data_in,
la_data_out,
la_oenb,
user_irq,
wbs_adr_i,
wbs_dat_i,
wbs_dat_o,
wbs_sel_i);
input user_clock2;
input wb_clk_i;
input wb_rst_i;
output wbs_ack_o;
input wbs_cyc_i;
input wbs_stb_i;
input wbs_we_i;
input vssa2;
input vdda2;
input vssa1;
input vdda1;
input vssd2;
input vccd2;
input vssd1;
input vccd1;
inout [28:0] analog_io;
input [37:0] io_in;
output [37:0] io_oeb;
output [37:0] io_out;
input [127:0] la_data_in;
output [127:0] la_data_out;
input [127:0] la_oenb;
output [2:0] user_irq;
input [31:0] wbs_adr_i;
input [31:0] wbs_dat_i;
output [31:0] wbs_dat_o;
input [3:0] wbs_sel_i;
cla mprj (.cin(io_in[24]),
.cout(io_out[29]),
.vccd1(vccd1),
.vssd1(vssd1),
.A({io_in[8],
io_in[7],
io_in[6],
io_in[5]}),
.B({io_in[12],
io_in[11],
io_in[10],
io_in[9]}),
.S({io_out[28],
io_out[27],
io_out[26],
io_out[25]}));
endmodule